From 393092922f913d5b96841dfc6135be41969c89a8 Mon Sep 17 00:00:00 2001
From: Damien Wiame <wiamedams@Dams-MacBook-Pro.local>
Date: Sat, 10 Dec 2022 18:18:19 +0100
Subject: [PATCH] controller

---
 .vscode/settings.json                         |    3 +-
 SPI/MyDE0_Nano.sv                             |   58 -
 SPI/MyTestbench.sv                            |   53 -
 SPI/SPI_test.qpf                              |   30 -
 SPI/SPI_test.qsf                              |   80 -
 SPI/SPI_test.qws                              |  Bin 2146 -> 0 bytes
 SPI/SPI_test_description.txt                  |    0
 SPI/cr_ie_info.json                           |   22 -
 SPI/db/.cmp.kpt                               |  Bin 204 -> 0 bytes
 SPI/db/SPI_test.(0).cnf.cdb                   |  Bin 2937 -> 0 bytes
 SPI/db/SPI_test.(0).cnf.hdb                   |  Bin 2154 -> 0 bytes
 SPI/db/SPI_test.(1).cnf.cdb                   |  Bin 3626 -> 0 bytes
 SPI/db/SPI_test.(1).cnf.hdb                   |  Bin 1370 -> 0 bytes
 SPI/db/SPI_test.asm.qmsg                      |    7 -
 SPI/db/SPI_test.asm.rdb                       |  Bin 814 -> 0 bytes
 SPI/db/SPI_test.asm_labs.ddb                  |  Bin 17298 -> 0 bytes
 SPI/db/SPI_test.cbx.xml                       |    5 -
 SPI/db/SPI_test.cmp.bpm                       |  Bin 1832 -> 0 bytes
 SPI/db/SPI_test.cmp.cdb                       |  Bin 16210 -> 0 bytes
 SPI/db/SPI_test.cmp.hdb                       |  Bin 16111 -> 0 bytes
 SPI/db/SPI_test.cmp.idb                       |  Bin 2475 -> 0 bytes
 SPI/db/SPI_test.cmp.logdb                     |  141 -
 SPI/db/SPI_test.cmp.rdb                       |  Bin 28668 -> 0 bytes
 SPI/db/SPI_test.cmp_merge.kpt                 |  Bin 210 -> 0 bytes
 ...ve_io_sim_cache.45um_ff_1200mv_0c_fast.hsd |  Bin 746772 -> 0 bytes
 ...ve_io_sim_cache.45um_tt_1200mv_0c_slow.hsd |  Bin 744397 -> 0 bytes
 ...e_io_sim_cache.45um_tt_1200mv_85c_slow.hsd |  Bin 747037 -> 0 bytes
 SPI/db/SPI_test.db_info                       |    3 -
 SPI/db/SPI_test.eda.qmsg                      |   13 -
 SPI/db/SPI_test.fit.qmsg                      |   49 -
 SPI/db/SPI_test.hier_info                     |  210 -
 SPI/db/SPI_test.hif                           |  Bin 497 -> 0 bytes
 SPI/db/SPI_test.lpc.html                      |   34 -
 SPI/db/SPI_test.lpc.rdb                       |  Bin 466 -> 0 bytes
 SPI/db/SPI_test.lpc.txt                       |    7 -
 SPI/db/SPI_test.map.ammdb                     |  Bin 129 -> 0 bytes
 SPI/db/SPI_test.map.bpm                       |  Bin 1796 -> 0 bytes
 SPI/db/SPI_test.map.cdb                       |  Bin 6955 -> 0 bytes
 SPI/db/SPI_test.map.hdb                       |  Bin 15058 -> 0 bytes
 SPI/db/SPI_test.map.kpt                       |  Bin 1068 -> 0 bytes
 SPI/db/SPI_test.map.logdb                     |    1 -
 SPI/db/SPI_test.map.qmsg                      |   21 -
 SPI/db/SPI_test.map.rdb                       |  Bin 1341 -> 0 bytes
 SPI/db/SPI_test.map_bb.cdb                    |  Bin 2629 -> 0 bytes
 SPI/db/SPI_test.map_bb.hdb                    |  Bin 12093 -> 0 bytes
 SPI/db/SPI_test.map_bb.logdb                  |    1 -
 SPI/db/SPI_test.pplq.rdb                      |  Bin 911 -> 0 bytes
 SPI/db/SPI_test.pre_map.hdb                   |  Bin 13832 -> 0 bytes
 SPI/db/SPI_test.root_partition.map.reg_db.cdb |  Bin 222 -> 0 bytes
 SPI/db/SPI_test.routing.rdb                   |  Bin 6900 -> 0 bytes
 SPI/db/SPI_test.rtlv.hdb                      |  Bin 13797 -> 0 bytes
 SPI/db/SPI_test.rtlv_sg.cdb                   |  Bin 5746 -> 0 bytes
 SPI/db/SPI_test.rtlv_sg_swap.cdb              |  Bin 913 -> 0 bytes
 SPI/db/SPI_test.sld_design_entry.sci          |  Bin 223 -> 0 bytes
 SPI/db/SPI_test.sld_design_entry_dsc.sci      |  Bin 223 -> 0 bytes
 SPI/db/SPI_test.smart_action.txt              |    1 -
 SPI/db/SPI_test.sta.qmsg                      |   42 -
 SPI/db/SPI_test.sta.rdb                       |  Bin 22653 -> 0 bytes
 SPI/db/SPI_test.sta_cmp.6_slow_1200mv_85c.tdb |  Bin 15197 -> 0 bytes
 SPI/db/SPI_test.tis_db_list.ddb               |  Bin 294 -> 0 bytes
 SPI/db/SPI_test.tiscmp.fast_1200mv_0c.ddb     |  Bin 123842 -> 0 bytes
 SPI/db/SPI_test.tiscmp.slow_1200mv_0c.ddb     |  Bin 124461 -> 0 bytes
 SPI/db/SPI_test.tiscmp.slow_1200mv_85c.ddb    |  Bin 124973 -> 0 bytes
 SPI/db/SPI_test.tmw_info                      |    7 -
 SPI/db/SPI_test.vpr.ammdb                     |  Bin 636 -> 0 bytes
 SPI/db/SPI_test_partition_pins.json           |   29 -
 SPI/db/prev_cmp_SPI_test.qmsg                 |  140 -
 SPI/incremental_db/README                     |   11 -
 .../compiled_partitions/SPI_test.db_info      |    3 -
 .../SPI_test.root_partition.cmp.ammdb         |  Bin 631 -> 0 bytes
 .../SPI_test.root_partition.cmp.cdb           |  Bin 8468 -> 0 bytes
 .../SPI_test.root_partition.cmp.dfp           |  Bin 33 -> 0 bytes
 .../SPI_test.root_partition.cmp.hdb           |  Bin 14656 -> 0 bytes
 .../SPI_test.root_partition.cmp.logdb         |    1 -
 .../SPI_test.root_partition.cmp.rcfdb         |  Bin 9614 -> 0 bytes
 .../SPI_test.root_partition.map.cdb           |  Bin 5852 -> 0 bytes
 .../SPI_test.root_partition.map.dpi           |  Bin 853 -> 0 bytes
 .../SPI_test.root_partition.map.hbdb.cdb      |  Bin 1595 -> 0 bytes
 .../SPI_test.root_partition.map.hbdb.hb_info  |  Bin 46 -> 0 bytes
 .../SPI_test.root_partition.map.hbdb.hdb      |  Bin 13915 -> 0 bytes
 .../SPI_test.root_partition.map.hbdb.sig      |    1 -
 .../SPI_test.root_partition.map.hdb           |  Bin 13307 -> 0 bytes
 .../SPI_test.root_partition.map.kpt           |  Bin 1079 -> 0 bytes
 .../compiled_partitions/SPI_test.rrp.hdb      |  Bin 16012 -> 0 bytes
 SPI/output_files/SPI_test.asm.rpt             |   91 -
 SPI/output_files/SPI_test.cdf                 |   13 -
 SPI/output_files/SPI_test.done                |    1 -
 SPI/output_files/SPI_test.eda.rpt             |  108 -
 SPI/output_files/SPI_test.fit.rpt             | 1686 -------
 SPI/output_files/SPI_test.fit.smsg            |    8 -
 SPI/output_files/SPI_test.fit.summary         |   16 -
 SPI/output_files/SPI_test.flow.rpt            |  132 -
 SPI/output_files/SPI_test.jdi                 |    8 -
 SPI/output_files/SPI_test.map.rpt             |  416 --
 SPI/output_files/SPI_test.map.summary         |   14 -
 SPI/output_files/SPI_test.pin                 |  326 --
 SPI/output_files/SPI_test.sld                 |    1 -
 SPI/output_files/SPI_test.sof                 |  Bin 704016 -> 0 bytes
 SPI/output_files/SPI_test.sta.rpt             | 1617 -------
 SPI/output_files/SPI_test.sta.summary         |   41 -
 SPI/output_files/output_file.jic              |  Bin 8388829 -> 0 bytes
 SPI/output_files/output_file.map              |   15 -
 SPI/simulation/modelsim/SPI_test.sft          |    6 -
 SPI/simulation/modelsim/SPI_test.svo          | 4083 -----------------
 .../modelsim/SPI_test_6_1200mv_0c_slow.svo    | 4083 -----------------
 .../modelsim/SPI_test_6_1200mv_0c_v_slow.sdo  | 1172 -----
 .../modelsim/SPI_test_6_1200mv_85c_slow.svo   | 4083 -----------------
 .../modelsim/SPI_test_6_1200mv_85c_v_slow.sdo | 1172 -----
 .../modelsim/SPI_test_min_1200mv_0c_fast.svo  | 4083 -----------------
 .../SPI_test_min_1200mv_0c_v_fast.sdo         | 1172 -----
 SPI/simulation/modelsim/SPI_test_modelsim.xrf |  268 --
 SPI/simulation/modelsim/SPI_test_v.sdo        | 1172 -----
 controller.c                                  |  110 +
 lidar_detect.c                                |   49 +
 main.c                                        |   57 +
 main.h                                        |   45 +
 mapLiDAR.py                                   |   15 +-
 test                                          |  Bin 0 -> 50416 bytes
 118 files changed, 273 insertions(+), 26763 deletions(-)
 delete mode 100644 SPI/MyDE0_Nano.sv
 delete mode 100644 SPI/MyTestbench.sv
 delete mode 100644 SPI/SPI_test.qpf
 delete mode 100644 SPI/SPI_test.qsf
 delete mode 100644 SPI/SPI_test.qws
 delete mode 100644 SPI/SPI_test_description.txt
 delete mode 100644 SPI/cr_ie_info.json
 delete mode 100644 SPI/db/.cmp.kpt
 delete mode 100644 SPI/db/SPI_test.(0).cnf.cdb
 delete mode 100644 SPI/db/SPI_test.(0).cnf.hdb
 delete mode 100644 SPI/db/SPI_test.(1).cnf.cdb
 delete mode 100644 SPI/db/SPI_test.(1).cnf.hdb
 delete mode 100644 SPI/db/SPI_test.asm.qmsg
 delete mode 100644 SPI/db/SPI_test.asm.rdb
 delete mode 100644 SPI/db/SPI_test.asm_labs.ddb
 delete mode 100644 SPI/db/SPI_test.cbx.xml
 delete mode 100644 SPI/db/SPI_test.cmp.bpm
 delete mode 100644 SPI/db/SPI_test.cmp.cdb
 delete mode 100644 SPI/db/SPI_test.cmp.hdb
 delete mode 100644 SPI/db/SPI_test.cmp.idb
 delete mode 100644 SPI/db/SPI_test.cmp.logdb
 delete mode 100644 SPI/db/SPI_test.cmp.rdb
 delete mode 100644 SPI/db/SPI_test.cmp_merge.kpt
 delete mode 100644 SPI/db/SPI_test.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
 delete mode 100644 SPI/db/SPI_test.cycloneive_io_sim_cache.45um_tt_1200mv_0c_slow.hsd
 delete mode 100644 SPI/db/SPI_test.cycloneive_io_sim_cache.45um_tt_1200mv_85c_slow.hsd
 delete mode 100644 SPI/db/SPI_test.db_info
 delete mode 100644 SPI/db/SPI_test.eda.qmsg
 delete mode 100644 SPI/db/SPI_test.fit.qmsg
 delete mode 100644 SPI/db/SPI_test.hier_info
 delete mode 100644 SPI/db/SPI_test.hif
 delete mode 100644 SPI/db/SPI_test.lpc.html
 delete mode 100644 SPI/db/SPI_test.lpc.rdb
 delete mode 100644 SPI/db/SPI_test.lpc.txt
 delete mode 100644 SPI/db/SPI_test.map.ammdb
 delete mode 100644 SPI/db/SPI_test.map.bpm
 delete mode 100644 SPI/db/SPI_test.map.cdb
 delete mode 100644 SPI/db/SPI_test.map.hdb
 delete mode 100644 SPI/db/SPI_test.map.kpt
 delete mode 100644 SPI/db/SPI_test.map.logdb
 delete mode 100644 SPI/db/SPI_test.map.qmsg
 delete mode 100644 SPI/db/SPI_test.map.rdb
 delete mode 100644 SPI/db/SPI_test.map_bb.cdb
 delete mode 100644 SPI/db/SPI_test.map_bb.hdb
 delete mode 100644 SPI/db/SPI_test.map_bb.logdb
 delete mode 100644 SPI/db/SPI_test.pplq.rdb
 delete mode 100644 SPI/db/SPI_test.pre_map.hdb
 delete mode 100644 SPI/db/SPI_test.root_partition.map.reg_db.cdb
 delete mode 100644 SPI/db/SPI_test.routing.rdb
 delete mode 100644 SPI/db/SPI_test.rtlv.hdb
 delete mode 100644 SPI/db/SPI_test.rtlv_sg.cdb
 delete mode 100644 SPI/db/SPI_test.rtlv_sg_swap.cdb
 delete mode 100644 SPI/db/SPI_test.sld_design_entry.sci
 delete mode 100644 SPI/db/SPI_test.sld_design_entry_dsc.sci
 delete mode 100644 SPI/db/SPI_test.smart_action.txt
 delete mode 100644 SPI/db/SPI_test.sta.qmsg
 delete mode 100644 SPI/db/SPI_test.sta.rdb
 delete mode 100644 SPI/db/SPI_test.sta_cmp.6_slow_1200mv_85c.tdb
 delete mode 100644 SPI/db/SPI_test.tis_db_list.ddb
 delete mode 100644 SPI/db/SPI_test.tiscmp.fast_1200mv_0c.ddb
 delete mode 100644 SPI/db/SPI_test.tiscmp.slow_1200mv_0c.ddb
 delete mode 100644 SPI/db/SPI_test.tiscmp.slow_1200mv_85c.ddb
 delete mode 100644 SPI/db/SPI_test.tmw_info
 delete mode 100644 SPI/db/SPI_test.vpr.ammdb
 delete mode 100644 SPI/db/SPI_test_partition_pins.json
 delete mode 100644 SPI/db/prev_cmp_SPI_test.qmsg
 delete mode 100644 SPI/incremental_db/README
 delete mode 100644 SPI/incremental_db/compiled_partitions/SPI_test.db_info
 delete mode 100644 SPI/incremental_db/compiled_partitions/SPI_test.root_partition.cmp.ammdb
 delete mode 100644 SPI/incremental_db/compiled_partitions/SPI_test.root_partition.cmp.cdb
 delete mode 100644 SPI/incremental_db/compiled_partitions/SPI_test.root_partition.cmp.dfp
 delete mode 100644 SPI/incremental_db/compiled_partitions/SPI_test.root_partition.cmp.hdb
 delete mode 100644 SPI/incremental_db/compiled_partitions/SPI_test.root_partition.cmp.logdb
 delete mode 100644 SPI/incremental_db/compiled_partitions/SPI_test.root_partition.cmp.rcfdb
 delete mode 100644 SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.cdb
 delete mode 100644 SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.dpi
 delete mode 100644 SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.hbdb.cdb
 delete mode 100644 SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.hbdb.hb_info
 delete mode 100644 SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.hbdb.hdb
 delete mode 100644 SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.hbdb.sig
 delete mode 100644 SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.hdb
 delete mode 100644 SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.kpt
 delete mode 100644 SPI/incremental_db/compiled_partitions/SPI_test.rrp.hdb
 delete mode 100644 SPI/output_files/SPI_test.asm.rpt
 delete mode 100644 SPI/output_files/SPI_test.cdf
 delete mode 100644 SPI/output_files/SPI_test.done
 delete mode 100644 SPI/output_files/SPI_test.eda.rpt
 delete mode 100644 SPI/output_files/SPI_test.fit.rpt
 delete mode 100644 SPI/output_files/SPI_test.fit.smsg
 delete mode 100644 SPI/output_files/SPI_test.fit.summary
 delete mode 100644 SPI/output_files/SPI_test.flow.rpt
 delete mode 100644 SPI/output_files/SPI_test.jdi
 delete mode 100644 SPI/output_files/SPI_test.map.rpt
 delete mode 100644 SPI/output_files/SPI_test.map.summary
 delete mode 100644 SPI/output_files/SPI_test.pin
 delete mode 100644 SPI/output_files/SPI_test.sld
 delete mode 100644 SPI/output_files/SPI_test.sof
 delete mode 100644 SPI/output_files/SPI_test.sta.rpt
 delete mode 100644 SPI/output_files/SPI_test.sta.summary
 delete mode 100644 SPI/output_files/output_file.jic
 delete mode 100644 SPI/output_files/output_file.map
 delete mode 100644 SPI/simulation/modelsim/SPI_test.sft
 delete mode 100644 SPI/simulation/modelsim/SPI_test.svo
 delete mode 100644 SPI/simulation/modelsim/SPI_test_6_1200mv_0c_slow.svo
 delete mode 100644 SPI/simulation/modelsim/SPI_test_6_1200mv_0c_v_slow.sdo
 delete mode 100644 SPI/simulation/modelsim/SPI_test_6_1200mv_85c_slow.svo
 delete mode 100644 SPI/simulation/modelsim/SPI_test_6_1200mv_85c_v_slow.sdo
 delete mode 100644 SPI/simulation/modelsim/SPI_test_min_1200mv_0c_fast.svo
 delete mode 100644 SPI/simulation/modelsim/SPI_test_min_1200mv_0c_v_fast.sdo
 delete mode 100644 SPI/simulation/modelsim/SPI_test_modelsim.xrf
 delete mode 100644 SPI/simulation/modelsim/SPI_test_v.sdo
 create mode 100644 controller.c
 create mode 100644 lidar_detect.c
 create mode 100644 main.c
 create mode 100644 main.h
 create mode 100755 test

diff --git a/.vscode/settings.json b/.vscode/settings.json
index 5b671cb..6f571c2 100644
--- a/.vscode/settings.json
+++ b/.vscode/settings.json
@@ -1,6 +1,7 @@
 {
     "files.associations": {
         "iostream": "cpp",
-        "limits": "cpp"
+        "limits": "cpp",
+        "main.h": "c"
     }
 }
\ No newline at end of file
diff --git a/SPI/MyDE0_Nano.sv b/SPI/MyDE0_Nano.sv
deleted file mode 100644
index 64a0739..0000000
--- a/SPI/MyDE0_Nano.sv
+++ /dev/null
@@ -1,58 +0,0 @@
-module MyDE0_Nano(
-
-//////////// CLOCK //////////
-input logic 		          		CLOCK_50,
-
-//////////// LED //////////
-output logic		     [7:0]		LED,
-
-//////////// KEY //////////
-input logic 		     [1:0]		KEY,
-
-//////////// 2x13 GPIO Header //////////
-inout logic 		    [12:0]		GPIO_2,
-input logic 		     [2:0]		GPIO_2_IN,
-
-//////////// GPIO_0, GPIO_0 connect to GPIO Default //////////
-inout logic 		    [33:0]		GPIO_0_PI,
-input logic 		     [1:0]		GPIO_0_PI_IN,
-
-//////////// GPIO_1, GPIO_1 connect to GPIO Default //////////
-inout logic 		    [33:0]		GPIO_1,
-input logic 		     [1:0]		GPIO_1_IN
-);
-
-//=======================================================
-//  SPI
-//=======================================================
-
-	logic spi_clk, spi_cs, spi_mosi, spi_miso;
-	logic [31:0] DataToPI, DataFromPI;
-	
-	spi_slave spi_slave_instance(
-		.sck(spi_clk),
-		.mosi(spi_mosi),
-		.miso(spi_miso),
-		.reset(),
-		.d(DataToPI),
-		.q(DataFromPI)
-	);
-
-	assign spi_clk  		= GPIO_0_PI[8];		// SCLK = pin 13 
-	assign spi_cs   		= GPIO_0_PI[10];	// CE0  = pin 15
-	assign spi_mosi     	= GPIO_0_PI[9];		// MOSI = pin 14
-	
-	assign GPIO_0_PI[11] = spi_cs ? 1'bz : spi_miso;  // MISO = pin 16 	 
-
-	logic ENC_1A, ENC_1B, ENC_2A, ENC_2B; 
-
-	assign ENC_1A = GPIO_0_PI[31]; //pin 38
-	assign ENC_1B = GPIO_0_PI[29]; //pin 36
-	assign ENC_2A = GPIO_0_PI[28]; //pin 35
-	assign ENC_2B = GPIO_0_PI[27]; //pin 34
-
-	always_ff(negedge spi_clk)
-		assign DataToPI = ENC_1A;
-
-endmodule
-
diff --git a/SPI/MyTestbench.sv b/SPI/MyTestbench.sv
deleted file mode 100644
index 293fd3e..0000000
--- a/SPI/MyTestbench.sv
+++ /dev/null
@@ -1,53 +0,0 @@
-module MyTestbench();
-
-  logic        clk;
-  logic        reset;
-
-  logic [15:0] PC;
-  logic [15:0] WriteData;
-  logic [12:0] DataAdr;
-  logic        MemWrite;
-  
-  wire [33:0]	GPIO_0_PI;
-  wire [33:0]	GPIO_1;
-  wire [12:0]	GPIO_2;
-
-  // instantiate device to be tested
-  MyDE0_Nano dut(
-	.CLOCK_50(clk), 
-	.GPIO_0_PI(GPIO_0_PI),
-	.GPIO_1(GPIO_1),  
-	.GPIO_2(GPIO_2)
-	);
-
-  assign GPIO_0_PI[1] = reset;
-  
-  // initialize test
-  initial
-    begin
-      reset <= 1; # 22; reset <= 0;
-    end
-
-  // generate clock to sequence tests
-  always
-    begin
-      clk <= 1; # 5; clk <= 0; # 5;
-    end
-
-  // check results
-  always @(negedge clk)
-    begin
-      if(MemWrite) begin
-        if(DataAdr === 128 & WriteData === 254) begin
-          $display("Simulation succeeded");
-          $stop;
-        end else if (DataAdr === 128) begin
-          $display("Simulation failed");
-          $stop;
-        end
-      end
-    end
- 
-  // Simulate SPI
-     
-endmodule
\ No newline at end of file
diff --git a/SPI/SPI_test.qpf b/SPI/SPI_test.qpf
deleted file mode 100644
index 4449b85..0000000
--- a/SPI/SPI_test.qpf
+++ /dev/null
@@ -1,30 +0,0 @@
-# -------------------------------------------------------------------------- #
-#
-# Copyright (C) 2018  Intel Corporation. All rights reserved.
-# Your use of Intel Corporation's design tools, logic functions 
-# and other software and tools, and its AMPP partner logic 
-# functions, and any output files from any of the foregoing 
-# (including device programming or simulation files), and any 
-# associated documentation or information are expressly subject 
-# to the terms and conditions of the Intel Program License 
-# Subscription Agreement, the Intel Quartus Prime License Agreement,
-# the Intel FPGA IP License Agreement, or other applicable license
-# agreement, including, without limitation, that your use is for
-# the sole purpose of programming logic devices manufactured by
-# Intel and sold by Intel or its authorized distributors.  Please
-# refer to the applicable agreement for further details.
-#
-# -------------------------------------------------------------------------- #
-#
-# Quartus Prime
-# Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
-# Date created = 11:33:52  November 14, 2022
-#
-# -------------------------------------------------------------------------- #
-
-QUARTUS_VERSION = "18.1"
-DATE = "11:33:52  November 14, 2022"
-
-# Revisions
-
-PROJECT_REVISION = "SPI_test"
diff --git a/SPI/SPI_test.qsf b/SPI/SPI_test.qsf
deleted file mode 100644
index bcbfcb1..0000000
--- a/SPI/SPI_test.qsf
+++ /dev/null
@@ -1,80 +0,0 @@
-# -------------------------------------------------------------------------- #
-#
-# Copyright (C) 2018  Intel Corporation. All rights reserved.
-# Your use of Intel Corporation's design tools, logic functions 
-# and other software and tools, and its AMPP partner logic 
-# functions, and any output files from any of the foregoing 
-# (including device programming or simulation files), and any 
-# associated documentation or information are expressly subject 
-# to the terms and conditions of the Intel Program License 
-# Subscription Agreement, the Intel Quartus Prime License Agreement,
-# the Intel FPGA IP License Agreement, or other applicable license
-# agreement, including, without limitation, that your use is for
-# the sole purpose of programming logic devices manufactured by
-# Intel and sold by Intel or its authorized distributors.  Please
-# refer to the applicable agreement for further details.
-#
-# -------------------------------------------------------------------------- #
-#
-# Quartus Prime
-# Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
-# Date created = 11:33:52  November 14, 2022
-#
-# -------------------------------------------------------------------------- #
-#
-# Notes:
-#
-# 1) The default values for assignments are stored in the file:
-#		SPI_test_assignment_defaults.qdf
-#    If this file doesn't exist, see file:
-#		assignment_defaults.qdf
-#
-# 2) Altera recommends that you do not modify this file. This
-#    file is updated automatically by the Quartus Prime software
-#    and any changes you make may be lost or overwritten.
-#
-# -------------------------------------------------------------------------- #
-
-
-set_global_assignment -name FAMILY "Cyclone IV E"
-set_global_assignment -name DEVICE EP4CE22F17C6
-set_global_assignment -name TOP_LEVEL_ENTITY MyDE0_Nano
-set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.1.0
-set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:33:52  NOVEMBER 14, 2022"
-set_global_assignment -name LAST_QUARTUS_VERSION "18.1.0 Lite Edition"
-set_global_assignment -name SYSTEMVERILOG_FILE MyTestbench.sv
-set_global_assignment -name SYSTEMVERILOG_FILE MySPI.sv
-set_global_assignment -name SYSTEMVERILOG_FILE MyDE0_Nano.sv
-set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
-set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
-set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
-set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
-set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
-set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (SystemVerilog)"
-set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
-set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "SYSTEMVERILOG HDL" -section_id eda_simulation
-set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
-set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
-set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
-set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
-set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
-set_location_assignment PIN_R7 -to CLOCK_50
-set_location_assignment PIN_E1 -to KEY[1]
-set_location_assignment PIN_J15 -to KEY[0]
-set_location_assignment PIN_A15 -to LED[0]
-set_location_assignment PIN_D5 -to GPIO_0_PI[9]
-set_location_assignment PIN_A13 -to LED[1]
-set_location_assignment PIN_L3 -to LED[7]
-set_location_assignment PIN_A11 -to LED[3]
-set_location_assignment PIN_B1 -to LED[6]
-set_location_assignment PIN_B13 -to LED[2]
-set_location_assignment PIN_D1 -to LED[4]
-set_location_assignment PIN_F3 -to LED[5]
-set_location_assignment PIN_A5 -to GPIO_0_PI[5]
-set_location_assignment PIN_B6 -to GPIO_0_PI[17]
-set_location_assignment PIN_C11 -to GPIO_0_PI[28]
-set_location_assignment PIN_B11 -to GPIO_0_PI[29]
-set_location_assignment PIN_D11 -to GPIO_0_PI[31]
-set_location_assignment PIN_E10 -to GPIO_0_PI[27]
-set_location_assignment PIN_A6 -to GPIO_0_PI[11]
-set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
\ No newline at end of file
diff --git a/SPI/SPI_test.qws b/SPI/SPI_test.qws
deleted file mode 100644
index 23c0f1e9499e5cc962f47beb1a9c73dad5634711..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 2146
zcmds&&uUXq5XR@;B&C)jU5JQ?h=@cnwW&=Tcm6{WtHyszjcIZdOEgK7NEfAV;Zktn
z1Gw-d1YLCFLSMp#JFV8=H@P<y+X@!C=?QbrJ?GBMo%!aQlS^4k#xZ+t73*2w%C>B6
z>spgthqJ0hC<Vwg=P%Ld_*}NSEn*d+citXY$!=oX_kG_cv8$nBmO|!j#fp~4+YDYJ
zyTF{|WDc!ap7Q)^NDhrDTR?Ki6k1c9)cIAgsk`Os?ji}uASh$If!HriW@AWZi76e+
zDC_8YykW5rIZgZ#U+>!qyW+X`L88U(A!{297KpDaRoh@_jmLyt$21~2sZ_1GoszJX
zWbHnPHtgAMz9h$Ta>|415ZpgPMQ=-}s*@a?#js5T%JIejyO|8WtbLRtfcP!}<#A93
z)bI>))NEvgeM2EQ8X|{iQ3Rs_HRdS)G<eN&mg8LoYKSHkPOEm&$x(26RL~HyM6EjS
zL=I0Qb%b?TYURcVp76=^y1p8!$mckcqzE_rLkj-tCr`)WbBVg&gVQ&t%UyfKzHHZc
zx@xxsR8s4&dXUXHdJ)~B?&)vVc%NvDQ5<y{y~X?BDMo9Ezh{-rhhd0;d>-Pfi_SH1
zuz|F!COzT~#oEM{6w{ySwrH4MSkyLA@$_UE%I!gt5QTfpYGDns5MZB@U{7v>;4)Cm
zlfIh#&bBawj}yBIyN$;lzlsN}RMW4>?tp*Br|?Z2{*H~OlI?Zl9Ug*P^6Re!B9V2S
zEioEN$U;uCUwbqY_o(NaqhL@W^DW7q+OKej73jr+ue#cET*-s${O=1~9l7-apFulM
zx2`782Ji4c>`|f1(30vniM;``G*gGaq$OCVE2+v_^R>y-GR;wQmJJ$Cwm68JBK<nv
e@5nOs`(Cqbe^LkF;*og^%I#!Faeqs+5A6?JPJBuL

diff --git a/SPI/SPI_test_description.txt b/SPI/SPI_test_description.txt
deleted file mode 100644
index e69de29..0000000
diff --git a/SPI/cr_ie_info.json b/SPI/cr_ie_info.json
deleted file mode 100644
index 7b66cdd..0000000
--- a/SPI/cr_ie_info.json
+++ /dev/null
@@ -1,22 +0,0 @@
-{
-	"system" : {
-		"platform" : "windows64",
-		"os_name" : "Windows 10",
-		"os_version" : "10.0"
-	},
-	"error" : {
-		"executable" : "quartus_map",
-		"comment" : "none",
-		"error_message" : "cdb_is_connected(tri_data) && !tri_data->is_vcc_or_gnd()",
-		"source_file" : "/quartus/synth/mls/mls_process_tri.cpp",
-		"line" : "6076",
-		"stack_trace" : "\t0x7ff8b4c22039: SYNTH_MLS + 0x82039 (?error_multiple_drivers@OPT_TRI_PROCESSOR@@AEAAXPEAVCDB_SGATE_OTERM@@PEAVCDB_SGATE_NODE@@1@Z + 0x38d)\n\t0x7ff8b4bc615a: SYNTH_MLS + 0x2615a (?process_tribus@OPT_TRI_PROCESSOR@@AEAA?AW4PROCESS_STATUS@@PEAVCDB_SGATE_TRI_BUS@@@Z + 0x352)\n\t0x7ff8b4bc7233: SYNTH_MLS + 0x27233 (?do_processing_loop@OPT_TRI_PROCESSOR@@AEAA?AW4PROCESS_STATUS@@XZ + 0x2b3)\n\t0x7ff8b4bc3143: SYNTH_MLS + 0x23143 (OPT_TRI_PROCESSOR::perform_function + 0x147)\n\t0x7ff8b4bc2c55: SYNTH_MLS + 0x22c55 (OPT_TRI_PROCESSOR::synthesize_tristates + 0x285)\n\t0x7ff8b4be37de: SYNTH_MLS + 0x437de (?opt_process_tri@@YA?AW4PROCESS_STATUS@@PEAVCDB_SGATE_NETLIST@@VMLS_CONFIGURATION@@PEAVCMP_FACADE@@@Z + 0x6e)\n\t0x7ff8b4bb0397: SYNTH_MLS + 0x10397 (?call_named_function@MLS_NETLIST@@QEAAXAEBV?$basic_string@DU?$char_traits@D@std@@V?$allocator@D@2@@std@@00@Z + 0x1a37)\n\t0x7ff8b4bbd799: SYNTH_MLS + 0x1d799 (MLS_NETLIST::call_fn + 0x109)\n\t0x7ff8b4badd0b: SYNTH_MLS + 0xdd0b (MLS_NETLIST::run_script + 0x3d7)\n\t0x7ff8b4ba280b: SYNTH_MLS + 0x280b (MLS_ROOT::start_from_mls_netlist + 0x43)\n\t0x7ff8b3efa7f5: SYNTH_SCL + 0x5a7f5 (?synthesize_with_script@SCL_SYN_HIER@@AEAA_NPEAPEAVMLS_NETLIST@@PEAVCDB_SGATE_NETLIST@@AEBW4SCRIPT_TYPE@MLS_SCRIPT_MANAGER@@PEAPEAVCDB_ATOM_NETLIST@@@Z + 0x195)\n\t0x7ff8b3efb8f7: SYNTH_SCL + 0x5b8f7 (?work_normal_flow@SCL_SYN_HIER@@AEAA_NXZ + 0x637)\n\t0x7ff8b3ec3b25: SYNTH_SCL + 0x23b25 (?process_one_hierarchy@SCL_SYN_STATE@@AEAA_NPEAVCDB_SGATE_HIERARCHY@@PEAPEAVCDB_ATOM_NETLIST@@@Z + 0x95)\n\t0x7ff8b3ec68c6: SYNTH_SCL + 0x268c6 (?synthesize_design@SCL_SYN_STATE@@QEAA_NXZ + 0x446)\n\t0x7ff8b3ec553c: SYNTH_SCL + 0x2553c (?scl_execute_syn@@YA_NPEAVCMP_FACADE@@@Z + 0x9c)\n\t0x7ff8b3ea5794: SYNTH_SCL + 0x5794 (?scl_execute_normal_flow@@YA_NPEAVCMP_FACADE@@_N@Z + 0x134)\n\t0x7ff6f49e56a5: quartus_map + 0x56a5 (?qsyn_execute_scl@@YA_NPEAVQSYN_FRAMEWORK@@PEAVIDU_PARTITION@@_N@Z + 0x255)\n\t0x7ff6f4a0063d: quartus_map + 0x2063d (?scl_iteration@QSYN_FRAMEWORK@@AEAA_NXZ + 0xa8d)\n\t0x7ff6f49f4070: quartus_map + 0x14070 (?execute_core@QSYN_FRAMEWORK@@AEAA_NPEAVTHR_NAMED_PIPE@@0@Z + 0x200)\n\t0x7ff6f49f3aa6: quartus_map + 0x13aa6 (?execute@QSYN_FRAMEWORK@@UEAA_NXZ + 0x496)\n\t0x7ff93c6212bc: comp_qexe + 0x112bc (qexe_do_normal + 0x1ec)\n\t0x7ff93c626142: comp_qexe + 0x16142 (qexe_run + 0x432)\n\t0x7ff93c626e51: comp_qexe + 0x16e51 (?qexe_standard_main@@YAHPEAVQEXE_FRAMEWORK@@PEAPEBUQEXE_OPTION_DEFINITION@@HPEAPEBD@Z + 0xc1)\n\t0x7ff6f49fb08b: quartus_map + 0x1b08b (?qsyn_main@@YAHHPEAPEBD@Z + 0x51b)\n\t0x7ff954b32e98: CCL_MSG + 0x12e98 (?msg_main_thread@@YAPEAXPEAX@Z + 0x18)\n\t0x7ff954b3467e: CCL_MSG + 0x1467e (?msg_thread_wrapper@@YAPEAXP6APEAXPEAX@Z0@Z + 0x6e)\n\t0x7ff954c46660: ccl_mem + 0x16660 (?mem_thread_wrapper@@YAPEAXP6APEAXPEAX@Z0@Z + 0x70)\n\t0x7ff954b32761: CCL_MSG + 0x12761 (?msg_exe_main@@YAHHPEAPEBDP6AHH0@Z@Z + 0xa1)\n\t0x7ff6f4a09872: quartus_map + 0x29872 (__tmainCRTStartup + 0x10e)\n\t0x7ff96ae674b3: KERNEL32 + 0x174b3 (BaseThreadInitThunk + 0x13)\n\t0x7ff96b7226a0: ntdll + 0x526a0 (RtlUserThreadStart + 0x20)\n",
-		"subsystem" : "MLS"
-	},
-	"quartus" : {
-		"quartus_bits" : "64",
-		"version" : "18.1.0",
-		"build" : "625",
-		"edition" : "Lite Edition"
-	}
-}
\ No newline at end of file
diff --git a/SPI/db/.cmp.kpt b/SPI/db/.cmp.kpt
deleted file mode 100644
index 4455db73bd8b0c041cb9326c1a269345d8dc2f3a..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 204
zcmV;-05kur4*>uG0001ZoTZV^3W7io#_vAGun(ZFP#CC(phMBY5W0%#NQ=2{yJH~q
z@M#N0R1kFi{`|gyi5f+DbpTnk7_%&0=Y)pbvQ-Qw>w?;dPR5M8oWZ#-H1+1G?yLZ*
z7A?Zw%D_XHyFM&?ajIY*%)noGJn#aahmdVxD+pm#2^zC3aR8zQhg@|+lF1Dc(4fX+
zG}fq5mdM~JP>+s^+0_=j*T#1U=|UR2^e?6Ebc@xGm`9_Swk_+u_#u@zBk%r`#JK@0
G$BmGdb7Wrt

diff --git a/SPI/db/SPI_test.(0).cnf.cdb b/SPI/db/SPI_test.(0).cnf.cdb
deleted file mode 100644
index d6e8080216e478b1df2563218919997acd45c05c..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 2937
zcmZ|RXEdAr8wc=2m6RGmNfCRGB5m!xM@pk3X6=$k5X7ieDzusuHLBFAP^+j}TT#14
z>9F^zy|?(M&-40!?(@06*ZH3N{9gR-H#Z9a04Smbk|zM*N`7vU^P!WMH_F4EM@IgJ
z%nfNCO&=86kw+GGn@3tfN(Lqcla`U^xo5}&M`4|KbR1Dw^1HpR|DFJNcjdpNxb$EC
zolj{0+x>xSRz@D2I*eRe{!QF8jA4{aKuXT~Rh&R*Eaw=Hc$Axtu{Mj2c+b4;f?cOB
z&q6G}9{n*`KQ-(kmRao?cdQmYXd(8l&EXHM&*s|3{eAf>+Z8|4M>g_H3JaTx^V9!K
zsOTV+O`gQ>tXhY-pw+byIShZ$k)-q+Mvs?|K8TCI{M=L4(KB}wYbD=Ui$P;BRT9rc
za)l?l1VVF{U(Olfot^t1BvE-X3~ARdwei}5H<zON7oxa3nbqD3lg!hP-Yae^9r_Ej
zgQ@32(0{JRC>8&v=lts05v;hHp0n6!ZutnhXCBs(Km)>AKtaXYoX)^7+w2T=Y1myJ
zh=B+rL74@lD@KE}kS%DR#XvJ2fb`IyegwauaA1y{c6o|9FE8O^0%K)Gs%oW8o+8Zb
z(af<AawHM*bvPN4U8OV>taBNrWM;Xz;WD9fHEs-=YvAVh1=64F=`Q^1>$03ZBXRYG
z24Rw)N=7jj@^x8@TyR8b5Yp#$vO;$SqJ<wi$7Mnk-ep1z$jr%5WKYPV$dbwO-(^;`
zOf+umLRJSoBI?c`R*(I^@Gipm6<^!vt8AI5vDh$X0NK1bBof|YD06?w4)NFi(=bpT
z=}qzeAvL94e5-VrEhk6VU8NghPy$JN*>+EmqIp@5^4a(2AWGr|BS?1&QB)V;Tm*cF
zlP)vWgrG!u3H1q#y7q=3{+oih*C|Xbop-L-3FP8BopWysFk6_-$y|BeZ|o`{RQb1|
zino|AA7T$SklYzTy{f~p{>n7coIf>otro1z+fN&EC|Ut(O7Rc8)WE3(!DlX}i1QAT
z<`yicP19_$cs*+2#U|*-bPF4$N>wvUl^Rtuwel+5VaWYN)((-Kd)DGa2HhNO1Qr$(
zNmtNW5O7axZXAn=!0?o6$d9A1w%GA;;9BY0c{nM!!!gzQ5S-R02S$21rf>`hlR|?u
z{w&4sfv-q+D05Tk%I<J2*gTKRcjw(Hin3p6-wEg;8*AS=QQ+)Z&GnQh$>=Jr4}o>;
zoE)d_TrDBGCv3vbd4o$u1?%z8;onxNg_+e58?S^}TC!@V1%LxL#ef6%$h^to$;!#5
zM415R6MR&R_?fqP@I^$65Fnuc4S?XB_1mt1ss_%o$w!dwbjtF-WcPz7DQdPM91(6M
z^fJQZ9^G4_yryogWq%549K1SS@%^#;-Fk#Ru-=!*e&luWRM@GYQfoghbQC?B$@t3z
z!}~E~T+>XgG~yHKfDgCNYyn#J5GNO}$%XIVqMC+8)Fa&y70TJ?j2{e$GeD{IWLKm=
zHnr%#DOx0)lJM*19mCapp`7yS$1lva$7V+w!t(7IB6Jk-u95OO2TJ4s=~Sh)-PS_$
zjrGl@@QQ)m@C^)9RdA4TdmAhHPJeIcIhJ{XluV{FtyHaS9z~@WH7&ZvnU;)H)|n-D
z&-<3vGP?d~%-j8QVZC(v@lWff-1hn#q)7a$qzPAi+wuOBfDBkyEm3mI&vB)ty_kM{
zaCi;ppqjlhk4EqFtI+ShzE1ona&FAhY~h&YP%1YXjBl}1lp<aqGwo}}dNrrS#99k^
z-tA>8lo}S?ZFr~WMN_jo{JZU^HFRxT`qX+gNQ#DyO@3-ub$?s;))wz$b?fm9-xcGR
z2icp)FS?&fuDaLdDPtIYKH}A6OIqhdvWF5fG6}<nQ-Q^&8-X|%<_z~bzxz7dtZDoW
z+6qB-%Ud<8n{h7nW}%QDGyG}MV707kF;zZu(4afJ5QbYZ%Q?moltkq7X0ITDyaL)%
zWl3d&=dO+vRy|P*nEB0SZ>WUYS%~YWC)*STvkRz1io~Gr0uTvxpf@V8I&l`x{`x*N
zNOCIwCLD2V6ySt%vKx43f|$nzB^Dcw<z|VUYrW*35=+W>bO|-QGPpvi)ev*T?z&Cs
zO_&S5Xx2@+A*})1g~ZD2?I2B(fIb(`068DlJCU$QUKP-w_{o^cCO$aJo<ugH>#lSB
zaROl4a5#WV%6*CcXAhGV{?Ux2Q1_v!?&utYjxspVo$sVzfM)!5-KSc`kxexZikcwX
zS+?DU_ST%lV`4|rO48mVhiUzf4(?Z0s2cP=t`9b7-K$BBD|dRcGJI$EwexCD(Twba
zI-Ge#6>5;+G$K_zX}v)zb+hBx3w0K(dvcbk_b1!%S9Et)ui}WI-VVGiP@^x+vvv7y
znsmsvljqz@`$^RW)xN=RQS3K{Q;MJAES+DbcP9dHD$@R)a3NAXM~q|SEb|$0kKQTP
z-`{htV>bio9A4DZTF8+k*I$RHG22p466f$QJi2&s_33D%7jOfxc0%8F+N~V!QZDAi
zARlf%k;s|`J+uDvzVuS{x6i#jSfqw8i|@5+BtnxAX61QGoj|f$tgf(E?!V;chP1%?
zZgFjCi~+$zm|Y4;P|`Ck#|~STPMjc{vMh`#INP5#Bq+!}rJT^@<?QI5cyifI=<}tt
z%nV(*Lij*_@`QbwSwO(kqi)Qa;|I@*avw$Nw<=IS#f?x}C<9kE-IgtFzhYx!S+MBY
zBhG6ro-<K&O44d@Vt66|*O11D_M(OZS`Li))rYS*QG(tnzXI58rh$3T`?n}?P=DRf
zPcuUx#)FyLm%ms-o3*#g`5scLu-$|1Il{qvXfiBW5E+h)K$ZXpmnn!I@Ntj_zvbUO
z#NcazmaK5w<_j~Q&c*DbYv4lqkyMr@Ui%*!YvxsaF6RQH+fm5%GX)$iX)@;YslG-u
za$|1g(`a$w9G7g#F3`v&Sy0PBesyL3$j8@CbsF*!+*hrdfGeN4zmzL>5`9@qznNX0
zV~Mu5*0wakIjwhC7Mf%mg)OEmWNAyfFZE^#zX#q^uzXA+K-oKw2xru34s*|pBut!c
z)MyIv#zx02Q)9Kwll*>B^&Rao5Pi}}cgp0rvfu?Jg>x!OK0b%#-?C1PmpcyHi`!M5
zhL=3bRH}|_uVR!{oH%_oOX!C@%3C03x;1@V{x;D8$!Z^9jc<(c7vvwNiwl1s+EmGi
z6TzZd%g$Z6UCL@NP#Sxr-b%$H!Rq<=U}K|mjWAiG$=WO)mBlB~h|uRjX&X2s3;Db-
zYolcPdV@rNSrRQ#0n6T2YF%+8UgGBV?(^e1Ol2lAr3hpl{FYiY`jVs0Kb>p6PO~uF
zIN0(4hBQo+emY_e52Y|?LFdq|C%3kkf&(HhHYUTTQX{{AC1vNISG>pd4QsLwcF%Xa
zK%<-5=aGz(Kp%AxY(ut4E_Ar+l}D?`m(-6{`VN6HE}%CmOVjHnTT+=^pz>kEPW0v8
z7XNDR;3@`Eh{=3uUR?LI{7LE&faagXaJ_S@DzRnk;@p{Vh@xL}{1sObl&kl*k`Iz^
zk*AXisV|)9bYR{RiA*rVO*<9$(Q3!G;KX%aWI<%#4D`Yq+VJ3-$@iTy{&alA4^v^B
z+J`z;2@I19uw~LLPfyu=c+!DAH1MLUjY}ktOT2UQAnQ&mo6jwLi>rx=Tn%Ym28W^*
zMwob3Thf;ZscY0OO(Pbj>53D<2EEVYkA&%s);FG9k71A<P{%E23w>`Mnnhf2cT;cK
zx;T6i)u!Z@>qM5O*rdPfGBK&_T|nCZ`1VHssko8Z_Epf>bUvh87WzI%pQyuo&hhWp
F{U17Xi%<Xn

diff --git a/SPI/db/SPI_test.(0).cnf.hdb b/SPI/db/SPI_test.(0).cnf.hdb
deleted file mode 100644
index 2f2a7fabcd1e831df7b48fa90dfdcb72c740591b..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 2154
zcmV-w2$lB~000233jqKC0001M0BZm=00011WpZ<AZ*CwlI4&_RFd#y8X>4R5HZnCJ
zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*Geq00000004vr00000009320000000000
z003$T00000004La>{)GZ8$}SlKzJ?h6a*55qo_a;LbvC)q~c2wyKQKQqr?p$%Q{^X
z)0(Ds@wq{j_=40Q$wz(#uY&T-t#@x`&TS&vihl4)o7?A^*}d7_*_riaF$jW1)E88J
z75J5hP@l&bCy!a77MxfJg17LT*ZCUEePHebNBO`zh!r6!!bU*t?B*cJ4${N^Ip0bK
z!)%fc_xhyw9O|dXs5Iij)Av!#6`qB{+D3crQc{bmXj36ef+tZoTFoVDqdY->h`ZGK
z#&NkGz2U;vdOL|7O<K8?mQL0;oh0u-_UDo)*;;p!ybnciFVWrndyMGgM>Dzu4Vmp-
zcazHBKzZWEC>xZ?K{gWPQ_vjuv;O3BG^phLX#)G={lt7Pm^>K;&rlx}8WcKz-o1O5
z!4uR*nM!|^()X;;Nq>>j@V?Ti&_CXl+K!*T*ui5z$#A=^T@&{>G6F?CN}E&7We%1&
zXmC*HpvFO!g9-;R2Zn>F_mZ=K9IacaXd>2x(S#l&URE0Mk|r9OsB5C8iK-^FrLnd&
z)|STFQll+3+ESw}HQG|6Ej8Lwqb-F`$W=GmQWN!F6#KHQ@RGs}h3g8}6s{^<Q8-rE
zC>#}jY{+T6j{`A}2Vx!%B>KToSU-3PM-74M0@Vbn3M6cSPw+8?E${{=gDY?(Y=IMS
znXm=h&t<|EY&@3<Td?I^#v*~~vPfXMEE1S5iv*_2B7y0$NMO1w5@5}X^KQB<5|}QF
z1g0DHD9KSW-8;BWaXD{hlsTwH`M|f42FN{|=_KupC~8>uD6n;3kFn80A6qT4b>BkY
zx^IE4`xe-`Z-K4*7TCIPft7vOdhvd2zQoEtIDnKZ```ppuIz&&NV&2P&LHJckFvbC
ze_6sM2^$jDC9FwUm9QdVETNGw>b*R*>il?g!|uCgN;=qkrGwqqOrylqOH8fAR7*^y
z#Ka}Wl$esu@CRif5`$(+HX}7?os!K+4qB&VGtz_BDcOt!K`DehWV#nNl`+DmGDg@`
z#t56r7-3TxBWx;TgiU3Pu&Im@HkC0V>d}1?mt=b2UQDsYUU`bk4{oI%&^?4s1Ha??
zKE|ujO_l-@y@`SlMbqM~uLG5T7?8fZ#?z263L(|C>b_O;t*UQTd@J@X<6BYQ!t4-K
zX*_DN{1QL@_dvhoF%}kiLSmNxbGg1r&F_usMmN7V=D#PH-{d&z(Z@!9v~gfc6gxS%
zzldAZ{B~(q54Sh5F8p^UWRKtN(#I1D+}L!9A7PS^i6Q^E0vB{&575lN!nia-SQnpj
z$c3FS|I{-DelDbd={X(uO@eTd!kjd84LtoTXmuPvvwQ5MG%r_tcM8sqbl*z|Pjjym
z;af@mp~Z=i2%U(&Ai2WxB`Fkh5GW?Jh>EP|ni$dP*dG#v*e?u%r%-*8p79-zbY>@V
zlE{4}hF=E=`&FSuB|`6$P@=sP1g#|zG;ID82tRuS#!n)`7f}~c`Jx82jP$=1QOIY0
zXG@<8iTwN6<eOqZ0PcrgMkLMNd3!E!n!-*Zf1CC8ybJ$Bnt#mF{A(5|UP8lA_nFhA
zyRInCPC-(7{qlCR6(yVLaP(Prn1a~tj|T^%tKr4wMmQRWyY&1a9S;Y?t6@3`h5pCY
z#@Tb>=*oBfy-9ewwYip<)ze{`WrM53{(hK-hv~tset6~9Bpmh+`{QujPxm`VzvN8(
ze)fJb@EHSm<hXBRTx&wv$Plui`cV*^!Sk!#?ekwJ8*zfECGFKOT5FwTWoKvo!e*<P
zY_>bec59`1=i5_1-I;DRJ+@G35f@J4&&n`8xSb8M@WXKD_Hc5okM;tcoga(kVVm{f
z%Nnm7IsHeytntb{mKD=J{<4y?EB|R(U1vNW!m|GV-WsnyZp&K1(zb6+ZsO419F1|V
zk#^vH`sg>#hS$<89FD^M@c?K06Wz_7+DVunXQ}-Lv@x!lJH`Yb{DZUe0iOP#?lxDG
z=Jxt-YkQ-$vy-$hceXBflCAc3hsU)C^(V??#rZ`zq(nqz;o(Zi=GSOg8ru~<5y#y-
z5B;pu!@mFk0RR7Z0bG(zOB+EL$0wRtO`;|mUmAK4N}<$aFCvJp+sS51va`&Lm_3b1
zY3oJE%Rx`=&Gyu5FZ~8R6#@;#lYRy96Zis!URnxXO8?Jf)#$>XXZLx2`=4iKo)Sy3
z24n1~+5D739D=4G9fY<fea{Gq7op7%`;7GVS(j**fySV^4(z(8`7pc0*Y_WPj?;)_
zG?G*|)2x73yVyQ2B9iyDf1PXX1>Ew`s5rwGQ0m3czGM+|&<sRv1WIm1*2Galj<8Ww
zPEWpeb66-3Jxa)kD~(p+XSGox4Eoj&*gSyV&cA7DjCNRtsO^TW3a&imS7RGVWvmD*
za@2Kl@MNz{=rBYZ=;IEe;}~-g^6nwuJk;*IT3&8<T06UMvTO;7-S?L<5#%rq^<Cup
zOYWN-TSKP$_GfVtkt}G}x9jBHU$yyP-;j1K(*gGDz6`NLPlRUNZSHZt^?L$Ua+$lK
zYBCVMy=5oZQ`A=d=lgWVcUey<FCJhg-Rf(vSN4e`%|c245f2B@f<qV1uIRiRRzjrF
zxS1gBS9#qly7l9WcOAN0@_d+phBwT8ddl5%s@X{sN#1l$WGi0aibVxiH|^$bWpV2x
gO3v|mDkG^)$&L1h!>hkEl{B+-2ZN3M2LJ&7|LF52MF0Q*

diff --git a/SPI/db/SPI_test.(1).cnf.cdb b/SPI/db/SPI_test.(1).cnf.cdb
deleted file mode 100644
index 85d2aa310a7eb2a3d90fcc9ab61e34520f983286..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 3626
zcmai1XEYpa(?*nFqt_@~Jd)^b)v#*9CS)Zddhb?=wyVS{iMo1uR#}S(f*^#bL0DE#
z5K$ws(W68c_4Rzu&+k3wJ#%KR`#Ljc?rY}Xa8OWCR5DUtMhXh#r9m(8iIcCNo3|H8
zMoCgeQW~Tc;O5~7QjnDgNh?dq$V$md%P4`2?t%2({GC8|9NqjcYgtnNuLFfM;9vYg
z^)LPtMVbD62U4zE86@!Qa(dA{A3{)3vteEg_J>DNX&orlB&NK1GlA^8XKyh~tNFyy
zsTJwC<FGNU*%_m@(D9KDsPy!QZK0l}QZ!>fE7)<7haSkU)InKz^-ga=_?wR3q5EqF
z<6rzI^o^Yo#~xR@H@B3x1@Bvjj#oFgG^0btpg>L4_@ln-Bo7L|gwE0TB~#Juc|&S9
zuEh+MvNoOJ;chvHQyVA`DMIL1K~{M|`^m$im0fO00uaofH6Gs~`H}Y7IXUW~CLP8_
z>DnnJ$E0aXBdV{!Y_`xKO*tvf+G_4ZKqQ-H&V))ZBm58-4wR%+e?$c{q=K1J!5&kc
z2McDMT3XHF;4;|Zg{(U+X@f82%$!HEq3>4o31<E`M#NRp-MI4L@>ogobU`fgIi%`Z
ze51mx|4KHb$kXRfs)iKoOiii{(D`dS6F3Xu0rBw6?j^=w1KEEqtGa|Lr~jlHe$^N*
z$1C|mKax=?{re?t2xpwUmd5dxZ$&?8gpMK)E{h#@%)a9?dMPL9QjX50oRUj9<KoS+
zNMUO}msgOg%s|gxNwRs|MD+UqCrZ-E$i1w&(C1((x+~vq3Uvt*r9!py)<%TH5QAaJ
z#Ra4Lr37<x<$#^N(f-i}gv5>@Q*Eas+3E-HxAwk*G?dxl1??-uFbzK;gm@Go;nnpP
z-%=TVg|o!HM#fX4<OI#ZfzB8C4&Cy<%7K@wBsT_t@hi~8ANY5AT}_3#(SA&jYs=Bd
z>%+=XP(0sDH>KsPqffm|hvOZZYEG`ib@=y~#;s=5bodXO+N!vTOXtaRWj)|y)L%}d
zDPbp3`DOnc;xlDOAZDATgZf$G<}$#nSp)puFH>&G;FRa~Ko9LD{aWFgFNu~*fkqL~
zxH)kUYZhkM#~-jd1+|h?icW2XyPG6<N5CyiTFOF2(bLY=?gCyxkFo&cUgKVl-61h_
zE=)U9hovkV-OJnT4ikj@6g-GC?yC1i3@!$fvb>^CPa;WG@4}Bstl;IwraCp1c4)ir
zsZI>FgVxuV2y)Ggs-5`^Pg&uM9$2?aQ;x2f>&j-PyIjq~MXYbsjA~o&Rh&_NlEnw$
z!q<`B$%U+{pt|}hsd7{q9t7msQQAHPZ^?&o_$=6Q3#vE$HjN1@E?C0G25+Ws_o_G^
zbgB~Q{qb|iX<?>(o^HE_Ci#WDuNnvB(^a=xbsg!*URwb+t*P4yb;)4ASGn10{BiV0
zvhgR0d&%yE`noA4G2@oUEwMB^N;3SL6wiDMhDo$*XW^DLsCK6+5z{zo1?^nOOpflr
z;}#_h)L>DE?<Oi(F3w<9?|_s#$VH6ad(X#%9%Oc*-21G;XnX!SFgTlrg><t)d~ER}
z+Cg^P<;#a5ReD`bYVw?OND6m<OMI)_PR2=P02S~n?-~fPn8%c{>_3?#lucQah_RS{
z>Zx#|Da!<5b;ZGhZXh!hCB0RXgXL_d-B6-T&aY8!%S32PZ#f`|j=moOSO)(@u%aQq
zY$j`obgZAiKNXV9&?XBj!G_UU^S7CXj((Pp4<*)bZ8&x6JT<wKcE|0oh-)rtJ&owk
zFd7oeZv`M$RadE@mtv3=H^8TVJ{ZHt=xv>J4QG(75uTkZ_~EvvaNL4>X7dJH0C252
zPo!Us?TLtU3txMKfk|J5Hgq+a#imwZse#b&f_L)lSFYcI<}0|YI_ED}V^8^WP=Rle
zZxKOp!P1PR)!x$?=@%iS-kTlqU~pA?zCICW+}^?4u^Sk}?Uf<N5|&iO&e%Nf_KwH8
zNP0y)n)J-9G-P<sodjJ1gDxENFu9MzAO)lT*MELhtdS@xP(enD_P`xIw0PJTxs2Q^
zokO0j>pA;jxa-`A(0I0)7zKVEkE04EzMU%LDtoWgA6cSXZT2TZ@gw}0&w^w3=l$hi
z&vc8`e07TjV)afEyzj182=C)r-YqC&$L$X6_;V+&HtX)&sPHIb8*vg(y8DzDY;}~?
z;>waP*DzLPxh}gkM74++DW5Hj^<{qj1eN=8R?#A}D}HQ~aDt5Rt*#U0PVWiX#OOWT
zd_g0Mc=30jRH;Q%14D+9uDm2uH4h5=(;TAy$`w6GMe4Q-@@;5r=X5>%Rq%_L6-ZNS
z!Jl7z48GIS#l_>wef#-0(a)Rps11AAzO{|~dZ{bAz^Wxn@kloURo#H(_ItB#ec9<y
zo)K^?xnvfUt70-cbXiS)od|qXH{%cyfs%1p8@j`p4M{SxB=>Z0U>|m?!Pz22$fzlW
zBy877(YG!<yB=0Hm&0dGgmjs|=GV{(v1*~4smJCK7Xo0VHu0$7y9{;Myq7^`8!;R{
zzSXy1G0XwiHe0I12xcXA(_5FH98Swy<=^2SkftWvr_%*}zNuAT{|G*&8jf(LLHt4F
zSwHjj5jn`PaY71{2F<PQ!m^gl*S18`ii_=5mCA&OXQ$14yzXL|H~QcI+`J*+cvd`_
zuCgM>{Qg<Y>RVK<vB2~OSx#!<zW7#800A914mGcE{yvNG-QMnwN|KSC^TtKY>11T5
zPRXDptx21ljR4-1455g#A%(S}zgbLn7px8H2QIj6UQ3XAwgzjVr6<bX)BBu$``{q{
zn-eV6)2HfyZ}`Z4k3c1}-D%9`YgpHm(ZL>$%d5d`9e;h`SJ8K&3Fu?OHvvClic9EL
zQF(qs$KhI2>(&|i0U~AcV4J@T;r`kgxF1rod{)X_bUYn)d{j|={9$yrsSP&&3wiNj
zdiVVH*WKMx7<5BSo%yi!Vz1_@%?To3`_NcD^!GQDvpsl-&3?<2-$g@=fQvS;I@y1l
z%6Pp9(^9t^T%IraX$5()8l2L$R?292T%KPQxLScZf0+p*sGp$1aH@OO8S~&yr$Ku!
zdjZ~jKH1)P+J7=R{s@n@s;ickJ!8DM&d?SWV6Kl9s!8yCaVm2!?5j3gW#AOfCN*v`
z)Gw!BM*cS2fS|5kRad@1+<vyuUqRBh*^ofFR8y0zIAYERR#^kYo0tI|dp8T&H0<=?
zMSWlNv3QQLk=S_YLkKiR_enY8l};Hfovjd-$yTUrS=+pn(x(-wFr+d^-Ou?-2r?=>
zB>Pz6i;EHDfB1C{o8nJS?B_BDDj8yct}RDAZJbT??I+0zU3NG$|HV3uZc-aV%(vIN
zH%3FdAv*uek$);2eG})lv6o6z-LU)1+xI=IeV~-d;$Zw#D7QnBV_l{F@1QLA264WH
z1R5ByS(i5VED!cTti-MSn)5r#>dlp?*+Ztl035k}cA3$6-$ryS6c{czh!c67EO%CM
z3)*2^os=ZWED_Kr^<C+QfLXWRn!mETrsn(9`Q0VOB)hW^7UN;yZD-~Z(UY#)GEX7r
z9Fuci$BVIE+$X#34+ixCjb{>Ek-J#tFPUgs-ao^iWps8T)drjJZ3%raTifhE<HlR*
z&ieCqJd}d4Xn%Fp_~&nhErRq7v?LlH&4~x3Bl@N5MM-_+W2_5d2H7T$75d};-rJ~T
zTS&*{Q+tOMyXk~BDj%TS6?((Vp?X$>*)ZhpLGc`=bKe%^`e;sCi%-YN_h&SQMO=*?
z$4Ao?4J8+5+!FUCOmp}PTi<b8w-U8H7H=geBAHe_hnl1PIa|jq6g+3C{q%y^eJX@W
zYcYXi<*7~CwjRTUw}KiaYeK%q^fg`7O+DhH7atJ1m&So3LCfw(H)i0k9j0-}l}2+|
zR<&B<JxjhBqPxr;()(25K3t=0lHD60LW0V3zpl*qi7kw)<P}#`c5`L2XR?FYMQ<K=
z(?95g@^>Z$YNhYo!mLKO*%k@@qK+aZ;BhdlYb04FQTpRw<#G-NisusSfMLe$^Y(-i
zpQFONxv?gjX$Rs30;k+dr~SLe>tj#w>T>1#TYG=+2Hky+yfQNo>ybOWeTH!iF@n^X
zU%Z*=k`9;ht2iuU_B`h!R{m{luAVb}%1uFWaS=$#W^e1LXKQO~2p=7ArXJPT5T_=7
z+{A2Ss=zS9NAPwP2CSK?58&6BU#wv84CgTARZKyl&<Tg=9p3;ZJLg!6oqB&XkdpUG
zCj=D4U3z4TCb3KeG9xBHB#=w89#=F&0nwZEB2y#2kUREI1W)1TPv5&UGwrAh-;DXB
z;}}zDd3&%gd&cq;KT_VR?QuaTihb_$(hv_XhY;m^ae3e?w;Iu%$u~hiZ&1mUL&8!Z
zOtf4D#E~Y2L=6THZm|?5K+@i#qbo(NC81)|=z0HdO1BsHt!8H%I|%TtVM1ZJah$g+
zwPF`T!Iu$NKQ6<`$WsV_c-83iN;oAu8A$B<LCJvz%mmVNe8y{tM-@uKI@GUT2etyZ
zG**X3y(cI{I2`7|0$U3_>;0q@%DQ*@n?8KK?kVgVBiOfs*ZWQZv>JA0AM(lJ9Fs~Z
z`B$``k@K|9@M@M;WKH--S~yTTr_LX64X{qlBc8>}Blvsr^>ZpVj=&Xj&4=;E6(FVc
z2$c?s1r0-0+!Cit$#QYYEytzIt1^1wI#~uz8uv6r7b1A(0WM+RDM&1co4|=9kO{hg
O-RgPdKiA>K#eV>+2k&G6

diff --git a/SPI/db/SPI_test.(1).cnf.hdb b/SPI/db/SPI_test.(1).cnf.hdb
deleted file mode 100644
index c634230d27b5e70ee9aa4d39f8208078e5682b28..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 1370
zcmV-g1*Q5F000233jqKC0001M0BZm=00011WpZ<AZ*CwlI4&_RFd#y8X>4R5HZnCJ
zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*Jih00000007qm00000003J80000000000
z003GA00000004La>{d&Q6fqE<)%7jnK~V7E#iK~4XLcUo#e)YAqTsQa!?Hcd;;u_}
zR$(vxG5^wDJb6>UO4XN?-6Jf5BHDp;zN#ekN~O}<BW*7+Tpju^$KWDjchhyi(I@oV
zLmy)BpX9(D_<0Xq+GX^IKk1{<)@VyE%zE$bBMj2E<g<-_c(mT{Gxyg=WL}V!m(Y(_
z>(vRlX}^5hO6JirCz6wPCMCL}d><GTX=$I*9Wrf&&7xe)Od~84Uz0Hc+GZS<1}X#f
zf?!TCBbXBG5KIWh1T_IA*OVM6IZ$$-<Uq-Rk^?0NN)D79C^=M1ajR_>HqLFF**LXv
z$Hs|`V;gH5gN<rwWK;miv8cqRR@(v8b^x^<Ky3$5+X2*e0JR-JZ3m!ai%M)PDgcyh
zQ2~dNEh^wpvPA_PO17v(i%M)XDzVY1#73hM8;weAG%9d5MFFPSs8NYcwY-8T@4Y+0
zN}_Q_bw(khYIzMiV!JxnJzceu%IOp3&OnYF+S9hJDD3=VWhV`K7T@n4R9=zJ(rmgx
zx9BF_W*8V2hDoy&0nI0rBg<1P(dS8*6Jj=$Q)I^Elg?*fvVoFI#!zy}DoQSyN69%m
zbH3tUOR$Fmpao(m0CI1b>CAlI1l|nZ6y6-(q-xo_!hCon$=K2&688x(%0zT@hE@;e
zV8|<!3a=xoWg(E_j+lF5=835%c04ih#Ml$HCxR!u1-QP&0u*aUcneUp-Hf*Y#oNtz
z3sA(}jJE(qNM^LMsR4>rzhYIdShXuw<%(6eVpXkJH7i!dii_vzZ$XTZa;-&IsC1|V
zEsZ?HFGj}r?GS8*NFXb|Y9ggJkW$U0)K*f(3wb|8;&pU+!ag3a-Z;j%Fm9w3c$4!I
z<)CC|j!Kzz8S}!hJynlW_c%_I%5|HR(bGIFLUhHVFidmkae6+Y=x2{~7v6&o2luGU
z>3N9<rn|-&sFLw4<uz)u3xqP9HU5>BQ!^Po5AdIqrP>-N#W+2ma5Fo*a6P-6o=>=_
zdCe2cq;F_|+N30jCqFbv`(7KEosbLx`9lHu>=RaWO62c$lqcrdrj=jM&BVfTGpJ}I
z^VTNqU0yQjcQEC#;m=8uKcr$O%>QOUzDO>jWoN}rd3OHxWDpx)oW6eb{PFt3cK`qY
z|NnRaT#>s<13?sqCl_-ui7{R<28)0QqNR<b(aAd59Z7bUnGv$pG=ioHB-uhM?JRu)
z-@r<+2-^7!;uClQ!N$r)JTuv3g9|@r_sqwC=ImcYG1Nu~J@tCmF{p9S0!Y}dYBCE;
z%HV|x8AtQbR@;L&2GyIwT0{tJ6GdFf{RFDQ(a_(zNkC14mO<Lh=IqE*()U6#i865V
z@_c*$0QC{H7Lp#}0w#i=5|UHb9$C+zG62KQ@8bBP75J`WLE6fpgkx?wzVaBCin<M!
zGB>%}IIE0ty}$@Jklre7An2P%gL%*(IIh(OLBG>I$)H_mbT2=AO{75u(8z_=4~ZqS
zXdgPx>1QbgRa@jh(VSWH<C^8KJ7}XUs5CjZm<t<X>dKs1Zav@s9=ohes3x<ir6M+u
zHsNf~+Bw&0>4_1GImiAKTQJkBuRj_!u`NMu2|G$xSPp67Ib-U-Uj#Z|ZMqtQlC<T2
cx@f|S^@5}(wRbV3=>g<M8<58z00030|3U$APyhe`

diff --git a/SPI/db/SPI_test.asm.qmsg b/SPI/db/SPI_test.asm.qmsg
deleted file mode 100644
index 03072b8..0000000
--- a/SPI/db/SPI_test.asm.qmsg
+++ /dev/null
@@ -1,7 +0,0 @@
-{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1668613902148 ""}
-{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition" {  } {  } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668613902155 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Nov 16 16:51:42 2022 " "Processing started: Wed Nov 16 16:51:42 2022" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668613902155 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1668613902155 ""}
-{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off SPI_test -c SPI_test " "Command: quartus_asm --read_settings_files=off --write_settings_files=off SPI_test -c SPI_test" {  } {  } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1668613902156 ""}
-{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." {  } {  } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1668613902439 ""}
-{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" {  } {  } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1668613902988 ""}
-{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" {  } {  } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1668613903012 ""}
-{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1  Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4674 " "Peak virtual memory: 4674 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668613903151 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 16 16:51:43 2022 " "Processing ended: Wed Nov 16 16:51:43 2022" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668613903151 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668613903151 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668613903151 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1668613903151 ""}
diff --git a/SPI/db/SPI_test.asm.rdb b/SPI/db/SPI_test.asm.rdb
deleted file mode 100644
index f76c0db57929b9eb462d08867a8a1401ff5693ec..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 814
zcmV+}1JV2x000233jqKC0001M0BZm=00011WpZ<AZ*CwlI4&_RFd#y8X>4R5HZnCJ
zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*I8+00000003PA000000027y0000000000
z001rn00000004Laq*P09(?Af8p}Zf33L)S$CvL4Bw-hOtDz%-eNC^d(!Y$f5lVIWX
zhU{+C{Cb%6xOu5W2u2x?_uF~TI9rWI<1z7FVn?3WctE19HOk8ZClz?Z!}IPC`i%I+
z62GGNTg2q$If=O!NUfA&G9&Cps+@Vw5^DaJLlw97|BGmz%MO7{xu7w5InrB%erl)P
z+G0ge0p#sAJz$CHb%p9uUlX`+e}W}WguwxH@&dK<@uwiQJqM{m!is-B)LP%1qwBFi
zjMF?r_&7GXDs>B@mj6ZLMEe6FbM#}CS&605em5`kOJ)4@?9^A*jIHSpU0x388`Ne`
ztKp_3-Q8bKV?Fsg^VRluQEM^6&Fy#FGfz-xx%X4*k=r<?wdFFxSj{(YNu)N*D9F$j
zunS*l5Ir@8<{|xB@0zWHeF%dvWc8;cK2s|-brE_(BE#+zxDL<AOA_a5yj$QD3rI?n
zo7s}VBf9R+;w0$zM5);19*GW3qo~*Z37w-^R;Uu;^a7HF77vrpPAfS(h>|euG~Y*u
z3oV{CpYM}Md5;-zg~T`%wlHuZ3R@TQhG4gbRjRN?Y<WcD|F(zyxN<k9xJ?Ql&f6zo
zX;CvZKwv}HyWlDan)~r_Ct&O$iRe3KKeUy=ko%WfsKIXl00960cmd*IU}O+rU|?wa
zXrs#rq?v%28;FBkoZ^FA1N?(RfFeMN{L&xlKw$=UBzb=)FIVRfW(EnM{G(^@n?U+O
zSO|zg`rZ6}TwH_VA<9@75`c1f9KS%S!OA3|%7R0EeI0`$<3k*sd|aWbSs5aL%C>X-
zna2!OEeKVOtcs061E?x(`le8rnIceCuD(vLE-tPvP~+GcB!Cj>`@dZUQVe21409vI
sI9DH6Ust~n4h99FluSteQBfcbG85)Th_c|2AWuK{c&KUs00030|K0d!&j0`b

diff --git a/SPI/db/SPI_test.asm_labs.ddb b/SPI/db/SPI_test.asm_labs.ddb
deleted file mode 100644
index d1622c9f9a6f6353d96b8c1b6110ffd1b5f189b1..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 17298
zcmeHP2~?BU)&)xeMPzV5WmL4pVu^~%Oc>-3)jkLor0tWz38Y#A1PMb(s)&d@i6V#t
z7!+*~6;!k`CKW|62$3QnB1Vu9#smT(X8isetX-m(B8B&C7rGX4Wohu9d(J+4?{hxp
z$jQlFQIQ8<<>YpO->bpvrX3-e0Cb?iN^_HyCMyga_5|$SZm@RM8iN%U@Rh6Jt5&Qu
zH~4zJ!B+vHI}Dt*2ZVyZD?g+({1L{E!!L5gS1-d~lYV<IT)wB+zVe6epVMy+6&Qu&
zD_U24v1Dt@iErW!lrNt5J?oV8qwnpV%TL3;nZ5Crua<^Gh1%8mTdRD6uPvYVMS>Hw
zuE&)WUF?6Rsa-jB4LgbV<*uudQCQ9oi8g#w#!3pBJ-{C5Ml&dq8fyIo-f8K;25X(`
zY9ab<7DrfiXFiFTHCcb~;adDcnBCVPqBEN49JG{c79jE7D!9aecyTEmk}PN5%?Kov
z4~e~n67J1HY108j6G7CiuN%P{`c8?djrVy*Ft8W6bf2mHvKhILYu8m~Lq1kWRABC%
zlMJ83Kh4Y#)*S7j#aI@tD&j_)KKRZZ>b+4|dF2?xSbLggnMF;>l6iU;*@{bdZz@f%
zbtzxRbKYYH+mffrP7AaAEE}0!WIz3MN)YQt?MgSBjm@g-A79>YMNUtQ?>gEQh${74
z`qZK~^ecCwJ2pmht#M0r0D0TF$n%AwH7GWeJ{_7rkVuWLq;A)qkBud!Km%G@$2R2d
zL3vx-Mxxdpk5Z0n%&gdDM9JZO=kKX^oivYsBZiya@cIak5~5s;_UKQieX<PZ17n+2
z+Ve6E8&j0_gzX4piu_L8%<>T=YzyoY=-k5bUnFZueITxnw6c3E{IX!Kq_w|>6F}`N
z@t%{+Pn%UQaz^Pnm*lfTH}a2WSRdKPjcvu}>9`{-le{8O{+@oG6!d{_6HfWmAROeX
zmR<{TX_&87W?1KS#=*k$k@^u>bv%3NwY~|7j&}0ZZ>M8jtaNAB8P+xD?p@`7;H3h*
z|75hSdg#*jZ4#Z9vQ+PG@g+t=Ig(1&P3HW<wn%>zy#%Iq-uo%W^5XDO>;(?N)Nf~H
z>+9anvChgf&NF71-MQ=}p;6DV6iM{p%FOZrlp@n=uQ%d}E9*N(^jz-~rjBthYSosQ
zg`+65!wX5(Hz^`T){MrKxEH^^6r&%ybe#zULHdJFuEO>a)$K4}E>EjnbI+;Yc~2@C
zmFTlZVaXxPxu`FfTaP_EMxH-of7SYY%#+R&Ss)Sds>v3nca6g1>B}L@7t{AAwC#p6
zX43K&QR11N_)Xl<{K4;7sOM!bG8qV$7_RQ)56BfBe|;c3Z9(ho;=zvKQ~u0Y4K*5B
zeO8t1^B4Fn>hhFayAwsv)0~kS5@QyRR5wYY=YZrex0TrKTLoiGw{8Y;B<jtU#S26c
z22%BxT1jY5incY3?sp<KOYrl6#<ZO#@v)e~d-*VMnh=IWOvYpUvJgLIS{rMZ87kXH
zC@V0n4ng|X#sw=)O6o04L;GKL@LiKKa7tnH&hu<T`v?PG>+5o!LDM=UstQ3#I-J9p
z_UT?Hy#$m6eqVt9>a6OjbW=y%zEnLMmx0U0D_ZRZb4t%HNVbnyduRDNNj4%ZoD;Fh
zp=D!W-)H^GrB2*NkL$*2<z#*5;iMpoIyZOMY+GE!4Z;ccD(rZj5Fs&2%*L5{>T4Kb
zwj=9+U6i)qdu8W2tabdPZ^DO5yxqv0^!$SOaeyY#VDl1^*V4B?P0!{t>AA2S0{XK7
z#i&Kn)~LnQZ2TfLmj&(UfX`rJgTHs6rha4DI~tUXk}Og&EFw-;orwlXP|On}^?h9R
zj<QTisL5c*=fy97-IWeKZX1RHC8pKY*I05<i=XDwWviL2v=K!?Jw+dn=|UnwY`S`A
zJv4sRid}BhE-mD<sK6lD=Ey;FRho*SUb5>Vom2J;lKo8|I6TS~Z!>}rjsq5f_f?-6
z=-HdE*7u6l@`=1M0B<zW)0coEqI^>H8fYSeUtjB|OqDW;pG6ivbV(66qdMn74vsN1
zZywK>&~#tbmh|E{l31G|R<WOcFKvNP#s0q2bz@nI1;%r1W5RM${CA+vRIN~P8>oDU
zRL&?^GOxOG;fr(NCMu&-p~vSJ8Mvj*Zr-r9WmI4uC7Kh^1E`9$;rhDkKhzB2<7F|y
zJY<gN2DrH$1-Dt4r`(R<g~_17Wi$|nY76SIKCxQu4<5F>9Q@?Is$Mcj;S8IMxx%5`
zE^r8Aw&bto!W$Rf#X6d=&NC*l$r;uP`?$Jgx6rNdfwF!>g@>CpRal{igWUjDF*S}m
z?p2kKZ%ym))>L`Be@#G`Bnx2}zk{xQnxsZ7;TTJX=xGZI!*PRAPwWM=dR|xfpEhie
zZpM+rJ<d8ZO;J{;9XycYIlN}imRJBEGI)|zt<~P)nl3^I<s1Q^WpY@&;b+DEW`J)!
zG_q(JOl@xl4%y#(`eh|9Sgb6+I`wX;h1a&tbHLFf_A?)#9PQ4T8x?|gK}#9|$rGFx
zjJAmW3k{b32gX$@(4jNhINS0sh<apDjvR$Z$xcN2u4ukT_?GIKx{0ocBTt`$ba~#1
zw`>rywbP8Zd+H@_Focq>*yGIhJDL`8JMlAUjs`G>8&33@bd`_JTkT$N%Otn^iH_|E
z-ZcSvJ64J}%C~xj%h^u=E_0jX^225K4Hb0Ans)6h8rJuB{DuF{Ycg<W^BK#P>WGQp
z!X7oIG^TJ)Z>c|ruk)#i;1t|G8`N3zVl@o@pKz3IY|u_n2CtxS8|$sArc@Gk|2mm$
z?IXNC1Y-TOEs5D{_ZuE;%j%jf;~0ajHF!&{$k4goxXzo^@?GO0lG#2w9~gT1t^+@=
z3w8;G$H$IWIE<226M#eGsuey9d0$lRR(BxiuK)4OY*zyX=AwrQNI;-EYCMK>8|eWb
zN87)I4{j@ADaMsvkoEny8C(@H{VldFGC_Jwre^z}g`T9pl(PbytyE)Oh&ylke$cif
z`vI)0*c8ryQvl!3>KYexz!Qu4PoJam0oz}gto47^Fp`7$>X=o(arJBOaCK(Z_mkNK
z$CZ2&p~VL>+jQH(+06GjCuZC3RZotygX{H@F=vsU!$MQXqhvg^L|Ar|e@0tphD?FP
za7zy=F~3%h^8@N)SU(`%)ekxzKfIwI{%7en(Fjh!Cl}w5oeime->vRFA|x|gi%7(~
zTEQ55n_sK<KQ$tR9#nJgGfa$Q%dava?>)ai12?1P;Y?bF_3171&b60Uzas)NvnP|!
zP5>_$7lN~04{{mIW~sL<$Pyc3gA9cK)m8)q+3p+;<rPBUnBzf+lW41f<IvSMMFOjT
zq|V5^B;$>MO#*KKJI$3}Td*mx@3+YFY3~5DQr6!InAtw&>v>Lyc&eMrb(_6GS$MPc
z-X}7%<F>&m+Do;DAt5cdcpQ)b5h=Y77=4ZrgEK2sr7o*sr|%gm$F+|Z%+#~*1T${h
z-zu05PJ(|+&r+gASMY*ds-#O_T1Swi4!FKF%AL+@kdP^zgqOR#q@B5V!4OFj6DV%>
zA#^Jn%_+DSBw)@J97711O_0E6?J<a=#K1f5@6RoG(BvU4V|1|@7qDJT*C;`%kWP}A
zIr1vIm$Y%fcOpk$q(Z3#NiRvvph||y3DfxKX30-dQwYX8BtDE_R}kt5EJRDB(JI!m
zqRpOyDrf);{+$wL?pA2_r=3l=2=zlmDVH?J$kHNkRX7r?1Sui!@eZlCxWCqyJ>!=H
zco7aoEljeHIQk32qJ+<=CmDuf+<B_C{LHq+jVVB4`QEzAQx=(KcEb^jQw^bFH(+X{
z??A0Rj0BPs=?P3p9TMJPSfmY$bfC+IttlHu=}%4<rFZ-Ud5qgdyqq_Q6yx?dh}0UY
zCw{-&Dd2ph<*YP01em9FEY~>lqif}S<IJ8+awb*U<kOie)|R+d$k$RIA<Z?Q{OIdf
zdv7tMG?KIq%@`zvvKZnhFX;=Lp9c>0cpR#Lp4AfiZwZ6^Nshg>mkFY#TL?)rTJ$+w
z(n<LBDD;yLk1Dys4!+RBCwD#G6~MTCiI^R-=?0Jf$jBLTj28Wy@B}R);wz&dtdOqH
zBQeB661KO3Az(Hkgtw@?MYZ_2+}g{<F9|&eDwUQi`dn8a38<glqDk$py(}E+<v?-N
z#>{NOY4+SsCS1(xx>*f<6$Xq;J0Y&x__w}B*C@2ejs)hVDVcwly0|m}UQ%B$o(oMu
zrxCKcsBQIva>B!UeX$2rMx;d185}7(SzBxu`KDjV<~&0nLFSEo_jecboz!@W70fO9
z7_2gAGpxIT<mwdMsNpF%s*ytgI?X;+rqduhU|PNMwQ)u?Ohs}LM?Yj|UEseKQ*j~|
z1JCaz2!;}*EW#6{2NV-1(SkOmQs{V|KYHyRMlSbCVI`!}MUpfu7!>54B9ubKP;0LE
zunAQ(M3S~QQD6SFU=#HwVd#==oUmNN7jq7ZZ>_^Opr7YT?Ay#3(i$p14xONq+@4;q
zPY?}Jhf<_cG@b{r1}RbNQ<FyLaC@=T&Q(I@4s`d^=V)i#^s98&rx`f%9$<9ZA|s31
zv%0q21zCXb2N$F3j<Rib{hb%4l=Jnoh49AZgrU^4h_7s-Xz9Clc$)OmshQ%kAR%UR
zEWHKzwhUt#5a3^c`NaqLwgbk(w@A^~Fh<zINt|<igd|obSFIjEmt=gu0!y-P{R_^c
z(Abh=V`e_b#0FT8Z_i7<$M@hy;_g$d1u%Ukaa1<Z)P1ayOu7z6sEiWe9v{9BtSlp$
z%WnhIuC&>Ir>DrU7-&~8Sh;aK0+g^SUN+9rHv2HHUrPuUdy2QyexCx!SZ4|T2AI(4
zy*pJI4c#mfp8Z2E;0;&6R(~~wS@&107U`ktD7xyb*bOq@IAY7)816D)27?LS?gQT*
zJf&}?zv##Iq;Jg0yZ)2VdbG=g@8xoRf(M)9(mtb1*e4o!*mPnN7N`K#7Ujhj*+K;e
zl-{(GaFBtqdas6Cm>-4X8RU#UN)ta8j2uN2a6*18{22Q4SfOFWz4HB?1Vwl>Rg1#~
zP0Z90=>A#f=`6+H2Gv5Hv!^q&l{Ug{F_Erf<Hf@xN^S9Y!dkCY9>E~DDI#Ezuchno
z%!8KmYMA|AaGvw{AA${I?E`N(<2YMg3F}~AD_B2C%m(`>LYv+X9l6g<pYpp#AC$g<
z+3$C9<#^-qY3e@M$(7sl(aFClCwBrOFwtFaWP_b@a>v#6#(J+Zios8pQH+E1<wy^b
zoifcaLrb>T@i6n!Uqa^AiVtIVU|2e_YilD|z*yrkGWjMT^l!Ag_YZ>I>i#=}U@$EG
zhYo_R9>@m43P*K3qNgnaJ2l?cV7bzPm^18l0sBj){=g3FhwUXM7*45dur2w<+DOvW
zoP8qJut&DbKV@fAbN0Wq^FB3aAF<ayR*^Q=2Mngz*#uC8KMwYv8$j;7@i-M9ZUDL8
z{M#BpqfkGIz>Q16fV1iJpJcvwO>c^wz3)+?kv;5>LFLulV~U;qrRTOr3HB5_n_Q7M
z#m@e?wnu^0v96_wrr6mOJDb!9OtG^`jlhJvWh1DtjGfIIW@q+GrmV?vK94y{gPgJ^
zN2J?WyVe_gY%$dx3pt<;UBdBK-o*EA;CpTf0ShN5&jL$Vjdm|Yt!0IXtqceL<emOy
zKLmM$M=xW1z?@TZPxXTyxq6~ij;`|xOxIbq^Pjk_|6jx?U|2wK@N+C6C|rK)7T@ja
zzTlUbdhDczv#I<w19dA!(LIs5WtmmPfxA({0)gpDn4D8Xut|rn-!@-g`K{jW9=9LN
yTyCc^U+(0m8{JNMrky;Kdg|Pflb)s1Zm3N2J9Ry#5m)hflBs5b?5AZw(EkH}7d5;9

diff --git a/SPI/db/SPI_test.cbx.xml b/SPI/db/SPI_test.cbx.xml
deleted file mode 100644
index 8ee9247..0000000
--- a/SPI/db/SPI_test.cbx.xml
+++ /dev/null
@@ -1,5 +0,0 @@
-<?xml version="1.0" ?>
-<LOG_ROOT>
-	<PROJECT NAME="SPI_test">
-	</PROJECT>
-</LOG_ROOT>
diff --git a/SPI/db/SPI_test.cmp.bpm b/SPI/db/SPI_test.cmp.bpm
deleted file mode 100644
index d447d3c1b62cc872c6d2589830b33b961baf77b6..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 1832
zcmV+@2iN!%000233jqKC0001M0BZm=00011WpZ<AZ*CwlI4&_RFd#y8X>4R5HZnCJ
zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*CSk00000005B&00000006}R0000000000
z001Zl00000004La>{&^RBt;l4bzE@6T@>6#MIF$}jEJnN91(16!8xdd_8df^=%r>X
z?5S$HYw+UTU*OrhM?plyIf$r;xZr{yBDmtNh$6Vgi;VYuLG{qhNTaPC3E7$X;(hxU
z5t-GyTCLVmf1Z}loP!<M11I{J&y45z1b-6`-X8Duf+!EYIPx{;;os<k<L%qbZ~Ann
z<$>$dPK!IoyEFSe*FD!A8OVL`_xj-cn7gga-=F@t-|IcypSJ4uXJ_VS`b8NLXUd3N
zNscAwT`vhKS5%R++drM*PgB+X{WG)s?&=p$N0KdUBwa*Bh6t1dMW&9NiX2PxuTzhs
zu~W&ZiCp55V7_N*{$={;==D8O%+y4lqUxIFEmiwCntXgsPC1P@L-TanS#re1f?+&M
zJ&wU&NLw`#gb}JC9^yR#h!GDZ9bIa^CURUwfA&z1Ag|H7RQ0=vIPvEe>XADCE*!>n
z3ONN4=Es$c0zNmzkY0G6<}FF+g+S_dS+P%OP1<80NxhP!XGoH+qT9B^@V^mjbn-_^
zNfEDSAkt?H%}T?t&27ns`9bs$G2&uH7mw0>hrwPa#)w=U5$hvs`s_1Gb;<dSh)j+z
zY2Hz)M?D1{dQPN>pnpQDeV@g-$>Li-UmEi_QjwJL1Fxtlqg04#v?Wu0HpOHvoE#BX
zQT46l2qN9sVS(^F82ofHU(TUahjGwpC$ZwIKS+r`yC~(T`9p?LOAmd_6!oaOpjd~A
z)li9iPAT89P0p_JQcVv_qs#29D8|`od-MovIlQ)ABELq}Zk?2oCGJU@_i;4l>9i|}
zKx@c{ES2YqEmjO=4c4WHB(Ij7Hl+lSipjiZf}CMGZl+X^dcLA9*(cuf$bFuN-+pXW
zpdar(FxM|0CFVMU{o%RbxylHJl{R3d^1h8cTPp9>h#5bdXyYf*=LEx^(AZF4XxG+?
zeMDKKcF&8l#;?S*fmJ@lR;rD&BG0;>@KBe)bIKFDR&8Jn2t2E?k>?T{H&9=#Hh!Vc
zPGzy|hIp;o6&pt5eTjCvDtjqySha!P3H}x8^Y_G(x?N1Wh1#V)XVorq<&4_!>Y6@q
z%EMwE>~<AHXNTBI#jrvI%&1-L%?+kJ$!Ve3&x|9f`TMky<N#}M@TJxqpz{OPRgC(K
z->BFxZ<5zjyLbbGtnn)!d2d9XN$SfuY^63Xqc2IWqz{t$fmJE^m(=4G#+LNy9prU1
zf7liq|8#B<bEVepcFHF8eVaCte#Sdj&{wMsokyA%>=D7|p7O3Gmh=nWBLc5d9{b89
zHNrL!c*$JA+8nTC&R|ag=8Vn-ygmdh>3inc7McU>2SHz@7~b-NY%<UBh7fo?ttB>`
zfF(Woj$rBwz6pZ9WbKr?B|hUNtg)f8mq%IC)t-Edyjpp9%?YwejnuB@Sz;?xmUF@Q
z-JbpYB5icEzEaDihbU`1>+@&)W=(zKb60)A{@BE;vNC5n>K}Hpz)SX&FNk$j_6q7t
z){;*n&m}eALtaN~i8%P}c9I{T8?W^J<+i=;18alTwf55d;o+b?J6=3;crco*weK8`
zh703K``-Qg+QZS}>HzTka(`)|y*NI+GF%=k^;hOsC&S5bJX!;-KN+k|Zdx6WCpzGb
z2CMwF(OV2(;P52HfTI&0oL^n)FD#E2AKE*AWHRm_8jJ?3^Am4tI9i)L;2B%)Fzg)a
z+k*$|5?<kaI3@~fJdXI-JjB0<3<n-Z5nIPwiUYVj?#b&27)U|fr_UG<M+*M`NRP!t
zB2-4oYZL#}Uk>s*HQYSn(*VbYBo6Z0h)n(EAg@!y&ExKfZb;%FugBDcZ!;Vlk~qj~
zBjW!q%U!GWgiq}BJPX6A6z((WznKEO8syq-o`RgGu$tsn*}PS@<8p|)ZB6a)?zc6X
zwqu^MPkEX*?@hVKcltDc;h%l?#Se3vHeG$J)fbBv&e`NE2tPkzsRk|wi2}r%Obm%Y
zdhm~h=_98nWF&V_+8DVha#N)J5bkqR<fh0?k*9+8K;ASp7p+!aA44{BQ{<-DPE#SR
z2Ji8MH~JI@ugy~g9`UG>BIPdt00960cmZN%U}R`uU|?XeTM^F)q?v$N8Hk+%eB+(`
zL;YMFgCgSt{DVT`eI5NA-Ccv?T^vIkog9N*nHVg9>h?X=xv~#P?+0Q@s6G!*7Z+DQ
zRPD?R2Y|BPx7Te1*}x3Md_WAcCBQK##52Ux-;afX1t<gqAjg3CnRz9w3<@w&APth>
W0pjA46f3LZlA_GKbN~PV0RR8hNQ0yR

diff --git a/SPI/db/SPI_test.cmp.cdb b/SPI/db/SPI_test.cmp.cdb
deleted file mode 100644
index b3e4dcebb183d478e6f742a85f4c4bcb08f43345..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 16210
zcmeHuRa6|$6D|&cV8LC2{;&mty9Xzb;O+!>cMtAv!II#%uxM~woZ!v^!C`T~o8;Wb
z`*7d?r_SzlO?Op&^;LJzoS8HDaBy%{81S%vI5=CFyn`JzEnHk}937}R`Pn(y0o0Q2
zHg@LJyj(og009n8E)FgLCqK1@0=1Hjn+3Iuxs4laEPEf~Ul*4`e-ChhDF2Rs{?*0)
zwY}hv{IOFhbaeI<CvYNA5N0HadnaGBy_=RKB;&=vl`69;vshZpugi;049!~j+{XK+
zNvnaFJ|5Q<FgP|vK4#L8m~=#%XNieJ&nm%XCv3@6`QfWM7G~e#K-{Ept_?N#ozl&7
zz|}ARo3$T$r>h6;0gh#lCjwW7zp753JH>9=x_-2Ns#$Al(mfVro#;`o5Fr}9s9g!#
zk!77X12I26JNQ|R{`gd()^w27q`LXgr0s9e>f|qgv7BL}C0md*b}%&8_R#P!vQSpP
zbFaSrNz`!h(XE-RihFLQOMRW@NG)%QYH4o{bXcZ5<w>%LgL3HReJ~L8<mmGAvgSMY
zdfY+GH7Iio+WVXN-tejc0M%t>6~4}PcMu~}bkW~IL2m{XxU;5qp~NiiK9`%^p%JF%
z)C#vYHqQ2A;*Z?W+d@swlz)*{60^>UFs|~trxw6d-qbmX)!86o++fq$LO+CA9Tm0f
zSj7<EdCLXHKK7uGaOY1HZrT)yREseLl*K<^6Px()H5A+irq!(*<1)mkde3OBtb_CG
zuQi^}(R^buQv-1^<uRW49<+af14r8K2;Z)&ZZ!4{6%5~QFJ;7W95d&vTU<C+mx!zm
z==kwnWIRa(0K%bh(CFv%?s7k!KrPZhptLYafg?Zkk}(H6HY1v3$0(#fmH3WB=yq&N
z^|2mW0~pv48la@4Qc9uqReJ3ZvLThox7=7W@ovCV)98a}{VU^x{$Qr2kqY&4wbjUJ
zKOcfQJnrx2?ycP`d>EQ3?yl`T+ac?A))1wR^Si8t!9(-=RqJjCW}9BJ+Wt=swN*Ov
zr@M7S11w~!aV8hUhRU(^=i}Fdzl^o&?0H2OZ$C}#m4~R7QRTC)GpOcQuB(QN`qwYt
z7G2V9QwqF&AO8SN(!}>WU!iY|xe}hZTf-zXY~J)Wy13Ws%EooVXbvPFeW-QHV~dRq
zcuUPhiW(QXE8#v6yeW7*b8z|HrO~A^e(%vsvwCz;8YBsmuRhz7plTogANo}=$)lPd
zalgxC()uGG9rc;!Kh>zFRs4~QZCwyM72XayOuih%VeGUXTzz+Gf{A;8KFj=$*;oA{
zn0)APF4EWP5dBoG36t>z+nrWFrBs$b@`H~(_3+1h`N*$7a_3y7pOFyl5)KoYtATJA
zgRA?GAYGUUidCl_LODNFtHI>kT8mnBg<-*eS}ZWx8*Fkh5M>x4_#?l_h(_Fh9ct`+
zhRMNW%m0%4U#0#x{r<P6{{J?L5dT(P^)?TKV=?16#Sc249!QRKk>#1GgqYTAdgcep
zM|~!?6&0=0)6-jPK`$ar584kC+Jqkl-3g1W_8FN!Rfw?Nt-v8QL=qT8^Hx{=5UIPQ
z*805uA}WzYD4V^`3Pf)1E3|SVpf3ww@QQmE_1W2;_ThN8nV4dWlay{M%EsCM>sw5Y
zO0G51Q_%9w;}p`W?yvT62wW?B(+_Wq=uaeKCH)O}j$8NJhow)y<tMv7-Gt^as0=WQ
zX-*?D2-&-lBss+}zHxJvZl}c66Cb-9OiwQ(w=Xxk4V&qeQ@$yEV~Qw;H+sVmHIXzM
zHPVJ5FSOjdVs}(-{@HVyo&BCRhm^n~Z77{YOzX|W4)^#ED|*UQl3%82W5>I7t-0Ft
zxG@b10}194%U}6pkPPY*wwpEeP^-*Umzv=*4%g1G90<67V?S4U-83$xA4*S~!#6)F
z1sc*CH-OV1f-&o~qmi;B_WR9=u@Eon>{y28Pll0=wOL0BIqpW0wIR{^vGF_~^%|aR
zobK%B{3&Q{FQzZeoH2&sxWQ%#rHYF4v#rV2Piw%wp(Rghmk0AE;7*RB;t}HY`P5;!
zYW?6eh1&2FYM=yHu-`ib7g8C$9^x3I2h(1;N&)Y@RVn5}k%XoK<4?zIH*FnE&lcMj
z#CH7W@c5a(S+_eN3z0y^|56gJ_770J0GLAi^E}^us&C9llsewZIeUwICkmh9>=-aC
zudxa`uPaFVEzBliR;5#$eg4c{`C~OH-^M9#N&m$v_;%EBo05ADdG)J;u(I16qqDc}
ze~pj70en6(_Ep%tSu6Z62Tl%l3a;B1DPOZe&aXjr>~U)nx83}H`?4uydKzv4S!g;&
znPfdDVyU~bTC_4YITAeYMG8JO@lmOCIz7v>3iwJhrRQgn3wQk|G?nbD!#eQa`#`)C
z6IQx9vqPML`BD?SSWmk5GQ`dvesWSmTL2WjAZJh!hf(a8!7{>yx-w*9F81nbqs~<T
zrSlWi7Slg^v@VNq;ruAVqwkJ(FIk2&?qY|J?EX!L86VFjJ=V4sscB!o*(VG@X9F^^
z5SeM;)#y~j(;K3MF6$`Vc@FHRpBWFNOSpTZs?9&+PY8{{z@Hf$O>ky%7=GT4Fa*%;
zP`27_uRpC~g29X_!rST0(5->zay^`cX4u$4vOmTby2gWdFbF$?o;;0jv9|LtIVocV
zsg_p}j5?<fVpG`8EE<tL{ET33?sq(4D0cRnN$=l8I@i+3=5h@F#Y<&pEtks?3ByZ4
z_FPiL;q1}=okmt)n9}aIVlG9EqqQ9QfVfmFzmaZ|*%Rh*)Wwb`>A*X7F|e@xt&bQx
zWiwynTL*AQIr90f6ULF31uT}|oeRd%t<&GAYcQ6eFo^xzNwAhN?A*X;DNHwM+|oaw
zg5f{q?TaFNsIe!WY3Y3OWczu`M-Sj<A<1q&Vh-+3>BEs-xyl7I;`M@yUGn<vM!_tn
zQAYAU`witIV>5V)C!TA@46PryQ`bTkudYf<1u8Gr4!I#HtnJ@_VHG+sUQeNqPc@tX
zFXv>h*=0G(=2M;=36OVBRH}F_v^t1I>fA8SKJ#$nje(BkSG-IOI64zH300`(0<V0{
z9dcR@`ETO<+qr|p>25yBuE$`eR>~Alj^?1pP~pe$--rg;n`-0}uQa&%dYwFt@H8#i
z{JuTIUcTO?L|At0X5%>VK8l-urm9uRX-VjpK8o~Egm_yqH@+|iFypG`d!QY^l&g+B
zv;S;)c*tkIetEtMG1{%}W5g3mDDkY!Z_uuYi%y2PGQ=Ip8J->Y<!GDp66!%TsJ$6y
zQ#D$;`!ciIBrFn3iNF-PwDDOv?%GsJNnLjnJ8}`!z571v25yP-WkaeZo*tviN3<OL
zLx@^&vG`RPidfKl{KeQ^D6dc`koS-pyL4zm-q9>g?l~K8&lL+Q{pC?7_uJ%m=#ayl
zve3|5W+=2_Jnn)7_3mK%D9GEHQq?{{l-X8i>L*)|k0_PvEGu<F*7?h6&CzoQb-FaB
z=rwKZC`IubMy>*8Tk?^W?EA}})HfqHXH8rm@g7w8MU^+1`VA2Gr&xSh7hd(Yyofy~
z!==u@u2)7+P(Q3u<U4EPzS_?i++k9qT(pRkidQ94hwNO$dw=;Nnp6H@eP>QuHLv8v
z5(qgONXoDm^)0@%@-6^-nKZ`piyo``w?4Y=B(P4q+U~H_IVOY(C*5Dh1g5s63Xx^L
z_iqLK2e;#YPekq$HFgn|6tufG5kB!&r7XLKT({aXg(h~Fz)CnzsrYViW;CvBpYgt_
z|9rUi5t=|XU`gA?>X?{O@XIoQCZ?S}Za_=)?bFB3NZ;HQ!F_2n#cVyXd#nR+LI#fw
zcGu-#`f~5C4gd9-v)sl0Y<a>;lfDNhxp<o#g?TS~!t?r$?5K>#;*Q$q3uqGN{Pb>K
z{Mep4B;&R7gv&V2O2Z%xs`q~~4r7bkCa8<%r3I&rYx>L1uoaMFE3&zvJ0=oe;F73X
z!ke?*B5?7Xg>-yQFA(}r<M^JpJ45I36Awu-hhoB$W=}^lk7hfU2xw&dK;FF}3oFTo
z1b;faqMOO5=W8rQLMf$D9ED5+y{X)vKHX*5{zlB#2v__&zEJy4M!xVqEf1109P^TL
z(zIv><;yV&vAl+Y<kQJYTO|Un=Y3<Ki`QL7dq<Xc6mw!TAG=7XHm^PPEgm?GEFSm_
zOJA&N)L$6CF7I(8UBDepFBOytkSF8ZcrdzvL3SKD!jI8q#5nI4%8B20l-L*U_-Vvy
zy=%gsQB^+Dp2y!-ROSq*V@3(wmMYrv%H*yxjn3ft1-&lQ1q)c$r5Akuft(_$?8kXQ
z(yz;q^I2f><0zss{W$M0C^yWokr{=lL0)*AC++s3?9I0FaZ3&JKvEfTWRB@ODh?^-
zCconE>8kJ2S}MM$?|S<fXvAtLAA6~2#O@ve7C&OJlV?K~&dWUP?7o~s%ZN`M{yXPT
zZaT90k8}0oXrS$vy0-6qQ)Rlmd})9QD}3(Nifq$Mo-}L9y4_+ZQO>}2Qklo&av5>g
zFZ^wPWljg{FTwJW48@zlWyE3&_}fC+rhc8w0|oE;H=d}_39Qsy)9>40QLO(v-<qAa
z+91Ek<o@nwZfNQ2S=NQNed-U!O;GlV=f6ABJ?@EH;j)S{(B{^{ZpzLD<^JrJp<9UP
zSMZ~$J3SU-xI#?arjXKz#ay;T-h6Z2#yhG1`LRxwje(SsWg!qHVFWj&zUUge)ODx*
z%=2c#bR^2Y`pI1Yp5vr~Nb<{$P~8zHqn@@{zU=vxBV<jtYABSWoRSsa>uhNq54)Z)
z8%;Q;W?OuCtxG?Y?knnqmp3<d?0UawJR|AnCZeCRYd1VQ9}l)slcp<Kpyzpeq&L%g
zGlut?60bYM%{c|*npSu@Gv8a;kNMla6^e%gpkVvIH#HXBA@#M9A9$$!D8-di`j|$b
zIK}hhr~_wp?K9dKyg&0)T0vL0c<_x;)#=U`3c1UKW%Wvww@Fvs3SoA);^?V)ZMbA$
z4W$IhMfpfhALp4Q58-6P_nj?;RDpEIa{s-$Dt`R0TJu2wE$mwCiLY;TT?c$xF2J$E
z<_%?S<m+-Ve<DYjkZCz1+2egg0iSZ(w*+Djj1XVnn5?D-9PzPxgkq|cJ@``T=pLZ1
z(Joc0p6Ixm&uQib^#sf=B7BhsMZJ<e$Wj1Cd7-@@5CYAddnK=4OnMPM5WW%rqG(kq
z@sz6=|LRTtte2aO4HdD~>x*r5>HM3Hn_@$*P~63ED`pkJmA>C~{b&b7h0ZvnsBh3D
z__4w|5(^NZ-bZ}tc9KtmxzFfaWcsr2;*k^YE>V1gp5;Fx-!b6~C5MWmG|eXoNUa>x
za3Fw&EGNgO=U#K|^?M?MFovpO6*;*|+zayi&-emh*ZCSEPd%z1zTgLk)~0@UPB+Ip
z{zM67g$<~!aLDQN#>TPEU<;W<j%)W64D*-EwI&aJq{Q}i9Jd6SN#t-8?HF1gscw`y
zdLWpf5AD^F%OA*?Q5~QPLt@0sQrSX;(af(Wi&{BCgkObGBo_433a}0Jexo{1RE57&
zg7d~p6d*0<9$F3pyz(CK8i^jznRUEOoPY;0@i{T+3hKxaE)N6&;2|^G5wOUz{-UH{
zKfMxE&)!`OanT%!via@A)6g+g@|?X7fw*Rxrm~Ijv2zWS^?lxN69on=4~C{K-{Jrz
z0w`l_xqUqrVg_ua%?6|hb5Mi8-cbV734%cP;3lACCn_(M=fPf3`!6X`6v!(w^vdY5
z$f<)wEsg{4Prg_cbGFw_n}SOwnUT(B!i<Np%p&f@b|7p!+tGQvvL$4$EW|ini2JJ>
zQjnwM7gj~yHaHP3LWqhMwwuA_*4x&5ljVWN0LnT5D<2}+z@c*?ryMS7J&DNgV&jp5
zieNv1pmxF`GBvR%S9%(UDKEGop~Im*0XT>^HOH)ddS@HLuzR#}swr-mzzQKb6141I
zCv%o6?2!}On<dd#1WBS338`M6kCx+uLQ-%o<xs42Lh(7mfvVS#nRxz1J0ZmVEc<94
z!#p~f`T~s~?rvM0(k+oltsp@*Y0O+elw^yG+x`$0R`W=5h{iXu{Y7E)oMFqH17P%+
zRn&$Ia}&vj14I|9ts~iOeu%2?P_Ov)U=y{ge#(yQ0V#48@CpIM5~^f0APm71XUMTf
z>~ZkRseGGbfDpnKvVswJsJ4!1?x}y_XFMV$ie@evXcM#0j)G?UA+R+ck!#H-xOQG@
z0Tqe6n$ftx1jT2Nzu^4=>of5IpSLq1ggeSr_4BY>^32vK8e~r-&bBD2*!T5Na&wOi
z_)!8|2WK478#9qHSTLQKmXvQw5*t)71;_RDTagagn~Ltq4j(DL(1H3Cfy<xA>;erk
z;WZ>BzWaMEOJWUEUKH6Jh6E1sOMFdBjxg@hc}Z#w#XNqig9O6-{$9>iVq>ZuV<_@q
z=xdUw!$o3wF!%%5)2L-C)7togHnsMKjuh6hOA=jt%alkjMn7&v1DcD8poT3;!dgKD
z^L>fBEYaP;4Yd6(L%IUhEn?0M8jPOTi8XMrvX#DndPTkGYDSglz1B(38N-cfO!M9_
zj0!lk7$A0F6QwVJlcr}`GW0F6;fXELT$JiYtq}RjiXN`1zfaVXn3(G7StbaL(>45)
z^HZL2G)G3A84B%m1hKy=wb%z&&`DEYfmsTvoy6BdoEJ148Eo4D8`qAA!4;lwSs^iq
zIN2rdmA7Wn6c|1YNA{JIUB#jiMZbA#v6b?%3@w$IP^v^w1R-CNp`ek$q~KNv;Zzm@
z%19Gf7Qi5@8tKfiYny6^o1<<qobhe5d|lB<ajU=OTts3n6L*$%&X$<!z76^3GPQIk
z;IucZ2s5!!W~)IkE&+lqW<yZ5YLt<Vb{bM*KgP3cyLVV$No0#kBc{<DXOF7ZTkh5u
zpzDhwJV6LF=0m5q>ryp|c@jOf@n%Q175OF>@~IoLhva}w*LFaaK)1XypEh1UoD|oo
z5yKWTOgvx))ir2r&?4eQ|JF~~Z5}XScI}m^6(r9FMB7C;*yfz~&LppzHH|SI&*(Y2
z0B{tsH7(F)x|RY^g~2h_ihqXLF@q(rFA$d0VIBoE&|Qloy|L`S!@LO_p}P+C3Woy!
z*ypo_3v8L>OX_{DlL4q^^pIZw1n^}3*bl2`>WP5O{y95W&)lZm{m@uSsE6d*ioaVD
z=}r94nL4`bNUw0zUuTs6g@Z<WR}%gyOyQux-j$fY$_Yz2Xrgx|?w`UO?)|fOCHkN8
zU%2;B?@I7rMJ26Ac*{hk@NYILrGRn~u)Q7GPjZfM@0H$_kUwJ<Ku!3&^4PBUf5Vfr
zgnKXblFR;uFQ;IVe+A(C$AwfWV8S<(Y%*Qf4i_9(3a}CeU;WF)m>H~t-9Yuvo+(^l
z&*b{+-+=z5fISg#)4x%z>X{gnyQF_JZYamMBvPq825o)*r?=r-Hb;VPF>?b)hW*f4
zG7U-rzQSOZpCy0jfxn_37ZU-G{`2F<3>L+{c(YWEVLYDJLw*L}_#4b)JiUkf62S2<
zRqj&QBZ17le_$_40g=LB?tkWs>X~mTcbWf&y>Md&b7Eg0{Vl0?J^rpH_66}jr5%5_
zCDQvJC%Nq50{bSE|2RoV?a74#u>U0(sbF#f1>6+>%@!4=oC9wD^*q3o3xMap9$y6$
z$}@oHzn(Uj0tI;f!>LgUNbt(U`v=XO8Ek{?O16vw7*sT&ya6=-9pmA^7VbUWOD^-b
zB!jS$TmvGf{#GGLJ=01Ato+YfjTwAFxr_2|Mwu~#Nw8f-{>@cwbl17wBe}o!Fp%Tk
znH_&kERC4K-q@~ee@B6;@pnTaK`4K#c*GpOnAT}Vm-iz5*Q!m?#KSi;=Fz_DA#@_!
z*dwjy=p0Z3m-1&SAkSv-zrcw9mnw|wF(Ey={ESHt12|Wh&mgNknLn8N#$n8dQtl%E
z16YQ?D~o+W{*UZV{N2Pz?|-3%_0V1WdWFOPPTzbO^SdVb;(tM?ruVEIm{3anRT5w;
z>jseUkJ6cM1p`0yv!Jeep}tHhl)EPXs{0<tb@q#i^2uoK&yKQJr=r;_S%R?-)<Pl%
zs6n9K8Tv6S6nF0*>IRqgu(^l*C-J(#K%5IC{FCZ+&eq%&Ex`n<50Un+mFL5-TWS19
z1<eC0m-R$meYkk(2WoS#n%p7O67+{VeQq2lzPm)L9BIopz;pK1;QP+iZ;PR9op&*8
zclvL{<WJ^|PRyQ<Qfq(RzE-PZ@QfspdhvcW)xSsoO;L&aCc_hMlS_BhQ4$hB<r`_Z
zK#Nv<Hcsor(Y&xddrAw~jYLGllRy%!0FwG|($?~A+b<x5<-hJRq|QZO__;@UVHhh}
z&lg&YibjNhb{LA*zAc<6VeH6`f}@Fw%PZj`g%a%T#2oaK(F1B|7X(p5F=50RN5<hr
z!kv?Hc^3*m4*Rv%fRl_JV<?;D_Zzim?sX3qHTicjA#r&L;z6_5-W)!`fFz0cvI?n~
z<jj8T*63(@DM>6kW3HqA-<oCY76@W{vp+)N)WH5{ue`d;dF*k+7WK)k5eu>wbIwE1
zd=b&wT+w3D3yg~bJoRG{y94Xr+ij%C+`S)1+;l=QA;XD4<jozSnT$~s&qR?7+;Y3W
zM8NSt#<||Xv7TbZ0nY8oB5$&0Ui-nB03yZ7#u0-jx>|Vc!Z9`A!w_M_w7Ib3q0+J<
zL5qYkvCD&gT2Zfb!YHZ^!t~=6S|?%*`dMzLAI3?2JMme;5vnsL+`~x?#)DU-&deq6
zZ(wm2kZ-r4uDzstqVeZMAiG9xUr1{R_ID;mtC(843PJlrzW~yb6Al`z8m|#eXoH;i
zqiU(pI!MBb)OnAPd&E&p@Nl*UkUJJI!!Sw0;>5M7&bA~cWAem_N2u-Kdb;6y;;CVr
z#v+)En1D#A_0wnYw1;W;0Ji7UiFP@vzE~*}jTiig@cbimgu3p)fQX(Y4o2<E3_bN*
z@av>U$>>k7=9DN>-W>i}_M3}K>h_bpC79ky`S$3$b`&W~5C67<VD(H_N=uHvYidyo
z-F2;3|KG);8g{YRFsYXKyK-Qgk%!3WrSEjkzfHiC8SH@Vn$&e88OIi~_zAsXu(usS
z>Ce@RNX_w3iM^_-d8gBRsPcSCG`o?e%-+_;*Pw%Kid`xq-5i6{KF?<PuqiL}HQO+u
z>5||KaKhI26;~sjUX;zD(sDq}F{`<?JP>DQE(?fgxEzyMM87Dhq-V8MeX}$!{(n6P
z{ch@jBhgJ}>uYo`J4UHttJ(j*Mh_w<X-`7k9h%9u<|R__!^4G;sUQfjkS=g1k<CnE
zSOb1|vk<Zv1Q{rVga<+H3n6ym^&Cvz0@NU0CJ;{I5tc&rb@f|1!<d%%v$unyTQwy0
z7NSgxWQpzgspM$y$C2pykmx3m>Bp8k4fIq|=~s=^$oBCh<RAQ6lX@48)Ldwd_cjYl
z9y*;ueW;m0LO~!trr~uK^U>-;NOuq<zOW>)l=*l2^yL*mDjpfoj6|=BLMIoJY=w}V
z6Oz1#m>eoG90Nc6TnNz@Pd>GncnF}U1~D)#rimd}xFgfAdZLrXX|)?I*QGlhB4oNs
z3_k}!`U@e(;!r;|7Y%W!lG^l(ebjB=dc;un9UXbWuZeV6+UG%#q(Vr15Tv^hQXK^8
zEQG8DK~4%G!9kFZYEUO}7g{C(io_MtRAJmia!iGMtoK;R^b^Q*d`R>#V2BdKitxj0
zg%CgS=|>jO7&$r{3Y``zXWNbyAy8nB(50)NdSxjHvQuboB<=#^QIy)7h6%(;J#1v<
z8^H($kS7Wgq`JLW{M;bNR&c;Dro>cGL7}?~fD7eVk1c<A)@$$#RGaoE113RE@5fsG
z@LU7Mg#w%MGKBFHb6+b^V!nsoK)sc2o(3#A0y#g=?c{j0I=1on-nH2^IM7d^G+x~7
zd&@sx3+m0G(pw=W`w0cFXL~@$Wr3BL3L_NwMnEB^VIhLW`b_W;sA+Z$FEJNe0Xi-P
z)DNNiU``p~EAX=Wa)0!olNU?cDJnzvBD7VD%`GXqTfjJV&bj`D*A|4-Xf$q9ZeAg>
zMZO`c<o#vmQ8<#S18ani31}yf;L~PNJ<scHKOt!;RmjG9VQ-H)&qU*@(Ce-=SMc{n
zV3%;*E3+}zpJ%ISM<_m`9mv%zt`uYCSI47|_i8KNJCpIx%}0@l*I;bqu!mU8E74BE
zRf7QJ<r5bwpgX9QIP*5UrFB`~%D<vhFZ$PiIraRF=LXtqaXXzAkG%X^56XJggi_0j
z1)s^&sUq!;z_)-a%ZKK~8V8yJMn|(=XAuFn+0(P3-3haoL9F_Ie{7dcqmFa0%Vq6q
zDa9H)OM|NGe7E!KQnHmn%~KvBt&U~XO~TW>t&_J$bqY32X}cr47ED#hsa$ZT3cu1i
z5jfi~0>})Xdy`+C;@P~O2CRQv*7wbv5pXDQ$qTn%NC0wZ8N?`eb|f?R^f=C$s4xob
zm{VXJdi7+b`QAv^>xtgyy0?vpezk0_(Z{YdufGNef6IEsYz8`KID`k-WYvx25|Y`z
z8WJSjCYX;SpQpNiw<5XeW8hf-;e}~mV$939l2I)QbwOq{Hf^Aui_&j{_rLd}s)B2o
zg3<obqThVQ!zzguMYVshfhaROjSYY;h9m+bEAAQZw``(Iivx{C5>@1{MX(Fj!*}Gc
zTs34LJu)S2-*ZC=-<&9pS}s~u@@eL*LMMnmUb%d9F6xW3Z1!vTlGnw(2xcK*-g9*|
zD~&H{&@KG9#<^};`uaUndpxMV6%?L7vfQ$Cw6DY{>@WYOo!3`^-O;yTgj>Y^`s1@p
z@tDH;TD0g63(4|Yd8UU$*EEqkZTsm_SUD8QG^gQaO(jh0*|c~pe?-6{CoSHSwmniX
zse|eyY&s<m?Uci<XU11>^ZSaa^+h6RGWzV<pE1?pSi0cZgHc#y@|9|WN6$=r?v2Bd
zwAcCD?CS!|XBo!S&hL1e$3p^dtU{LqbezlZ{J+c-G_TJTz?|mgq18N|>*q|6$9vGW
z_vWE-?6EA1s+=B%soxp><YjzAm2Knel@fjY6YTNE{l?4{qulVN7BBzgG;A7@Z{61W
z5TWuqD*<L(a}ZWe0dk&9Iy&B$_6jV<i@v;5@$zwnv7p5$ytDcXNVVkfJFL85AC{_E
zw!NsqU6P8|e}TS>ma1awuT;e*qmPb<j`!pBpI;0Z?=M&L)b2x6=>7l@+8li$Y0e@~
zcWn+yK((O4>^bLDL(iYK`9&^D#XXV{tB&4*k@YZ-y%fq%bykAvhxXb1-Yi&f((<8_
z{t(=&X4$pKaN;{N@~Oy*tqZP{Pfam~@{BII!i~A)vJ|KFA>i=)!=!P;Amx76Is0<g
zLO<%%fC(IxBsa!keYm^Vun%;hm_=?`=k{kdv%1Dh30g*APiP&3T{Wt^L_@M@%doPU
zKOQ!?H^i>ziWZw6VD6+1+V^Zo^Qzqui^l$Aado@;H2tzN{vQe00cfyowcOh@#=9K5
zW0%F&$FmrL`VC}*(QF+zyPKvv>}Ky8lj<Vrb@c3HL|{Rt9CcLDgkynHch>f;=C)Q-
zlfAy?tiV^1YC#40uC_|s$N<}2Pm|s5aT{dE|GP+!c%%Z2>;?Wj_!!B~Y{BbVKYiKS
z)EwAEV`p?M4Lt8!Em$(y?lKK<5^AfDTO7cfXv?1tO;z;>C|Nbxewdb3j2I)o1&bp~
zpCI9Vf>iRpR)5wwpt6gs_OK*VeQwTpO{V;ghMR_!-_cfT;ThM&_r+src0ghT)nGI~
zC{rzKatbmY9`~~HuzB2A6ldbgIh(cvWYk)qlwA;AI;rhIGHEM@Q2V=tAJg{CUC$Vd
zm!*opGvo5E?QW)#*6eD3seS_{$YW`4VY2s9UTQ+8VuBF}ks%=R%;(t6o}G-jV=#sv
zo21RJy$H)yGJpgNn0W3yTa#j{{qD{=Eqao`7L7bGTV`I6&EAh}T|PCc8|~#KpB0U%
z_4jKZGkI|M-FD&6LdeT)_?v*chG`k><-9{%^Mguq;kk0vge<72mnFmA9*yj@)89^L
zdv!qKUf~;yFS-mKW(`Rh`vwq3$l7afV<G#`W(#vttLWpKjWo-makWp*ZN1l@!>W#U
zXtIT)>yI6AfL(Tc&6u=E>o=*QbdNke*X7HLY4+qLHQ}xHryQPiJbsq9aSTLGdSod3
z<v73_$6`$T*UKp1d_PmYc}6#RIJ@SJJa(UK4q2ItuzOg~Ln8}}x|kj3eg3(Ny_{$7
zD88`f7bxH$mBKicbUA?wOgR=!c929{yG<2Me&z@}wrlGJl9;qLnFB#`YOWuTSCTFz
z!m5tBBc#yubR2wP6~IH&Tjr>CFtA*htQ>dLKh+2NlbhH+ls*FVDO<Z%Xu4l3@f`{h
z0TXEKsMg1;ZMXMNata*;Jf&9tR{6LXX78Ao@N=iWyv<<<?6cQ%jT>e!ure^tJLd{}
zophlY?5)OftGz*i!zAP^?c~{Q`2kqf%kIh-rn*TmcH)5w8CSv6KB2d3L5=%RQub_f
z65+QK4H%n69{X|;Mp>bh*|Ju+4O&j6#a>upOKp=gyh~cay!+`kiOBn4!rGPncqDGU
zYxuF=(D2Kiz>|}G!x49S8%1t=UkZVI5<x&GNw!#q9r|-i=;>E6qWXmP4<{VB1<FcL
zV;;5JK+~^`tIQOAKTvBQ)uzq9y0sO*^M0^d3Q1_U$P4*a&cA#-eK~+n^YnF^WvHo!
zahXQ7s)kQuceCQ+-BdFFi;{JKPFQ)n{0dk@Vk|&3OW6Oqi&T|LiYx1F;D>9?V%5NA
zy!-&3VJL6HOjF*_TD+G}@1Fsu($@iXc#99;w!ix(Z%~Fv#r8d2AquR2&~~I|d4v=-
z95cQWc6&~BJ<)`G{7n}uJIK$Ia!b5L0#{O6Y9;D+z=A8%q+ed$J>=l4dOlo|p*i(E
zlqEBO;_&-?rLk&9Bi{ZEa>=?Q?DSq$p@v`0hvn$4?cd+awR)Ka_|ML|ZEwW-P1#Xb
zSgezhRYg^y!xe4SVOaS8bx=}q#6&?DZ5DQ+GYAQA4{YtTDpq_3<-dP0=hQ({T@K=6
z4S(f+5s331xtiVnboBjY>Q(u@RwmPJtoP2qtd-!D28;6k`nRtdfrc{WCqK3_eF6!5
z4^As~XXm|ItUd3mB=EJ8pMK9`H@21ko>idq+n*)+@q1Q{VCIxV2xcr&Mp^w;qxlo(
z)0$yZ{+2<skz=z)?oT6mg>sF+$&*XPeDxqxS6nT(3JXw!yxWgs)O8R3tJeRnXRU-d
z%QT_<{g=LlUsU{SVZT6v!Pz;L%UK1g@-?0Q{QJ^B?FOUey{9GTGye~;kd76Z0jJ-;
zYOHJYU)ny9%Lqkn#e7ogdQs;o?~HD^1bGPk!VG8eJ}cF*?@DW!Ryi+PT3%Y!n6GkD
zo%$|T@m1pmv^x0R<8t<*VnM?IMh8{RR}CweQ!!nc@_^zm`^{}%M6PyK_?wb7%!P|@
zoBk_X$Ef%{`>ay3u3+c`c&e^2RTcTrpZ^2W-F!SVe%x}|(tx+$JU#eb^-~g4{&IA_
zu8qRWcUXEtKNd8MU=gW)eAPIG@p@2Ws%ikEimK|X#zO^vnW%by{^y6|DUaMzDwxwa
z_nz-*?U%RQ;18^g%gk%7`oj%wf=vsO<(e1R8OhTdX+FnJ2MQQ@x&YB%g1=`aE<Y+3
zcvSoT`uV-N%IQ8YRHMo-g7?2C!v<Ov`FLS$BXR^sSHt?vXUU>`c}ok|qX+g9c;gh8
zrWz@ES-H=>lF6@xkw+{--k&M!={ra|@;ofcfHEXr1ytm|d3VFz^F8xMJR+CY?WxJ2
zdYp7U^FFq5AddS-Y<X2+ieXqeC+eV!qX8acoQ76Tip@}N4O;m#;gYfnVaEzr*@K<j
zR9>KpTHej*UA}0#U4&u3tXauQSj|_hhPiNcolUrS(G<Qbiu#yfuV>$?a#cCx!&^&(
z=9bmL`=e#YxQvo-EbBc*1-+!<SC0>udXXm`7u7<8C!L;0K&qCTao-b$yt<S8w$s+V
z6W<gO&#s;7<=gb_f<fpTRv^yh8*$GmF8tzH!P~$}c?+n-Frt^y;z=x>lw)a&C7q|V
zyaS@;f0<#CFURVh`{_b2{#)|Fs<Th%L!sN`WKjbz$DH3T!vixNJ~&d6`6`C0`Qte;
zz+Qt9w(^9Epro%K-M5<$1!)>%N#;zclz-t&kXe3}>Ep}^zY&(&IT89c&SScCKZUM0
z;)H~<)4ozdseJM)b_^BU78j?1zMW->c5yN({VqIrLjT0uIqL}P2mtmwDK|F&w%rFy
zHA`b&CT=EfZOx5ru23$-i;ZFMYdxYP9>xiu^-z`uPj4-&%b+ab;KPmXSpwI9N96a=
z)^S1wmCdhu4uuLYQr7#W-vWyWdP(W|EZ8TQ#g85#a2zXR?&S0>#+KcnFVf-0Tgz$Y
zB5WJYY_sEC_FT!2WwWl8?^|VuMOkI1tyOmug>4kZkpTh;TSN-bgt3Oqj4445k{(EE
zsL>Zd7irv`9~F+COlIQ{5j*q=xl<bv%pqeIVD)ad)LKc5?|b3UO<?SR--pJ$fKIaG
zqJTi>ca~fid-6nAQ;NdO#d(1n*<%wi66>o1gutN5X-{O%3A2RmckAS;0Y!N<#|0Rl
zC&sfs_;z7Ov6*6-I5(+ZScPVS$=(h-ng;N7K1nKh&Vd2FQd60TqSU}B64QtYBu*(3
z=m@pt(0CVyX@p<7OaOEJ#f$_vG0(5K-RR5K9p9h!<ur#(W*|k}o$ep_ofl#de-niW
zzdfXSqc2Ags>(fjsm`u(qF&9UyvG}7qn4rZI9P5<&pgc>`eAn{My&m>cNkxqmxvfF
zhdk@UjhJAR6bQnsGIRVn?FdXniR0u^po+M=j9)n&ui)!Gh25Q7gEy^}o|7s$l#YwM
zpzB6Y>gIcL#hh|};&!+xI<^bTPFyb`vqWWd30AVxXO9$}#6Tuc`G(RjqOuY_uhz#I
z?OU--wH<Bo;cYdWMlsCgwI^VkLuXSrCN}SOfzdsxYDjgrsrdJN&l<{~%|`VR>LPmQ
z7Ot$C*~usHYsS!DjNZpUgQ~Jj(g{Cy5XshVvL1(2e3lj0;#lc`&YtORQ(IPha^_=T
zCBM+!jhVBD=qJD(pRRM~MOV$1pV2EAe4)DuRcDU~P6HLoxjH38wK=d=dWtf`#d`8q
z<3(V?7Hv(Y!)3!DfA4Ej)s&paxyQySFPB*5=TONNuf0HlKIbUYB}4Xc?>MX1fzZ#i
z>|)+LxETdsNKy(&RmW6^Q@*;AQOF6#+eNh00rq(Fc5pg<-<>RU6GaSA2x%guaQyeB
zAw`Tlu0exy)sMCHWYTMZeEVI-yA0Bc_V~9Ha=cQK+>jBP5gJ~p741w{nH`)RoE#b&
ztW95f=-zO#wfV_;mVR=^MVrn5_fHLeciv>nfc(trT=4vu|FQz_z)^2Qg>xoZ?w~oD
znPHH#4meao$Y2z6clm1#?(NqwKVc3OzrAJ5$2Wq-Wk`n?T1<$+Odm!fF->~<Am<yx
zmP}GTnI|3Gc=RtxW@Z9i%A6#UN`x9l!o_3*C0dJXbcSm;X|)$jJ{S1;E@fAZeJ^?E
z6rO9)P)tf(0R(OvA2Gf8QM5OA<X@#+mAwTuZ&$bjdi;E$zRP-OdH=Qf&ps6KS=1PO
z@+?3ffRC>60b$wh7qcRG9*%jg`<)&aoBXtrx?cu%yz-#HWO<~x)Mf32eHX*)b1_NL
z9R8sz|I(Wxy;~^RXKe4hR;QRz+hD}27tXnv`I2FJAZR*8Z3N5ut6OEMLW0yjjoZ|C
zst3C8PVvitVt`RzIg~0G%{7~hd~>2-{j`w|!vV{a2o-q2kDFo~_*u9uKKxX2Xd5&9
z{NOI@T~&hdV)BF)^0@=%wncq1FG(Ai@A&QdD<5c<P1@`S`?CC;6Q>e#?rKy;5uau{
zM*=2NX`>5*83s28)0n!1r|y1c5}{;~Mh>ZP{9-nTB7gW&zmo)0S?185erD-IPasI4
z(Gg-W^qyXV>uI}_sF3MDux~kWaqbWOl{(e_6*wDluBpi&Yw<@kbC4c)UjlY>>PK$Q
zDcG4@G^R-Y?u{QP(uk&S)k4^sEvlaoqEq#qhUu*gkoUxH^>*%&weBO@WK&+Z+nMNm
zQe=?+68mmmUNO@l=w1J<FOSNr7OmgyUhQ+Fs&B_uur*V94%aXHt+^VYy^bUuJIXiE
zktKbiy9S=eQn!?0g7H}-z-i0HZiuakt*I&ADv2y0p*Uac)PkO)-HSQX9d$-$#a_X<
zC)nDLD_ZLXl^6lvut_wXmXW{(Sve{1_EWkyh`NTG8;Bbeb&`YZO`lUB*FsO;h2pjQ
z6xwb)9!0P6bK`C8iE(R<v5&D;8FSU>$EjDYn=0n%2&KipBfXhA6J=wbehvwMR2sSW
zQ)!d551y!V9!tI|Tl0oFx_(f7x9fs(tWQpA1cVFnF%hS{IkaA8zx+>TjKdrQq2~ps
zBqNmBFf?c~E$ib4332wu*>L6-@i~(B_O=^6G7A=c)#KqYZ0;uYc<g7&MK(jpH6e|$
zN+stiEl!|PcDv&+48K=LTs}oXC4&g;PMJdCIppI+dc1^$8GDlOZ{v{k(bU{*4YUp1
zzBLAO%aQ15czq*BZ>ints4at;ABABYZs~8(@O>ph83h5dC3*ST)y@|`dUqF9ag2u>
zE&$h@i;elEKHCs_WZg)fO%lGRqkP(>$?;KhYn6P*E*q=hJo0gUfT4TBN>;Bsn)1{W
zS~lqRS*_c|v&UMo3!}%Egipeww}oMoA_~J1!Wq-?b3rEeF*l?fm*kBzl$&@@((|)k
zAZarKFtw|to|FA|I3l2cL*(<=@WcQ=QR@bRtDV0Y5hOt}yR{a3*T^mlL&}+5nqm52
zRs(M>#BhVN!o36VX0Jy$f<I+tK{N4v1$VppMo)G6b&qx~MpB0pay}RA5h^l!BajF<
z00QJ(OQvkL^HvivOkq?hmYnkwSx%p2Z-UiOcVGD+vYY>C5m=j+&W?4Z;YwonpiL^<
z(&x`%NS23&Y_^NyK||^QbJK<k1bdR^9J!+qy<m*04xEJ<b)xZ-JPTQWL?T_j+?#%o
zldzed1TE$u{q#UO*K{yHQQ6FEPP3w*Bw23u$r-Q!J3R_4mM-)%J|*DMfoss6X&iZ6
zXZnbN-ENo|247s!fFG7r5kC>oLVrVh=%aH3$A)BCgTiHxTP{X!ZT#=>=-3Wq_Db9D
z7=zpT3q*S%S+a5^De8&uMc|3J#xs70r#Q2p<vAXOGcXbhaYx`^O7iJ%T=f_q*mQ?8
zMsklLM?u>*TAx3*=FqaXmnN+ock`Xd)%|BiSdqNvqI|s-fL}q}yo#~K+^qBaO3M#Z
zS|}D(adpchz1WQx&k%*KIMv!fO<bl79|<XgOVRhtq%SJs$2~9aevfW3P1-glg`wrF
z<8Oj>`cRNgBYw$qzmWNw!Q0OKn%^KP??^X_<T(orHbp~fd#chhZOz$lY55A`^|Y0Z
z*3oEUOuHx<?q3F&_)A-zi>(|st-ao{k0CDRDAUDgQn?j1ns+j1&tQ`BF%s*u4J8_q
zdl|@okw1spv%7{hZ1QZq!i<=tV-u+xb+$f403uKBZcok)6d6QI9Y#y(MM>%5MFNQ<
z=O`lQ((cYBBnqnIrSxD5!JkvWOo695`IDXHZ2rb@{>FU%Miysl+wH$D`il(Wr4Hky
za$=;mRmE4;6CE?QChxZ=>lufTNqVRZTT6fPKJU&Du!!qXMe^cB@)AdS&|uk1kuTlx
zH^w<z?`=);_7~;FNs)b#5`uM;M|uci+0$Vie<WYBCfB{zZKw7f+?rJBTLjW$u?hY9
zV7xrX-)QCxw2zS*9j7B$;H8WlFd~(68j>d0_4r!-6FAJ@*y{{Dj*=3>kK`qY^Z;Pl
zTahmX^EVneTR&`1dJGmF$4D*1(&fXlcO+keW#jK`-L^e>4eNkmz=;HMV;vilFTns5
z@i$U9TSsnBGHg%A_Z2Dk6;Z%|CP?MPODz*ddaz>I+mbJx^Ea|NTQhD=4!~dz6vYh`
z<$aMNi<T0i_?ry_mOT^Ju^stR8Goazvo+cF<oIBbeUwxi&L1vdi~vQkj!nsz#L1WJ
z$aMqBmrD5?JNX+y{Ech;jem-@_5Uu_&SPsN5fZX1R>k(D=3tR~f06rOkp<NYN6Wo7
z%yHr!rv$vNDvZT>0_1-Mv(qDrOn*982)6HVwsv&}UiBAQ1d@F;)`JDM%e6;zwl4Cu
zKWTb5C*<MDY8L5QzR;GE+?24nb9@5!-%BhX?W)kW)_XDurgI1fE?(mgi{f;PabKS-
ztc&`bvU%dS|FY}o-&%S>g@b!}@q+hD)qDRD_Ig)tX1YBm;}`qq^yazWGSTic<N4fH
zo|pP_s}1|6?oqGtdfFwKJ}`6;ORK3$Y=)-EOCn9}(1-SvQ%Z)67#`67j*zC7Xg($L
zR9fw~5VQ2$<$HPY+<n;nn9QFnj~4x4kc^LNCM=B-R_SGTtKN$aAdO|j%4~CK8pl`i
z2utz@bNoCLWdHPh(5o7}BL|==hG(XJ=uxyo$U?2*P!5%<SR_d1oq5uwl}E?}(qt&s
z?$jOGTWY^EexF^XAUM-=4KJ%VchRv4lAD-YQ`9TIaiD&+;aV54mAr5myH94zp(-4W
zaWvyeP{oGB;>?~1Zs_7!+(t1o%*6-)WLYdLPa2t%Rfiu5?>v{X4oV6?R1+BHa$hb?
z9|+Mz{~omHJMyF{5&Z`Bo3XkUzrdSU@(E1v4c6(h*S#MNpo6n1g`w&T0@f%|8O$r(
zdAG*N<FOM|VYo#Wf@quqp(Q<+Q5DTdDDhDaTk_mYVGi8%DOMsplV=9TptIt|3XuK}
zl0u2m)x}?@Vc#aUqWwo#M4|$S!*Mb*yXcMel!`qPbqTrKCux6VDSt81@xvMPyT4yH
zsP24T8?TO6Znql^es{|~`D=c0%Du9KnALTNITOS=-w}kb5QX>YqZqjyphO4s;lBmt
zT7iV4a4J;}Dt`>b_1Nbm*S@zyd#FOXtCPft0Htt2uR2R#qH#219eQD~I?1n81S}c=
z<}dyHZFQLn^kGVED$3*UK8SE!U-5rRqr($9adXJ*7eKQ+t-<=0M8<kv6tcR3?c!P=
zM}wn;iBFvu-@Ue8t}=g3I6mfQ9}?__$ee9nXTOQtob*bcj4FpU6uwE*4M?s|r}rr)
z3NhV~SNJx@5vc^7dNK*aSs~?n*<$^7-!H@ODm~f@Je#|t+$j4Lva=?SX_~@T!b=a|
zdRk)FgmZ~Er$h#=<htaGQA*UQ7Jqz7Rv#(&4m^_Q_A!%Ash>m27D{(`YuNM+v4?$a
zIH?jNVLcd&s3HQ_zGJpl1dPFPn&?oG4RPn7N9@3bT#RQg-E$c}zO7MxyhmavppnLV
z>5ANG*UBq*v@+Rw(Bf{tCTKT!iVts~#haSY9hxpR)}ZftHBkeKM25qZ&ki+tqJn+r
I{_^sF00p8LcK`qY

diff --git a/SPI/db/SPI_test.cmp.hdb b/SPI/db/SPI_test.cmp.hdb
deleted file mode 100644
index 2a35a95cf8493ae032f68e49bda7179a53820d48..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 16111
zcmZwO1yG~CA2@hqfdv-V#obxl-QAtV-QC?`ad&rjcXxNExI=M=<Ng2cZsumLolm|`
z^R#(7(>zHgNl`&SK&oItzf%wp%kL-icT_cYa5T5IAz)zt!|;cmK+xIT%7}oKk%fSs
zgN}ibj**^$oj^&FK-%2Nm_WqH-0AzhuV?=&0)ylKlmxQz>wodT^qTj7$8Mk(-msat
zB1unC`9!?vu1IJMI{i{e{gYXfBmx-MMn`17CMVo~_#=#@84>x@LywWf`VrF!1c(Y_
zs3|KeJIxuReLuvywUvdxUn=8WT`Q@nVc3g65bk{KEG&7qceGcupUb8O{p~p|J1f8A
zJ6_}{!P{zi+wPJon(w=lEW#ji<$#~;O_>`ej`Ho)&Z^M5HPOf}xtT2I`@ucR6%}&H
zJ-_~M2{&BlwDgwQCp@%&Osk0!?~Gfn`gw@K{FOoj#&jHQCnZUe^gA<q<CbA=%A{jH
zjZs<Skh85k%Dpq|QRUKo9kuFKj$7@rSnEaMFq~JWr16pYCA?-FEo;w_<aI_UE8~EQ
zGYMRC9eYI2cV+b8xp?e2x3jbtRQyzg{b=|3XS6Jiob3S|7xP{&13cCpzX2XQJnJsQ
zwZn9(_y>E)d*)%~ev_Fkj$9{PVa!oJU!l(z6vG00HaDwZ2li*S5UadloFToAs&jj$
zv-v5d8K4ep1hlB+#R!|`_N6EV@}6X8gwLbdWjS5cLyUa6AsKeD!S3D)x4)=w^?9&-
zL74@#B+5pMhq2k_qnt^7V(XL1-fF$p#b}{@j&{G21gZ#Q27jl`u>@~?z4ZPCP#RaA
zG$2{*`PuGRLbbpMYbQ9J_y*gkkRm33l<&z9U9uNO(S<=Zt<!T8NgX>9G*MjITu+)r
zyd=3hO2#ABT#H}iE*Wym;R&$CUA-b*d*ofDz)DUV4Ky}JQpuT3BMU!GUyNfJid%Hv
zHuxMsDvW_DiWG#1zYbs`h3;Ujxu?ALRiB9#Env3Fpj3z=?v;}pt4-UL{N(FZ7|yHC
zx;ugbtB4koR}K9alW!=ic}wwToFs)8uCsi4V$?yEak6^lL7^czQ>it#ejrJQttnDq
zRX**^VLqB+Jz3OUsdNk@g(ZSr6R}e9$j$LG5<}yKPP^f>+UPM~FSAm8CVd$W<wz#G
zerFPXc$&8P_67G&K84FZ{@Lf~OtCYx;U<f<RDH7G5bKd}Au=VmmU7qUYA=r;?V7Z>
z_*$&X)9kojqudxD(&~+ndaU2}JcPnf_@X;WbMOUmV9q=v3U1E1|ARf|?xh|g2b|{l
zoiPw$cb+UhYu=O&4({#EFh_lk=kDgkQ|8Ti@WkvBOdEBINzrUnaIUs;f8f>p^KKzq
z@QzI%AGM1CwS}pJ`||0p$vA@6p$eB8mq@|7d-b{s_MPaPbmbiS!aIi4Lp{RrKl2>6
zebpk5n`0cNOD1n8_-9=<R*e#;dzA-9WAIYkRq>VScJnnr3L0L?@x-IXJRy-#8L<=n
zsrt&J#+jkEvsb>gQM|385H8${EcMZ-wHaz#c#7NPxm)g)7p2(1zKq6Y;f#gr_r0(w
z^r52Yeelv)j_O|Ey;8};{L)z4LB)EpQ`>`8BWL7wkmaj*ByJtaw5*cZ<B$V|cj%0e
z6D9v)!j+J(pT_)FhbRR)wSPyK{kS2E!Ysgbrrco`J-VuLS)b#m&_lk?3-^)-fpvp4
z4clSi6|x*NE%4{I;%Ubf^5!YxoS#(e`PnP$*F0tVX-e`FG2ByWWy(M1k?wY7j~HEd
zv|IC@$;eA-i%)&tDHy&nmu<FJ4kPIb(F3N-r=EZ8L&R%3yt++FBSvp1(LMa9p*Ci(
zzO$$sU&4oR2Rt0+_)A}+TvhA|SdVFSfsr+$UZp4UcO#RV46Y+HlU>_8`WJWLm#6aH
zEWRFh8~AfRwD2;X^lbGpQIE5J4nBI9RvV#~tIQBD=4ul;m!E0Rd~0vE^>PJU)?Rkp
zG8KKn+}F>0;D7!+hkRi@S`H#W#^u~b_iTB;zrWF3_xM-<dQe?*RENc?FKTX6N*Wig
zr19y2J6j)28?SsAteM4xAB7jy7w1&IpwIvPN_V$>t4O}y#Jrgo*Jr*cpJUxUKJ`yK
zsQ6JXEiVaom(1`<x6?gQ=df&VEPE~bJ?1RWvB$W+9p>+DPp)g18tvUbpG&lKe_Wou
z2DltJzDnQsfwLd+xb37ZjHcDfY>pkDsSv?2aPigN)tRgOp5W0{o!FKVwX%TF)10l{
zDro!a2H-ud8BxW@H>fprt~BcU{>F1cC&5GDm6~cxm0%-Jkn^J3MSA;MmBpJ(OO<N?
zkZ0emVvjJxYa4LoxSLK7dZk0~-DHkv%@#D;Qil1T>(7|$ikZx*t)0Y*<g1`z@OCNh
zq4+6SG$Z%<+<Hf)wbbARs@Uxnt$Lc5YaTuA1tZrl$HueeIQk-v{IZo)q`aldEl=cV
zyX_kMk+;`D_tWHAuy-1QUCGvK=<a!gBGfNgtW#%q@8PWTS0uNxH#d~VL57aov@mC}
zkiF9$|20-yA3UEBmoB;XKeyatbEc%~kr5k={h&`Z=ShhYWJ8-KP9zQnM!YUE)lxA=
zpG%Z4o?C#(MqCq02ALSSYLpbk1e8OwfFgzHW%y@xcU*DY=btkn_dE1lJ93X+*;O1A
z5N&G-%K^E0D-hizn|`g)m)PTSC>&n_1krYnB!%O;4sbV#ut|~x>`?*}$ay#EbZ~ZS
zyLyC_S8gQZvQK?1>>oN-(bxbCEbz%0Mt)LykR0nx|Lvp8T4fiGov|nm8u@0Tq(d0b
zideEX9HBoxiZ<jQ&`k+o)~A<JUY&zB#H>fw1|61JpvhB~K~~x^q%*L62?H^CCCF81
zLA#VwQzgT?Sww{RGYTLu{>UZFS>+a~4cO9>Y{_WG4J^h(>GebD4sk5T6)eUXEQfm}
zr2Q~HVXG*h`sQ+q78n1-K_>i6G0V}dAnHOKCrbiWFK7g;9#aTdJERday2!$&qEQC1
zc8dNQO3crl4oXE0Zc2I#)H_HvxED%TG$4>R4gU+1<Bf)g=tQkl%6z2hr<Al^CX=k0
zgQ}xFF7(T$_Qmt&e#LopyT|g@L*tkbd0-fEPu#{iQ}A$X6g!;)#V=wT@%qkq{LVNS
zK_~GBRvd;PVS%Uepo6DsfAjv9^{wmM*0&FI@B?Qpk!yAihkRtJqrBhX2M<o9H6_&U
zJlbRDr;<8yYPTNk@hb~So!K_u8Oi?(=ustgm0RS=O+5pXNEe=$s?9%y7h)Qt)EkB*
z(X4pVo&R>O))R+KEh7zLO%yt^j`RSmqP+#IqCLO4e{=ozpx5xDBo$m+(K4Ud#9YGK
zCBKl>Q$aCfi=u4GCvnk4Owyu(oU~~zDV{Thjy9RRZ^OVkezDEVypBp@`@ewqzkvL|
z013T~4jWrI&GLsf8E@<_(;vfg*;?EqTDk}kLdlwytQ4`H;m!Uah|<Nmtrh!zamxg?
z-LL)Vwu=-r+H3Nv^i*ZfdUr4Ief_B_A$Nq+8@|w#kZ^?GnP_&D`&Rg+c^%kI6=#LR
zO0%i1U8`J03=jM>a&s|ch)|EpiC8lMq0x$bug-}cwr3O%)Cj0QJsL5_O^pW=&R%|H
z=`d<z7A-uHN|dz7#>?7XlW4zUp)S#R4~Nee)o>}a-)m4wrgSe;aEfkdX#5Sq%v&So
z6>E_45^RwBru0qi+uv`x-weG3|IQd$f#he8slOQpHGhX2LmX?Kgu3Yw_H_?3L+2R#
z#s}%)biBjVy3CQE4~8L*T|@^L+FX`*C+&lpVUTo`lG72U)msPN8nrv9UZs7GA}ws=
zrd4>lZ0*k6w{<0*#H8k?g>t_Sm6XO;l;S%M#+xc$7RyElewt%FH&*E(k%&;6>O4j^
zCMlJyg9)Od(w^^e`X%dnVUvhd>~A=nEynvHLWoSXv+K^@+Gi3_YL2C(*fUu^D>uw_
z#<z0lMSyXn*aNZm6VW#@vRIY|gE5QD6w_;2C3i9ty5keNNy$I*Gw-W7PB$Fp>82%m
zNXS1FEj?@zf^x@TYoBWA`nHF7d$&ivjeVQ=HuY`h+Z->!|9|zZ{{PhM|0=S?6Y~>N
z`$O^RV%br+7HTJ{{&gBw6Ujw{(%0SJ*_s{hHvKyzus|3}e!be}<6%A8>8L)=4D5zd
zkm4qg;wlgmd(g>vu+*aF)Z<`#zm1XP;beky@z~r+c|hnJmGqZD*|Be`O_?65NtFR=
za#<k`hC-_IsHpz30(P(llnts0Zij-p$@49k+KY8h*iL$t%<ln>4aSfLR+C?*)>D|%
z>zNE%#XQDgLw3tbg1=08Xoc-tG4wfr2JGi?7z1dL7@MsW#io63eb!M1w=l!7HXf)6
zYV<mGQiZYHn}lP%_FVZn<UoB<$UolPfc^Vlo8AUI(9QJkom}9=#?X<@+^~_(IX}o6
z_$b>oMrvaXaeKfFaXY@Xd~5ht(`PdHwz$cNNHWTa{0;RR`ZvsPSl@8I;e8_@DHRu9
zrE?WTwi!4^D3FW`tJOU$^bjHH_wi1M4;K#l7$+k|`}gyWMMivFXZ9e7M&Q45(24Pk
z$6>nv9_ZS$TAFoHO?Zm)J2>CYCoumkL>J1e$rSDbC&90?LC#2h93wr*^erxfu2e_2
z=R(V03XdnH$U+48i1m&L^}yzx!AQX+NJ;zHg$6;>>T(nGGJo$ON1hAg=w%}9`Q1N&
zW+%{<08bOrQj`?mLtNHOMG)9dK7M)p5Jmj$^<sO(x;D8$e@a0~8a^JM6dkam#FAKp
z&8=%b%0=RyC=JqZzqmf=D@dU&XMN4BTuu|oL`m&d*wp{wQ7%wO04kA$903D5#^@Q2
z`m41wTz~X1Sa0^*>NmhQq%qh&Faro^m&flh#0x|7XNp5Tn4c>d5~mK#aBDl0`BDMJ
zxM~jtm6Xu$e1$~oL{Wuie=L!;VGC4a>}myN)xq27LdiMWU)SQA95j#(O$NVAEQc{C
zm!la{s|XEiX{5$m!~3j8e1Dm6K?&=3GE)QCbTZNW(ISv_a?#>cX(Zj^RE0I`D0YK2
zk<5qkgD@;13U3@}(a7*)|3PBZbSdhe68pL0E9pP|BPCunabv?*B2<j`TMeo8TYVJc
zuYZltPqki!p=>6kCTQNJB52P0rvHr)%Zky&hC{PW*TCw7j-eTpfT0=b8{s#GZvx*G
zzUdP%K<xE;u%I|prZE1aM1)$7NKI5CfUiuUqb%XaQK!>Vl=Ww?)u|84oP3a+Zbw$q
z<E_;J6lPYw__d%fL2|Xzwlry>8gqr@pR?N1Xv&l@s3$|X|5YfW_+<-qUUZ4pQ6av1
zay0fpY>SzLxx>!M(Y^lmNWn{7iu^?MPQ~Rsof%)E!?eju&&<s}&meD!U+x@Td2*TP
z<bY66`&I%dZbyZ1#EoYg(pgv%ASC|q1{WgpaIq@y@+@VY<(=nUU1OVH<6Ye5HV(Mi
zm|?ChYckAONv)+rTs_>n3>=A)c3QOi_4s?}R%$D86?0LN*>;f1%T(+MTGap7=}$e2
zE)fKG1Wx|GY#C9GdANK21?8*H-Y^sJ@lQ7pZ(qCPXoR|odm_|;rxiJcg}!oU)5`SC
zR#_y5oS6N_xu^=U;pHk_=V69)B%$&F;AbDTIzK0f7&&}*d~L}AP6|$_7r1~o9HApT
z7M`&^@E!h3{xV*5$@ow*B>&%?+QXzu2Gs;>@_wgs#~>xmc`D}BeubDAYZZ^<UHjZv
z(>b~Ngl&Q_j=MSG=QZW_miDXtj}X)XAf^>(BF4fk<4HIUmFDe{h|v;?VZD%MN4Z*i
zsbf;b>)LoyrpK}5j>lcposz@e4RVRnu|4NPTYu4^y;nN#_n~{&bLv6qW8-Jh5_RUh
z(xLhN&1>g9OwB?0oyW0#mn6|v;6rFm1Y;Ne$Q7e4+x=#POCX#t>w6n@jZN$Ee#&6Q
z;GO1Iezay$eW$y<*nzX9h!W_>Rw`Yc$}ePHX*|sy|6_#x=8$$hPloBI#n_|dGz=y&
zK<Ser%is50i`Zw}v(A3gz4?}oXLAsSar!OT&~?UA92J3fq>p_#a55dEkvB2CcN_O3
z_e(hOSQ|f_?KpiZMyC-kTzF8O2jc9E`wnn*yl~m9iq1$@cbtEYc;URXP!h4#B795I
zeV@aZ9BR`!a9hg&d_%4(-A0xew~q3dV*<E&mRfMz1g4vAHS%a8pO}5w(CI~QIvmLw
z#&K?=cC6bMN)jbaEjd4=o)2m~G4o?Qrmr0pKupd0EwcOIgA>or$x{R5w(*zz$CiqF
zIxf6FZ~K4>9j@FmWw#{$x==CoF6?%Q2`8o)d9=sidiHSWz6tCnr6(iZ2>cq^M$+ZN
z`u>9+b|Eeh;R!@~QNQ`J9ZrzqI)QzhU72r>v)pkQ6T!LKAz0;DqYaMMTnnKnaGrx&
zt<k(~p0tXmO2?EMm-eOPwB%&}vOJsP<}B0Lxq6&RjakHTNG(*2Q5mm9qJkWEgQP1z
zYK2;Jd4f6Ee&D9R5&Zk>40kTq3K7F&?dz#cf@2um?shOE7;JOkh><Ax?+j*70bSPb
zh>X~GA2VEeiT)*V6a7Q$WQZ?ayiJtza{JkTczsu-Rxfq|Vier3PN<6u12`jAs|ol*
z4qqvAsNoOLO{EpE=V(|i2qDn--`dVt_Xk>XgslE`QPReO>M|wO9g8ASwb->-L6M(P
zSlEqgw)@PjcT}F~jV_;^Rnq96d6Et!fuzCc9z-4sri*)&4m9gnQ+Em0$QJg&=*p&?
zR^7n{C6ng)D~e8JvXUWZBCu{*?SUQiWaIh&PDIgdHU{GpJXqmgTnlo{xj|pW-@L}!
z4;d25lEwPsj9lCEjL7}Sj%a_<uW=!YUZYs)&B=MxhWs?f;V$9U@cGf6xx3PXYCUs1
zL4MtLh~5+P?s4e-eoLAEE||!r*OIf#j%s{_&@0<H(9|O5OLc~Qn8kG*fcpuy6FI=f
zwyzG_LtoPKEOCD4<qMbR^Cu}q5T9574l}w-KKZ34_pL#GX7!zEe9|`}^DeAX$-4Q4
zvey<W#~KjYgWO~8&(X5YsP^!F^lMq^iaxr+4w$^QReF5Yi7`^5aHP#X|MAn9S7G25
zL7sP<&KKd3tY;GcBbi(LO&E7E(8VYJK<SV5#5?z=(4Lp~YmTXx40eNe^?^KTeChcV
z@K|eJVT~%k^eBjP1m*mh2!H3V=NS`gjRBYK?;EA}(Q#iZbG!o}qpHq$vi)|wk(Z3r
zU*pR9)+Xc5w)u9CoAlzF;^k%12vxSIY8@3&38KD$?KdV1I{Qkoy#4O>dZVfN@pu&}
zO>{bIOKfWk8|<paD$P!lPDWPN?Z$B>_UY_RQx|ff{S2~^VRF^1kPjFmy@kSrx?*E5
zEBlH3rG0Ce0_**V<wjN2mgXp03ma`l)^%o1boX*#U60kVj{*4+>NP|8lA)L(7c(QY
z0IXV6Rs%4TX+;3B2pd_95W%L5)moja-hAsM*}naDh21U7;qf)flmIp!jQ)BJ>wXfl
z|JXp#mKSVkAqdz!7-;qsdK77=N`~A<LUs5VD-}13f){&$8r#bD8?iQtj|4?o7{<yt
z<^Eq)ro+PDiC3~k<c#c9j~?)2E1HjTxx|cTW-<a}>csIAIw9elFqzF@81c1n2rlW%
zZG%yfphr`#n5t(sTi!x>I908XIffY2OdZsv&It`<bI|EFQw}(1E#Do$F`dx#tlriq
z;Q8-?QKHK`JhRmsyhC~NF@Rsm3qSY|8O^DLP(r#{L?g9#xSlC^!9B<3(?$0Qo5@_(
zFDz#}DkE>e33;v;xs%_jA}oAz83H-znef-yt<d``xuoqCu`dj+!y8;H&9ArYrR)-!
zArA6K?$)*Z%kYN}mDz}M2JcQfoU-BiKWcYBn$z)k%vE0w7Zi@%3A+qAhukm5KH1^D
z)f|c5WPCRR_IagYMmz0C+_Md(wGE53J$Zgi()Gr$r=4I76C!kv?sANiv*U9dKGN!5
zt&lK6o3<&#N&Mkwp!4Ef1ondg<=sw+*q)7TfR}y?c;21GOJvPd0}V6_@R<iqnZ3II
z9GdM!e+4I$b31zbDVE*;!GYQ{t8Q#$rL(sF+t}zl)_>I8OlmzvE0wI8o=l_D80OTD
zdOiE03+w&D08-BqUQiSivp?8}1C`(6zPlv<Ne!}tT{5xWvEj*Ok)<!yn79;3+*jcC
z72_ayCu?uNCI()`p!0i)ij?yYBtwr-ZYxj?Y>K|>p_%Tyn$rD-o3IB<@s}TX$?W04
z)|S3?<6w1ZU$0n!^10<)uNX6DbG2rRk3r{VQ@vFUc+%~{7@&Y5&%z}8BtU-O+0U^9
zU&m0gkcPZ<6U*i3;ZZU(vPrn~TB3Cu-Us}TmNQVUwT};)on<^*hLRgtP&>in(ht4V
zhtD{0stwVjY1kDS^_nmcRP&{R1@GIuS37rXR}?a!8;N7KOAA08t;z3~ivfx1Q9KAy
z@<Psi2B9JI7xO>BR`2o#=egWEjPB0<tiF28t9Ejo$0=uOvE+0laB9L-91+apVgaVC
z_r*x~r(Eu~`*HrTd;guUF@!>C%!!J2FWgX>X#6|U)tu9}Qh}SJG4EPgz_eQpn?v4Q
zQ*PzM*sKw#t@$P9<>tEcuYRRo`qUh_QV<6#_y&~E860&0(}nvhHYdK#REM)HCIt<j
z@BS+a{zLb0AOnLHx;V}t`F_a;Xy5zW`>}qA+pe9CYvv*7WK|f{jb+dm!%oM%#nm<C
zZ6h=q`&Wi!(X(<gI`0lR%KOl8HN1D8$;p=SN}v?IgA?!?!w>fEi@&0y5zI50>^;=1
z@i)8qbBDK=*c-phc%m_B&a$aSF@8b7K(XHD*AKgTX<f%v$v*6){c`r31s=1>o&fE`
z89$Wp0=r?kzBvQ*R!!M_l_GdN($T8ev+U_+z7{1iYx&J{$Q}f{`airyyny0fU(o59
zgI2P8J>7YK%Duwb<vC<CC5)7v#fUlEzEO`j-BRe9k=|aS&MN^o8A>;nixZGqbl@d2
zUcOY|qn$Q8{8}IMR(>MJeCQ=WP0$_Js|fpDnC;o}a+h-<3S{~xi<~2<rnF?q1~fUd
zpPJA_jsnQ{M>9Z=qtM|8Zf~nc*=AuFYkZN=g!j>b0IDVB>{XI-Vv%+7?_n9xY9c#=
zAJtC1_+PK17i~Rj+JSurUk850ZrxG<l&3?-OyFTW@Q@WiSpYCO-vk3vsiaCAqxr!e
zmcaBTnH{8nOjqG`v$b_tBBHdLMW8ka?kck3Ztp?%Ou}_<!TGddzgO7+TiW_uTl;pd
zAba}ZKH=G(lWc&l>jSpK%;!@aOLoFtuy)JFHN0JJ&m`)+gSlUgT|f}L@jqMYAb*^`
z%95^7y|T{Q5Pf>kJft7Ba`9^gm0V`+^;>$-Pg)VDACPezXi=9Czi>RCm&WY`Uockt
z$O{jH?lHh*Gwv5TJ3>4KtMW;0iky=z!LWQ^Y#^bRBQ6qS*kybFP65M?mJl}}Da6(l
z%345)M-kOEf02ALzGa4J$74|Act_UQzi!5oJtT=58T_sZ!Sz9#4FSrcyvO*}(>|D}
zVFA@aZq!DHYo;U6Sal$+`np|G^E6aHbwFF?-;ez=Frpjnof3PzZDgF41s~{nCB@!O
zk_Au5$nPusSlMZ$oVV2-{Cei#rvE0w&-0x@eh~tm2MS<d|01AWMWQxDy0%aU;`<BS
z<bE*BdLcHXth8@6g{|+AR6y~8!a_lD>s5Ve#|nxVy#{9iS-EFR1HVdmxYgT&pLIcH
z&*8EbXEvPkNfjsSw|zBSbxV3}y_||zh~zVk#y+c1UVHqf&s}f$`>sLwP{UO<$9Z@T
z_kkONhke!CDC6WVaJXvK%q&SADNj#{8y%3z81Ipn2#CF|93F2TmWL_M_Yd&Pkg9v#
zXXEP=!QMneL3P5dJU?8xX-&@b-RNrSf-I=L-IVHLHbh~>YeM$KK#%X#y}Tg!&ZF=H
z&dMI(n_Oemy+X3-%}1M=RrzV_v}&k8Fy`qXGuMl3AyC@Ygq}0*3WV%V<CU3psr$N^
zugi``kQm-AEMM4XG3>|7KdZOn{D&yq{{7|Owm3VvI%n4}Z2Cus)rbJ^Qgu>PBHnN6
zin^20m*brvachmB6H1820^`e5*7mY!bu?(gpo>m_>=wqLYo#}xeV*!wTr6TS)%OVP
z*@o%*excKRMs|fe)#nUP7RdfY8oikkyjdo`$oNdj$F{{Kbj7Ti$mJvUCxffUOWM>G
z?cZhJ>%iaRcU0=gR8{b6<_hZVEKplfx5Sn)Dz75Zl9uq4{S5FU9D+*TJePS&nP{q&
z0QNi$G_{1dYZi(PJgNyOu(9TjWes%=<G@FgZiQV^EVVio^Nb_bAs3C&<i6rnRhV?u
zI%|aeRNEZNW?G0fNC9*=E8RZlIO3H<f8!kT)^_+XWB+O|On!~rDTS3Qel?qPRJE<d
ze<pPHdN&fC1jvKy?^KoInL8Vvu--u*F*ZQMEdcf`(|}17tj(-#qROkC{fXG5yk@2%
z_?QZ5i}EWcR+$PSj{br)B`Erp$M5!uM+48i41d;DYC<iuCnmi%O;c~#wBRpy(3|{g
zUl4B7o#0ypG{irE9SXY<$=;q*$et~@Pg=HTTt8Fn?3+%w3L;%@+6bE*f5+;|cmHX&
z$i_;I2IjEW5BU#8oHf4vw9U((SO0L2IK%d1u`jUkPD&-l-O{$=PNjQWRiBnao{@m!
zy0)*xJcC0wD7~I!>9Jb-hwK(S@;@gOchXtx72WYdvmh{^nrNjkR04+h!IU}RH-F|*
z4l!i->1*p&XUl*M9h&DZ?`%cuZVjn?++I~j=T&c`X}}By+d^VjXAOKj*RA(!HKU&O
zuLa8=gBVNR=-5Dn4D7Z(`cKChZzt*V`HZ(wpU1h5huy8I@;|oqqW<i=1DVhobb9To
zORc2ry%hswz~oKS1-0iQp7jfsM!@9#p$%&PMImHI|I-BkVtne8{aglaEO_$<D5uI!
zNUwFnbbXyo!o{@@<CF`$r5>(TcW*&Pv*wl?s0I$1aDyg6UedvTFy}6=zD6<4Wnudl
zfi~puN-4cE$)3!BUBZp)<jEI~s#=sdGqg{>sy*z<aNG!*2l=!0oy>Oed+Mis=G$wQ
zyn#%qa>1=#6JyYXQT@&?BGffhWt&h1w*d+;am(E7X3koeMI>?L8D#h>%9h4@ILMln
z+MXuv%_=zx4w+KdfTQ{r9o>e=2&RcM?BVK{Ac45I7yt|V@-%10*ZI|4cfxP&v&wR8
zL@*il-tS*CU#W3!=k{h9_}vleTWrmY+~_}ePQ#W3@7IZ*Ek^b7ThGoTgk~6pP#pb%
zFU4TM+KHkmKe|DV9rN<8=7MFu!4~Qi=LZj4wLNVqVR<#Nw&hE28|5o(yfb_}EYx2_
zLt&=n`U~g{@knN2G+EXmWRt{z<JP{G_P!R-X|A0NGBz6>mNxhO)>#_G$a@{Przw*c
zq*6T(3Hy_xp*&j#p@vQ8-+<1H9X@(T^OYnIYs^y@mWwBs2C)AM)V2vBbHeEMs6fzN
zoZ+fiC)wQ`9$kzU9Y7cD*GN9~Vta=0OE8Y^2orn_w&|w>%REOX`*3*EbI)xyXzlRM
z-BdaGSdZwl`ij-~;@=7Pf6T_)G@;lx6wPxg9PM5pZl5~Lm>YFAw=3;xLBTjc%4L@T
zBKFx!DBuNr6#@FV6y25$L|m&jmlnE-9->tRG5U^a&78s-Fa7Sww0vjkcl&PjT;Ik8
zHMQVbF&TnwaeJ1KzF5WH;l<uJaBtMu_jY!}dXU{(1gHgXvmT5dt34RsN<0`lU?#IC
z@&=%|RhP%zD$6QX8%(bu$)_E(yM&tjb*{M>JW^b%F!4s;Re$;AH)x@u`MjDk-wGYL
zY{pO3q^F*3E49e#To%TkVctG1%M?8=MJ>FYFSS{o70*MR4W9-&@81)$$u7~uR6~?>
zPGtW)j{%GB3E(O`&z!{vPnWV$vt2>V-ork_zWDiuMyoK?7&SREm%0KL@YaL+J#u*`
zhwT+|I@N{k4Sur$$>bx5_&A&tv1)V7wIp>7riOeCS+JD=M{hD^Sv=j@RDMMT80lKg
ze3<i}H-B!c%bDbqkvGgNC#)T3ra@ot{4javM?qRzis&FZ%=51<u#2Kk^f`tJGo#<t
zDxzCV*}}-`pn{y4nw~5#&nTKtIop_{<2pw#SAB&^UE1AKNWDV08`SL+_*CJ?HMuZn
zdtSLc|MTwk7j(DZZifN{Bm3RNXA9!hh5Wu{Q@LkA;<@FnAb7z>q!AZJ$c(HhZG}s=
zvMERYYGmVw7~B(Vph);Efphws+|OBIr!=<1_e8&lQa4ofW{kf~Uq?Yc(lzah8SaA4
zbE9ftz?5?qbI{|IaIW5CwAMBaxS?wmkUyKvwxDhlos9(`S`=R{y^fGkvmfDL{lQn)
zAepWROG)i!;osx0V@V*OxVx=v({XbQDV2R}4=8H~K(s`^k264j)&@Cl%mIAJ@pJvS
zQjzW7fn)xZoQMmOjWftUU#fk{0WE$CGllt^U-Sb3iT|2%Tqq2{hL1Wg1azZwlb;!S
z>_R+wS`r9+3KZLxFAd?;+IJ-wIiTAFg0k)6FAi8^R3m^!_w=`P)Ho0NV!CzX&-K(7
zwUsGeVN<Mlq-R8Q)qf%Z_x?i4Fi|?mHzs1D<i8YL(LB>qMQeIZW(|#OX}m{pMVxPG
zc=cxuk@p3(v~*qTo?l>HoD48g1nUsJsM+l~pmics2#!#j3@yX`0r)zC`oieFqv0K}
zaqjW$hkaENe>z4#XW-oAKy5oGy$j;HdDX#l+x!_Xz=}?y^B3EGP_0bWh0Fw}9r3^(
z|KnZcXP5Uz3jq*(RoenAKmht3biq4;@6Ob{%${|y7hfQ+cDV^`?HisG16_e1P0`hd
z+D)=v#se^3b`;X+lw@S97OUTNVn66)e&yp64YtDeJb2@3pt~Rx_JMoj&LRS8ieSNY
z3*ZVmeVozm@j^c)-<As9jqqn^<Pafqmd}Z)cgqHd&vE)`$hOM|%Dt0Y9g^4ZY5r2O
zhp4>{faIHio3>?kRTpCg&1TXGJ|u(viIKc<0eOtUeSFy->*E62sxCGS+Sz*8+z!o$
zVaMBr<yB4kibkn8&<K6U-2jiHBsW4gVsDVRlhUsy;?7ZL|M5B&2Umbiz9L(#%_oq5
zyiY*&rY#>sS6Z4a?Q$JdocE$bap-Bwa7(Qz3RDLC<Q1CsNMPufK0)auS*J!zJp8H1
z{DUsMcqc(J(1su37a^{HcnivNHYMBYly$@gI!hCzt^qMBZ1{x<2YVp4|CFTS(Fga9
z60qIe1{=-oP?;(ZRWJLiX@Oa`ucD-_1Etd^J-o0udo6fEx+X`Gr)cd3hDx_lMXoDa
zy~j@zeO`txw^#x}M{o*%dl*BZNLo|62w=SU&KQWHsHB}h#_UGP!glM$9yTqzBUC*0
zOGkE!mdQ<kg^kfo;=e@UzeIy&D~}oAOiyx5hR5i=WTuyo7H^zZoHeWKD7bSL^w}eO
z;_E8-6^io8N`AkRHM?Uc=(_;z%{3c>#zU>#b4c<I|9zup&APTbzjMj<_Z$axPe6Zo
zliwrwO(W6eMi)-hl^m1PWh__WaaiA}7s_NB1#kZC3&57}fs3S#X~oiYs_&bUJ)z^s
z>-psb|2C46T+`ZTJ66OMMyzJsX*k+$@?Py&SHpY>nvNhlZAal+lZ8XKq6&rKY9>)w
zDZkeY7-CP)h%q<kC~i{x^R(w!l5O1N?{Jq-eB<4iwD@#GgiWG<xX?EZ$oZ*!;u-Qg
z{Y08&Fei{`V}Z>Xy0KNe7EL^G8`_Pcqj3t37lQp7BQmE!|6_P8ZpkpfxiGb8AcOyO
zHaG&b1iqvSOQXl#Vc=*iOwT@kR>13c@Gy5j!i5P(bh%QSE&KJ4Pe9D`*nz7b6nNG(
zOZCasz_sswR1V=bIi_$GE9ok9dBL39&uJ*RlQaB2sraUCEZwJ5ZH9om>O*3;Ip4yP
znuU%II=$}}>8s#<qW~iGK@dOt#+p)NzC~X|-!pm?gNF;lOlY+>4Fx0S(pT1}?Zn*#
zebE+XBH18tfq=zOgu2>y2zqNT=n6PD)Q#6MBH>bl;?WcX-^0mn7pglN-fEApeL<M&
z%<qUKuB}yQ1{o=xvNwoWjXYg1T@!S1oS$C;d(C>s)yLSAB}=PfPnw+P@66HdAhx+y
zrr&zE@#?W$^SyfB`Z!szz9JKCi$mLEWp`dYcEh9=ZL8H`^MS4NXDQjZC~<{luIErM
zLy5A_B^c$Eo!lt}<<*V+9$NOqhTJIzO>?@N?Zf`)sUv7#a;Nv7Ye!wz$Q!a>_O8wQ
z#kK_QDW8=<G`M>4Z(6p1f}cb&H*7aK&Zn?29F^bGb-BBC9cZBm-ta4y>|PV$1Lt1w
zE9UH*`a}AY$97eF@(`19JEAtJmaCn^ruI<=gpWy2>vil~ruIz+yOzBdyj<ugyb%@5
zG*>N#b#tcnMFt8G({cy|Eww}FIYaP6f~$L9Yjvwf4Xf4a6lk$uXrUa8C0_6;6!1&2
zL&OxC_xpl5L&4#}CnMaGz34Q5ZZxDR(esdtN>=MuuNqd1)x)YD7ka_&pnMWL>J{yx
zQOgeDb4JjIgygxwxq&I4wFJMlPMKCq^=sK???>VR*oP3VHRAAUFF0hVP<0DCBge7b
zNQ9vk-tZ;V@Pd;KmF!ykj_L)wKr6dk)OU~w05)a)i)PMHYRC|*7y9qNZuPCxrZ=2$
z5g6-rt=p#d^&vx`E;KekjmpJZw>sPiY;SaMEcCm+er|EwTaJ4w_@!9@-I4=EfhsB1
zok`BXO?V(?K_O{WAAZX-O)@olINxnxWn$~najo=#zJHCdql?zoQ3Jc`z@A=hudY+j
zFr0nI3;=T|7t3WI5gXF=_18LTAfhfO>aY0i$O^lZnY?`VpSn1p@Q}Lly<2)WvpMk{
zaZd&r{n*)EEM5%?v~S*bA2%dFTv@n{EE<#q1j?eNxBP@U;=hLch*K$Gy5JXKc{@ky
z<JoZ1Q~p@T2^yur6jI(9wsgPu!F{szmT9<J8&_?d!Thn27DYKn$D{S6nfSM@Hn8kH
zXNDw=wEs_C>jGA8XPqo4v|dVTc9g}{&V8h|G#a%5C^eU==HB;?>G_<6KuU+24&bz~
zeI4^R1RAprHjp!_To94Nbq_1NV^8jx{|zsBvR%0cFxTqU%y-GbkG$g8EZC`A9`Juo
z^a_OXZfyqBJpcAv@UL?7{F%mTyu0$UN4?gwoL2miChMI;pKtCtUi@UUwCd9p2hP=l
zgX44p$G;)$i{(?G!1+L){<@Pt=bI1*{=kR#eM%yilF(2r0b=KZ#492L*s%5gjN04!
zW*|1nuIAr;@PJNMubD}Qk8J5lrt%8x1CJ&$tKDOh0a*6|!SFuaNuTB?u$i+MoOyH(
z=g&jDot~?2w1TM=arGCECcSOPgDZ9}@U8$Z2Mcf>Xh<Is^c<<p#nwf*PxSwL68UZ(
zGzY)=$;HUGLtgWTjt&%_Z54P-%aZ>{G$+u_6W2`(6z`d!I7qELoZ}GJS$<Cv2qZi5
z*Yu3@e~=Y>@4&gahRg=%^VAoIJ%3`)t9hJ@NJg#O^z?R;I(jfnKe~1l?AejHv-G;(
zoBVK1Kf?d+OAyW8jeV`s$zFxJPmY?2;5(Kvx=MdO4|Jax*uNr?--~6<u5je>nM5sX
zQ~6B8WVw{NB%r+BeRmij)(yKd3m*~RqnfP7FUDE)6b<un-yw--TAThJi;xCOjg{4%
zmU+HQtQRN~h*pE5KHojaukVRB9kyq08{nIF>uy6p<s0l59QqnI*h0}Z{**TX>>tKX
z%}xo&rstmThSeT~#tF!0{w6P4B?(j2F><HIwac7;^$1w#-7vVg`^<&^bn2Bs!Cy1o
z(%sxp>qCUp5PDAQ#?o+wE)!LyxHKFiKJV^pc&|3vtYw5)XrNuvV`d<*RR+}<`j?Zv
zU!h-nBAGJ;7asgP3&8IM^9{**90MTcCOI9vy}%f)`cEzZX2c3)l#%4HwQ4vCl-=Sq
zAlmnwc_Yr5Y2a(+1Gg~25pX|;%#1u=|HNV;es`nnoj>fFAO6qmp8PD?n22e3VDHdy
zrbe@R?qx(~VIleom8GgsFv5bv&kfU~JeF>jY6To&X*}}-kbwEq?`uQ670im`!ZD;l
z+A<D|W!ZVq)cVclTA1{~&;H<iw*S7A2fJ}zj95Y5o0aUU?ul;~F064tMG`DTgj}jd
zEeZ;~zfGCfEc|qvf~)Q$=}BH6WFlT$Ze_^&3>^r@uIEpHQO;!#^KK|QB3`);XC;W%
ziH{p-YwV{(?@h1Q>0tGA-o7ZzmN<L9ALNpJuo{R>Iyg!<1|)m^PhM1APMGJ+X^fL#
zQRbiVo{JWvduFtu&+l2Q%0a&*WdQt?AukCT;d*jJzgD$C{uHWh0%&c3A`pNj`L|^-
zJN<Un-l;mFft{jaEy8yqYNrfg*foq}G1xWRX3?E0W`ru$b_BBwhQIz-PZXWAAHJ1^
zbctq!9|E~IDQMSLWSOu&v;I`F@Au?Pu&+t4P<DA=O0({PkkGBjQSa_1>}^UOnow8F
z4IrWJ?~~Pr=jG1N3`Y(t;|_2o+otl9rt!(bEUe=B#Ty?N{hny9mz!-$-b=_kQ|us6
z=Px2Mk#s7bTneHm5D&kI<^ffCSzg-z4!^hScMBvvp&55mmz`0@7)yh3z3h^s^j!TL
z2<%k<f;kZ5ZgxDDannK>numB71H%)@FD?(jG0h1;)-JM3o;=bo271tT$bp+Ov0#sS
z7O*ai{(@4YG~qcD=<{<}x`z<O(_t59bMqNv&MUHIa>tPyDpa2_NJE2-^4^s*GyXeS
z>A}N&oJQY32lbofP%+Iq*W><BM3iUp5y(8{^eA;kFjP6Bl?PD5s8(P%InZC*n54LO
zJ177OaxJWhpjALEXdLTbb+_QAI`g!Gjzu$E@47eGPNk>28!6gxB~_X8EOXer))%RV
z6s_TPKtLo-Hjh;QTOdzaI##wlEUg>?yYSW!Gy!_T=Ac?Ohq{lLWb?Txq~M-!U&!+_
zK$nf!Of-ZVSyTfd>wm|eVl*WF2zGyJ8!&U$(KCH3+7Z{!9^qbM>ablN@DycC2z@D^
z>pbULUHy9<7%t5-*|o)jnp`1f0!SBA=IQLQywJaj(1=kPex|<zWYnw~+N`YJ6BOVb
zb)Qh$^z!f1vKAY+>ZW){UEGXD3Nj7dQH;lhV&KP*_=py7_mdMs%b+AQ9&bp8r4bPh
zZDeyX%9y3c)>~3_O1xsGZZ`y8FNfKpK;CALOkaFfD|GoK3hTlEQ>kNl%IF-2icNTs
z_a7xrLTC{}Yfi~8^4NA=Xa=&2=m*@WZ!2f;AAk|=5|UZ~(qxt{9>$Jq>lxBu_uad~
zM(7^(@GkA=p+FqV??no~6FTCevj@AtHo8PijmAktDKQ>4n;0?SCmzap!JUoJeMIxz
zpknBu$*hduL4r!QT&c0QZpnDt4-r8mueTmmaWRMn2rG)>#s9X?s7rgu@!k9J@D9-D
zFno@*IkiuAx4v3M<r5|1%Al|tDDTrHi=iXZ2s?fcdFda3H=*xG=5nho;@v^S)T@q1
z^|u^xj56J-2s&SH4~h2e|B!4`m3NC}3Fr?LHznszQHSZINJNYYP27XXO1yPHW6<?l
zyYWFKc6T#)q2?JM)g>?j&90V5L#W-u;~v<x7klx2rmcm>Yfw}`xF$!HqL)!7A#ltk
zVz74qT)RD)&Jvpy5SM9H_5GnRaCdy$z9olH5RLonsqhr5+tO3|)}`y}SNayE%ci^d
zF<<kS;LK-t1()pX>ft;Z(fKL&O6SLlpn_|QoEnm|YaaZ7>9LDK%T!5+=3-WK`;Olg
znZn3*qKWS{nsexja}T03?0dUzc>9ab*0spiXK6tswZ5hCLX_Qz3VYk{hsq8l=IyZ?
zZlPDa-?JhXnC?yg*c}Q1DH_I7H{F@EgsQ4I(o>8Nm@JA~=7s8dg+P;hX`aqYN3!6w
zkuB%h0h%I;p&yNZ`Hu;*KG=j~of1cw8v>gD@z(-`sYUnEOp2kysS{zj?TtoB(Ds9{
z%EW=SmGbeUGg6A-Bg6^)O7x&{XvrxV$Oa}BYaC<Dpb}d7_5owf=7J30drUe5OnWd9
zm_!5_yhVyp5%$FOyT!`YX!MO5@Sw%>1t$WPrE>;Q%GT~qD<p~!`y`7!)UD+9cGrsZ
z>+}e&CAzKeMVM-4JSsh5cPgdILTz2~WzOd|+WHF^_LqH?J^r>%GPICue8_E%8a+M|
z5C^~PHf@=9rXDlg87eD@Mogi3GT)bez0cK}4-|i9j=T3txvUg#w}ZWEqX>A;Q#D)C
zEXN|_t{pYi<DuVOtr}g)pCOGs02E@jr_u1<S4li|+0~f067DImg0XjL9_a0$;Nm%S
z9!VltUXimB&h=yR71V3Aqua&4+<E)u>EqF23LgFDa$oEBYlbd&Ur<p3Ie72op2c_4
zb(xu@7?j*%6jATH&#GQ@qMx93icx1?(@@`wA1~OwH^udv#n@W@rg@~g7b=xvGWq^V
zo)*&BlJ2`s7`uvi+HEwnePWYjuuR9pA2*;o0w+&P_esvHERyHWM)C~@lsU2fC1&*o
zqfRV7R#dXqB}%_SN8N^%apYIJlU9o{wU{Sf+jcyn=8Z`ykU8%g;I2QvafW@EU9?hi
z)O{p@xl8v(mtZF>lQ``f9(kUW@?T5ZAY}O7YT_Fa%W*Q9;Qzg_zFB&D0U3EaF>qrV
z%R}6-L`~4*RS|mt)89>+BFYqudhXnVhxRh)p)DRZHBw+xPJVA!3FK@Ey*Hl5TB}TL
z$rM*R3zSt%O}iRKeND+f+xqapTysbuS9w~N)Yz@UtIzj4p2W9XF{DnDr^)l!3m*M3
z=rGP8C+X-HAUm<GP12rIN`H;Z9oE*u7%(YX9jeY00|qHM8GKZga0nY`f?}e$xaXN#
zu!jb{<FS?EBO#wk&8^0HOD}ap!PsFd!Em1bh*_0=aye!nhM}02o=#_WfBj3cST}Dq
zNal<0`_GDBUv8jYDVA2KDyV*RMatQS+S~FJL-m#84T;9qZCsA7t|!xzoJ_D=%=RR?
zt*Oenq=<{^`v?_;paq2CeX)qtO>sbEUqlwjNHSz*GTu)@5<U7jVQ4DMUdQR_EN>U`
zwziG7olM6o_pJ>V*2pNMNbl#lXnN^NeRe}7{pbp$6=}|N^Vam;pVZ@M3PaJolKV$;
z)$oD8#b|$#z*WO?L66!!!gqQ+EqHdd292sC)CC93mutXwVzxRx&`bZ2z6FuX7^q6M
z6Rjn4>(cwEb_{EZ(zB8VRUJs~-|5)l_134sjPxk>JTI?pgcE#-M4*HHW9>Z}iU6hz
zssj%c$Ipf}f@u8>P~hFv(72WK6MM5$F?MsVEpm=TP*V$0^FOGw0^^@)+S~*~S>r}B
z`4xHWyrD8V$d3GSUChNq&$_P<P;*BWZ2I-Uv*Yf?B~4<{1T_@23qxGgG4~@T_>LDg
z7zkGd;p*&c+U&y9Rm8inw7{8-x~cPpJ{)2s^JrwxW#Y#=u$xX;37zP#()6U8w3+BN
zjlQY4XVW1rXSCBRIP9&z+XCp1ptr*?gK4p*H96_GBda?_Y-DMXTNap)RZN?1POC=+
zwRk8r2jNKjfN8t?Ok3^&rJ<jZGgVti_(yr6p?=-U%?|bC|C<30(t<@=D)hD%*Tzrp
z-GZv_Yw&9`1P8wDFjM+nMJ&_jFs6Kk+a`ou3ByBJJy@}%u0-$Qy|egcg{OVG;F5XS
zG#hk*(x+Au0gE19)JNSUwk0KJ)eBjT0nHd<-G0Hr47(aDO``97b!CUzm56#xf*b;*
z!3=h#<>3p2=qDZoQ#*wJ+6BnF^Lb!}oI#j;5I)t!p1HwMt%n;OzLC6$>rAqIX@{w4
zvE{iI;{zaL<WUm4FeTjZUl~_S=OWuGpT@kS01l~z!a<x1adCRJ={ZOog3mHYAkAtw
zWdl>DsTBRS>$#T;SSv0Y?^R0q!FEz>vKGJMjMElxOnhAI6m-3;<GhVvg!-mrheWjo
zoYBKJTY5D?<Pdp<B3kN#&G>9)@S<wZyBA>A4n#iRDpccN6bVV)*J4bq@HwMEz9v`z
zX?(wYaGqJejxevtFMQO)r|`-YU_|f0g{S&yhZkEAx<08y1@{c`lJY$DI*4#+$|DTl
zhY+ENTzhPt?D(GrCiH}S3=FnwC<UWzZo|Z&vPQ!LFe|WBZ{QvVyk-nE4YgN6vOJni
zS@kp=9?Eh&GWZIp_IB@HBvyR|M1%ExNzYNiUkg2P-Q)Dw44xr8ecamjhhf7A{rsuP
zfZq>7*Ge?IVf}mFi9`Ay$K5IjBy>^@xx@Gc)|cH6AV~@8Yq2o&7K>6Ebo5cq5syv*
zEwlRdLA3}+iyM+!CtknZzvKZIo*;^=q*Zr?mZFZ_!;T!d^BY(A4-<~8UcnpGVjbbl
z{d|j};9<j~{S=%{YQ1^Q^C^EEyX&1i(V8*~`X=e5xKEjQ91W7wsMai*e&4MDe4pw>
z3K(t|{e}0P?#6T^R2ztE+4n{{?g{+spOxzbl!Re7z^{AWKGro-VSc>qj(WJlxwm|-
z<4$V0Ktft9UZ$Q~P6iOJd%U)!c*=qk1cu}ib7mTNSW6_c4X7P9a9iHQw&4~Z(OZeh
zHBDMyte2_>KJJ<+$f(C8aJtDLV1=%YB$amf|HX>o@5w^}yN0PExvm)4RjZ96psq-J
zjW-dVYDPX^0aM+6?c8QMKwq9CWNc+Z1Ysydc7!|B*bIY~Rglxh%snEk8aWJDh=Kq7
z8NAzHge^fS$tqA2Q}7`v!IyNI+gk8LdEG*0YTHvWn;V6NhMc0hlu~jN>2{LtvaHRA
zsgNz-$?n4QD4a@VoMgsZ+QYCAgP2rc(vSS25w8T^;r$`yD!=Ij)V(P0FOJrVlG}BC
z6Y|8w4i1CTfmoX~WhX~9ojujI0fpq6FEQ99>PUulNxOa?K|}l|m}!3x%@_E8?fU-(
DUI7TM

diff --git a/SPI/db/SPI_test.cmp.idb b/SPI/db/SPI_test.cmp.idb
deleted file mode 100644
index 483dfa7520c0a80a1b34d128c3a1993ca1c3fcca..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 2475
zcmX9=cT|(-7fuL6WK|R>Gw~<L5J@0Vrb(5h$dJ83Wl2#2MNOz5gn(cXHHZQZFtjMh
zGKh>IkO8u*SS$)f%okZ@H6KepB!pkup7*@>dC$4y-1|I#+;<-Wfv8hJN-P4AAUT#2
z42bzLC7zUsF}E=@H^X6$U5Y;+jrq>P3WKx7np<EkaOO4`UpI_<{KXiIb9DSgN$kAo
zzZDReUH@T?)PMMot&#ulO+hX`kPq7Dufx^omUiwQZg{LCbMvmn$vR8z0PlA=WVa_>
z?Yq$K@MsNe!AWn2VNcEgb76s?KbV+O+TeTGzP2`hv3}8~?e6um4SsCWSNXO0*v&L$
z{`k&T2mcBw_EWp$nhBJzl^43bSASx0^Yy+9>N!<L)2cCd0G6BU{Zuubo4>W+&AnsS
za3V4wEjNIjeV9L7M6aJdaA7mH8A!pS%YUu#DREmiF?jm8O5dT|E~v1j**Ku*2C^Pq
zf{Ku3s{!_~CzJ;kbFo>GNY3tbrFP|Zy>_I?4pxF(pod@!mz2dvlB75a=_(=u1rMX3
zYapFF4ITz}L%m=f=*4AZ5>Zs;PqJbukpaaXJ_LOLNnCy=2PH%ZrG-*d6){qD05*h%
zzzOgO=mufINFIk)!{ubv@T|Z;wabF_a;~@^NQz3bVadZrNxn(aHmV;LKkDenBq9^#
z6J>76w#cHD2(lk#fxSJ}8UP+vhi-z}Tr!O*$5&!211fL`)Bz@NH)zEfLOHA~5y93H
zVJLgx{m?O}3zPw;K??|g4uC$;ZpZ;D2V22jt^rsG+Je2j7d)?wJf2r(9@mSe!1Kyd
z;0|T1@`f_wXn{1Q6jAOCiYYrJEyu%i6S(hqvJ@>i74m}=z$P#%nY!GGmg7sYb%B%c
zq#%^XK%kZQdTc8|QS>`x*oj6_RRL{S8M+{_f};fXoxMDArce>Bz?UH?ivjoz<OsHL
z<9G~3qNdm;$zi@Fizp+ez|SB<E;);&A}NQWnuv0tN*+U+uggY><{&M}w6~x*E;*C9
zm!Qrz6!l9+pA=l_Vn|Wdfk60$ppC~sF%Wz?u^-I-CsTnc3lL$vU?@u{&+OXB^tvik
z5?&=Lu!*8E2n7z&yfTF-;x}xp2m_}G<hnMph-k5cggZOYDcA{C0862MXj^cNr;@?>
zh9IAABZ=Dw^PnooQSc7LW}uOh{#Dbz1?)uG5^k?`MM_J0F$YXU22eay+)2;iD5mR+
zrlC2gT;R&1(>S}*O-0?%B#5Pvd6Bz`dSVM$5grua1+HCff?jSUlBk|8C9;7J3Bn;g
zcp2I&z=MXoxXw6Uo4~Lu5-H4PAcR>QRf6^>)Q~X9uyeL-Tc1J%$S^{}P%Cr<tn74^
zNQvG>)Fr4mD3qv}Av7cccY|XR$yK2+$e})rS)X*NVC8>y(X1I2R{Q;DCGMP}rR5*S
zf}bgFM7LOD=yMl2_O2(t#IU6F7=ha0>Q|Jl8QmKE;J3F<+}xnA*rZ!Btt}iFcA*@k
z_#f|I_V{ulP+qCgW7m4=)SI=Z6EE>i#J0a4%{(g8s`dnI|HKp%%SO}{`&MGyhjS<|
zQcxk+dl!l~CUY()YTt75_b(#9S3Pm|Kw+&xu~TZPxV56fCHs`fdAi2E$Y`Bv75q6?
z;=20kph+-uO7&q)v@kM)On$Irkmwy>+<(bA_}1746T+HqQ%TLle3old6NA1+inApq
z<oZT77TK&jC&>Foo<C^s9~$l*;YGv^F`uy_Y!mv!1MhyBj@?4#Hh66Tw<jC%vvkVV
z`V64Te=AZYaXyuhKBuNFu6nhX+M>IyEs5RZbjGTGdzzU2tfx1m^S#ExL+gOn^};Ti
zQ!6|4^+IT1Z9=-u)T6gv2D!g%b%l&%ZJy5id3641?IN!Ec~`P);Yzk!|B<$rIQQ)(
zv+B!EeuEQdescB*s%oqXP&-!ow3EZ1`l;GOtIw^YSukF$O$c$cq60dbG)EgLi~S>4
zm0$mrrKut?7A3Sb^vrxuhP=j8I04ifwdAV{ddOCdP%`QMLO>nW;?ex`JzuT&jfB73
zPA#xW4?G;*JBiBug^BTJ4=0azS}~6ceAf4yH+qQB1d}On(ea$#JmF|g*t}aqM9P<{
zHMDx)LV?c1_On)2tA!>zXuaW`A3xll84dcd!oRL|ZEkch;l+*Irm0bW-ssr*9l=pM
z>ZaP0X9<mSm~{?kmdqL{j!a&h+^DckTv@u)0c4pJ8{~G>{?gvQQgd)?<0&PgDc>^&
zZ_ljFK7+0s-P$`mz!D@p>1kjFE^SZrO?)>eV+^GF?sQmfT@3B`(tOI$m7v_c>pcDN
zALXC&;=^9Xlty`qJcg1Pj9Tm;_G_j%t?mljn!#c@dzVUE;-^-JQDf8B!QLGX=YTu&
z?&p2gMO6+XnrflyADhia#@K<uNoxasv*G<Qyh|;Wc30?!6nZY4AEWlZ9eAKSZW4$M
z3w}I%a8~=F(DeOe?{5|rVZ_kLf=h+T;r%)j_V}T`dm+E_?Wh&McO41jQw9~I(&ru0
zLdGtZmyxO>);?R7$1MVBj+=f_r>3N~$0k}`+DaAHmVR&TX(wyU<6p|&$mKbI^{M37
zzcBy;X%QpUZ{elVV;v`s(Qda?AIF{;unurIRa<%EL5!2x!#e7GRdu|N*5CQ9l@(3v
zWj8hNi(h^Ao+FwiJ8i8h-mlx-qmo@wKc(A!#U-Z#(&86%_>QJ}Tt3&(vgG4!Kei*;
z+B-WGr0VI@(f4><F2-tg8Rdd`EqxaCyfV+XJum9#@;g!W3BdRHardHrJ`|LZdlX@m
z=0O>W!N|m}9x$e>C@-u07!zBMxPBPlVBaVA_-N1dqa#;a?NDkNN|{D6XK)C&?ccED
zDn7EE1*e{Pip7Lw%=+=_U`?Bh1{}iYwahC_%gfZ4Us?6EC&f&YMx-&)SS`mbt7Q7G
zzqN!5TAu~NPUWJ!&<;z**eV-8-M4Lz-kdH*`j1D?6pkk2&LO7RuSh$}yS4Ivy7V*z
zO{EIoW>8LlyUFUg#`-D?Bf9!3So+-q)vU)xc<jWjy^c(?hz{C*LO_70r+Ck@i`nVH
zB^EBs1ZjmFd2(WN)AsVmZ?6l^<bJMeED^m@wb!fvL3r0PpTX5bITy*{Ot*E?!Y3yj
zkAzb+gEEe}&i-lHdAD9llg7Kv2Ecof9qw`F?k?Zxqf$6GZz~%u!n}ZcoDd&5Uju1h
lHBdOGA?1G%(LD3GVLB~3rYuONI$3EYWesWg@9%79=l^!a+GhX&

diff --git a/SPI/db/SPI_test.cmp.logdb b/SPI/db/SPI_test.cmp.logdb
deleted file mode 100644
index 395bd5a..0000000
--- a/SPI/db/SPI_test.cmp.logdb
+++ /dev/null
@@ -1,141 +0,0 @@
-v1
-IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,,
-IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,,
-IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,,
-IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,,
-IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,,
-IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,,
-IO_RULES,CHECK_UNAVAILABLE_LOC,PASS,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,0 such failures found.,,I/O,,
-IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,,
-IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,,
-IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,,
-IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,,
-IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,,
-IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,,
-IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,,
-IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,,
-IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,,
-IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,,
-IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,,
-IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,,
-IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,,
-IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,PASS,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,0 such failures found.,,I/O,,
-IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,,
-IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,,
-IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,,
-IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,,
-IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,,
-IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,,
-IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os.,Critical,0 such failures found.,,I/O,,
-IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,,
-IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,,
-IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,,
-IO_RULES_MATRIX,Pin/Rules,IO_000001;IO_000002;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000009;IO_000010;IO_000011;IO_000012;IO_000013;IO_000014;IO_000015;IO_000018;IO_000019;IO_000020;IO_000021;IO_000022;IO_000023;IO_000024;IO_000026;IO_000027;IO_000045;IO_000046;IO_000047;IO_000033;IO_000034;IO_000042,
-IO_RULES_MATRIX,Total Pass,19;0;19;0;0;99;19;0;99;99;0;89;0;0;91;0;89;91;0;0;80;89;0;0;0;0;0;99;0;0,
-IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0,
-IO_RULES_MATRIX,Total Inapplicable,80;99;80;99;99;0;80;99;0;0;99;10;99;99;8;99;10;8;99;99;19;10;99;99;99;99;99;0;99;99,
-IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0,
-IO_RULES_MATRIX,CLOCK_50,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,LED[0],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,LED[1],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,LED[2],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,LED[3],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,LED[4],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,LED[5],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,LED[6],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,LED[7],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,KEY[0],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,KEY[1],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_2_IN[0],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_2_IN[1],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_2_IN[2],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI_IN[0],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI_IN[1],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1_IN[0],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1_IN[1],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_2[0],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_2[1],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_2[2],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_2[3],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_2[4],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_2[5],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_2[6],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_2[7],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_2[8],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_2[9],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_2[10],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_2[11],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_2[12],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[0],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[1],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[2],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[3],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[4],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[5],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[6],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[7],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[12],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[13],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[14],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[15],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[16],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[17],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[18],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[19],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[20],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[21],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[22],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[23],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[24],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[25],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[26],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[27],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[28],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[29],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[30],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[32],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[33],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[0],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[1],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[2],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[3],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[4],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[5],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[6],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[7],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[8],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[9],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[10],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[11],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[12],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[13],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[14],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[15],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[16],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[17],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[18],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[19],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[20],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[21],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[22],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[23],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[24],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[25],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[26],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[27],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[28],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[29],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[30],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[31],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[32],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_1[33],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[8],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[9],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[10],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[11],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_MATRIX,GPIO_0_PI[31],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
-IO_RULES_SUMMARY,Total I/O Rules,30,
-IO_RULES_SUMMARY,Number of I/O Rules Passed,13,
-IO_RULES_SUMMARY,Number of I/O Rules Failed,0,
-IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0,
-IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,17,
diff --git a/SPI/db/SPI_test.cmp.rdb b/SPI/db/SPI_test.cmp.rdb
deleted file mode 100644
index 6c7d89d129f0d69356a37607b20b550056d23f25..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 28668
zcmd3M^K)iFw{>jW_QbYrCllM6*tTsunPg(yw)G^L@QH2QdEa~gg|F&6UDdnKS*yCM
z`iHak+IwSwfPmD(gZ`UAKy3dF_J3B>+}Xw2(Sd}8n~{Z)nMBmh+RluGla+&nnTLsm
zm5G&^g_}f8mPFp#)tp4a%-Z!I_mu+TzgzG){MUfsg8#4m&-~%|ziUs>i=J~2{D~xL
z+1c5t%?;eG%UjR<9QXGH0W3-|ipSGOeiD#Wnp;rx)Xg4VHhvOi3R_4pW+K(4u`x@b
z?LL#aj_)D=cH%2TccB>pz>B%0rbKadU^Q5bs)rV#%J}qT?7t^6Hr98U_)l%^C+_Db
z%jf=2L&JB*&*ca$^1j`yx1k{3C&{7P2V~mEj2T0YB<ruAhTkr-Z$zJ;fnQ$^S4t`$
zTOZG+ZzIHi3vPCa=b1F%>7ROH6b%WHD*V?<tS*{*zmUISVdDRceT^Kc`>B4bYjFKY
zNZrJj@9C{Z9t*9L{LHU@e3!M%schi79d+yh^za!XSekO=c-nXpG2Ayroq3^un-rE0
z83#CPJw{;rYvs*B=_{KSU%5gFaO4_zn{wdhEA6_C=8K|PO3fE2s$UkasFuun@)pKI
zjpl)j>+2V@wQCM-xU%O|yYyWvEWdhsN;uLy>Zw4U`EoxohJWpe?z|2YyS_IO4GLT{
zBuT}M4#oUBIfIiC8yxA&{uN<uR1ogA4V0%E`m>wmEwF;`Zm9(@vgu5pd<CyQniOBa
zxSm2)v03cXOxf7@zUfqRv*cY~m+!e<5hn)_B6;@~L7Cb}@3PWV`3*V>KlCi+=P7iW
z29&;5y0bj-FZ*eQuu1sykfY5|RdYPmbr%|CJ|dkOHD0ymuPz(>phDkt^e$z?d;D}b
zskx?ogGBS-HEsb#ysq~6dPufX>iFX;hG4XkRc$*(Aow`uRC8W{01L2^^fk|vOa{2t
z0#l+o&k!t5@~&JtsdNhTcUdw+s9~T~+ZjmsS!66FwPQbb3r#;JTrUb{%7<<b^Hpnm
z*GLb?06Y!I9)VVR^E7+X(>$vm>-Xh^eL@``mi7uTD-HoKiY=B}T6wo_HR39Nc_Ew$
z!&+ajZBZ6%!m>k7o*#r|fb0;8-4hta_swiwH&ky5(*ft_-zlmAsQ1_@9rAD4s)1p^
zKIQvZW-qlHMb<T=`e}0E`-g+*wAvRZ?sY5Alf0h+)%i~mmh9TC8T)tG{YMF>LO(X{
z+I&$-rx24vBd_?k^LC5GXQXEQE!jzT0z^#<QdGoOzeCBmBA4s~(N51v+VFELxBQk3
zc!>sxdJxr{){^Gig<9gahz+JK8*U#Tnby`;{q5!RmwwMm+uOzVC|<h`iuzV7v;~lr
z_?RB-tQUAEah;r0?`-nrEuNNfc8a{jLwaASdGjmNWn<e|I%|L|Pc);mleDrJ)VYML
zKuMxf(Y1(F1PGAgUsqK736Pve4SGi}ef^zx&-l<c{GP)Yd4Nh^-02YF>uCUHZZa>0
zBzb(&;?CiHTmfJy#q&|RA&o7ie-%Q$IHFc=n*G&Jny|I0Y(Xa5qYbjqeh8Ff_@1Y?
ziR0CTp$3v>if42789QC3;K9ub-R@ON;Sx|K%}6Q`dM^q7Y&~;vy2lxsXF|@Qmb%|V
zF*JmhOdl?e8))|tMo*a~v%M4qoyQwJR?l2S2v?I(!)lC!F17m%I;%7!YA!gFSraH6
z<}R^e>z$MIMicK~Ao0e0uQ{ni%L{a%#54IrFcvNMNG`w5k2U(vBo@i1WSz!$NJDN-
zdqX}cO;)ObmrxMH!Oc#x{3C`hJV?`W8~EI^M&snE;(Spe75K~~gamaT>Ad7G3%s=n
z>&8Fi+g!OSH)R#TQbl;<?g~oPCIj6lpeFUXP(bKpDtfGy)r-6}1UE$)P;~AEpeirh
z8?#dqawsbbPD|^iVogaK@5+Dne&ZhqQ9Nt9Fh5qTH74LuxF0S&3aWJ-R%hGn67YbI
z3G(pohp8=jh;d}<=Xo8#b{tS0ma!v==178bzN%Eg;99GXQtz5(_-1WJS>5#;$|}mu
z(4(L8_uTAy9}f2rdEGqP?Be@Ir6jFA&P|lafxxP*0Fj{q0&b#pc41Jk?H1JjN<LBO
z=LJX=?9g-iT(6KapCG25;1m0uMGauql`F!}i_fEZzn<^j@-GPOX=}f6DSrwoz5Dot
zQS`#FN%_eRXvg!CG2NyeQJ65@{i1IeFPbx!VmVBp(I!TMI&6B{Ew(++FnFGUkgd5r
zg#9G;T5ZAn5e`xBW6Pc80O1ncA80=$C(k(a#~uE6%D(i%o(R2o3>a#EZhd@K?~j81
zm8X@py&2!Bjvrs)i)AiiE#omgxq6+hWMOD$Z$7dK<}|jQa^~#FV$X?rC_5#%uRVr_
z3XIIu^GK189NHBYZx&+(UMQSj9BxHh$633J+8#iaQB~DI%n)}_8%OEA_SL^xhgch=
zH{SJ4yy$&@0HOXKfOJ?&0nzkGXQc^Z+*ebB;h*V!Qb6|diUOgf#)sSss`$r0k-Ju{
zp{qud)8L<gLcUde%<<+7eB;e}dTec<?3J2tP3^S$CEYKhgUBa*qhmxUc~#GE`RlWS
zod6jh4XS6KydS$=yL$}yDeur<{X+PP*C_+eyLJRD@KDn8a$wJrUm)ia+hWY|AyIgy
zeU*1^m6jWU?aYBxWiCC;KF?RgRR_54Eu~dB+A@U*r%be2d}YS&Jx1xmT~1gnV1G`)
zUQfWm72@28%D0heZ>_{#q<SU>7}3sm_}HcX?(oG_MjG=dsS%pd48BAL?KVuM9D>ke
zI6Za<AznC#N8m~tWk_{D!rMn{&)<*@wwK`+<#&sxGp1*MXR;rXW~zl7O$%%roX)z}
zkJvIQq3Eym*O2^L=qp=WD9bc5H@(e{6{FWlfPVvZLu&}cR>)Bt^G+c_J0J?dK8+Cx
zsnCo<=bEa8r*@Enpy6#TnOMb%?S<^yYI|XDNqs<}S7{WRK-$G{FLdXfd#05<BM@9R
zy#_OQX@Jwuhv)dUCvM*J6=~FLHO9R$i)wjcc_x*T6u=Ag0C*Qb7O4dY^Fc%DUrWu;
z%gpN7C+!JU4YuGJG9;1k&Iu{UZicF`TT{)GB?#G5as4KmYF!;{)=rQ>MY@PZEJPD#
zwB^A_LC%5tmbQ+vZw6fUtC53_*RSSy7i{{@jlT>ce9PXXTO_)XO~<uM4Gc>@@aYSr
zl^`A)XUu>%{#rt*ZxGiDUvueec3U?Tz%?)oK^=CtV^(uX=L?JTm-hNrsS+0K8rm?D
z<$JS^4`X2L6(rqTut`latRV$L)*NgG@tz}FGeF=U;a_5n)hqG)t`6M9v*<|51_!>X
z-HD9LQc;u>Pwx-FCF1Bn1k8bJe`BlnZd)NQ@88u-xj^&>_usMp*9wQTPBlq7Q)s1p
zP=Os%p#`4>_rC|@k)S}No1&Q^f=x|{J42X<2vf*WkdEU)ko^QG4R*&iCYn-g;O|l3
z^YCJOpVY^>6EgDh)ciqX3^K1Umuad)pFuN2OO}*FvJOS-8eePp^uiR8S8J`IK#!7h
z_7j^(BU!|;D0mSl;8bJ4wMZac(4LScPvc6mB%DIv0;OSyZ5+g>_K;ajF3qA<W>v6A
zW>EV@DI=F`Q7$~eo+YC>X*|JBxn%Z#Z#LP<*0SXj@<GYgtSL3i|BzJqlq|4+1gf7^
z{}9?NDmKXf5E@ngA!`5jgZmG`tn43BZk9cbLGzC=A;_&Dw&jr<;qmmPC2Kc*N)?I~
z@C`!DX61Q|)CySilNKuZ8-)#)&O~OXmRw39BOHXBf=j?4f~twZy^|m(l<x}Vm?}6J
zjt(dD%y&vS)(}C~M-~OE-hWB+a;p4$$uC4s?&QhZU!-ovJo6#A_m!1XYf>J=Z#RO|
zX18S*yx7Ita{c7Bs<;2GCIp@ysOkCjFvr}!9uhczly2(AapA=cjmv)?AWSXw5Z5vV
zh4<P^Pg0eH!B~|lj+<qmDx*qHtEWmE#md@Knp`TW+Fq)PW^U#!Ln#wi>LgP}F**K_
zESrocv!5(0lbQsiOrzjP9;8f5rXgRFqm!|r2$Q3uRFN#tP)}Z#hRaZwEsm9Es-pP+
z_<&t$I>-|S?tV+-n;o6pup*{PMDM&`OgmCex3$Q=p;18}p}|Q?VhaE9pZ7ZrO|~lp
z@}IyKZp$SSoMYb!Mncjo_u04nJE)}wrQJyH6D6jVhaF`^3oXkBFXNRlOMth>%EKc3
z;;HaNC0jXJ#zP0augE091G?ApO|Bpd2kz{s{-iJ}M&Ca#3eNG*i*hF{s)gbwQ<K-7
z7rg852}f2d25{e8RiUdCiGZCLJhWBA7?^x6?WfL?9{<UiWms&h4r&m}tDLy76ElTe
zRQ?@wRi;EdP3XZ?w^PDic+D#qO1B~4_%Z}8j_5*UA><2JzyHX$R_(t*3U+IG1<}M)
zM$-rw3V8W?Ap4t&i{K~Ao9deAta>LlflMhRJ{GUaDBD4ERGbPw0nVy-7NIJ%%_=ml
z<m)*aiX73#E8xajs#K65&`C+1D{$!!#Xh3X?!`ND2#cFLn)+YQM)OKu3OQU_DkXzl
z#3JYJv=JnIz|XJv2}!vcafnIuq_Ne9<N5~Qw;oGs^{E6D;$a>Mb1M(fPiE1e3f||B
z<rZXlZ5HAuXSz{ZLG`j5vuR_?Dz^>|Pn_g<ty7oLJ5S{NW;O^=0KN~w-?<z(cbnp!
zeh!4$<aFg>#l4;f$W!j$ISzm9@4UU?5zJ}JapylysO1g9|DFP6+lqeFAcvUpYTsbA
zKy=)^#db+1qXF_v6c;?`iqb{>yi=o9oks?`4zPYI1?EOP5?npNbKHnTq^tGmm98++
ze)C=_C@ku=B^i(@s}`0D%LFBZQ9{bXrDD*~{y+QB?^6-zVh}|Z5Yi-dZrk2ncWF5D
zc3eH)$~BU-JVC5-_ur`Y0pDx&7o+D<Q}H!%zwgis1~v`3^xZQ4ym^A_ciHA6(CE@+
z(ic8;;4$~DW&;uO__o`W?v?Fr<C*a^mQDW<=+X0P$JzT&qPoJ=3KHlie8x;wy_J4d
zRXb=B(YA19di&{#u;Qu0f9~()S1*iY@NPjoTY4X~8C(PMm`;(D^wy$&u#lVkbE*h9
zidGD*xN=`8T~+rA?)eJhyMj6wU+vZ0D-#dqBg|D?pROwVePlHqFkEfa5H{<yFS^Jc
zTHttqk$AX8&F<kn2gF;bxyAP?pB(IC_7thRbe;0-lsmHwXPxmCyo5Letctat^ibYa
zE_iyfT<PhPMqTmeh3eG>`Qfeps$k1P=<2<C0Mzq!6~7C``6}}S2)F2E(PaPi;~|q(
zcw1|yeF&IWarloiZ3|WWKWV!7SQ(BNTSaO*Rap82+XuSvtmxy;35@?ly{q0FS-)3q
z>+o8^cs+`hX3wcyUGKXK4mMupYcE(f+p!m@t?JQ~r)u7PkhWw$d)N2-{P77E#g|vV
z85r*ISXM9Y(+U4H$u$h3nOD`>I=!zJfH2niHIeuyg>WXa4q-`p5&wzVk5xr0Z{VVP
zDQ|Z4jdh(5%H;-PV18{V8v`Xjvy?5z0BP*Yk;Wx~v5fHDvI*$K-%+9d``QiWwZTL2
zVu+9g51Sp1yZU}Z``&bpK%&pX(l0Jdc9)=#vbQe22VT#YZ}hTT4eoVkf;d_Ev+;AJ
zD2?IucS1TKT{8uf{3Gy7`t}3!a}UDC9xMvtx^j;1095_0IHd9$S+>smr4K?GO$FJ9
zl00otoeskkEWJ^AQj`|n5c_9gzAI6__`wgPC)SFLl-aP1<0nc14PWB30c%i+K=7>i
z-LituO?30C3Y(|LY15*WNZY)>alMvzGg@4twN6CSCvG88dhO?7<1M$d*D4-NeFO?>
zJgr?K1+P-85ZH*k;XhRDKPBP-WIkUJ>W8*FY$6A}ozq9`#wy>Yir7ovXI8fy4c?+T
z<4%f~kdjy&)2!~`F1B}ANn62seDE5x(AzXtL+GFTSwF6AxO+7%59^s{0-*Gjkn6dA
zN`CcszZ-sEe?Px70z^KuJska<ZxXeb#$CSNcfY^B%llf0Rr0C)k}KB8zsCk@gdQin
z#J-}&@YOT!w9UacH^0liE4~MLb>H91XTM>!J(E?wkaH;Mi3&rKr<5i0YiLSh{Rer$
zQ!jrk<bo7@OE6{ZqbD+VOxU(&G%9C#Gr=AOPC|Kj+IqYXd2D8}n~uKFQnp&^zX>iL
z`mSo>pShf^5AEw}cnN<CZdLiVen$GXtW*cx`n~=745&N3mp`OqulprrcC^ql*`x4i
zcAWBjA>t-wFW75X1z7t6Jh?bSDhjNy_e!gs&H+y{2}(gqkodGNB%#Jyb$u$-99cPV
zRbD5Ynirk{$xH3ly-OHaFLMuXdz}m0`~s!;H43PDC9PW>ckgRdUxud@{yQUWdCPzw
zD+n$e%`u$QD|Ys4IV)!lN5+Kt4Bmk!u&+cDUOvnhUVh~ySM}SEKnvBwpSmHG7Nz6u
zxP)2SV(6`0D}EZafSqcGYNcf+b%{@LzvyCHoo);PC}9szH_5>LC`w}l{spQZB=!P|
zp`q}-pjG=>btaGNMG64xOBJ(2j#Q~AWg`<Dz2iya(_L@z?*;JA9Qhz&EB!m1bq)8{
zswCvrAx?h`vxG`qdl(0>@?}X>^CtmsL_EQDU)Q$I`@lWN@I9gO3|fxV)<TfVMh&;1
zPzAUn;+=$PtAt8q0{7CMBaFfmC1Ao@y{leI@OIll)mai>fkQ}M^44~@s2E+^dm1za
z;R@YU4kpKGVF;4o^Ga4X%PZ-?<NnGxm#sM!OUp|kTbLFn27%MfZ^eL7ZBU~jzUxGH
zEi&A+u}K0$qTf$93Jl);YL6u$s{sG|m*$@5hkEzByLPY6h!|7gtIykS^)`391P>xR
zApoiU?cP8MbXu)c0$ol5k;71c6f%yTsTef+5VKr%+$L~gDrI#lWl8d~)V<Tk)mNF1
z<%qekrEVg?|8W)nog)71XF?e}EdMHIkHHDMUN%eNyjo>-kH?L3pL?T+tEacKYqO&P
z-P;0Q(CP)Jjo;mx$1-)f$zptE<_LcGU5wbGz_%S7;~-c|-UT7M($?dq;CYo$u?Z%J
z$%ei<?;k{xHIYlLibwLZxDzJi^K#so5Y5P$l04?z@DFI8h^QN**9K<lBD$sT_(ozP
z)c(z0&<+0$o6CS-Z)aBDrBCXrdj^jh-t+^HwVSsS`40A<R^O-V7+<)bc6OCYR>0)d
z8OdglC``?onZ$YnPu^lFq$~a?+im5LFkHMmgd5@|CGGPozy$1s=z05w15qFAMbobD
zirE(}2Ks|{BrHSa^>vGqqEfFFiv)Zv0U&C1;bi%VTB_w>6gbgD6?O6j98ekJBua)%
zDwNnS5u=*>ZGkXD`Dv~lFe$oL1oRP|c4_YOczI~{YyL*dQ^cGH7pv$jLqb|^vbYp)
zc}6m<oO@QxPx{qV_2i)oUES)@-4*cAF`HNEVm8w**X8E>(EU>g)^#4hG7=x($E<AR
zka_f1t!FTYsI?U>L8l^HK`|#{xDSCX{<&8oP+wQ(FYVC$-_qZw!4AeYpfeVIY=bAv
z6N9%1*+PW{c-D%ZDOOoHRAdaU)fuT%X2NSN-Lv?Q(I@cG-kZh-{_;Yjbd_xeHkD`S
zjn_9n<#b}qjkag%vuw-`^pvb1pa13JYWsk|rhKsjPA;L6fVAi!L=|%UC2{6G2O-}V
zWkP-ySmUp=beSLkM*(%-T*K>psW{2ig}S>5i9_Q|$UxSp>UDIl4sSe&g82Qw&?R?a
zE^&1<qP#-f?r@+5AAc~D3JI3sEOJSVB!=6m!Y!uS<7?*h4Sl5Jh^XbTvG8ecenn`D
zIR*5j)4GN(|IP5-i3iM;NQDnDCEJrTR~~wR0yHmR8LI{XSO{UM87v}TWb}EYC0l68
zvQ@-|H}e#eQnETad%uI-GTOjccDXYLv~3#lK-Vy)S|-0QH<!8D%19u}6<p_}Jbt}~
z5*cIuizo_RsU6=vz>C#zjHn5IPo_9#mW#L~zke=>AFhovL&y~p_TtdAJ){&QQ$Eq-
z)ERA}n*bE@R-ILFLtSmlMt#khoQ2S|2)@8qAB>^_2pxM5SReZVvMQLZg{X|IPqK=&
zBTc!Ra(xIZt6?=nkekPfzK~ku{}_iPMlJO=(%L^4tK>+o{wN_)APU4N<vaD2@tLtI
z(JA;4a(|H|(o}YO%ArOWps61c5=^xR*h+Xfx-1PRjtwH+(}Tu3Fx#p>051z0ph%X#
zm10{^HgQjV>whL{v3v)yrYWd)39*!w;Cj7?NtO-93J(NvtLWm3{+#O8p6KtD6By5A
zN<p_dK+J~sS!Z26lV=(_3*zWqm;tZ#0;Q}K$(`34Q$VvAa8b6kY~Ht%WDG_j3vcuL
zj_rN$vyV3J=WnM{y9ONA$^=n5U9$WJp-Uu3hg&kp_p7#y^DFzoCULc(^x5=2&fT$F
z!V~Y&H{gRn{fzt(6u_AasNlWo;s~n&MHV@=)`d)~eW=tPGf>u#M6Pt6-u0>=5vZ4D
zPXMmt^*YT{U<=u2herLzoM1h|3Pu*2*TYTQ(Wh&ydoF3DPHb%$ET#f*5x0%as$^H9
zEExd@_V8C<)>1(f%d*Z|Cs?mxLYsqPA0nr9Z?jrD&58nvjOY7aDr|heD2rn!%9h$;
zH{B!vDurS{0*@oUz9YZd8$mhio+KFKmcdgnQFsg^?3Sl~Byb8ucNe#i-Y}=y<h~ed
zkD)zRj>bOHgiDMfyyKq`6!*uZnM5k!fJGFmfApui(1S{9zUO;D;ja_$!B`<j3)x>1
z<~iiIJ{btTwe4C%m56%_mv<!d>MQxw8e*$DWab6s&?|H6B4<T_j3c2bG7?d1R|Kzw
z9;gbGaFoop`*<nHA*O5n3y)wDJmQ9fA;IE9>+2AvHf9=fCFTgGJJ(W-cHqI)OLkP<
z*+3<LK{^s=2TU8Rfx(iZM++I4R}Soj=4<1tAY-%6L+XXyd?mQGR!Ynqpn|9Yw0rfU
z*eO_MpZ1l?w35i9^v*W{jjvVl*)Ju`jNA^^D86>JBuPB20Pb(F$Xf?)e^cgH)XzkU
z4|mo(CF;*v-RmY2S6Pf4_kRhnHmV;qagufd$C{@QHY2O#iYTXt2w;hY0MTXy&C@Fo
z^OVC@lm@4oQ6p92Stzv>Oy^&c3q)~~6;AlsW-_K`z-)7>-Djn_xgmPZ&Oq?xiAp8G
zuTxv;y1+eTr3gzl&|}mbVW-v@-_Jj5*9C)U$RZ9+2BMB7lC@fCthmDW4CoLv-D7Ga
zCK6+~DTyg%2FKgca}%c<ygyHJqMJ3%$6_E_I#5!le@4w^AqnXBkQ7)q6yI{R&d3w8
z8{&RQpb#oVt0V6TIGQnt+$eF>C-=#*{H9=8oHO6<eoj1}kB8HtU4-Tc^$%Q)d^;(O
z1dq6HqcO=fTjhkd83m^Oik3T1F?^<FbhYi+!*2qKyWNg`b$N=z!Xv^P;<2-u4IG<-
zG$ae&phju(?~FYmnYFd`#<Y{pK^PZZH9U4$TExh^@aDKsvfeDbuMz1{57wxkpZq{A
zGyMv$>Sg@MP3W&ezqScw3w0fz2n<9z_+|WuzY8us6<TP0WDo^}UUiYDnzL-<BvMgs
zfu-^ZKLDc&J+2J+5^#z=f5DEck@BM^d1>#6(TV&ziBL38Iu5`N{dS5&xJ7;fWe*SA
zefPG$m3y!HXkmJ>8@U{GOb}(f9=<@R2R=E^!ovE&a=b)F+VKTs5I|B?g!rpoa&LUr
zUqUH?+3A~n_D+h~FKCcG$ac1_>vZ&8UNU!ZX?g-*ZC00<FEcX6g4Np1q6k;*9kA{f
z;=bg>QtEZx0>@v3D24*yvlHe~a3Uj-`n?N0%j>B2Qk<_a!PnO2*Ul5UB?*3|ZDVx#
zS&y{@76a1UHjXmB3~LJqEw#^^ML{(nfYv|XwZ<7a^J`OWQ1%R8S}SJT()8#7Rt-gr
zA!&X26ZMlI+u9*?1*_*BDb2PGIS&p&yaGQL#*^ME)o!df8mmW)X$Ew8>hH|kf*CIB
zGcEYc)JuQkVhTA;s3|$S{EuMqH&~M^hOifxs2PAtp73qfcp_?p6_YNKhV8iHO<qC>
z^3$(qj5lB;R$BXg4S=<bfQ}EfJ?J5wiTB<*OymxN3l0mXlvv8Gt2FNq;&$j^7ak4m
zEDK>*8F;;`|6OfG-~2Yu{rlI$=6<xE22QsdrVltPt92|a{`^x-0=6mm))-m4SXHU=
z2ddxH8(!!Vxwy80zmg8x5c_6P0s25}y~eKEA<@rtOz@EtZ6}9?QlEpPNY5b6Sm4WL
zW3Ur(JzfB7j-Az`%JbMxmQYK+1~fHwuucn_qg$urxSthnVi!mVivd2tc4q5G(Ko(9
zpG)mo2K(B9iHwfL&?;4o)vJ%B<q44dFL=AxgP=L4HyJ@_-Z*%F$X0>-H8SHHAe^fo
zutVMCLZB9Y$nFavu&KBKbo{9HYjiBkdE&0m9ao|qnpiKnUsL+X7veNTftE^o?qVAN
zIOQf+tg{M#i{f5LuXL6QfKdF{CjyBhqlMqcX1yXVyrR*E=A%}fGXy7x${1~QFFdj1
zqfe+Dl`n8uE8$|E1wn=z7-Ume4G#ru_z6Q#u@qX83<|+HfqIV}8m#c?u>E~v1Fhlg
zAaZ3I6El&2JM9vT5Zw{HdozpL1YH0kQG?k>I#5`!bApZl2YfZ*344}cS!kmThM5s^
zuyE}tJrfba&JP7ipDNN&cTDkpv1A^KMMg1QxS-5SRFErkt2_(Y{!@R=gzWcZYoP+h
z7OdlSNGt#H<Iaqapinq=oj0KoDqJg7{&f%zC}+c;uFsV49i@XuGt9XW1>Nzj7x^Pt
zQ_)Q>)Z-1^!yjyr-_TLO!e=M9`C7sg^+yY5$zS!f)I}Bg6h5R&&YJ7VbkZb^AD2WO
z1zhhv?s*oM2eFVsb#NR$wjjJi1ETSl@H4xz%Zzk4&M;OFfG{4|i+pU0wzaucq$C$M
zaD$7K^W+QxzJ!WMO?J$?nN$~y)c==BLEeaAo`Lw}Yh+rltk@^s8~(XGb_6XNd^O6*
zgqXh3xx#h}2(IgAaRA}K(iIJ=$w3i1(P$sMKg^EcCter*(+|q*1x*aD`s}0F8M9ax
zxe|`E$rq7)e1ju?AT$eir!9!E+I2CUk#a!OIhjQw0;ki_YVGhVCgal7irG6C@WDdK
z4CAsE#X~EdUM8h=!=7EIc>X>X(w>Y%XCj-tA4Iyt#^!*yKYq3BY7<Vif9Dh7)6DjM
zT0gONJdf|4yPdg_RE!qO2Q*kjP&-+!V6+ME+M*DA${cw<wRhpCnqc=KadI#9j}6Kv
zg8mpw?H@;w0ti2jnuUs3(7apUzr38{1Q$<rK*A?;pYpVITx_YB3_Ek+rzS7Z$ied}
zK&OiIOt^C#yOs8KVEdSUGtfuIu%xq|VhZdHLcM+(I)3HE*9A?o;O%yYz5ut=0=f)s
zx!TjiBnpjOlOmq4G&+z<dk6x5{8~u?{ltD~`UeZ~Q9fKFpIx%|H;j9hGOZZ<TmLLj
z<_;avfTH7&j+I{lRvwO@5v$4kQU?3*rP23{zeY+{>g`f^q^of(sJS=OBD~pB|IYEk
zUk%HLrN9OeOmNRdTj(kHi4A#3%e-!P{XC3SN#Y<qbetYlZOVyOsqX6L*%(LmK(End
z_0wUOfhv0cl^utP-5UA18m#D5!XUHbYSg|aGYj3em`0qz+YV8YDd+7>h{j|V(EXv)
zsY;q*mZvzz=BJVI$m?ymNJX{ddWor&9r>NvX!UesMd#1i)gE{@1OWFl#rZ=M!W9C_
z!@C?6c&SrUz1#t9NW!Uin*VoDCY;ij>8i|Xrp@(OmaRrm$qEk?iK9frmjEExRXeqD
zh=8?^UEx|Pk|_;awT^Kt48qq_yu37dFs57nlu7X*hVS0j$k(_5fIarUT0a^U6a~)m
z>}ZjQWKI&u@}c(lolgOAt~?y#;EiA}Sq?evy_&bG{A7#~dVR2)11}-nmWDO?=Ek=<
z5hh3oO7q6&Ktqq)%ex*C-Mz2=kh-46vv1XWIa$ql1Ud8Nxbv*V?I;Cu;UIBh4M3=_
z<SD!r5K-U3QZ9S1&vIwk<<7hMO9IOKFYCETuu<Llh}MwO=iyn4o6ftBgNF}h`M}{<
zvWNWK!z3oBq#hV?noksi#(h~4e86=*@~vE6WGa;YWG~lvdb$?p2WRLuO&pD)-(7?D
zm_evy8Gr@eH?R<V*j1kqc9l{!BpZ9?Bv_lGb$1vbze`s3iFpjE0)q61j%vw46Unv;
z4`|?YL$lfp_yoU#KmFy-hdH|o3G#&P*q73WNyaG)u80MyE-M%+Uq{C`ZWm7Ibd48g
zKfPUq+{#XsM_c`xeg6KX<5LMQil4p{L7arp`3#mMeHjLN3b(&bFMudQ9Ht36>l9oX
zAbl8ak+(C$BmB^f;m!s#COsxM>~{aLbYbjd{9FLC6+wT*O7M~{E^-XHA&d)U-okYr
zNu`93DBxuS$w-=|7QX-9&^tBPXLarOHKLY{Z8{;rWaD|rN+Fk*`<$9U6v3@XQTg?9
z8kp&Cwx%o3^Ro;gqRa+YR%G5)P0TO3Q__5@mFd%^#^aVu10s-*sFURZ=lx8dMq4m^
zWDyu2ks?#SGv9_413wx!a5G=K7%x7ewhv>F{O{T|?NjQa!VMNb7Z{zqr=pDZ4p(8I
zS!Rrux+nr9Nv4OfB^m5fTaiTDv(H_3=`LNLnXKxDRRtH9cx#3WQ5#Id?3xkIE!LAE
zNS3$F*pL8|=S;cBe5h6uJFsShXHzNa@5xX53?2yq1Sk!X83^F7oIFyPs9~MOeBFVR
zCVA3|af)V0a8as6G|vQj$2bDW{q|sy@hcQN5qvQ;vRIfrR#w+A*DEkIoLsF_g30@I
zpyp|ciBQcVZfAK&b90aWiNe>X7zVB0wj<+3S?EDhQ#H&fa1@xFFxZu+hyrNfxTBSl
zjp2T1^>$W#d^5P~KK0`F(Z$Du3>WsK4r6(pa?yAxB5Sf3ghuvP3{)kLa&_+(G8+l7
zrsV~0e-?`mplVMf&ONLS#hF30ja$03z{+q4>%RgUOAby^2Z+_!y~ZGeGEB#%QhDa`
z;bWt4M(eCb2%*^?E?HA0k*Q2KX~!yO#)|41gkmg0Q<RVRz~5OIK1|{c`-Lqx`AVJ1
z5@0EaugKgirv)1h<!Mhfz@!DI13_|S|LK({rEE^Sg)S8Dcfw2Uqn|f6PC_nwS0X>a
zq_*}+W?7p>AbF=GzLyTfnKN@5&i9V$_VmZH+Ju2J#wo_rug#-je!FbHt#C0o5yaT!
zZ^%&eGteq+Jp$cK6F1#U;>&PW&A6|x3NKvS(HGtW=ymzdiySB&E((;(Y?)DdYRkku
zc(YX6B`2A*E7C%!F)O6dl)?i<ug6asj5Bg&h@o+0HP?i(w4I6nbs=nJaUVKLh;{an
zT0y(IEL=<q=Ovh0=U&+jVRZ*iz{Im8+wjDe7NGVU6;=U}Ax?Q}q|U#*>p8lG59&mu
z(WJu{SQyZhQ{WqJaDtv57oY@rS6%-p`+%+v5CBELi`?Y!!CmB89?>yFKdPn}dWiAr
z2U-{W2dzCySzf;6(iE=P^`qtypa;%p6FWHMyHX%;0Y{#XH=l@8o>F<Vk5l9!Xk*#<
zFC!;)>bMx941Sgj`&3UMAqe&{pvuRN6ZrlX0Y3Pg+ls$n|7I*Gpwn}2{rNdvqL7}+
z^lbEN{$LSRP^U@i)lK13Phfx5czSDO4M*F^x}5_bNPXrOci#`U>=n;Pu9FZ3S>{nx
zwT1<ED5#hF2~qJdKJZLiwg`SZ3`a~j%J*c|C}~OW^A;uukbM97iwVOaqO-1*h+kC?
z4qPqYV;9Nx_rebJFb||=c=;`ra+&U>LIx+Ed2YD<Ce?{o%KA2cfAW-(HLVfiuhBsT
z<T9+|pdJqJs%QkwD`=ds3q($T0s9}32q-EQ7X)h`e*A$Qdh_@cI!p5x?_#pBivGe*
zuobtG*i*z<T=eAiE0H6p(F-BY5zh^OWajP&P`+-0)<TZydzRQd|K%;`Q%{#29xi|`
zhEz;2Q9&N$p4N*QYi;~vZtd|(!aI!c*K9*(Q$TDj-*oq_V8*pGP(1ICbb)6gKcvuC
z(6=;)l;ozU!i-{BBWh(7+%C#ekxfRC5CV}}h~(@p2d6SZ7FucKY^GVgAv-pkPNoE*
zCdgPp9VgVkN<a=<9gph*fGs-?)R-eJv4F{JpiM_9hmdu{?p2S(D4gs(2lzvVo`mHe
zD1<v*WEI7H8>FtkjAi(9{aPQH9yV`b_Q+z&o=BL0XD_G7oo%o30QG1zASk>8u#2hf
z>aVG~i^s38L<6>fQ0fH49|`&=J}@*-s!AdYnIQ)_PY>ql2|+>a+N`BEID?}EO9Y+q
zUU#^8L}5uwMYTsS`Dn3Of;>1zh)^LI?5kK;?cH6Eo|e6FID8ik$4)5_p`p|!iO$d4
zysi_$AqJYo4>kzGbdyTRu5M}bp3*ex#1U(5CNQze8+K0UWyW_w(yqzc$uN<0ZzWO?
z#tcOK{sYlan6_`TJLuH{yl-SM0{IIM0aue|D2mvzUFI0~kV~kF*2IJ$-?6v^gYOyG
zVDFiMWj(T^jdF*Rs?EIohGwvw9zSVi?}=1AlgAyaX~Kw#upzsgHG7ui6PrW@imw0c
zz`mnSe)H@sjc?d}Vzv#{Lk73%(<(HN{4_>b-h}qCz6+*KpwiFgb3J7i&PL0GxmZZz
z&MupWNU%`cq$Z45C4gMG<;WfyP!l(hb)0Tu>|HrXq18V7YI?6*_x_5!Tbh4IC(C*%
z68H9(4|x0Peh8$4QobqKFYTHIy(hOLDE<jQV-1CmZQ;}4VjDtq)QT|glzn6tG*JI|
zqoUnV7M=pXEj`wYgVBm=G(p%_<DnoE2pe0{?2eEMsrchXzcVMjJL?lyFg{#{D%=|g
zRY9m>EFeOmUTWk_-uG)17SQ+$%|#Mx8)`(kHakPq@`Ot_bQTk=eLWb}{0^TDOj<f$
zZxz8TTI2QZw~cs9v0k*Ut+<F2yH@5g7`_TCa+KH^eUmfEeJr*;zD)1ABnd>Kp4mnV
zSFw5|8b;Ut3D1_e9J}0an%ANFUdmBKY4aKi3y+h*JIF>7D+1Fe7(H=jJ7pv}XtT9+
z#n_^fPf&^`%zMR1JMAM?Q)v>smKfpF%paP9<%elwPs1X_hg>^EEQSz`WRZ$2koBon
zb{X@ssJId+tueQKd<PW&{vh|VTi`g<BMjH87uB<I1*9D;{VBR)Oo~Z$1pT@AHPfhV
z(n#|g2L5D;!`hQzW@%Kfr07PG&SWri0wK))iuBm+r<Cf9&2^Rhu#uIj5MqOc3H23b
zc2^*oVj@;@OG&5{GcYq^Og8I9Lf-YLB(lZQznX9NO);1)_RC>AUltrXAwG=${$dz-
zL5ihAu7*mqYQkY$@=O<(K}A3XFA4u6pdk8I|J$+}Q+C4%a{FQ0&8o3yLPHpe-vREq
zj3&farH9UDp@J-pCaIsF^h;SaI6!>i<xfnr*dAkW{hxMRFmK<O_9h7+iU1A%9!4Z&
zdMa&PKJg;47HMK}36^(NZtm%9uxN8Kao7>10T7g4Vo#$7Nt`ept5z>w*c&7nY*pV}
zuOWu{CDVWvmJqEpf@BjV0*v7=vgMM7vNjT^MZjsqNN!?lG%b4^5ub%15WzEtQgYjH
z;lh@?yv{7;TE}w9qt*{K=WUm~%BiOvNmLZg2NQuNvSiQegmATK8e;8mB+~rULN3#F
zI%Jv(V)H%<HdSUcb9!vmOG#s{TbK=)*wI@uSX!7h(BSsyj8Q(wTpWe^2kl@HCsgtD
z_s+)-_IP%FwqTIh2*&V>aE^IwOpyEeqIiCICmWW8z}neT*4RN{VSi<BfO^0$8;>th
zOhO^{JrYP=s>s915aujM4QfZJ<ggc#h|fbsRh$rECzYz{Q0#$E#=Wew9zq{psMiY*
zntna)(?Viz3Wx^@r<Hvmz}U$jHY>YWq^3SeZy$=Zn{tp-zgZE&yjEsE4IgfnT}p~p
zIH87SQN61@M&}&O>&gHFV4T4<*jihxax3!FR<(V;T-46BPSY%-cv44V9j!QTD>%WP
zFQ}6O{=V7DMfJe!je%3${WPjs79wSHbf)F+@5R|O-w4jKRbwy6R}b+B&Cp)70pt!L
zH$L+K&L4I$B?qd_Y@6*JL`-@>#zl#sz%%#F``ptuN%a%3U-=p&5r=!oU0fnT*fUJQ
zERfZE9T!qpi!gnR1T2nS#3|59TY^&+R#GX@2u8HQ)A8e^kWZh_hR)|V$C?a_j%s0c
z{ax;dhG9&>0x!pjAU%cD1GBLWC(05vz^D$ccxxIzd2r#^-(h1u&5p@RhM9*eHR{vq
z=WM(vlGItJPJ!HBV0H2Jh6?7iu{tl#S$4i0s80lol))K!d#Nz3uA5DFxmo~yvojq#
z!UG2EBgI6vrfUg+V3~^(IXI4U<E|aIcOlgyNKDYG!{B^#wxV1d&QL~`!#Gh64^1b1
z*EBe0nwd(DK*Za*e`-uV@q%HFrphVJ#cVlmXZPZB2ZD8%N6*q&8}xQh>ndKx<3#<B
zXscl;8y0j&Bpp?qG*dfXqH`7TL}xzZd6Z5oT<c!Y)aDQ-n%C0gxa8n<N=ie=TTPXr
z6q6ftvqmnPkn38{kO|p*3bIT!^irC(tmHyfF%(q@$bsrXR;*G+p1a5R!h=63mk_+s
z)?s<bvY)vaUr;m?(7}mH5Rc-@tfe<s83?qH??r_Ny++desi>~aj0nsIX)<}kp{$>_
z(}`_@w6B5-y+&NGZB=lsHA0bIN-F5N34`uf9CNgGaOm8257a>yl!l{=#!+F*u=bX<
z_%P*&G4wFe!J=mwaa*d3=GxK%H*i=8xsmjN*lj#(1KSe3C!|w3HqCNE4<MhXzR+s#
zMt*<YM$m|6tXLaVl;!bieIS3*@1VAl^EQql>r(DZ5Kp55X1PQrbe1KmVCko+<vc-R
zojar@26D=M(5APJJv;=jo%uc}kqoCCV<|mC@@k5s7zRdE-a(l|r-rkUgCEE;#T(dx
zAVVvB*`ra?!fiW<T!rq3(@*PkIPAHW23Gm{P9lxUQk47FUFb=nL|S0N6=iP*ilOI&
z23Y6_#@KyYwfAm+J@_0YbMn`J!tmNf`GNB!9@wcr%1I=0AVBn9WlJhHdu#;c1mBFK
zQMO9Y$l7Lo=Lmc7ummK}^rBi=HN#U7HiZj$b~3R#1%9YLeo42B#fN!gix?lmocX(0
z`-87eo0ju7zejB&Q(TNNkb+b0L@9fnUhyIxVpLNixT9jEV)?1WSN_OM)Bv)2GB(Sk
zUd+$2{9ZJ{z#OQV)I0v(!}KAt@F0ZJn7UME<(L>44Bf^uw(Sa0Jj%7k49^e@HZ2<U
zQeM%U-ITat3qGpM4ye{?5d@oWqoSep1FhLjDm(Nb6YVv2Q(V#}4$7*5o5k8R*X6dM
zKRU@YjO{7TaoFU7r2wDff9cl@#5QEG^wsc&jX#>jHSSvfBnn=)a;w_cTDV!1sb|tN
zRvn5l8`uzKids(I9mt=u_^okH9$3kV-fi+L8VZ4lq0kZMNb3<zy*2~HwN5J(mI>n{
z9mhfrQYmy1@N_e+<-Y5q(FvPRk+@UsVZGqn#4vHOedP^HaISyj?b->)3*S^upsIzV
z+E$ve7llI^rc)K^RAo|VqUnKdC&MK|E;2EkH;_xL7FOzuUXyj9Gn&V4FT=5f(ptQC
zTg^<((3jEr9?h58T9X4ZI*s0uCVY|KpbN|1a)9~GH61=(V!i!qWs#7*7QQai=!F~7
zkk!{B+ym&)!$xuloAaYyFVs0I3!l_Oo)yj?efj<D!oiP?#7rSkzp=|{DfKPyG}UtU
zkhRNgTDYU_P&K)Jsn!{q2|mxz*@x<Wr>yI7I&doivW-cL^{B=gvQc*oVf;&do%VLL
zy_uS!t)$d#2j&RrHR>^$yzeoSIt(-->DL}N>Q5XdLD#jesq0ma5KVT7K6o($D}t(R
zhJBIJ7Y7|l0~sFNuf2(Uzu8cDh-nVqfd#kb7xB!57>-yY;7cKe(drGf(ha{R!j-X6
zGGBzi6J!&d0(tBJ&WVW3y}HjI%9%2ua$GT0X6tP{XlaIRi=ziu-~^S7+j-o8^m3wm
z2{TIVP9#xf^uuECgxP>}qizAnxPP)esBU}-Ws{|e`#CDeilH=eCi72<rYXW|6;*h`
z0ymJf*S@EaDmR$Gvqua%3tQ4ExoUJ=^!OTCL{maAqn7mg*{}^7$7`c(a*rw_=ihGU
zh#<d-r~L3(km`6O8KIZ9)iY)Thr(8Qt$OD*bI()jE@NF+V3Xazaq<`~$_DvU$*Y!u
z@O;AYu|J1SyX*4aB|O0{5MW(-%mm^&V+!~Dix%W|lC|2nExFH3-U@_I!@Bk9uuWEv
z%41vt50p3eOfXuYxsR=MoV^_s+wwuIGN@JN7?W$Ypp4HqF$qzK#gCl{AniF%6Nrq<
z_@uay&}trDfb*gXYKsJ+iiI7IV1d#RgRZDUl}6v5XHsf%$xI|2uAmpP9$LX2Rx8|*
zHbZ6Z6KAS*yn-MD05TlMu~f#u?V(CH&_Fljzb$gzXYopyn!Y@dR5Y$U-vM<0+Rh<y
zk1PUJ+YXOifS{iFqZzZKd~fw;kMtFOVbJ}Xr%qk`Y2r$FQk1(=BO(Y@Wv`+X3iUW4
z<ai|SQ3Uu$q3kwn>8o<bgxw|%hXnK7ip8Dnm2@^K@LyO1$t&3Poh!^Fs`@Ay8l1^(
z+DWJN^JnltLo`3og-Ztz6l+6e^%s1|UtxbTR7stk>Pue<W=5pxWO{!{N}^(@H*=QE
zlidy^A5KtY_-9ZDPlavC+2AITPi_XkQBI`DFn%(=JD*D|hG)>c!^_4P$vl`9>(;^7
zjD#=G2X`GHr3ZH(P1BrAOLyuch0!wV>62;Dq$dC6p>^nCG`iQX(hc<^YXeaa<4{8r
zpk63jJ6dNP6Zv&x1>2MBZT@HZM4W-=1X{#1iIExe_bh0vsc{;;I>Tw?Vprx|mo{Qw
zE9lXkxC1DPsnudciCp6eRoAw$I<`9xG>017mYhOJJ-h4h4IP!r(OcL&Q&34vrv95=
zHmo|fYwBAMfwT)^skrMebT@L5fni)=nZew}5~f(y;e)<0vSdYG_UvFKZJIY#8KV`W
zfWFcQffdh;Tv~p{@#2bG@`xF|On%1U>I&q@tzIP-Du!ugZk=RpnzM<xxSk+OV?1Nx
zM^q*vEIQSwHpXxqGMJwA4>(;F8W-TP&2UQYBX6a)PPBNao(CjcvaS#|j5o=J{lMlT
zDtTJ${D%sqS|blHb^c6k4m>+ADxRKGH;kmUE?v61kHl@q&Zlu3+hYW{>*yw)s?L`%
zq5B{Hy!t202bT+l?pxiu3LEs47s9sz#J=iFLj+#Gq#ZEs4k8wi2jjf7MP!=o^{t&9
zKHi;OylsuRO_^F}t(DC<a_|?Q2ellU0Oo9k71s+#`SQG~HF(3XKdk|a{D1O_$Ez5>
z`0mkYI4nZtY&nS#sq>31GP#5c2jm|-VA#O6O1f-3`d0njc`LcDt3`V9pkUbt$WT+M
zU@lA4BQF{iU(~?ZtO}MD4O(f%6Hp*fKk&VmZoCB_QIq;Ohzw%+w6wnV2JHcC<xGs(
z*2~X^AbXpehD*e#F5Ef6**y*4SddJkLmPp#(Z6}@O_don(DPXszBA!UptV1++;<Ge
zqm`-j75McRhO3DQudW0rxgTKQqKsrs1T0rTcgY^Rp)!fd3L)N!g^FRC)^1I2JnmP3
zsB1U+-cqb3yyzTHj254>+LtUtkh6u8=X+P@X8xQUtkz$utI`N@c>k(3({J!+!?5%J
zf>^NYr@wFc8&R-Ok`Key2d6hw($CZRP#|1{%{lL)Sxr9#b&*4O=W4{pz|3z^62+Mz
zw?Iypyb9@|z>;B(At$?ONurEw3cDem{Y1AK50DxT0Q-Qb({{*h)ODfZqzhWzX_78?
zuIgC}_KRE26&bWqy)Ug$MEHJbn#QD+B~{#_WmU`|16WO>vQv?!6i%NF!E%C!Qrk+7
z2P`i3b_!^)<!TN*cgOi)*-&5TS-?okG6PS5WXn2Z4GNE2Tn@!apPg31^;$rOn{OMy
zO2zaiap~|No_0(F%oPB8SfI)Q%`FH@?kpH@p=7_JT&=n_J1=KS_Q!mAWwR&=@TW5E
zn|a@cbkVvI&N@@xSL&erNy?;{!+vYgJ?yEkvsOyhNh|!B2flto%Hc@rpN%Q9TuGrl
zNv3Pv=VU>jvX8&KA#>-QZq8dj!%7`1-TEoCsu@H8YG=!^JE5~j8!N%QVHA+qQA|~d
zJ{M{m1DFnGM@3irgbz2f6JzBu_FAPPAy{Y^vV$h<7oG7-R|+;<^p$k`l1h_s89&TW
zHvM7bHF026G#Qa*s~8<hKYc;*Ou~RHNqF{VT^=I%`U9|>J4}BXM}6O-D(^^BT1*Z-
zrpD_oci@gnsX54K`PThAwHEQc#phI78&|DA`D5^R&4L=zUz`Q@7rEO{oMbpevDY2^
z`W4XY&|<e7)wV)J_FS)-%S>9eq~r{O^FahM&SABP%heQ~=DXC$xm-H>VP@17sEZIq
z_~bOT<*i6<Cm`kVn(N`R%YQFib*AxmntQo+=)siCGdj^*5S(MIHaXa6l*!h<n|FZ)
z)g*Ruq)*)e5a|B&8VKeNf;V`4OA7!I05}aHp53{wjQr?f2;J3d&+*H@jRWu~LJI5R
zbGjV<mC;19CR;n}PWzP9&0W+!#f{aAP7+S*yd6){;%h`c9qclhC$$t%f^Tp}h?Q^i
zpsnSau0YCuBvg93MMMkLlrzkFuW1_WlrC=Z{evV$fY8F9sA+&rS>0@0y^`Ljzq-Po
z#+!eqacC5+)TTt9rK)T#vk+k;{vxY!5b_`Lj*<Xs6_p5Q|IA*bhtO0E%oy)jK0{6g
z3E_Rc5Pi;rD}qO~R^*A)v0VX&Ik<TU!khQRwD3Abx^`?*V0i$4x(Ak1C041MqP#gT
z*w~kEJJ!6!uIl{_dkC_8H<>FlZ#rL#z6VO=>2c<CIJuD)a1nPmup-Qpc?74)kBkKE
z?1`rLtM7;${%EVb{c76b_g}F~47Tmz=lS%#KHLDF%xuOitxkP@W-v^OC~52K!R%-f
zKUr#lOJJ-)io=pnYGubmQAJRpPvway=Z3x+p_ol@!ZcP!{ZU^|f0h%`B!Uvr3<eXa
zw=%-%ZZ&1+zM8&%HGM0CR#~ix*05b4`y~LsXIQwLy*kip8zk&B)p?~`o<1rM2p;$2
zv!wh9|E;NPT4t%dwQQdRc2<nFe)PN8-HI+547ChTO{#<{qnn$MZXalTZ~~>iuJ)^_
z9RPn1ipUo@8&PXr+OUq{JQ4bX2!5GhdJVOT-vTc18wW9?<1)1S)Pc3KA$YA)AiCIs
zkiy**<*!9tAlSop`#5fmHYiR_XlFLHw!|!IV2lC6Vy3y2J+2}Ca%goOe{5qaW#$Ta
zn>cgP?vI3aCCG>wYp|H@%lb^^%d|0-ZTb=V-CeYi%-^CCWaE$+&E`WNP`sEX8~mt;
zMy#NSiY=)P_nFJ7L0+ExzS!9;AlVl6K7+y7s+0&ppEBl4-w!0t##&HA{KnGNe_zzI
zz>WDpAx_WSmmwmv)-h-L@EAySRv0W`=2Ozl12vCY@=gJ8|I^r40LAq*`v!M+2n2T$
z+}+(5hu|LE-QC^Y-QC?Cg1fr}2>zD;_uYDW?|oHUy*+dK{7&~w_w3f0nH~+nvNFwz
z1aJ*(<|jA|-|!ukYr6@u+_qG$D)KV5t;MpELVc@C?nzy{Xd7B{UpZUALJ|T^+9)<e
zWSTY?dZ&6vZeH#7&V(+}xdge^jats3ZYfCY*xoTR*RUWP3y#{793-2{ByO|VxDA|2
zt;#{J(fKbM`wH^hQg-YTa9d+@z`z`e%&K&Bo`=ye$61Or&(z=~{2aVvkd|PrDnaN?
z(C9&(`h8PEdv9c;JG)&Fks+n|SJp&03?0`VWl(jF4KnHL(&dH<bc+nyJ(3cNb_Vzo
z=u6{Ja62dWxaDh+svhMi1l?@o+ZarDLRxL%TV7KJYQ|e%(>Y973n(l(Iie)MOCu@6
zam$-lD;>y;_M{e;U1ty6>5j^4)cqrAU>c|(bUA=1z>=zk7mSNXZk$OXj42Vj?!5D;
z%a)d$!Fl%&f8IdZOQpQns(kuv?f0JYTh8SKd1jrNQ^$6t-V4^tasC2!#0V>oK8u+c
z$b~&hPpv|w#+M2eG6h_)GAo3u7-7AVV})j`N7f)fnC&Izi<pK8QlJ)tiAOp+iOoR&
z3%RF+8RSey*{}@~Nr3o4?o3z(U7!P?ZVGNL>b!(Yjfh?iMqAsJ@^F_yZDrEI42Kg-
z1)Kl;k}aU}+&^FKy+>jB$ym&BK#9Z207Zjb2bV}szdjEAuZQTp=G`x=^4DPfz7;0r
z<GWMV$I2QhP&xVgdzn_2xrpJ&kV^D2<Q(B5#l42c?rD!!`9{@Cz)uAfw;2N`b+P~o
zrKA1_xb7hC31dI*s=+t_V%&l#^%UBzdg9Ug;V&u^cX(((f#5tNN^PN_hJTdE<ekGt
z6a<Emi8E;zi-Ab~(6d1OT#+src{1@_LE2*ALIm94jdBW7$TC1L;KCA7oTWk(p*}d5
zm}^S;`>&E<X*6+Ms3SMos2P!}B)s(kv{Rz`lpYz%(eyrx)Hr6B+Gn_SDtHOH(POMU
zG6do%U$TKHt{;T*WXs)2ODZ9ICNaGDzF+4hu@58im@6ytztid0vI=ol1e{iJBO8Mi
z)0l4}nEFBc5!sWLf)uc+Bcn8Z<AUtvz+uIRl93RVW6Be*0hwS1(~fUt8{mcaeG2<3
zn}!0Co*&?}LT`i_ruup~QbU&0#3FOpKM64;zk{v|1IvIQWJ<5lJtPcD&DP<uiXNxf
z_}eNUP7O+o+-hz*C5YzE9E*;!VtwY)91x)vk-?=8p*cB!nw%(aKS9W#YfC@lzArvj
z_NdY~UhA8OW-C(A0WygdtUuCp+)j#DV)l#B!TcaLC1N;@XfZtvjZP_mzu2rf17}_B
zln^08bEZ7;T3&W`-56OjC$BONnS23(8b--eSSmO(5?&+{x#%{;PBgk^6IM&>D-;8)
ztpMvqs=&5#?PC*+v|ngh7UJf}cIMEM*{VSXWOWI|;cg<pa~Kz6{Mzqz4etu2iK1PA
zj$kaXGtCi$m`{?H2$zg#{f-jgU;o|Ah>K4W6oDZGS_*lS^(>xtbItfFYKBywR=9cx
zxu=`USu((l@H;sD(jn~D_`=h*)c|fB$ILfFWDWNcWIZv@_6ixnjDGppXW8GA1~O^}
z*mbLy*8wpFCPW}AH)9u^hmk4#wrzQ1Tj@BtrKIN>V+MM-LmDW(C?^e|_$FKYh)HI=
z^utw+Hho@jLLzq2r5S~+6^!s3urJuO#1E1g*!D$%S{cq7CNsMCVd4kAGbXfKeOcui
zWa&vFb|)VG7<kN(G`;N+iNu!H{4K=LK@o&pLO}>_bA@;O@bVE^*lqPy^`i2UKa{ju
zb8(muCGDT5sZ6o_{!9|sJslk%z{on|H>L#_NA9f>NZpwCpD+Txw5`gC5L;d_Gh|3U
zv|}imXB1$Nl84aZ`?j{YuCBU!x~nl{2Xa#%8{RLW?5TwSUy6oGvj}?hxQa~LJ8Z0D
zrKy7Z+oyw-mUnfr_&-s>sfq{C8@?3SDD9sF>mu<OwNqGnW4G~0)=Z+Q;0IYjz|et)
zSEOqW_ze;l@MBXeDk8wBaif%;L*tL#f-=5xS>f(^5SiN&L3=z)D8hqkm184-ffH-o
z7a^;CX>qv~Yxtuzf1d`TR2Wm+=ip~RA%g!S?77i}>HFw3u1EWC`qGp>20cFzvJUS`
z`FSi$s~@oo1{w4o>M0)?b!sHC>liRrQ{AJKL$X5mPTD&8WHNarc#z8wrnC4Y>D}=|
z2YKmW{4>nqq-Gbp(7Uuj;>lw1DOv2UcQ+Dm5N|U~!4s!x{P9sn3I>FRJ-s=#s-%!}
zR;ZR!*x1R59V<*#J9@adaXNSws5Sh7^nEjx@FBRN5GsnXu<E}%d)TQvE+JSIVjaOA
z>P3p=ZxWgOgC{V9tO76KVZJJbB=)Y`RLJB)EC;!mpz->DDd!iaelYN{g|4xKr3r^S
z5b(kKg{*4_4NgD$@!0xexmSibe@oaaNe_-1<fEp5raBJ+hpro&=r22+T8+Gkx`*@#
zMjNKP^+ZPySC<V1q5)u_WYF-v03a+m!8UiEXD$F^qePm28TNx<9e{5qrv*(|7a2QU
znA(F1hl7&n?V)dNn%(?p1r4D`F@hAxN%^$_hRO}LHZki*OuXjo@^SOg)vUbBI{`xA
zzZhO1<D?{&fsIj`1@iKg*RRmrcLxb)MmoZTU4e$4`}|&XI(_jDy{)l=+4ck`5IswO
z40ADgaxCUL{gH7i%>3ZhxU7DKm5@$QLVdQ%-#Il~x@`arNup=ZAZn*ab`~3}R-vB(
zji|!^7|1LVVDCSxlyLBNogx}l%kL6COa$Na4bpBxDK`kUM4irdpu{iJ$|E?L90g7%
zL<C#V1j|V1tS!|RCCTKJFXB>Nw;5eF1>!L5AfhihN2f!q{a_HZ{XhlUhrp|L#HUq+
zR;@qC4kyS81rBqp=8|5y0Jsb?q-1QZf;*2Ww>S_Z2TO-tCnSrNc^enG)RIXKS{l8u
zJ3DqMXZUn3hr$CjqKRIXaVsirjeR9~NMeDA$Tm(hVE*gtcr+SZT$98=-8dA(@rwVn
zn=oeP$cVs(u0<73mXFIjOPP`AkC<hw@8}$XdI7>no0}VwFB5aQh@eGFEZ_(}8*LMA
zft27fsSppNmGE%5Fs#bRNm#lyT`CD4V4T1=DOYtjo|yEJ+45f^wleY;Hu1xFrSidc
zul+=2nXFfT>hACdV;VVwJ-ie57yTW>T4owloeDFkJ+GUi5_Xb-q6Z^ZjAc?%p$nU{
z=C>jCPgt`&Gxu>=&IEzvA@>o8CTX^^P>Y29W4W9|Aw%>O2_}n#iA&vFKcgEH2N~1x
z^3dr_b?i(?n`^#sI%21Jf?8C^L*_1H&=VJ2!@W2*+UzS}hzXK(_<Rwg-qL^IGf0I#
zNDnSbEqQastE&TV0WT!)q}<%7=`)5vP_ociA3#W3J+^O!(zG6#=`F*Ax@H}1(GXxt
zui?vBYP^Zn73OI}NQ>nv;R#<`cNw7rQwd=lj*%<KqqIE^X3<TkSWCl$Y@9?V(u;@9
zy9bQ{3;&nQ3>>&8BXFgEhHj2Z{~|z5%@s@N4PmVPq$s2hp<FB|PdT%cJI^E##GrjU
z1VdjhY17x<$4ygOpykkSm`4cKEX@)Q!<mbnUmh{=jp+Atia_9H_4?{bx=_{EzrUEu
zVZ-?qZV@PB$qp4WAZNi10+2WLgnhqKK;@%ujP`8BLLy=DSnxzZ11M+tL%)6l&ayL4
zMFV6{2^f$3IFT?Fhug}m0y*b;P~ZmzdiR^1gxZV?A<890%Jj6GnGN#r3rjw%_=j#0
z7I68NMxgmHwI6b^QG=HjU=C>EF;-lCJWVUHg{hsC#)Az_@HGnHgY-W@oO2Rd*Ax?i
z944gotOJQkfjNi*A;ooM%#e3*nFIF+Eh+>t?k^BRbffg9a)wP!|Lixr@*@8!IB6h5
zUv?Km!YwDOpmyaz+B<1$X4)FTI8hY$O2cssKZ6S6ngx(P);Ow^PDEo!6%0w1xFJf)
z*rvmQ&?QaF^FTBGNGYa|gIg5dlp<lKVBiZ@3m^(HR#0LA8j~IXd&Ejp_6~`@V24LE
zYQGY;EO%8HTtGJ1Np_8V?r!nebv$JNCV6%x<BL^)UwN;yE(|N)n1_izGIR_9I&>ol
zK9SSgwu&64uA^&b5fElz?@v^IA%EMC%!pnHCVfDAp_k~#+Po|^0o9gF-KTxGQ^Pc#
zG9>@Kz^09>%@}{wF0!f!tgw(YIR(2KZ}$rLcdMTtf6xr1;2WHjgxgNafp(rzXB)F0
zni^YVuET2<sjN}}1mX_<q1E=}sC|=xO0m|uX?hxU1OmM~;e^-_t&Jz(r^!Y`BdW^8
zaL*}`eSA*xxIpuXBPZrz{A-S+rP$`_qfGRQ%^WEj34uY7(CPhK{&TnzmqgET`r)BZ
zf!C|w*u4L91+kA6Y?Q+GU~NNwp19G?@Nn)<S$<2c3JKA=?5))9#M2-NNZnV>acFEF
z%mQ878SZ!5%Scamr&JYY7Z@WtK9Fe_2-uuk&NGJO76PgKBzTRAN|e%(=|lz{U}PKR
z>AT{+kw0F1UAxl9fge;3V{k}xI~@N|$tT#$u`P#foI3GaYpQz&R}qT9aHnk5gC4;&
zdmvbQxq$}+bdHL*@~DiqEo84Ta_FJJOArmU^?WrE(HSX#negmG4Ze;dlwCk=p%cle
z-92SdS(o$U42$u!Hs{|PMh&d#cxRGic@bc8(4CbErkL~E^}<lLzbMuekzSRP=JZX$
z{$(}4&K=tnKeN9cdX+s|fP*nu?E)ui-^QFD;I*woO8`@Hfi@h03bY$^s%rg#f>7@K
z8Q+wQ4{<uBQ<a>tz+Re~p<EySD5!gF?iP{_lZA)bnz3Dof!?Z5ca-~kwSG+oJJiv|
zd!EZcla-VaPFQW&Z}j@4Nd9xMZMLQRD)oMR+!U6tKL#qEH1%_yug&)#xs<%w<)=NY
z*KvSpC>D2Wj%KCY-Xq>0K2y{!c6xc|%`d$NdEx3zUpW=&$ff=s-VEeRbu*X(HCt)-
zE;x(>x$J4jjjCQm^B!}}#X}<K-o|$L+~YLa<$Qo(NuU-j?)ge~rV<e-Uc&uc*9Qv1
zPUlUy-EliSuU=fezVMbzfhXqw-nd$Azj>u75q`2>el<fwZL`&d2<I8Sc|P^*DbYNY
zn4|fb3`=-|5JhI~aXMs#5rg^ZqSrCM)zxje_Ku3a=b`A?@J<PK#{ch9K0RU(a&_;D
zK0!Pydv!$XS0B}lHflZP{9F2n;a#Lk#x3$c)9pKd5#DC*NS>7>-~P8vQkm=*@NJvc
zj#DfSydkx}N`1lQ4bD*FkBmo9<V1=@0m>o^B{s_Wf(y%TBQSqaHd<>ui(GXNaxTb6
z$uRVZusp-{2WC$9-3BHg^(-9S%sG|scb1jbBkxAJi#+)zI@z!%i+m##Ie9cZm!hS?
zS+W<R)5wF;@^u&y1`NOOkv*j*75Z1&<jf<Yg?yVV3fP|PZHj*)*432rM5(#ZYKLBS
z@=^k~e31wy)?GU&0A9#`lIhRtE;xb|F?sh-WCQ0+VK|O9S6>N`q%8oY$q5AL7XE>x
z`OAtz(z1(uR|Z;wUYaj1crvO75((`>#rV=eqyzg(a%s1Y)_oMM`b`+zLE<ev_`B<$
z!`!u6a8#SSnF~`zcn7X5bg+#m%0d2S14)LNgS@|!k7W){>&Sn4j0Cn!65EQtTtkSL
z4|IL8MPM#`hm;4|x22)<;kSYaj4%08cnI9D-Fc`Y?_+LHL&@LXih-W9edtjIQ8TNB
z0CD|E=;wgM=9AO_$>5zL2-u+(9xOKV25uPyJaE@KIz8jWqI`?ADqOPJ5%>YZ$`5;G
zYOSL3!0`tASW$-m;Oiw-pc9*5li81++wshW=%1?CFB8*)b$o`<TCn)tK{~(4P~>3g
zwn866n6d*)7v#WF<!6ZnSc=4yjye$x0hLCF>H1=pxDAJ_3S?YA88sji`pKvRnbc24
z1Ex!@lulotsHPf|rg5q@4v!I;^kGo)7fL)#sAsLB<^Z#x{?Cd9mGHz>Vq+vfb`fcO
z(!ctJO(ABXz=kSy+{lLM@aJJ_2$%X*hGNY+-X<|rNiJ|_fVM<|#0yBQ9CNx%(<&Ci
zU88euv<G1HXj&mmaS$+2?pyhsi=2a7BAM(}1!o5R`L(OPI&AVtr)N#XYLa<<SkWmk
z{Sr5K+`C!Z#FqK&4~PFV+^VK8iP=aejPRjwq?2?v5qecCsRj52d46zyjf^8aGno!i
z)mQ*Qj}l>NT{90ED+W%oqNKlTX^DI-OmCW7FD77!+^dU;Va0}QEh2BI6;V3UlA=qT
z53tPRMM1~8aNzI25Qv>kgWj!#4vSb4#`=_M=x=hN@dFCw{Zp%g5Y|kLP3cwJLaP&=
zGtt^&Z8$ZUiFO@R??yw7A;5N}55L}_Zlg9q@_l{Ka`#Ysg34-haN?8sQDuyD8HcKj
z(c3x^PQ{Sy%0P9<_@ii0>u_)&WjrGkH=N8A@m8P8jtMGWP(TYMW4t3J{)q91@j>f^
zx*L6pG>ou_4WeBV0X#V;Xa@9@z|@?7FR8E(S}(`Q=XFA88{%U@#B<wNA#mVrmkIpV
z!Bg%alHejkgYcw`-;D`k8Casi2X*+hCg_Rx^lh<lu$aO|TGS>!1yJG5`v5f0Lf}SI
zgPtVz-!H$W+S^`@WQ4bi9cr!fF&^zA#&KXh#sEzYH=J7VY!HTmOYx1Cama-p%P4)J
zRFlB+5ti?h-Vo~@L;{V0mQ4AqG{gLNrSFyK7gPZd`_QCB4yEx~R3low?@1)TCp6aG
zfNe(bhCZ*%jYrKsite0s_Ph6#E~FVp*7AwIfU5$W09wEd<+Z(LPWR*OO!8pMi=rDW
z_;r`w+Jh&Yt51abniN`En4X2qtC?&jOp(~_(862%i0&k#hzQpMYTtM{heVMa<R$E<
zEGL!+Ieh#_F)+Dmv9->J5pwaJ4%%LY3&)MyA)PEo+PeAHH<#TB;wd*)sLcAV;??&-
zA4H|PViN9{p$qz$KN5pWn>%JoU-^!{5*BdG6>=lYq5IMdX1OO=B^F$JR*k;q<d$4f
zWp9a6y%3Sl6l{KT+DfTlUv;_&y~|xUjD;Y}T6+9x6D*F-_&6d5jp-cg#z9084a~uM
zB2IHp6sw?Gwrbts4A~0GMak3KGqUbL_nzhpUj{i2@y8cS`rA|HeP^h-T&OyQQa4@_
z7mh3)n^(G`qcQ}UH1CFc1jrP{H{hH8j#}KTU>ra>6AU)i(*;0C;>nrIYm2eXbeoUV
z$D89=$8Cd+fy^sKUf+5KC-T|hYg$1--Aw+hKFAk;KU}7rj}41s%b^{y;*uZ7!VMC>
zqy%UXfc|uc;djae7j~$%O-*a*;4m2-_8*UsNBuX6^6<LztIKC8wAZ|>-5F6_1MUns
z0+R74<a?X5C*%Z}st$^sOs5XEVXcU1Hk+)S&|JpC#f})QJX~NKVkVircr2}7r=EFO
zuw@e;jeh4V?aoWdA^1&^rY=y*DId|@BSJe*Z|7>~EC}b3TU2%DVWpchp6Qg?k8$Cl
zIcFl7_!A`iD5GJe?(UemU0XL87&58rk+R;U5C%-13q~7SQ6_6_j(y9o%hit@C`)n+
zcBtZo%q|)|e*R0ak;nFjl$9k{Y_Yu7*^7Z-O7v$W!3OK(tNXL-=LT8&0<c+C68%P|
zZocWk?OU{6NmIhnhWHgz=C5)r!%>|-r@7mfa*F>XC!)FjG4K=VY<B)r;PiJ_`3Qi+
zWzErjn}~U$0i`(5h)C=zQG5YNhThXV5$NQ!x-4tX<ZWcG_03L!Jgp$arfT?{gE9JL
z#28*@lvL$ND6+b=c;+rPo|ddMOxhge$Tzjxefr09Jx-4~D_`7OxH?jw?1rFxg>VLq
zdL|}RI}YvWz+>pQ8Ex-dcUw!m%EOSggnSaoRM~gR+#kq9&m9T|ZNdTHi6wG3;;E(|
z{e2pA7nWSuZ-<n!ArS;lNa}3;8Ne<enA9gKtHM>mzl5z(^}Mldu!UCEJ#reEVjRa*
z0vsfl&iXc6PK@b{INUjugAU(_mF`aKZ&GNj6*d3ZGjvK@?o30|Qr$kivK1Yki|I2q
zG^Camih=Fgdl$%d+$8eNh$bm`h01)Fj8?1X7E<CHUs(8OZi$phDOx-9qTkZ5ErFs|
z*;ELt>}BtAB6|+N&Bi0YCRNMG{F=?g@@ijwC)#LpKQlfXH?+kPi6m($50myWKM^sM
z9)TdYBx{*!XnO5EzOb$08BLy>SsrWt*MS9~uZlG^#!YH$y4`IDc3@NMqMQ1gBearM
zOU&q-;3H%6!_psR;@_*`-b@as-G)|&FNf>Y(+5n<w-Se<kgEqy_p^%id1Fkk@R7}7
z?48S9#R`<Em9ACUxw9#5D=P^szxEs!XGic#hFXNCvId2$WO*{y$+MPCOy|49SRsOb
zS?2R%`;U5|{1Bo+DowOaODLjHz*AvAnBrz3`Brv{%{ClRMX9XxLG5bTnag0(I>K9k
zIUDZ_DL)nkDYyq#s#Q3Py@;t1Whex}QmwB}M>g){1V{6tws`4&wZPz-pDf<YXb4kp
z(m;~joa8BhlR}uzgOk^#7LBnrcYgk!P?Al0tJkig_X5z&EE&3BWAWyf$vM+*pTD^t
z>&8x(DAUrtru1hCcHh(m{Z04PaAQYz*U>~N`!$(aP_BX(>kNMJVzNAKikqN|DK!VF
zZ~wA}uYFDmV{~&WWAC@((B`f!JPqK2CoN=lvb`V>j~Tz;u{g^5n&URcz3J+gOM_8I
z@kE)^jIrVlmwEStumTrfsz({;6{j$1Udd@mmbces@6OJ=APGuXG3s%O$@?JL+f4u6
z?vU2zdeuf@<(9EM{Mkd^{lx<c9p}#{rQ#>I8>5orGF{x%?`FSB+i>J_gv>I%y#Gd(
z_ytnz^|GF!Uwt1HowYLe&YR-?+ib>AQA~v<6_ozR(t0M}tQ}G@n89);NA~i8i+LzY
z264e%nNPu>Q!9+zak4I-GoMSnch&&u3_0tb5p;jY=F3XXaOJjp0%|_G!xT$}>uhVl
zi<(MCfP{UyCj*TEV`!yh>c9kTyh}=)M8&ufDh*HS0Geg$K)rmdVP_6w=n)%Z=!!JC
zNNuw$ZYoV!XQzh7Vc4#bNgQ!<rt@e4rOx8#2T-X^sV5>~Dgz#C!6o@}VJc9bC?Zf^
zEF6cSQ&XqjilmBrZ_XBffOO2m495dAYR_>#`~}sHMBTV{hl4UYZ*ls-Wg>$y*%I#5
zEl>T>KD=lpj#w%w$5PFs)mmvDp)|gkbRd~lsn^@WLh9ri#c0=)veuoQAoRT{BREP*
zve{L5P+~;{6D2{*w+7&PcTz{ZlzFFaslYEcqMv)5rsg-d!1*&Vz@Q%v*Qh?A4P4U!
zTE<Pdmazp@;*TuuQF>4fj$kFeb#>ure32n8yA=T&=Fj2N(kF}2pLUKF;eIjQGTwq6
zq}69~U{o?$f0NqCHq;o%c5|z;(jXtJCFyQl4y|(!sM_(l5DJ)G=eAQJqtb^X3rk6O
zr%y}G=%30KP@_%WF*UO4inZqWCF3a&vdp$yT-VjoICt+VfFSYz6U2Rq{J#UCIQnlv
z5Ly2_kYd~U|4x%8-v1KBuXrEB%#0A&Xpqy)HtXD0v|Uk)N_W5Gy7y1jupo%M(`l_e
zJztf}=h^vK?vl0e&+-T>mdHKR1MTq*6kLY-C!;$x=OPDxH@ca>Yux^aKvB$Hg%u6#
zv`Y&xLz`4v?cX*PxyheW?{Vb@+UNm`tLS!F_X}8oCfw_<%k999@X#(VKCCA59$l>c
z4lDT1suvEhaZY%3vR5bpK4R-ja{*p>wZ;9yYvcZpR?a06fsw5u=(|LNstzHluKwG2
zF7HtnY2JW^#nl3qY<sHbizX_*2P+9S6=l3zpe=?9ztr(B&40miR4=#|PHAe6_eDQ(
zAPwV8aFetIF-r}WA5BKLecG{?NG|st_uYXF6N!a)k?v$@R|-8bt=p<s<)`<rq-<$3
z$u?k@Cq;c4MQfuno&K*&$>Ls&g;Xbpwg&2L%fP$pdenKW-`gNGSKh+Q^Wz}|y%sj&
ztY``RnO$#_9dg@iT}p0Bf%;+o%qv-Vb%blG)5H!JWF)ZqN3LSIZH`~gU#`|>H`hdB
zatpFo#R<zGDNJ=b{hQ-wQw-C{WWADU9|IB1J<2}yPNt-0@!s&OJQp3xi-`#SmmEz~
z74yvewi0cI%dxuRU9&(<;>LJN;qKzyq1eW!Z8tzS_LjhE!wV0_%|1<XFt{NLlbrn7
ztV{DUn)P4eytOI)f9TV)=-+wX3)K<U_i5TXunGPz(?(Sbvoix|^%iLn0ylu}=WTr$
z9A7x~x8vz7$pgT-D%EAzy`Pj_-BaxL)qxl6+jOT$;o<P+r}nin{}1i8D=%Z}$7*X9
z)CZ3%?3ige%CV=YRkNg~sDtXq29M(E!**!f-!q1+q~a+ys~mvcs4qDn6e&jsna%82
zSjs^#by`}!h_7*aplDy39yTj;U~WIE&Nis-En5KZs8W~#o>T!qwn(h+iED(_ue8He
zt5XrEFgo%rpQfqfAK`<t1wy*O&+U)?oC~}h+RYs*{v2sMLh}^we)E`EB%%!{T3{43
zp2IUBR2Pk+{gC=}Q|Zu8hZx+BFREf@8SYo-0d}VSYU#SWmU*#XgHr29i(#d{T6x=(
zHQ}#0<I%-M3+{kd$=|?M2&<$)_{01c9gc#)EotHPmkrc_dFvgudd(d2%H-bAt+S~Y
zyZUJa&`~crmZ&=~$t*CK36pdl|IvQ#Oy^U3fZK-D5_L$UWVQRK21KpOiQ`XADJBZL
zyO!F&sVe`ggV{3)oH@#Y(E|ri$j`X_96*PPG5zJz+U6F%BAEaq)N#5t=Dw;JB!yhg
zV}2dj<0+^&@_S0*y%aLqX68}B^}vCmO&fESy7Ra&QaK{B0no<zucxb;jB2nAYV`gx
zOT67f*)F?%$o)L&z)Z0qZEr}^-mh}(U_Y)Bc1ESp6Ho^Lu>`EDkZEG{s2rf$jjBM@
z09rk?{;B?spKJ;EqPb$U_m|2*q4Wt04yXn#!P<Wkdr<z`lHXxRfkTzTXH@H-NrLep
z@DBEc24|76c;kU<t{fcDVpM0b@tuA~Y_w_`_mfoH%!V5G!e8ajX#Am|3^d7qe3_<t
zJX)-$iF)IlZPz&21Ms{e)zwCouYC(RG5zsW2Hi!?b3yhKqgS=CymP;t_;1;tMxYe<
z&%~*RxazR&^0_sgTL6j&plwa6i`uVR(%XGh72+D;s)V~-_NRHPp}Vt#ymG%>0p%H3
zOn&|ZeET<G_rC#~{{{H|Z@{g80Y3j9fW`j-=vlu0kH|lO|EThLr&U<BP2P=}#nrLH
zT|?tzTLwx}1_0h<g+nhbzRK)&AbY^^{~0$=XHL}%-=o=t*b?Wv@lxL>Ym+iTigp&6
zU3UwIK7Ex61vsiUVteeKg~`eI3wVrv{?uI5DQ-)P|Kn3dt^bKneZZXD=g%&DLu?59
z`J(h}=00D_*Fq1|!hLASeqP=`m1q)&{`M8#U8nov=dXolCF^yC?1pLzrK{6KwuhJg
za5<Tw?Ad80YyPX^EY56%wvys`RkRQDr7OZb$jyc;xgzq9Z*$YLPpzTbE$^Y;2c;6t
zBv)2dC9?zjf1&oV(bRmdkf5+m=Zf2gHpVSjfN8`y(!uVnOD6<O3SPao+6k4jSRMe6
zbxfBIr8u?_UeXXwFe{~O@+S46YzptXoCP0l@0#6gB*<XIB3h%-4uFLAJtV;i%2)!Z
zVpuY69JIkj8&&|%Rpr(_>*f=Wc^g|N-@~yt@d|{3RUU9<DsEJ<?fsii4>MHDv4-}a
zH?v2@%$MVg9GXl?zM}O=A|gJ()etX=4d>U=uE(Xba#g}Gwv^|2i!tG7rRxY(+;`#M
z^LIsAm&z>MP<8dOr|@p4cFR|#RL_@{e9ZU=b)_eTVtgd#`^)V)Iuv2~+o|2Ncf*j#
zv-bN)yJ=8aWeQuURU0Rk=cOPFq&emep>{rJHE3$ijQG<IPO$TLe(#`B89L8<n?of`
zSvuv$`J(8AtXGKDRWm^n&gaoZ!1Gm9hACcd*2@Lj7F^Sc{owSs5rkgkne~0VHNRYy
zYIj>dU8?@cdtZ4=M$0>X(>WS@ykra7Six}I-GBGxyPgi3f4NxNd_#VHqY<YcjP>HY
zC!e2(Do#8-JX~Cx7vtKPm+=03xw(HalXLSlsZzs%^bwBd>wSP^iB=MHH)V+1r29y2
z4&D3qnEvHR@s)1AW0<)cCk;GP5<Rtg=RT6Tukcy3sQuSqJznYFMQ11kbg7k`*`E~Y
zx9@=`z$Ja|izm}A9)2kq@Wlm^pe+7c78W1Czpex)+pF5o92vkvBn(P&2=miqazfUA
z`(GZouwze=mu)_B8Ymqj9h=O9duO%1zSqo$qi?CHb+D>yeCWU8f2oDmwUo8;?&Le~
zQZQx?-G1*66w!3+0j62PENl?h3^pFfmLIGAovJnu#&P;0c8^ueC2atI?G83KlFy64
zjIBGPnh66bRJnG{!}FzK%MyI+c|>hus)rG?UWyhcTph`6NZ(1w4oJGqfP_mANSf7x
z^naxN+&^JMA78evscUR+b!&4@X_RfgmSi#6$KyHF{NaiSTt0b6{Bu;nm7i6c-C(k}
zF>$e?lT7lkn#q7Kl#?l}g)&uPOk^~|@4KXNf};XseoJ_qQ!Pbd9x_=a6Q9xO_EfXE
zi<nVV9!{(HQd(>zXy|OX{)18!m4XwTiSR022kI&gL{BA0K730cQ<$$Ndp;?Uf%QLY
zD>o#4)H;dylSPvmyKP^{r07xQAR0HFs1tdJlQ^TbfrAmN$;sZGtyps;X_9c>I!AM(
z;Yg-aUNvB`nCMd3hFT3!-EBOT>`>7T{q5IT-s(d4ci*CG4yTn2`?w#8t*AmZVS*Ar
z&roy}ne48aDPK5*lS$GaXb-CJXoMmm(4H>}j3kdxL&+^k++=8@pC({Vj)>Noit@&Z
zFfqo_rp3k^&PG&_h8ypbsWX!>jpjYIQk~_{nIl{RMyEm+U)K{HE0Um(j*2Ss8!A}%
z*o^SAjVeE7Mrh3^Icdl9o*b2ycC?n#6xdql^COsnZIYn=dlP}xx-g-$bq>euA_=j{
zu%Lp=v}rH@mFrfE;P3u@Q6S9iczWR744ka>iEX$>g*|%XGdW;3#Cg_@_BL@UxM?p{
z8eq#$JNzTH%v3ieKxee#fU!|=A-U8<n1iN8{ieZyf5~nsV?<-h{G;Naf+)5zV^KnV
zT-`CDOe;qq5Q@>hSNbc7#l!%kuQGeru<}To2vhDErZ&iqwB_YeTIl>nUTVq`U0SI1
z1~45Wb`da(SzRhLiz+Kx;o+F^9GtxoQ><&1B{@enO}fO=MqRH5k=Qo~v><LHrqP+N
zQ#9A2+w0^0cd#8O><@MecxpSYV^#b|mC_D~L$@<@Nw7Z^zQ;>q0(DkM7+>p>eim6s
z4)qppm39+J7%ylSX_amh2QtB+aQrPjiVP97DWL|2z0$NKaK^hh>hx&yBYvqZqL>o{
ztaZBNU9k{M3{kWx$@X;!Kl6qx4U#{3P%%{%@@ZB>3FSLG*`{8}C19OjWAVhm=s3p;
zBw%)^M>`H<v8OVzRhK+-FYPLobdIGSG+UY4qg(DW(_nQQ@ngD{?K6+PT&7mEOFNBI
zcNcK^HTyG981L*F+sUyn+a)3t*ed-r*C|NZt@ws25{<L76G8dE^ZnlVMqbUGxgCI9
zltm|iFVj)0b++IA-SKF>%2{{Ps_ov_Uqia&UG-xAi3tAdxbmay3TacEv>7dSq2L^8
z+SJ<`>tbKgTcC!T-zMIC1!fI=;BnMqDOw2W&$1w3@uD56Z0+u^FTrp^27h!}(eT3_
z)ZGDp^xm?55&=?~b94TEYr5Ox=fot-OV;LD*#bplvqQ&0pVCJGwdP|}Q_Fmh(2nfp
z+iE<F5z9;Vhs28Xa%+S=`IP@aS-c<$snsBv)iBxR02yzHlm~Y5NP2C*YROw|XcbiH
za<ldhPj7eeaK}t(EbGaFc)o*q0f3Wbjy7}bBCVfjt|l4=Bd>*{a{iEsQ&wzaZGB=+
zj@=uOOLsKzyC{(`#Fh1!;@m`2U9;Rjs~>+c(;bPxxD+Fi-t*(br%OB{1}hZhl$b$K
zi&WG!d;nBEDCaJpD>mJ5egectvOtE@x<CQ=FzQTPvn1Kb2B~6EHPPZa`iWXBss69w
z_3SN`B|}Y>xNA-3mTP6Tzsmn-0YyM8yc|X1cWG^27Y5C#=6X|%@kkOavY5kHX~|s`
zuT*F*@K?NEuuv3Kl31#9%xL7lhla$Ykz6q|wmggkZAy*7{m$3~eX)iRfSo3qKDY4^
zRQ>P_X-q8RfQy*;)+xFv=_5>&ETO3n;Mr~;A1)xuaos<_1<;4T9SuKw4)2h{`XR$;
z1R7sCRTwx&x3=0W68j03kix>kzJmwKQ-zq43Kq8|Nm8J~hEjqP5yM_xbV|tHFphRj
zdmVCFlf#N2L;6%U=XMcmh1ZtV6kt3SV#`Sc{;a93d)|o5?xi~}-pwb@6-4fTAHR3Q
zdXrlW5czP|pZg}ukrd-aKnF83T>QIIL-Y*iEjUpSn+5FFAapK<p)ZBRa$ZjzN7}$*
z<!@*r{bHXo=U!XU#Pe7Lm*0t9ir_Q%+-B~HXc3hWkr{aV)|R%YnF)0oQl?la)nHo~
zzjHmqT`7quj$Pbl%8y|dH5i_flr5g|gnAkOzDT%nR7|{b(7nJL_nFZgUI)Dv^AJ7f
zozpW+ESD>&8MFzdK9s6!WrPO3c0lER6iP1B@ydQ}L!89)LuwTSSnOE;W+rl+utn)F
zW6RjX07v?e%9-;!1^g;z^f5tyy$Qy|(##z~0jn#$c61^;bM|b)lhmpi8`74s!TUxf
z1h#q(27Sr<E4NcH+m+FALBGN0R<qi<RY-bdob>eK3xD`O=c30(bB9jkW+J{>LRQ|U
SN+b!o#F>sCz!UjCKK=(*I<imz

diff --git a/SPI/db/SPI_test.cmp_merge.kpt b/SPI/db/SPI_test.cmp_merge.kpt
deleted file mode 100644
index f231fff3a467dddb1cff80f033c334e4043f6046..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 210
zcmV;@04@Kl4*>uG0001ZoTZULtHLl4gzx<oOMXDx6ciuW9t01H2Ss?-Q0=Nglg7<f
z5d85*1Iptm2wrDrz8Toz-mz*{U~7Y=Sk5Pk(Q~>sP8p;v3b+|gNM5(L6f%_pj$KXM
z`KP*w0?bJpgx@HG9HcVMVbtkE1O1{0`A;T`tjOg6mQ$E%Mi@7mgI81uz;KUe<yvjo
zD~}9(c3~3X1_|vtEZJ$KaB-!$#6b2p@gBldTOXUgrEFR<*nEh2B<fptWc?4ma8*g*
M-9J+$R~iJ5|Dvm2fdBvi

diff --git a/SPI/db/SPI_test.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd b/SPI/db/SPI_test.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
deleted file mode 100644
index c79e2f780bb890bb2ebb1e244d20664b2f531291..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 746772
zcmV)-K!?8+000233jqKC0001M0BZm=00011WpZ<AZ*CwlI4&_RFd#y8X>4R5HZnCJ
zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*D4FJ^%m!006aP3jhEB002`00000000000
z000za3jhEB004La?7e%?ZP`{I)B?F)5*|081PR6?e;AU(mc3qk?S0h{)0daIsGQr9
zl0bhs{kr#XZ-0I0ZaNn<8Z?yUH9|<GjH#lmRK-*R5)cUiO-Mpi5F&yxF~o|9pg<^M
zX^|oiBKrG{F~=NZ&b7Y1);{O^zCPXThI98?-x_Pq^_Xise&hAdr=EK1AH%<2i+}v@
z4*tCZ|9<fwed?+2`-6Y%D?jy}_}hD)ZZ_Myhkn>@pKb0u^S(Ck;jcs6?w{@2yF=Rz
z+h;fJArWpt*agCI=(|w}`?1+qgnb~~H-}*-gk95g72yyFcU?0K&vrepVQ8P-basuL
zdKU-}hyA{n5plw<8gUGSyMDJjaKzoV-__T+kA!Wr!!`DI2aG*bBOU_bFdjx+<8XKA
z#%+Bw%{Ef*$6ce8LsJjg_zT$H9S_Z}mC5)0ZbBJf&i=5)E8}I3{fH-2yqqS!ox`xl
z4U@9p;Wbs1@%0RSJK`DPj{0GLC@A0e^lJ|;-~XW(FJ8QS;l&p|JMLV(aR2rD?|%8Y
zuX^d?;r88cJpcS<+G*lWtAD&qzYn{3uz#3N=`Y{?{>v8<DRyMkOB@cv4n{n@Cd7Wi
zU?<>+oFM<h<ME##@vV5A+kRwjixdy<zp%j@z&pU3E`RUbYj&GvxVzu;@TR---e$jP
z#=D2{(BhqT+dEG;T$;nS>97*}_RhU_yJ-&bgkjTS$@>W)s87K0?V&$B+i!7xyJ?$v
z{$bO$#ra%2aY9*3ety4cyKsKnY+8JLVScWYc)qL~KY!RX`*?o0X@}x`e%<kW`P%dO
zcwq5%^zj^a+u_-^$KxC~?e6ZlZ}9jAu&g$G*tqv_ba&^aSKoMb^U^D?zWBzAuikn6
z!OJghE?>O=DwplW3om}?{#S4CAUDm%IN8Qtp3=TFL;8W&UURCKU%7l`V^rLE{gulH
z&tu4!Uc@K=(u;@f9U;k+Mw&~~T#}}|u-9L`fBD*j*B`ucc_9b%5{23}4_m(mp|NY2
zKUc0s&*e4wxi8=U@EiU_uy^ssD<69O{`+6t;KF&##w`!Mdinn6KIrGY3SRKs{pUaE
zC+lq~nGG>RB@bxDD{9uiB9gutuZZ*VUCMIeCf@D*Vw%1po-6N#OOc!L+?O9*##i*<
z(x37jIcConJK@lpSJZ6ptawGu_OqZS{FX0#=WqUzk6*7M3=?@3h0qAm(y5AYAk!<v
zRg^*dehYz5rK4*PwFE3xgzW)J2UUdao^19i6=B%+Tgboe?$C^2m*v!flic0ynijeQ
zRfJ}uOGFi6YzJrtl&FUeXOtsG6=B@<M%Z+<c7k`FFZu2O9ZN-Tx7*daR-qycyS~E%
zqT12#CgMD*2$11hXfmX14)u)}D#F<HNtxImhWdt@_<9Z?z<b2QJU}{Bx8B6}gV)?a
z>7m-uw-fcK(BV|iB;_zP#h5GAj!N;kcvz?&7Y|M8xX9|qMX7*XJj^NxHcf%S=1WO2
zxw!PdQXf%u2Y<~3522Ts8j+4Z9P-gi=q9d8*x5L4r`WF(`Ia5CUq3RpMJi|~khWAm
zpk2x@7MuP;ffn(qI{$Wa*l$~`CrbaW#TqTXBPcF;jc)e+9t#eX2YgX@_a$Xm_nSl0
zj!;f9=3&bZq@Wa4#%A9`$;P_p$JtKSJ+u&?9hSpER^EO*V51r{tozN_?K)6mJ&nVz
zUU_ZcN}JsdU#L><`&x-^!@A$>+TB6b?S0eKUveAP{btwgT2=PtlP;M<8`k}1*X&>f
z@%tPa3|>(N&a~MNP5M5^f$yl`o^5<b(AYRT?tM2LCU;c4qpl$z;g`Id7(`v*O?XP(
zfcH+bpl@o9)5Ui*wqQ;=`>x_R>%Qd6@=L~U{F1S2W<>sy@waG7VAsA*Zkj>hU-G4Y
z$=pm|GB?w=iqq;ZnSaVhXTD^deUo4Eb60-K_quKaQR#+8H*E0kgWle85eL1y8#mo9
zp3rW(!ZHCteFDD0tf+T~O&1mYZnx<G3%H>Gg7SP>bNT$f+4S2u|9;aq@q7>z=gYp&
z-{X3tWz}zCKNaU!h7L|Bu3tWXznp&4N4uxrZTiA)>G^4g=hx$U$8i09x9RuA{QMfr
z6XN^>t~VNb{kR!$+@Bu^it}aP?|*1FLp1dI!)7S%9|Yz3<^2!1-uV89W;1}Hhxz${
z!u8{Xc>TRF#r*tqd1XUQpH|+*(V@?F+dUi|hP?Zpr-#4{@7%*)Cf@0;5p!48aUOY)
z*J8VcLGi+amtHE(E4TZoZf7}|2~D3~qRTejRH4U9!_e7c(;e7(lR2(BH5+U^Rn5p_
zmfA5B;c6@Hi1ok=z1nc4)oP||rnJWvE!=1auYM~uf!4g0dAg%-g{LGVjSp5|(Ym)n
z>SUwoseDChBj+hgBl)P|_Jq6@T6VwiHNXF#{{jbpcO4YN4F2jKSirvTq3}SsjN3sK
z{d6dSzeczl4|@TBp+P}Spl;%X{A!JG+z}jx!U3I&3S2?R?>Q5WBWy`d4P8d<0Uwb(
zBNH}IBdKoe`*EzNrX0(Jhp`tuZx01V^(P;Z4BH5I?O`wK(|%}iMtO~tWSMZkO;ba~
ztVa~f&M2XV8I;}cRU`Ac#j-O>aQRlW=EDvOVKsR}KAtH@g57wOhn;DoVN7!DDP<3X
zx*jvWp8WylF~{5wc%?OE@qYHLT6X)c9&=I4qj4fei<&@DyUV(rS!+qJGpmQca#l9Q
zGB6xToNOKr)`@p!!tESHo-?MDdNk_Msr6Du(=c&l?tETNFvcKR`LW?$$}f;x*kVl-
z$jyOBY7od`f(3z0Xf|q4fKCDZh7-hUDo=<K90m}eVOJSpjsg0O=T{nlT1;8w!`J~d
z9L@*yT&PT94aNDnc3gvj2~<GcFtY&e#`*b)$MZ!^$n&f5>bs(EnI@31Vfz?}4dZDl
zattj3&$8X$-3<dj*=9XhoW^r0RY0(=H@rDWq-q;vI!OzOfNyc7bJ|COAN5=jczJOO
zAbgduyIP>FK_a0Wtw16{RcI0OdL1RTF}#IWMA9=@Y|lQw+8Lyt3g4Pnq+sz^zU#Mt
z;4_0tG3?-~X`v~I)RMghkOZ(3kudO_LKXoP+UX%vDH7rSuv6XR02BcVKtU)n%LqsK
zk*O&hntj5qd_)mhnGo28PQ3@>Q;sMqMIwYig|<W8<In?0DG5cTNQ6Us;MCxRfLRp`
z^QlFpu&LX9C&&>1)3zoQmBI+O1E+@hj*+3w6nCvEMWP%5*<fyP-LBczccUtWQ3A1n
z&IQUAz)(GAd^rHEh?0Y{pS~Oci_`4^sT=V2NC~z$nLWOqVH_3U0(<Vn^BG>afW<cE
z2-sho?uT(tB`MtdqDrA6dYg2E^b6cb1$6p_av|c`wSz8UxCQ|cEb^lnIl|$5A`ja?
z9o<mfwD)q<ZJ=W0@gDA8mYu6q1RIsVb2R=pQUu@gS)sUhqY8zn4CAAy4EhBw$RmpG
zH$xtsRR*dIt6tGrWzgt=HCo*%D#JIv{*xd7@K<I3vZ~k?f|e9+cpO?po>3g{wiDwy
z+PMgE>LEZt*!KtZFQ+k}TSPP0Q3y!m+RQDi+>Ao>TbR2g6cAAe_>c}FyI?pE_0$Cl
z0ml_YELDarRDyEEh(heQFqah9fG4dYEKmsebyW%3Z4tCqj#!`&yS_<y#csc?p^^fH
z*bfK9s1XMkJ76Kzm=T2-4*g#52V+hs<J*B#nF@=Tnf-*acs<aP)W-;rp}fvTMIcMt
z%dJb^%O-4}ge<5`hg&ELXP?7Fzli1}|F5FmO`N}%J97;KTd)aal!xO>>r{Xw`k_}(
zFokpY*=d->n((t*Lk(`$;w1q5V?XlxkN-AA0k4hzT?*|%H~?Ai&>vd;WRrJ4vEH<I
zcMpCdw$)N-&(Vk-L4zb-nc=bs8z5i7Col=DCF`}R$8pQh1-Qi#<xsoY$$E`&K$NgX
z1i%JWj_NlfuFNzwOq%5C?mMu5vPmZt)@wuVyF(fh5j)EKjB=5sDMvUKRqN~resE<&
zU1lg`EN(gk(&XXR;Rm%%^kideX)jqc#-Z%D8dP@enElSt_}@r%92jjkP4sjk45=w_
zN-;_UPB;m=h2~ZOi}ID1Cq!Tp;2?r@9oU3{dK`(x3Gw_%3@_qRaok<2OEJ8--UzG$
z2|fwf6%T>qtonEi7`=yGz`mz5v9wgrcFZ|WHadFUA6#OxQ-qoJ)7v>t_8)%v@A{?B
zTe4%u7AYWNo*;g!R{f)<jEGW#Yjn^sYopY@3q?75Q?JX88MpM`ig^Oyu9`a9F>rmV
z=-b28stKbVGa!^#gejb=DWKMkvcir*Sew`f!?u-F8aQdhE6sGD!j3tN9fC>4l7N9w
zU1Vv;^n<#aF*)RK`6LT(Dr`&oGhy){Zg4^w-_EXK$gMsiV!6SE{TQO0qEN-{N%o1z
z(j>tn)R@G%i(20@(QLnd#J6<BWR;|ys35@ODa3lkV^R?O{AIxoxmw6M@qh+7Ig!)i
z5)FbGnm`eA21!|X3}xRX;lyJKFW{|uOmV$Yv0$=J5u_)Pra>{$$WwQ5mJU>XJTBPb
z{~aDdu%{2~RKb4p*2#bO!>=8F)UA_oKLP?WU`Y4iIMP^sXf!?Y-{eb7hmtSJ2oKv~
z#K#T7W}EbMCnQ5P!T~WP61zIKTLdrj+jc^}0VCWYq*<a)#w}u}D?(Z)nXnyu!AC|!
z@2cYOBa)#SA;R>*O~6+0PEszP6Oy53LWHr1FKLINmH#*)KLR5}N(4b1tc$jv+>9_(
zquli^p&5kffeF?_3PUwYWV6wZLHtg$8&x#<<ib#$vSAJf?+5vt)e{oEG*kAC<|YE4
z!ezvVzQ|C?Xq*#Spm6oq7#o};gL7PPj_)r*N|du%Jn$qM*kggsR`RR3(Kul9%Ny`x
z`-p2ev(zVnrKVMVDod5P%eiPu2@2I<P^KMbcm1O&;%A=^d_L=5(b?y7Gd`a$|JlFz
zO+WWB8F<u}F%j*}f$2L0D_Z3CN132y2TXYE5Sa=g2SPZ1YC#-DJD@yzktmNSk;@UI
zXon6)FA~INhj7V?un_HZOo`NNVW(7tQM4lg3O*qU&CXKl)8#`?iK2bnZyC}FIou4%
z?c#)`XlFbw31O_%nol9x5%L0`8E+fWqV?UBqJ4xuC6v$xN+n>G7*>htp%)?$vm`Dy
zxWj)*$XfWMg;~g-P2{GXt3xN0U@p)?hc~}V+B*TvywaXAp=-YozTKvwz0SZ+N%j|E
z9PJsd#h~6#LOy|X7CAT);#i&#fkq7x4HHU4Wt{Ma?IB!m%)wy<X_12h1E;uQ*>`(P
zG{CSckH_s9DMfHPP?^4#Q-$wZduHA6wcwz)<&(eT```GjwLN38aXM@R>!eY%0)gkm
zR1g4_4i)wc%+|exljC{AuE9rL5IWNXp;d1Il7ztSlF*r6o*E{3&+NUD(3u{vc;r!o
zOCa#85!|Pk+L;~*x9~PA;hs+1g3y^BJeM8f(u5Fkd>SC;ckN6MBQ`C*F0e8rTqEnd
z95FCG-i<*t2m~naW|5UCJDEn3P1%_;l+w|nf13o3>7a8C7w0j)W$e*@{fKYbG5hr+
zzNHtlR|AQJ)0nZG84MP7_O+Y_3EhmZC9VBaS=iZrV0D+-80KpaGhg?LYB8WSVQ${U
zd%OEu{?s$S<Hcu}><36tWa!f@=(iJ)jA*{TL;RxBhC+k=KIQbMLy);HN~wB5qREI|
zlRWrN=%SP(A5r36lu|i5qQtu>1>rFr-bE=Af*wPKR}qz>3@9u_j_6-g+_dh@WMsL>
zku8%+_FEke1K+CFo**;mr8(~kl`o>wE)p>6&{ZC~h99`n4WC4T;Y*d2sIzkc1tqr*
z$%4^vo52aR844o>PM1@BD57J9+mWZ^I=kegy^VDC@B4r6{+^(-YoxI0?3T1}G)+a^
zhA9gJk(5`bsc@a-5!Gi2RlG&MhN!ve5I7PUjS<3Q2|ua0EPLj%LBn=JG8!X1nx;ZV
zV}wU@O2}w3;jxqw>g+}c8-eMp_)3uX15ly3Mp;iri83t=<Kp+!A)+)=F6!)LG^*^*
zzz=%By{fwZ1gq`NE_q*5ojo$Jv(Ek`=<I0?pLO;pH$H0JD?01!H-q_q;-C18-}%$7
zv+K*4h*G3miYk8CLyIURfMWh8DxiQh5GsVfn0ydLMauIqnVUsvhY-e!uoR^Y>sKJO
zbh~A3h(b&iqO{v0yctpo0SR;t6oiE+MF<zJk*So(MyUu3QHrcpI0s0`gx7M!C`w0o
zBgCDL=rC4KET%3*DMu1F0#Zzp2O~W?Xb>77l^zh%R#>zdA<>9VP^XAdz8OMSMe|Xo
zy9-C(GCRY5{fKYbG5hr+b6cbeg4Zkt`#t0R?|m}x{<K(66})Tl{&mCGLW6k!m;A&Z
z{IUNtFueKlI{g+o-r!@1IZVDwk9dqBhbZ2yg;V#;8WT^W6N>Gifs#zuQX>N}eyXtQ
zgu?I=;W&(Yun4#$5ly7dJ|`50mk0;M=7{fd-)<)bdxYWHh})h;9Kjjkx~#8J7@iUC
z_AqONurcnWhrpeL;Ta)Zz{+a@;@2Z8!%LLpb82Ob`^iNX0imd32rnoZ{Q(7biYNI<
z3{N>$nO;WbvChbNQu*CXaM9Vn`6T!^(>Ho!{7%28h2iJ;-M{wj@BaFa3IXt<U(^a7
zinmgE!pDc|5j7Z4U?qSJB>DrI;6!gLuo85g5KtDH;Dj(Lcu8eMcsH`eYUL>^cxdOq
z5De&+sB2NHV^P5a&t}P7@H@me)+%FE@EFc6j!*)FiH)iHW&AEFW8m_<8EAqivRkW+
zg@T9rBy4yA%AI5zm#QFPxg@#n?)ELiPf6KT7||lX6Yhj=4$7q*S<!v3siFI=M0AKU
zWjYprEA2|hgd;R<)TOi#O{v4(Zuf>6X!y+VuAkwB?hWB9M)w8@_pl0GE3`9)G1?km
z;8v6B8Y_k;hg&)P95cN0O@HZo{<1T}<SxY5&X5>$JngPM!fhB(6`SmJ*NiFI<q8kg
zF`pNip<N>qYqb(0I5&bh%9uGaLnA!u93wL{!rcgtE!U`qb`fCj!5;9M91GVIW@tl#
ztzI3kS46m>NF@Pt#KQG`%Ii&o&@PTIXKZ>tN=D7?QZ4*I8U2SxeRJXuvg<tJoWtxC
zRz|slz>wKTl)hAn5oV7sD7gR-2Z)rZGRS_By}O#a%-WS(&*v5^zn+A=L?Pf)S+sm&
z@>c}o>CySXJ-w8<)TBz(RJ*)}kZWwFy#2zYuTp<Cl{b0=xjut;Z_;lExxS}_z$w>r
zZz5Ba3U}f{N)T!uA?YWakL=#UohXIo;{|i+xc`nlb7B!m7IiE_5T(UVJfEMupI@au
zYXX+L1ChD>C}kGYO1~+tzHazAuKpE&`mg-x=Q>wcRovp1lVXnVFywe@MF6*}OOxJ8
z>mo9*Ho&7vzq(e9Tk2@AR*xtuuG!VOVlE^`ZC4j2y;sa-MO?CfznN&#r>VJk5olNu
zMw5ORw%Tg}>>c<+Ibvzj=Zd)_AlI5wRNQnsSdgh=?!H6&lxj?Jb$dC<SIeq4eQMd_
z_agzRM!Ca#gxU<{e%DslxyV<QLCuwGa5kvQva-3;Jdrwj=z3PMIoVaBx$?F;B{*x^
zhy`nFjy*TB|E=PuGaB?cAL%rHE;>M9ul#0F<k9+{z1>ng|LJ%At!JKgeyWVwAOqcF
zq_Z@pp;+zM=m?f>Is}!?^)L|j2Xu;}-QEsVRSQD$(>x-27l9B=07KW|#;%1SF1c+~
zRXqS*tO;ESL$vV@x~z<dy!84ST}#F_wmnkI!Br0Eh@;qo-;8U?EaoKm2dwrHfNym(
zt|c>ede6jG5^kBUU)*)jk`X-J4(yZ#N=<($DalXm0im7_YN83HlmI9xT}y6Ic?Zyk
zP@+F){mes(Cmzuj$hGoQ>46o-T;!+DHLuoF1J`@3WY0A$@VaP}1J6fin^tPS&`!_X
z^hw~R=_9#W?KE`4C@Obbjqy3{^j#nQ^Pl;{o?xPi%v3v-)=Y3X!A(?aex-J54ksyv
zRq9lwb}G&7*w10d+QNm37#&VQJ8dUb3JdMDLw1X>3iy*s56v_0i`pp)B&IqXXe(GN
zorQJ^+gOx2WXmM8-_^cCJGD651K@#r#6mko-LDkZhJJz*J+{<N8whY>-mvPyp^FD3
zn1kt@@bNJZhT3U|I>;4ed^;!<kf3LPB;|E3a#K|ef2C-r#y{)dGe3RrTe<+rIosv-
zvRx8?S`c})Ogd+~NW1CTgrrj{B!AH_e&>(>lFN0~KWZx}sn-x43yGuIreQ!yZ7&p7
zQp<)01VEZT^$c)RC0a>t{B$UVQ&}L<N`iNj)o3u{Oor*al{EB3euAwKv*n|egx<0>
z;k=cEjDE<=V#LBqg5i~1uz->#7ENI#!IL2|cF+})W7Qu}@Fl?ZfkBllFDZmm&K_;p
zKq)1FO3L_p+@2DZCEn3#8UT%%U_7NL`P?Db5r5SiVxNTcmr0>w{d?U$^R^1+$n2N%
zR#n2N@EUUhP@Rz@K7fe%b7e*d9PY#-WeAu#F!A;EJyG@x#<<rB07`zVJ_DGMv2`aK
zK@mLwYQpd0&eIExo22eoz^LT$I7S7J!VW&OQ(G%NqE&U)0&Y(WSoey~TENZ3&;QA9
z`OWWaT?^29yk^~}>bxl4#`Xw+KoP-|y!Gi&!b&sY&@-@-#k$#zk(Q<tQp^}3QnoZ0
z3eDpaUf_h(0*nwn4H%Gw5gUe2l_OFM$b=B9n3`?54@5SLsmV-?5OP>6+#paD!Tu#7
zt$QQPwH}5651=BX7GQ)x3z%Mjslm_HPm~VbS(KS`7-S(f!_GD5$Er9D9ST*#U84)f
z#9{plBN^Xw^bl*6n;<Pj(Fx*lf>J_U7UD&G9OVgkLtf>wZiFaRGvkDnJgyr7)>T4J
zt%`%|70-Z`@j;bPTwf56XpvXx1I6`IMGUt?v^=|T(3emSYw>tQJ>luLfZZF&UiKw~
zYQTC|rwY%sh!^a$Nf^{wc;24yT=$C3!t-W?=hyt;#b0>i*W`k88X9XRv<1rUbI9>b
zyFme8Q<N?ezf#C?gF+8tOr|j)Wd#~HLd{Ymo;z(fDA2eO=15}HkyAU?M-*s05yBM=
zQ<DfWDy7y_lQHI->3{=J)FH`%;R`S%eMEuAZE8dZYvKeV`05b_8c&3%G}}YBp!=|y
zG+9(=JX1Cd@&;v#I!(pg>&5KD3$*W1$#-F0{P4GsXMsIS>ApbIiy(h5yu>RuLq9*9
zVo_?`e5fZ~mpgCGIC7QpKco%`W)sV_%+uSTs|v%o;YDcqbjug2UIcyo8?;ry8Y0Z1
z(dNag*BF;XnYvtZHK9saJ!V+R8-xk5GCQMTn&eye<s=e4?QYnhOVqm&{$|Bb0%cgs
z8+2e{R6MjXG-bicqhCZXjIo$uF>iJdzpA%2tyOz>%CMR@Nd95e4wfD~_mig-mh%SP
zx_C!IS!E)uU!<3LkzVFSiob<LiakSA%0-G33`*f<Sfn_`7AZHMghl$CDTb3(N)@II
zA(RFqQC|`b2ul%WM&Cl`sT)V5Y#`o3P_W1ugMuh{glklcS&W^s8AEwW%wj81iH^T8
zhO#Ju0)ldWF(I;o+DW7AaQ>d(Vz_<~6z9u+xc*W>?AlEi;h?VH@FVxf(NXk-$I<OJ
zShYTX*KE2X<`V?<2~i{MaJ{h%eK%~nqSH7C%Jaq6%J<)IH(kWPx^dGN_YZ>NeA)N=
z?{U50BN_kd4x1i<F@D1!D9@ifuy{LKWHRGV`n2*cj`mQnyB@0#3O0`RtihUgdmN>3
zMj0j^o$lf~@=(3J2iq-D2wr&b(o1Fk6Z6w+J8k=V?qou%0k%Y!YdudJs_4+tfQ6gX
z?%7{uI>%L~N?B<;Rm)4WnRlKNZND!<HHQb6@z{gQc<kyX;4kwuyIbspv-mK?1o0VB
z-um@dE+0HE_v5`~GG!j9AoTj!tKSM0?KN*@o-SYT+@s|wsnHGJ33uS_nY{U{PL<Z{
z`Z~2G`<<ih*WUtfg~`w#{)fNxW6S7OGqU~<(W^cw1P0G&q+i;*JEEeSRDZbrR&(HE
znihf-XI3D+61^HMdU=5FrzRARnmWksI`rHYHE7ZoPLrYSt_MWBh{iJX(il8UeS*ef
zN_7(z=C?<6H~XON_l#b>7YQ0?^y>br;)tiLG3ny6rIg$ATsaHb#M$!#D`NBJ&&^Vn
z-2AY0sdJRAUoBAA^k2UAa;InX3YBF`?d6DKv*s0@5&-gn&;I=X=)<9sh?u?;^lEkK
zBt@^F_rm>Bo5;cDN5uA#ibxT?I$CTfSp0~CxU$wz3hY#?-lm3Gh~^GRJ1QWIVDF=^
zk@Qv~Au6XAgeS>F_wK0e9>An!XO5y&Z&tX&5~2k2JI6Yli=7shzUC`BG$H$LsS2nW
zcEv6=1CX9Eh}MwL&cg;^C0N8fJB3|RN*uQUS0|iL962k7ry*ij=Q5~}Uv?!V{lIIl
zWh(0LfBhZ*`B#6AXX@x})+}g1flnx?EbhTVp5<3t0O-j56a9U;xJN2x#Ln?Gie0cE
zM3x~~4RJIGoG$smGw+KmXn(98T)-T`f>LSKxq5Js1$k-JnR;*mafH8{of?QZf~(a?
zdkLVU#ezhZfkCHsXtuCQR2VruUCO51OUIUiQASi4oN{--Gbg7+E4<?6EOJU^aLIDW
zuzY1fs8iYyc@G<lsJQd041pgx9GLK}REai5IR!cEs?UglT5xJtndEev7a*HtP6t%4
z%B0rJa|g))ho7X!PZHBl4KkCu)IL8^-U5|G)K~%1$$sFK5<`+PL-qMP>l6q$u+H!)
z8$fKVGvURyyMon`J+5SRZvrR%*Z;F0{n$_Z`0R>Q&AN``$xT~^7S$&zlz~s)FoE$H
zWFqe%p$tbM6Fz<$5lKAc%^l)+08CU<lam_ZzGFFOqBZEnQePuEsS%>=kY-YY@c`*4
zA(IjbK@KC45NMv0SR(=9)6IaBsw)!CP<T1Z5mjnuO4Onw*8{e~>QDhQNu1QKavb4>
z5*TI=$5c&8ABIs5k`P6|6~tH_=_LL&qr`&O4#|kNN=--8A$qvt>p=x6Hq~TgQkPl_
z80AXtd|_pp;Mg>bC;O!Ew<bGN6WBw>8#t`HqaK#-sCl2Md1i}-HWv?5)8TaVvZ(ce
zfpB;=<Bx(NU#pxw_dldKR@(#5JFN0eN-BmCwC|EL+nzTl!!q9-P-qrG>x`5;(84HJ
zP*~@iJwQwOat=TzH4Z+E85a5mz7B$j{KgQxU5^=7`sM&%uZ)QXaw)&o$Apl`t8%l$
z64Dhkq9j-~W{f)8ppuVf;-Xm4Bmhs`DQSug>@_S~em%%>gD<zZcjfr{oo%3=uzIdg
zPQGy|Vq#<B-V@x(=6dy*@g1QA8ox*sGm`Q?)$H*drIJFJ9YyJDo+TwrZ1%&UL*I4z
z&aAVaJ<oOD8GN;-2j%aq^8KnJbn$TZJ3H0wYlFq_3}eLa3{K+WJLCRMe`n9tI+E#5
z5Z{%5mHtUAQ}^#p{G;6lpI6W|;GisYjfn?TBw$u9=)f!-itwmH%?%TBRRvuOC*Xqo
z{E-e+pPwGs!l4WgXL-JS^?p9CxA5S?L0jm03l3HBH~Zz({#AM<kNZb^@nRMZ)uM<{
zPe~K*U-rX<yG<V*%5Ze{g+saLw-(QrZ!h0}zu)xHq1+FfzPNu7l;;aC^z-2Wj_<#R
zL%A^1dve4$zi>!DU)W&Y)#a6SP4y<*?t7jd0@J*64|`agrd)Ct&pPj7r~%nKIUe&K
z1{-34=Dnkn6}oD60A}Z*+1u*u%O6lCG-u}$T^?`_fTo6<;cMMF`-orNy!6VeFTU~O
ztL~P~57D1Caga4{Wu7h%IQLd~%GpP}g+~P1I^80~n10u{{_pR4<DEBQ86m*2)E=Vh
z4O2oZ%|gLT1+z^h&}KVn4@F)&X7R1CjCvS4t1KhHWTLY{!<pnv1cebb#fGG^A)vBW
z#Dczv8Vpj5fwqZMSt&HO(lQ!al*$sz2nn3k^O2f~cHaXkMo8c%OcNI1DaR}<BWS6r
zFLn)bM=Q$0Zbh|o)x+S0sBfsSj1C}>a@{CNld7co>xnu&pg+{K5Z$gvHfTM2&=;*I
zDxOjg&9<Oirv+vm&@8QFU1ck4R`<&Re+>6i6UiDKc*I{03baGk1(_C(o;19q8(Hmh
zGwu?{))-D}Xxz-Ij4Q}u{P%2hEL3pUxp3m+6i!5zNci}nQRC?zTq}W>0-vC3C54)C
z#JP|@8<%Ob=>G%~J7<ZUvqT=e{GtRHX01gP7zmWCAQg*gs`K!K6xybn@m%qxXNBoa
z^RxfX|LKRG`X2YQYrd;Fxl;CP=+G^~PR$46D8z6n;*8KcbkEeSqXLo%twT48!=-M2
z=@3SwscM9&IXHvxr`@k9-;B^Zbi+HO-Y_4L#%m_b9lAT9TjCUQLh>LZ^bXyq8UfiV
zMw8t&6%Zrz4&A61tsXlcQ4|oP%vqaADIkm*hJ0ipEFz22DsL!$a$12+4v-}6%U;sn
z#bF*iO3~`@Y8t)3qtyzcpgni{dlI_+r8Rs7_lqWEbfn)|A*sn6?PFRPo}_Vj+E5?&
zC4b{<pINq{dIpjL(q8f|St;YWfZWoCI<N?(faIycg)8X+(?#W%Bc@SQ(NS`U#VUbg
zp&$#)6GDiJHG?Sz)E&AgS)5p9$WSYEd94+bPD7icEl_zvzk8zErEwB%C=~l7>J37K
zD^2W(03YGn8g*FB;m)NE1<+e<DBMJSmExzj%Y+r9O_UH|4ChgKnT2<059Y3jKcK(K
znDO<%z=KPGcQkZU-&TA-OvQp-CP+HoXq^4=n6mOyONH_%feD|i_-Nw84~-$O>lUJC
zz1h`|>r8gZOb@tp9RpCOQo#>}N-^OCapMFaRNXom`D7)R2&BRaJIQH3$;?7JdI6*_
zRE9w&RZ$s-nOOypyikq4&g7>8;`ujtTFCQKY<9RaV<Y9GNacaa6n0neU79_{VHWuA
z*+N>Mq<xM=zG5-GH7%sS{?uoD);D+<pvtVPgI9Wr$0$RpG@e|o27;^<HkwCoG7%BW
zsankr<*us{qxkaRm6m?<a_XpFcv+j=STIdisTYXw*ooGF+P0~$ffELl2Jv-`%GjM2
z1t?I`s9vD#4Wj=<#12zo9Muc>=Plu<L%d6QH-&nE^k~HDK)~;|EtB|*$qV%YMr#6I
zk(@snGeWcn=t)vR0LmX?468AtdNBY&S11{oNu_4>$V!8nMHaNO45wzBtw2&mnRql6
zBHDJ`uJn?L-f|U<pGS&g3IF#rs?Z(Lka$huh!z1E<v&DGOvMEpe!EeE(zMGjm<mkc
zd0uK?a|@;Dg2E8Y251P9MsBX&8`cn33@?UXVl7w546`fe48KqRgonT5=e<0bV=na1
z=pM>AX@n9y*eIi*pL9A@U{?rZ-wg~FrmI`p6o8*Np<`E2&vL`D?IAUiaYDzga0wVt
z`Z)r+O4gSXI(CJrHAe(qHUvqjP;f%Wt}r!PV+nsk<Ezx5#R(m|0wE$5g*lFh<WlD7
zgpOTd>b@nvMJp||!mg(d*cI=lXWIktTkvw_cs`_X=Cq3luLc2}I_6|@;mnz`+cT&U
zW1=Km{c^<cGfJNw)lrp)+w80PZQzWF$<Fz%lnbX=?s@l>^S9M3F<WQGW78kZtz})m
z{2DKJ>)cv?F^SnGR~1q-#AOBPs=r7LJ$F+$jajR&H5;1}B$=%<m}B8<2Y1EO-6dm<
zh0S2eK6+KqtGo=x56=eKn`n?F&U2#3I@bojp4#AF{y+cO@Bi;>v46G8YN0l`yQ~JL
zDn*x!8f5yi*%-;flF_lE^xaf0D~)hLob^I&aQAI3)&}2VMu<t2RbY%sa2u7i!4Zn0
zX$OcONmbg?<wMzVv5)vZwE7T(Y|j4{#6Ds?k-;U#;Gt(fk#g=J_DPAlsd|;uhEPH5
zBiOKMpldK-wX6FgArd`06y0Ig!)PsLUoG}kpl{u)rJ^_4SGwb=Te@!%DORM)h3unA
zd>wVCt~J@YG>M<~PKaLCGmg5?vhY6({U@a5NBRyIWX4&nzv*H<1i%*Y9j1BJmgrfm
zUyoS-!EgKzfB0Yg)j1Sa`>z<zYTcrWMzacbrbEe^H^Ko8|6p0tHAF~{NS+hYnm5AL
zjb=x5zJPech!BKoDf@`D=JSYXuqbZaJ!*4n?5Gpcnm5Az7ELrLF!w#muvXVdYu*S4
zIFQBbiGH-n^!5=c){PK3)=V@4kN^mSj#RWF)_$>gJR;VO5{)GhFDf}F$fvI67O`%W
zDSI5*DFBewMh{~WYRQzyAD7wp@F7nqDb|hB#rl9$@?!RtVx1{TZYv{wFJ)%a23BF4
zi%{a=Og#%D%3-Nqb}}8ZAk@73c!4oCOwq&p;^7!{0%Qx0m&I*e;_!^_v5@r_*7qh=
z3qb`ywChyhB)mQvuxS_dP8nf^C4mInl=&7Y!wP5S8#7F);qFsGus|7>_y!9Bct0os
z8cn_hV7)&3q1h(w5qYqa#S<3!1|9<KXOH5&DHGObm;AtdM<^_;U*g{K7z3r$uF$Vz
z1Eel}4oH!!pOWMU<}v%08IMf9V$yKQSR_BtC`ah(@{~|?75;~cGQJ~xwL4`52LlSj
zb;fs;Y9YfC*aBrM#$5N|NG_j$IFiPfRa#l1NTsuog{wat7HPF^%GHO%OudJqY^UKY
zaj#m(c~(Qj_&Y~q!^95<lf(}Pms5N=+`sC>IhMv(msypxz8H+a6&supn&%gs0Z4-@
zq8C6=pHQUXRcU}otrC`;`_kNW8lcpH_3LLEU=h8rP*+v+Ofx=)q`#ufs;2dY=ZCtd
z#pBRCzc4@2;EKLLAgE7>&ktFBEM}V10FkTK7tWZQP6L!Wuwj0r0T$jX<n@);&wWor
zlq^Z#MFa<^EpP9hJ}ujQ&(o4qXpG?=_8{KPnZsR_sLi_=3JHZCFwemTa9hvoJ33k6
zyvf;lIb7Lv;YUp4TRl2;?F?V%%a|q!MmmNBe&pM}YWvL|)vPWi(<PI`$ueRXz_;MD
zKjw-jAGZ-95!j)0IV2FtBrM$nJ+13fBh@qp^t8ffkGc%vo*95ssZ?}ASxc$t!wwb5
z#WMs6u~)(1gj`m3jR3C{B0`E2GPX(=H$P7!OpY@K(2Z44-a1iJYG_sE9AWgT63r-Q
zl^BQ;;;58(m}=&bNC$@#MdgRVEk5xhJ6LQ*CPzyQRmMU*caAB-_ZZv}B&ODH$Pz;%
zI(%2yy>UG04P&Xv68^@+wyf`Iy&vOv1y8yDjGz_6lL^_?IoDc+A&_TXe)6CAbMN*r
zX2pwYSo_c)s3nV8i;WVEjlk)f*zyvPG8A}0tEZhT$+I$|zD3Vxfq`c_S(0aE!VcK7
z;>FWWmgHHP@Mt4TE=8k+*?**wCABuA4DBgr8d-8h&QQ)YvW#yBpadcZ<Po)O%2fdR
zk_u{L4whbefJqG_qNH^HBOv|lUh`$qLkzzENV&+bGgDQjS@CLRpvfpOTUse2PM-d9
zL!B}8A|9QK>-k4P^T^20am?2k$NaQf`g9OsUD7u$p~|j|uHddj#_da6;I3y7{S2ZD
zCOsc;oQhCTTuYS@Q|Im!qI&+^Cq13>tA6?YKmA|2Z+yOHDX=5WTtZDw;?9sMUTRZd
z+EkJlSvkxzf<@j+E1QB)04yT}xF^sdyv0P@3qrxKjIi(3p4qo8$|jVA0x?=O<Yr)N
zO&IsNWw&1=m58*5-rua~Oi_w55iop;si{O5Awuht%-u`uTtO)KVw(DJXb@Y+5l2+0
zt4351JyD{JhV{*E(AKG(TS0WAY}p``9`9C=$YOHwjoW38z${@ylCr6u&?+&ma&B6q
zA)7>o?yV5yI#veyOxRzdOaq6D|0;`3cH?nwKPru%$58_IJ!62Ob7lmDG`u(<P!iD<
z>=8&J#2Y(;gXn{E(NZ_SPAFQsR)(jAewN{(&(IUr`e5BFq5zG#xMxTB^*F*m@<ZSJ
zOye?K@s6v(%cXqgW2K%7@bXxiGG({{g9A!^ieHNbGSzu&m`nv;BArH}yYYiFN~o~_
zFOlMuP|oe7I%ff1T81=WZ1Hc$7TyJTIWmSHG91;8sx+%bDJoL$Z3|u|nVAE`vi5s!
zdrhkp%iN1-3RoGGg|{krc`j#kE@$*Bqny#_e%eoc*D12In}{3Qzb5HC2N&r{wE!z*
zXLkIdcZWt(xkxN!r#b!_8ib`toOk@exxLEqr<t?mh=uITjz6(UOTt2SYQ`L8=b<TU
zAN~rLohB=1?9Q{}?<_mdE%zQ=zW=%V&wtPx-b($u)it0J&s=~8&UWW|c>k1^d++~y
zU)O){a?s3ysYntubF@nfQkBt+UQ0ey7Fb#60#$n%cFOWg9oyFzbsVDX97l%0z@|fo
zk%{paWhbIj)%Zgq)}-1*v^x*&9sn<`#F^-tC_52Nj`U<s-84)?Dy}igP9P_#)C5f0
znozJ~(=E^jLlI#{WR-C^r%Bn2tj=%nulzT~as^gZV>bqD9t+o0>RWA0Wir=(;XFLo
z13axpmiQMu%1{#QJjxKesGxPaFLoWXp7>%{z{F(mrh}g-3m8cA8~>Nj{q=wC9XXLC
zMN%NJ&SN#;6&hI=0elSA9-6v9f`@7#xC4dc#0NH4#DO+|j?dqQ(JZWwfHI)MBhn#E
zO+IFXx#Ys`fW9ylA^DgQqG^qWucJCb;*36`2ht$m41PQf{_Do7EW{!&$y`If?JD#m
z`*HGy@e_B-Ob+ECE1Jwb(nej^4#6FA<Z|~~@D<sF_FD~F?UL%Khv=}`M|Y3t?E&%;
z9vvVb`h#M9Ik=08J}vq&g?5@^KYBo0U2y@tt0*rw@kfco^YiOC6!^D_0OPtm&a|Ff
z6Z^E#A6E1!k;sgAzasYX{36@(o<1D&4!!4T=^biI@*egO{Alrb_dpCZ?R379##{LC
zCw%3MaorxqweA(2_4J$3)1UddAOG&>KQ7BRb=Fy+XmZ`50MN*4M)ZZZEK*bi9K;xp
zqro6WjK{HH5OOV>8o7*Azri&j2sLCe9ZJFNMu<SgtAjyej0YOsUL6cVhGiqdE0hwx
z5CmV}HF;SkRM84njIO+O)QGS-qeOus4XZ?-MkY!Y53-E$K;Vd0N`Qe<$F>wP9vbz}
z7!OomD&s~L<+aMr+>s3_gBI(Nb0CexA~thEmt;bwqnBlU@EI{`0++wlVcE55CNcLH
z4=w5*S2vB=<R8}skoc^XST|$9ezCAb5T}=hq(=tJLKhpc1}85qtZF1ZGUJX(SIuGi
zdc(5bq?d-ozwIs+!bsC`_7J<YN!1Q9JNmp#W)BN{GxP}W<#q0%WY&>bBAW9ZZBaBs
z?+9hZ>SY*~_6CWTET+uJsh*l`v0yblQiGC^oGJCDu?#W2MhyEMNuAw1yEaILq1?bb
zCMs*7q+V^51M*8WrW-ZDCbI{|gNBgyxgbisj2T<^5lAYdEJCFFrH{b#8PfrDBs7w|
zg~%uS+x2_|n6>^0_$Ny}nfnNE$&dOG97|x7cpZ;s(t>|My`5Aet{NAzd~wl-P8#(E
z{jh4>H%Wk$zJOuEn@)hl^~Uh64t)@d1VCgo7WpT#@3yd1@bX=xJAy0w^V5XJcsu-h
z!{ewM7oyE#U$~G#P@jO~S)-mLKuTZ0FyT!nK%$Riw1?OSv9M3j*s#3*(ms*=P`@2r
zUS=TN(<YL67e~=#Q4NIQ4v!*P(snJqQF+rH<}+quM2vISh|{hOHFK2Q*bxp*!nDgM
z0EnDZcwMQD(*dV}#F{mE?ob$d;gv3e=|l8{Q(6^S>}SH`DWNkh59i(rhdy^G3~z;K
z+CTSaf8>k*2~SH?)bG)w(aycw>o@|jHnLY>{FTzX-4r&nUYewnrQi8Z(nUE|+61b{
z#R&Can#_4M^n|i^^o@6pJ`!^WJT596(D{$!dr;ud<*!}gQ25hCJ1VjUKOicy;^_vZ
z0mM)iPe&vl(bI88BySIqT=$C3h~&*6l7Ih;|M)vz^A;IeqQrEBRImj7d{MYbU_o^J
zQz2-zOteLY5uyi!HYY|tbMo^$p<p*!v<Vf->Fom2fpS$T5Zws{x=DmXBV|zmiL~3~
zzIQ@FZfwLY02#q!6hADg#tCp^gu6X(5CS(tgI2vXnten;B#jVx+mfD!8gD5ezzGGm
zv1{Bi7Ez4X{p1=IkxZ0m$;@g|fUE#rsq4~*EK0Wl+d`vZ8FSlDC<V63mr2M{#@rIK
zE$&C5wM3clVo9<}j?*xEd_8J_@fk@~x;W-KfM+%a-=%&V;u=aEXQ~yXza&J)3RLB>
z9-@V?gN?o=r%kXOM|P&*<|CszX4BNVf)o-z>j(uXvCxxCz}TyFgnQHi9;GgOTIFth
z7U{y#KrND@3b<juE(yhwv5$q}YY9dR?VF#$9L{~!IcMBAx$3M{591xAIs`uObO`8m
zjCV-&fj|gISHrQKke|1Wh(uqO`2b;$5UwhWf!x;!(Y{EVJfMtFs$t|KlKW;t)U1>+
z1~!jXcIAZRzD9^EWBow@>@65}H8r`f5uyw>6LcXVP(7m#wIKI3!hO>)(^(o(pm-;F
zl}|0)*C<D{71U5LhN0DzUO0qfZyDjm)*2RO9>$EpD8%2>WukRPJ!X76!w!uc<vP{J
zR9<I%Jt#235>vb*+*~o{BKOUF(O`9}uBrTRU1uWaqK{#c6%07-go2sbyCjD$QD0l;
z;`PUW)umLsqzpZZj1k-KnE}6-O%~sL2An>g1r9k03|Y&7*9||%fWKz<Er0ZTJz-M^
zsu{5NAwsxo{f);@-1`tA52NN;@e3aij0znTwN;yKs@LlLB{E>N>tTaaaO##tk4oki
z88B)hQD0;qBIuYEVPwEVzhyr+GT@=9nP6nV;J=*`HYn6kjTjj)G<ofPyE`D)rXnmD
zFddAdDB}crElU;{88A9F@Bx7Zq8gUw(D(xqhkV+lU65k;`W_o`%tdCYT&bu=d<4r<
zMwJ|p6F6&zGcED4#1tPY6!s9Ib9P4JiPslYXB@Hq1&2JBT{x}ntkn1lDk?wM?63li
z6~1%8Sa`Yv)QP4Yo@MbQIC+OhfU(kIKb3!;VXWJOvDUq!S_Yj9FI-RIh0lHG7k|a)
zx*;|1>dG({vrJU{B=9jAQfZHNe6I02$&}&uZG`A~4*Q8xcWc0yk4VN~ghx|q0`Lt^
zawMgOa@<Bdno>hKZiGiuYADBz@Mua+>FPR`Q=@f3t+wsaq#7DhHv8iw)lh7T{8fpX
z0^zH_TIA3Y-V_F!^Fmc}K2X2exix1&e*J<xt<H%czb3h!hCfxVueFQT4PVP70#m>D
z4}AG=`Z-T1RN+?JMX5u?3ScVtXWfAj7CL%t)JA52Zq%aocW@w7gwZZSTNT!)fiBe{
z)x9Dt?V^t8IFTIsFrs<z%=@BU1mTeSvu>fTRfN$l%I414pdegD8115L?Tn3yo1`Q#
z>eMURLVe8E&Jr-?)(+yO%LlY*?T{a;Vd0K@VPBb178~60Q?B;YE6*L=csa@;mHJIG
zb*h9QXyZ*0aVV;N2oVI{jJBX2Q^@qilTvt)Rue<?n8H(pXi64NoKWO(4l!hd>Y^q&
zs@xPkDkRc3oG<%so1n~g;eJ2_0`dhzh@!MdiRX(%&hv}+$cNL%V;*~2jx7+3+?HSk
zS0ch==<H1~y+>2T$@Jd+`Txe31z)HRWZ4;s1zN3UI?>RwQDU^yp#qI()5%5=8FZ3P
z;nYs(Mk3y)r81*o0I_pKeLJBuJ(P(?f-)SEm>M0RH00a~o#|ofJ<=}7^!CVntO=dz
zacZmgjV3x^C&kpx^e|$s_l+p0n$VdZ2y?yfam%hj#fX9F$&jf6=ZGA<n$p1_RFTgG
zzX8i@&V}E10E6&^5VkJ~=?CbY^~;gfoK6gs{j?>hEc8s7i*xVlj+cupSGipRm@;?O
zzgkSYrFyu2s>iKlC;M2Yzf3%x`uC)!W@b&7s2sVpU@6y9FLBH`yZz2FY&&MZb2K`~
z(YoBZR@oVff1;rH5QuxdzR>j5EHL*umGjHK^k4d+&t6nHwGz_2i!(y;M_ruGeHUDP
z&V8Yq9`p1$_k}ih%+crEmxBHA{CxgZA9wQEH_YGaJC28D4u=_T)w-QVQk-+YuPgVP
z+1=1W-$r`dpZ}3h`fq>XV;8e(S;!R1(_FS7IY&x^qh6OPr|&y70W6d7$T>10vSks>
z1%-Nmo30`x=P<&Q)(66_OD#jvA*hVx97ebY>(J1&ZqHzXBL9z^!w6IRaoo(dOIntX
zNWIMn(GhVc2KAl=&#Musw`D@49KwGHSMlL+JgXK6WryelP$ExR)m5Ln%&JB7f~cW*
z0E}ZOZl}nq-8Bak(&3o9mQ6(i<w_mv3CpTg#SDprZWgCMG43I6UR$w@iC^!ktXg!8
zWoS@%z@QAP8d<e?t@53qZqkG@ENf)dCI>OP>M}y795bwI&#K+Ga1qy(VPRu-sN-U}
zpa#`s%&@XIJIkuwx6L$9I4tc=N@}Osw8P3Rud~dmZBYY~%MftBHAhWJZ74xQlO(Nl
z+>s=Eu+SosWd#KCk3gG_rc7M`UW}c^(gt8tvlDGPYLq<_p3%e+L8Mg@`nr$cNz1BL
z`(XMJFoD)w+t_^UkKmkD>lh7^WY1Z(0kS)}t>`(c_NDnyS5192LF0Lu)BH`Me3`C5
z1#{BpOwKNC!hBXHoQ@vph}wPGi(h*0nH$M+ge!vn0`mctwGW|BsrCo|18YhYgcOtM
zH1?cFEEv>8=wj5D3PMUrBSe(4#!(%BwkgKuQ&UJ9;n6Dol#xa_qLhYce`rNV;H;Qh
zpyuSJJ>a<HB_Qnp(!V4uT)(*2RPVn>=f9egBGM*D$35hV0E9#x|3UpEemkQ586_sa
zy4iqY(B@7))@?x47#lM`%(-?0Qn|}0_t(&GKqXz}R#7c)3iF9k9S!fwCfD;$1@-i-
zx|YR_<U7>XdH!k{pkF#n!~qf<4oH#&K;kvmCLRuSwLZziAr%naLqiV-tfJ5dfTmrX
zkozt!k&e&;CeK}{*_afnS~#Dday(x??d&08n&Kgz5Aj=c;g+n%c)on``FuREcscrb
z+`}Th_PoqO8$NEhq{C6fmX*5&+r5gRuddZNiOfAmmfc=tSz=T!9A^>R8d5z+mR(O|
z*~kC<%U}G0N0w<SkwrqJ#G;TOIS_B5{7i?EQ_u(zolW~zngFxgUO^~kxMlV2*tP(0
z)i}27WLFT16>fxx3kS%85m8xL#jH;)Mz|4fTl&VB_c#zUDn=9=JQ41<JxX7Zu!ref
z5sC?(2oZ##Rh<v}CdHil)M9}rLZk$tzn_H3Ax{V+?!)5|^}?PH`u4E~6<IitifZm6
zL2};@`hYO`L3JCST)`!~pB*0z#@r)dWkM;?pIv9u102yuL>MYOqIDMdV%noh>6y0a
za^TWjeV1_l1?+uo99mQ=j-&bGLyzrvIL5S0onv%lUGVN>t7F@?ZQC{{n%K5&b!^+_
zOzg?Tww<|o{}=0i>N?$fpAWsxI;(b7J-;XSmUYHAN-WKN$T>mNX1M|mtFj6WB8isA
zUxd8r#Tq~nB;2MKm+Yxe0Je$;ucHz{JtRv`&i|+3q8kagQBe)?VQnVt^|C>72xvyF
zqT1Ez>t!YV*Z%J%-~(BZszGmXj2>!u@JyY=1$O!wE=x|^AL?@u&n{KbFfiB^qPJC)
zvcVU#f=O7(q&;em&YaQowNmtmHQf<|HKW0|Ix&jdQqnCk?o>l(Cre;Lp9F3FEf4Al
zatg!~NC-^(2<gZY?nq9^clbkR4kp8BfK;7T@pCxsDHS!tq?b*ej&=OZ$+)%MR+vHz
zPATD^K(~O^eoqF}E)7&J?&oj**ILUXnpaMk&(w#UU8H1}p>p~j^jKc1;|d1rZac(^
zhhBQ?V>EEv(VL}{%V7iTKFzPFn9qxLgAc9#D@muu)SbKw)Eji#I;iqkCn!)C(+oiR
z0M)&-sGvXD9~%?Z14ZH*S+4}N=(Jqn0s(Ale${&r-H~sFN2%_cvb^00P3CW9!K46b
z0pwCWDuI4dMktek4-f88rznmItsT=z67ea)<@1|v8dXxslA@So9^x;tPM1P@RZ{nf
ziUSK%kwp@9{wXjlaMMCszUUPorGo^H5%PgCE*h4uV6oKE@2Nnwql3++w^f8<L9Kth
zh%|a?>txJlHwGapa$5;%JT7llnA*poYz0NNY%Py;#rsDd{%;9}U)^;i)V``>dSbIc
zwvh~|RAOZ_(cNU+4d5tQ=*g^FFjW-^_852sJefSRX?xC&9I%jr8_Ibb7JPGXTvNe7
zSPutWj8q{mNW$6<LcJvD_LI9a3&uVUdWk{}p+?3=ES?c#P80q^hNKwNDzY|>ND0*b
zGO+sePX%Zh>qZ29VeRf*(;$F3RR4xbIl4A2A4M2Kw^%vT1DtFc%-8}{Sdyp7rczlq
zFPqs?oDeyyDPp|oTk={U;2kUb3wETIqTU3%*;54M{(;8rF*8ON`m$|<kUefVfr1O~
zY&eDmD^7qSU)U~bWL#vVXMwC}vR3>REhefIG2!ed?zSqa@DH2e%0uLgz_kU*+~L{d
zWr)aMHDd2!Y1E~X1g48qK!E8!YFs25aV0PV1>%e*N?5qYI4um;q%;rAc@Q3|U6-3E
zag)Y@l@^2r5M8F56bTu87cLRt)U4bRu$?w;=D?X5w!4TWg?l5l$a$g_(=AK~sT3q}
zLtog@^!5ml(tBh5y)l7T?|b4_Yw>#biQM1V2!ed!c|Ffp55zl#R+)eA<Fxd1+sI2@
z?nC<zOYn$Vskyl^MTcdmVsBSKV86O={jSCoFxvi)tuC(O$zel!;c(abT%{#a8)R;5
z!xMAW9}H3R`QKkRdS>#WHj7`BAYC@BCtQH~8z2h&XTP(JH4Itdpmx?;_Rk+&Y=tcZ
z2mCA{BxxP@m1KrNgypdYR3ueLQPS>1ky^1GK9lZH57Fv_aL~q6&iOtunBhH_L5%I$
ztYfz*r6}tHGc9aPd!8sgNEf+gqzi2$n8}=`&E>LTh3In~UBAKVJ!9jK7?<hD*O7Be
zI4kC^w!zY*6*L;QU7oh$%(rWY>3-jg9@-%r2HZ>?hE+)6O1&+_u*cs7#6946WYNir
zV1ATp-jvWFMCHAX7Ld6Z`a9vcc0p%Qow=5?3;dh-&S?rhvUp9F^hY(GIO8(*Pv1})
zD_|jif!#4%Oq8%BhYU;dKT!W-2&FEp_)?%M8TwB5;X&qjIfsh9KH1G<QJe?0%4gI}
zn1_mS?$xnlZ#;+iF~VsM_5b^j_d<ldr?sVPWC&pY7ko97`R*V!oxYHVt00F+@>LC$
zw)IWsaAEf*s#ZIgg_Knsrf_HymYI;uv^Yl_AJk=I^P{4YMeK477#8yUSMKWv6A$oN
zmM?)|9=P6U!UNq|-ol))kAfYuZvS+cU678&7cVrDzy^qno7B@`I&%RRc^M#yBdDPb
z4b4E;NUy}@;hs{Yi|B1jL+GHFpz;KQ!loiCCbYIWc=81ZOR}5HiOM9y5@8YK;xlO8
zDM8x;9qbOeL4G_>M1@Hkhm-g&FJGcJMh+KgVQ~-&F8)XWX%j=niw?Y;@I8qBmdZ}7
z2lm3s7S<fP<wMjZN6_@!@^jzZ&C{1;bDedZPbE=b`UXJpJTXf!9l`r^E7BIL&t#T>
zstUZjP$V)-8W`FYISE&RenFRb3vA^Q0>liaGglFf_5;jkn1uc@ee^L^X{eaZOkEFZ
zxg!pjYArG1pukawTF(~J)5fV(0OvZ!YFPzZXpAk{I-=0JXyMFz98*t=PCP`jbLTWv
zP|MDCD%LW<*7LqE<QYD&f1u;&(5#HXXt5By3O?E?9`d@CJ1C>zOwMrm9XMs~Y6ia{
z*va%j;x<A)=GkTFYh5Nk^D|)F|0vxuJK;t|rmK&;U^+S}ILndQXRtO;sJyYq3NP5F
zD|m1WI1IRlth+gNQ+$Pc3A@8M2vpY(gfr?ADCr%9{C<`$3u(gyWFF`6NNh1nbTjj?
ze`(!gj2sPGTe5+=Mpu=^`&z8FFIk2*m||JrD5_(ww4HT3<f^Z_qG1U?MQ%*vJFh;{
zsH{4UDd>AcMrDEXLoW!?T+k4DciYFN+J+5H7v4e%mQedjPR?M<sNtyiOK8*y%OLlv
z0E>L0Wk%M}re55Y{ZntzI*R-YHsaPZ6DCw9@xig=+nVmy*Ux_btdJI!3E+Y9qMPJh
zuG`yb!qf5W+v6FM_tQ^G0p?%X3YHh}E51z%P-gxy9S?4;(tTqk3@ZIf+CAn%&MT!2
zwWDADP&2!7i)}Dk9#|l;HV(^Sk>b0S)NBJScO5zz4|d2(exIL%?0JsNX<X&iaDoFG
zsq>KPR2dYHQ<53fQ>NZT9Kb!!nG=IQ{u1Xhi<b|#$gN^}|Bm;KgC_aNXO_t@P3D=W
zaLz}i&lz%ohg;|-^E@Z88k}mE1O+{=Y^0ca1j!XYt4!&Y$J#?!1ygx%auiMHwHnne
z>@nbE2uq<AS>wWdCcRdMm@lpGw(y75f=hbJ@-nNeD4X!TdysTn7tW^6dGfA#7hh3@
z5aWk$WMc9V9C99Y5ObQgFnW?`t5_l-|GrFY{CHcz3@=!;6xyE_dfJ`-`$O)Ry;<+%
zOBv+U3G7%7DT_F_ByXy=Bjrr5rN_yME<n;3p%A~?o8|A)#_4M$Oa6S$0x4JN7|Lt@
z-BKrw{h}iC2w2~Yf(@2xrAi$;N2x@y#9@Vh9H0-sm&Se=Zk!?zdshzoWF!bs7NKgx
zF{V3^@iz_A>kq}!i}VlGR~1-N-c@?E$5c)ztFnH4HJ;<lLtKuZh;a!DwiVf9aOXxN
z>bYASO2OTfe$?;?Hbd9Hy$IVgjvKEqmRa}lb-V<U(u_V=1#bxYFMev-Q>Ao6se7_z
z5Q1dGV1^J?F%EZTO^c>X7x&W1xxPuuO+}I(Mi)=OXX%@Xm(Pa@lJJ}yl&UT`QUawr
z&*2Xo;dESwB1u8VWoqfV#isr`4S`AU78aMka3)z~%l1IXBBv5dy4E;biYNV~%UU1H
z0!Z9xvcd?>E6+3YEdyKLwd2?fvbDyNU>xD+i8YvgNDoqxJ3E%u02N7`XEjl3Y;5WY
z6Je4>{WBFbAg#5oNOe6)J5zcgx3kd$mo#8euk<IjRuaN!<}2odxu`6!xBrg#0<MkR
zFVu`AYhj3I(OQpB%b?nH_|l3iM92|8(8=*P{1|M3C6g4RNmxm;Zk_Vc(F2jv(v3^&
zFMXJsVWe|&Y2cffD5v665)wNV({!|86%B<etRITKy3_t~Dga?kf>FLLnTdp&hZ0c$
zHb6D{SS$kRQ<i<%Z(=A9LQ7Lr27sg?Br_<u7$q!4j)!GN>SD_3<I5*KsGNXj;P_~V
zfEXWWJ0L`f&Cuho)~@9%L|szm5sE8KV>5DP4WL%8%wGzdwvS}K8v@WaDMe^hDvSj1
z#&?!17*?5Mo_5qq9T%zdSk6n@9NTuRXyC;A{E>01OYznPJDKOLjO2vd?n#Xi7U2m|
z$npnxB=Jwbg*X-v2L+t#_3bl-{vEfq!_!hq_oz^Y)sl|0Pj<v6k(FQzL$Ib1W26gY
z8LA@7Y=IB}N-8F!*&u+l0yGJ5IX}%^&ASEi$F`C1lT?Z(Vynv-jWt~L0oE=;?9$Nm
zBFaA>ecAMgvx9@;VXo_8$ZFssFmtjSlI}GndzwTkd=*jLLp4;6jKKyLdYUKI!kk7d
z2zTzQDVczxNnBi_xhyh8Sv~ks&TT9vWlcE{#ai=0Kx`KdXe3IVlhhjD21H*k*b2n`
z8V2P`{s&EdUCY|#{)Uy`0I+h4Ya#~a*9icajSN#J7}}b97*_02h+i1|@M=0#2+zL<
zqBj8#!9AxeOwZ;X((2quMFm${mV@_!^f3tn>D}^5d{QS0hM1qiL$$nLy(7RIH8OUt
z<)ZaPNcC9i4|X(B_@&DEmP%4|E)7x$;3(&l@nJ;1h}LN2kdnQ4Z7F<R@Ri)-FBqX#
zK<gWYpKQ&fX8iXy0NA@2dY_Zbia8yugj^dmtWn<)Ct1Di9r3b4+L-*$Pz4~brAWl4
z&ad~>X&&p+cQwRR+}xY?3dXMB_JKFoCAT*#juZ0*UkaDdEZ&z&RDQ^pxjm&>|0=u_
zAAJ3nUApi)no?EySN*}-5vzi}a7YIZlW?n(v!}vpxB3c9YAd3Z$Cn1Afa7MNT|E4*
z1!8ozpQ_SuXBGs+JR~<gbU9MtD%5x;k=N%s5BpOM0%IKKkszJ6qHq>?fZc#t)^O1I
zwv@LD^eM^AvlTnPC2w&!3$oCSz%y%<qDToDma$Wm3p%(%`Lz~mJEbAZk{SkwaM5)b
zlW-DAm7(36K-wYFAIPsiVl~Zo^toW|=!c$^8T5QW3c+kxu|8>I8U%#(YBa{Cf0Vl^
z?Qilt(0jnix`NB69Smh2w7E-NP*tRI7xz5cslhhIrXjW(+iIo5ePEBGMjpo&Q;CSd
z;Lt|i*_-+?GB9JpM>|`L1)HKsG+rahLzo+eqLtK}dV$3s!|B%~8KIMlY1Ha5NJHV>
zj5TeqLBSsW3Jyo<vkNM}n}2*9UPu<w5YsLSjO=W2c+u^e*MBn_SNhzX<+IXk{>|OI
zi<y-$)a^8ls0xL=65>luoU-J{l_o7t)IilQ0{62EgG|nXcIu@cM(7f0LbXU-RBBts
z+XGhUQg<!MbUM43w3kad8`VEphUNova#7>$&ek7~dw&z#zlk^q$L<0_$bjqv;zG#y
zmvNnE*O>P_`lbCU(P4T18??}C0@EX}(8f>?M0tD*GqNRva$UHDBZx;)kVf1w`p!}#
z<`E#5_xpE{@|F*wmO)A%NOXX#cLRw`MN&DVe(Ir2WymQC47?MZjKIJZ2>D}o)h72#
z-iR_@Z0V^$3Hs+9`b5+~oNWx2lSf%?1QdsXe)!8t1XkzFP?$zTD_T>P*DcDM1^&{K
zLM|e(ri@UerY=nqYMKnnqa0M}NsfdxScd3KG{Tw2@kgo@Uu;C!H<U+-4PdfJxUCUz
zZ$>aStZI0|Ot^A4mP1=et`1i?E2plX0YymcpTL5qJ!9~_B9~RT7EfHZfr2ibP!&P8
z1#Om%{ut4=k{DEzy7Xk9j6wC`fOGpJj6wB-fnN23VwV3cc3fZeVuSSX|I;3M*JyD^
z<6=pKmojO$*mQ6fE2wcHL(W7#xAu8eWWwfG$)4P#R*f;lmJLOU)LG7RBSIWP!s8~!
zH7I76hQB_KEDb+~6mlCqg#gCuH$1xC7bS9#Y9}iF-u6#kM#GO+|DV_hNXrg$h87L$
z+}n7oOV!)GANo<a7Ydeh@P6H%!-(6s)o~j04lUx`ckzx`+|J#1;Pe@xV8mVzDdP2Y
z5Hz|Oq<~;sE$gTBKMbtCUz`*PPu56Te0Yx{y4#%*z48bQk=>FrTR(w@hA|4?$Bj-z
z55|d?p%|jBf6J;JNye_0w^?(xEJh;j-mbdYc9IFMEs_Oq6W3o1M33?1`J&BKNj%LI
zO_FPTsGU&oG_8-$AyOl<tgsQeW>Cw(HiE;boXZYQY*T#a@RtML9|Vn(&$=(^09XVh
zIv>kue{(gvYA{#>lpOkS#z=9NAtXp3wGWCROB5?gP%?~)-1LSq=O*jKz(cV(tT=M-
zEDL!yl>Cf0#+#%~kR3F&5uDE(8B>i4NK`Z$WrU5*?pV8va78$Escv^80=P<1fmKF{
zsD3#&Gu@Q2@QzKhSJ<C<2VHl$&^`eQ_O8W-bb^}QGli--rPO^BWh?52ZFFjzXMY9F
zX4)7PYO5rDxC8ol1?=-I7nSF_?bmeG?Vm&tX5f!ZHQiM%74B%rV8+3snze#B@S2g-
z>Vvf3bveHARZQpKx3Fe^t478jB>~eAyTCR@JsJM>=X0#yd5_0i?Bo6j1OFdXQe&l~
z7awol=M;5#{z%y$IooeCME3&y8(~4#8*b##a4oT<2uEP-p<5NfiXyWc_Xw|<V+Ihn
z-!%q$VR6w0l$+VY#PW#_c2h<L7vUjif;#{L0=l{sLZfa?4TxSmcHAL)UO0!0q$VJm
zSz4`FlW!<@8A_5ShkK7yDO%DR<{*rq>L~aIzS(G1)4JDK2Z9gwm)1<ILO@r`1JR6X
zizN&1yPM^qp8Jgd=foe(%CcMZIZ9Q22~f%(%DTbGsQM-LYu62)>j3$+5+{5{_*;tf
zRABANbkJc`q%a%__bN8Bp{gW2&aV&c_oQI^S=XCn)|$V+);u<Xt*qO$zll888@oE9
zk6~f4#KdlBggXn>A-?38Gc`Ke`86X!%apicxqhFd!4}w<fTuHwgQK!up)XBG#dKI|
zdw_GZt~mAvCV<NbUTh@D3M!*>W$r~H2{-11M~_3DzVPLh7MKDfT{?}5VJYG%!kyMs
z(WDe*k9h<&V&o@kVx&HlaPg%XMwA;n{|DHqm+7O*#+qr@biE+!O1m9q9nyL+o3L`B
za^Bvi1i*?{4!Ri-QRWv~e}-MoM?{N$#hNWgfmuyJ+q*Bw?B0qxVyxrZLu2=V?*MtS
z;}LrPe6uqUPS1c37=*iH#59V)_6Bi!w=!V`lL@TM_29!FtriDNFeE5?I1_kP)M0zI
zLcT~pS+t2Y55B!sKT};ob3c@F5YG{`z)l@!Bl;{?c6xv+r6Gw)<zP0D`uE{4jU!Xs
zCa|+}t4bGO(Iy!Qp3!C0_k2wqh8&NwX6U7SNoOrMM3wUt{h4TyQ1wUg@@+pV?iKJe
zm2>qP=#-i+@>;HB2<-!&;%ntiy-$?i{l4m1-iEu475YF6*m>^V8=S~?u%NBE;!x%u
zr9t=wmgQL$QX-aksSXx9mxGT$jYD@9jFzltA!_%tA%aQfQJSix_eW^wBxq8yw{2B}
z<cR`O7mE#sASq57z&izesYT;(9Cot#zs-dp*=K@^()nhge-8@zN-&iascFB(`J9ks
z(6Z61@;3gSC;KC816grDRUTE+R(9O)5r3r(YD~4#y27yo&x)v!DkG5|3AGf|HHI2F
zaDU<A65Lp)fJ?8G2ozKwDPPk*AVK=KBZ@N^>%r)qkubJXfRSB9rze%tse4#Em*ZX9
zwC3Bk6p+O`e%Fb%=&FJeTt;pm#qs|Q%=VqbGo=f_(g?ngD~aZ9PB8Hn=~LGrxkh;o
zsp?uhkPpN%v0Q>xwB0afQc4NHMnKVgf>~U6X&pv_5mE@_;m9TykMPg=cl2eQ$Y8sC
zcAE&%9F^}xnjCb35H9$hk-#g7Rs@c}fgfsM=0ns6j-Lr|lm?R7xYq|p=>K}tNzaF1
z4@fq)D+vJdNptu-kjDlp9Y_!kbW?o=y#&u4>ev|)%}JN|UzJi7jiMcgjMo;4dYq!8
z_6(#am8X&(2$K~rk_-vl670^(`<3chC%C8H#%tQK0`)6?v!E@OL)Seho|xEGp8&vl
zDh7~rc9%p4oqNZ=NmHFw{}lK2WCd2$#N!(bTqJyT9`JS#A$sQ63Eso_>jUxHHn{6R
za>3z&U?p!VE1nH8+#!n``7K{c%bsupqWpO4(Ht%rq-)qOLpQB0WpU4t0sEB!cdK1-
z<tJ6^p0IWjJ9(Wn%B97U-r#fa!tj3-n*~ehd_ZAiN?5Rj7aOL8Zmrq27R-$SBq+3=
zM8q;@{0l=0&cc_LgCT<>gR;?;#W`Tk@H9*Tt01DZuo4RgcA@>;oir0SLy<8vQQM`y
z9dAKG@qVovaM_oD`X2|lbV<v`P0hFyOE8V1_?(d9^vUePsfqT8m>|6GcMN~LnDDDy
zkBBOiUBBV9F&A{F`x!iwcp?%@U<;5UpfXeb4Hi|BPx8N*KC(EV7~gk&^_l22)(+9s
zn3#=|TyNiKUWZ;0ZeY8?3aunVBEkZh6B+@&TY^a=%a7E61>qjSYO=Vt0rp5X|DD#=
z+_N8HTlpAm-=|^U&mUn12^ddGe)Cda{f-Y8qzY<H7r@jO*Wd5_$9}r9CwUUB!mU9x
zZ&j*YGIKUt5%ROFDdzUd8V%~E`%ocfZd1F_Q|1n?FDtkbB_&AdGOBo_m_FZ|83L<U
zj7Iit;l$3oGdob#gU?UBGsj#`1A2Eg&co{u@h;O7z6Syy%8i`<);y)%9+}&R4Vg`R
z)C|T&4idAg!XFMCk~3q7&ZFlC@u(7;^Q8uqZLu0^@#&Itl`7U#Yv_PWn6_ifmNvEx
z$j;4@P<S8M#lq;w@|H5jZPEy*p$n!;;)gT1Fo{IM?7iKh!{CViP;rbc#6xL-rQp!=
zIZ%YvKew*A{F;l+ex<pc2E2pHqHiOSCb9ckCvcP6ADB5>ag68Tnq>*;+D1{Niwl<P
z-?5Ns?FasGr##i0+6RRwjpOx)5l%Fm#x{%i@QsIew_909=oTpV&sP(A95&iHa=Caa
z@7qJ>FuMDV|EtP(bIpElPdQA_oV@`;cKV6w1Grd(4rd%%0Xbx?i2`O@2|MY)Mq(RC
zJdy}0H{mf2fJMV0A(c(i6c&BhRAD?*LxW}(Wj7U{H@xd9Quy+uBJbuHLXSo6z;jA&
z;{Ts3z^Kn4UXo^e271R{-RMEPp|zg637RPR-tw|m=+;IebSmbGyugE4#kHhys=Svq
zPHxQPG4*Ihj^*|>-wM}}g@evuO^3YOJ*8~uF<9#=o~$42$8X5g#`SqzVLbUe0a{-o
z>X_$Z9Eu*rhELO{WqSSBZ4454?*@g7`!XPAD$i`tJ8ys5otuqIrykZh^X@@-^>Y6N
zKR2|apyo~4p0|iIQh@;aag(WIYm5s~WuW65Jtp@xj-8&751~kkF7WRVypf;NH-Y#{
z=${C(^U}*it6=JX=ec;RnoIA7HphVdccDY9bX&PXcD6&(nZ%<xQAVhQ*fCtn9`p*|
z`qITY+N?(_xS^AXJ6jC!CK$T!NY))uzuX`qyXY`-9yzS*y)u#AR%(eXTEOaxg268#
zXC0X2!eRO#Rx~MaCM8CAt+L$tdjiGL8yBESe?A2KiR+6>yZ6h?xHBaRRTE#%Rv6#W
zMZ!VgI|E#Ei9($MyN|M#2Z{%{rQzNtQqN~cb*9MwJH^RE$$ymv1l-Pr`Y^)WBtnAf
zXPd5y`_{n(_Pfbk80n|G6&qAct$6cY1oyEgR}YLk1kNQwn*S6e=qvgvw}d(e|9hcq
zi;RqYUU<3on~&dEIb5Sx`H+<U9Pv(CO~FweZ(ez!!F;IeFG2+ey<E84HDz-|SloJo
zMkfrNPtlZw_C^l)f<6X4b~y(pdD@&lMR%JO1%oey6HB$?nFo5WH6A$%33etC=t2=y
z>QbKl3@B9GTYC3piFHhg4X(wT{tX~9gs-pIjR^E-1Onl2BG4~PA+U?G1dvK`uW3QB
zk4tYsFnKf~u&eL{kVSMN&}XHA<j-mOfziedY&Y@f)H;~>J((*-gB&oEsxT|}N>0dR
zJJTk)nAnt|lsHpBn3c}cR$9y(OoR9qTkHjK*zcL<+CvQf6V0dLSJfD@+=N0j)}0HS
zjD!3gow{Vd5?T%HIz~5=Qo22-@6k!8lnwOZs8$+fMp8>SGFWH~lA%yth@oqvr_bP4
z^U!S}S)GT`Pr1P;&%t0EPkY45QNVAhUO3;RuMh900nfh7s#jl{&)tmT>FJ9wpX}3A
z59gM4pb_{&lJdA2g8#eV4WD}d8XrrHiShrLr&J%px$EieVc%p({bR<%&dxGZl6%yy
ztqe%f0o(cp#uC)y2rK}8{o@OGObOWEc46Oc!pZj{>TI}z9hn(w*tnO0H!Tr^HJl1P
zi3e4I9Lkfx;3dh328<mk!_s(&*1?kX>>eq@MZPTQvvEE;h-bsQ8LA7&2Q?~618hPo
za*A$HyQOKY4?@A1a44$0Q8c=Nap+z-Do3{X(x6IPS;LvPhXeLQ4f^V9mmZVLsMJwM
zo0$V`?1R`Uu9IRY)cfZq1prXs91$Ic27K!6=fxZryhmusVLEHxg`o1?aN~LsT<A0z
z#YS_gl8uV6RoL8=#-XXkRi_62MCwvWrY5S64W(k3u7E~UE~hWMjkeA4aL)lYpG+yD
z2SW#X{-$iQPEAyzKyLrF4~STHCM3MTg(n0$kSYchRk#T=SOs!$CRXQslh4fRj~WTK
zurjmzIukdKtYzpu06{M_R)HOsG0*8+6|Ia=n1!y>ARIIG+If8pe~0e=`g)|V;psH&
zflLgilrh~Av@(EQ{}XG_Li?Z`8d!Ec(E0Gl>-ybJ386*@EqKki3fQ*liV(p#<3-^B
z2YL6?(}?eY0|7y<ti@nVE@?1h!B@70ZUS3|pgiK$i3Je0F$f^QXyZ$zzcbd<8&iwS
zq8QQe6$pQ+hw3A90yiJC3zcKBm%_W>>on{LT3yxh&2YhknY3j*8Z}YmWDITt4^C}u
z-A*XY2<)3r#=|us>V^H1AVHXcv5_$F>eZ%HgL|}cAi`P9W1%pa!jLTT*mYRxOkjrt
z2lKWwFW#&rL49;75jf`}e|{xG!6^VfV7}Q<YiTUmTNcFPre_tfMdM=H@gJc22FNwB
zGo8u(@b}9k41`k9@lP2#Mq18i+;8}C|Ln8s3kVR(Cm|<OV14t59FNY?QxZ|jo6jEN
z3Z|-NZ#$1){y;PJQ)B8g+6qU}mEtr#X-<6F7=Y&|R^gsdjz|e=orxXDr&iTK$SGzj
zmGQf7F@*L18#emam+-S%r9UHT3M54Qg31zm1;z?pwhp#XQk5YUC?EV)F%SRUM&*E#
zQ9G(Dl$5be50BPvMOCdpSfNK;@cbT@twN{_f+sdkrK+fBsY3WWX1=K-TO9o*Yq18Z
zgfR_Unsu^@Qp%cPX&N0|NoSoflh2H@aYD@lxSqFauv;I~rVa|mL_XONZ;Y0+$DsjU
zMt-Q7yjf~cXTli1$DSE^J|zvI28orGpg;X0rzlHbn&_lKKuwYdJ*}e9&=^VN;eb@_
zN*o48Q8_59EMZ7jf?S#SuY1VAhBTaf{zN;36-82bi8fJ|xdm&khl{imT#Qf5^h5j&
z4=;H3qLaqnZ0gyxo;IAqesnPB|27nG%=Izj`14(+cU_9KWe&9xW?1E&hG+2FJB60p
z#a2{sOuj<n45vs!TPn~!jts0ApuN18(2^=h)?)oDHPqBn??t7ZVkW{Z!InO|AcJx^
z(o~5`bU^#!?*vRO3kT>o-Ei19ZeMerL>n*VN~xtF)=8MoFs@8g{0G6khNSf%K^kdG
zS1RYF3l>1vu)y!6EUq46TlzU<R+O)C7=Hwfx<DCF1gS&^s_0oa7wh?L*MM9@35+Pr
z%@OD$@(iY-T6eGZx+85tg-<}Lcoh$?Mx;u^E?qop)YpWHEj8+q{d&H5!Y_I>yjxKV
zY}KVVNB~Xin^5z5To3FL0RM&~Rvm8foSb<F*M0Rmeg^bib@$H12Dg{7kyB$SwuBpC
z?kb#*FD0-L1oOwo=Q#_Lr=S3>N@SI&N|dL_p5zZBlyOyLN}g84+mV1Y)Co!Uiy&hd
zQ72@oRt*4?B#P@4O&z)qp(PwvlZ5ymxwy+oQ7TYF5{sdj;nerEW~S0mV607mWUd)f
zXK>=&&k-FmH5&J|5hx;MTU=||D{(A8>WZh<Td;tz19+Xe5Nl=%fwAd6f>yU+8j>=R
z+ypwZe5Q?<0;3$!3Pz`7n$Yt&5qS16MH*;g?-C<KhGP)WXsZiX7-ojeW(c4CH00j8
z#zCp6^t!=}I)NYG$24BKhR39>iu^9prtbBHv(FZxdoBOFe@?J%AfTkuCSdg#D8#||
z;=F|jJhldD6NVtj#={OA;h=8SD6T4~$xI3&Y}(Zvn%E@5<1;8WDrR8-F!31(3T%^(
z%Mmh665$T%WpN3O#wo2X>NE8rd#liFU6ttW(<hnvaPR0W2S#M3TK$Sqd{xgg4dS4(
z<iaMqg=PO^>kr40Y|CQsmKn;;PS0O70|mh(j928u3&HbOB3i<^Bd2?eejHZL&j-=n
zZuKYhR}PYY{Kg7=o^P%L<Q0eWusozb)l~kJmJ!d`WOd~MJLKw!%BrLh_R<KMuoS6P
zyyX8_>_UX)8+Hy|qK^`oehYZet#A57p2TQuiaW&inDkDS8G*9GA|2FM)CA(16(d>A
z7Y`FZ4J)I_-rWEPdNOr3;jpmHg(-3P+SeHmYdR%r=ScV;`n3$%8K|+x-z1$^xT90O
z7Trw-?)?0>j|86;ujCCej>wU0ifF_~J$DSa4mn6t5@D=0lzB>-0y-G7NY_9YX_Lyj
ztN~SkcyL5QEU2}b8RE~BUr-;k!P4Cba)SjW{FAWX>)3;{_-XRS_R_T=nVIg$4`Ey3
z>H-w3Q?B&clomuh2+8RKh?QjV8owf)dEVU!x!NVF_BmvK^Pm3G8d}^F(110Xw*DSc
zrb}#j9G`s+oqcb5EVWhnQ5-8!NgB$*4LzmQT;N(AGo_?jj{U-C--~7cDPS98o$mne
zSvV)0I?qk*R3c@h?w{B@6igd?EKx9PJ5uq{P-F=AAwl*Q%`Ex-4nbOxWPlYII0uYY
zG{=blVIo0NZiCjOgXP^Oy`JLzB@YZGx+jO#a$RXd;s`hE*J{M$`@JnydV9|;D-1vo
zXfWdTFFmpcX)GPFUt+BYkV5HZ42}ph;#Mp@(en}w$_8(Zr@=1AY+O!_a~e$EMPbzb
zX*c}QbZraR^Fk>?|1G6EL<1^}Dtt9unK0lCL8D0s<{A7L43h8kp#P|;_Vm-I0lhaX
z<7nPr{aFZKl=C`Zjn0~!m@~rr_tILTp%LAUF37c=#0ZXAhwm;BAula*b2F;yb7$QD
zp!q9FIZDhnJWJ5g;w#)RTbE4R8JqGK#{r!J2b2nTJ_n6(<O`Eqhwf!xRg>wJK(K!c
zEMSgBl{ib`vR5h_e9sAzcOn$5a&u|L2cniiRkTEj!ITr=eGPz!US6d(<wVoHPHL2U
z1quQq*TqkIrHr4g1Jlu}GC8LH_>^2zC&GrFko;+*+{31zO_0{dukvl!vXz+>ZgSl-
zaOGKzHh(vfvM((87Kq+DRQ`j?_wqZ|RVDo;c<`63B1~DxO<`nm)uvZ!j57>0lrNg;
zq(Op;TcOgHGC@r=_#%EO1x~`63E;g<FsgO%DR1nvJT3jh8!rWtpP~r<(ykiPBRN>C
zR9Jz`p0Ii|W-2aGpyi45K2Hkxw6~ahCLrU!*^&aoPv?!{@+qZ%p0!!UUIf7e86Zo5
z<9o#yOp6kBM)h9zCQnu@1~TZApN@+5M6fkXh-$^)o~5uEnfXWa{=p^i&n4tUsaBtH
z-0IOCkMNZ@*uj<WS2+P=dV@lOPfid$jXfd~zOsnij8`g)94bFSa81G*<;P>9K`8ya
zss>~Sn&gi~l4<vXgZA$T?WnKqRkUrkBvo{T6WJ_8+grZ(9EL`D;VlxdiQgvQ3@He*
zM3z>}Ii#_tRsuH~`J)2As`w;1wq*)ZlVw-D(ktyFdf`#U=A(xkU?-D_*x+LoF)dRm
z0i@{mMAzVkSQ0P|710I4U!-OUvh-WF9(FmrWb2{*`53txeD<p?zdjMRbSpJcc_lEI
z<ru<j-Kk1o`-%IY8j7smL;Xqa)V9M;ts6U=w`_4zNF($-VUs&4Vy}5lW}tB>frHxw
z&YTE(*?N|_6})H;tr(I$v%HkF$bD_A0#!#^vTTts?xoc&X7hSyX)b_M%2uBqMoK3O
z)r?K?mPLW32;JRM_Pr?e-Ln4h)m<QDxQb<e6Q@f+L>r1EWOL=)-w335WeOSJ<-4mb
zR2c$lR`q^*p@Lq>3;AJz&e$<?_ZJJNoz<KvRk(~Q0A2#swZAEVv|a<u>?lK1U>CJb
znf$)-7%|^Vclz47Qgpl1@R=-!M=H}hte-X?_hykd=uRIDx<KCee9w(*;5mvh$feEO
zVfIXh-%Uh*_2<KnNBxB5d#!*sGr?1{p1R;8>nz4(*0wbv5)Pjeotw-(MuK2bVH>MZ
zKK9_C9+7N^Y2n!9#34qsqmgZW;{mCX8a7e!;KA_S$W6Z?`MgBnZx|``8I1N5hnV=4
z<)tNw*qa8SLRqk7V%N%*SaPDIu1G+zA_JTH{_tf6mzbgD_l+G;IIP<x^dh5`-8Ol*
zap2SzpnM%veC*{BM&PECXL71;aSm-K8Gg=7*um<&Z6`pvRkP<!8~=2fXit69^rp<r
zRqSUWd)C+4ZBg~fcDw@p`=K22ieXEI;6dCr?D0{NhOwY(xbvFuBfA!_50i%d*Vkc$
z&@Gi%HA|Yp7*g|7fdU%{eW|7aR0l;l^v(vRKa~lBO)MM)T$oT!6e5;JfUyj<tbz+L
zq){~vn<Ib|Ma>;1USEkof$c`4O&aqDl?LgJU2K`oK*5?{XNwom<|r$dfZr6u#3Vb8
zELm`r-==FaaR})$saP3w6hx)T1ht+j2vV_gdE>A`rN3H)LFZHw_8s>xgP>e$C3W1w
zqRx+_)}|s~CwmFyqB5C>5mr61w%F4RL7?&P+A+myIohv9WAxkNUo)70ID9X6z8juJ
zvg}}?%C@_%+e*5(T%!*ENtGM|whCS~o&(Cp{?t}wAZ-dOHGkdu1T6sa(vc`G7hzOF
z&|oKohvr=9I24>jBXm)kA&6lL5#WP@p{09r(B9y!*Z#!1HIz6?RSh17{&sMV6Uah@
z0f380mvu;5wPOQ%pg5wkx&}=3DT<o)V%G=~=|w~z?3S2?3O3dmV4exO-2+j6VF%)2
zs6o4L{vm)?zf_l%8KI^jfF0H_RaJ;LZ#^`fS*}s(%*I+nh`k-jJet8=lq9G}n31O9
z)8$-mRo||1rV!fG<9#sn!{XodRNv8g?eRanSK%?FRu1Sb?Ow>}7*Iau{`>Ed?cY?u
zCr$ikb(t-k<$_*FZ|{=+t@i9lVR><bl$=}QWAht2Q5q#UGQ*`kJ2|<XzC&H4VboH7
zdNv&}@zyYz>pOr_3*f87)m+=G;Hi;i1}4}J_q4QcO41FGmDqskqd#$)7NgThUFXOM
z$;Ig_aPn_JBeU`auc^vs3(0bn&TO>HJ`RIOXrb>4e<#UP^i8ZBwV(D?$)>5*ueDC}
zhlz`}V^bse6|0}s(YSo~DYeV`$*^1AkX%`6rc=kUhelG`@TNon@8~_&A)NRrl!aK*
z>jAf(n@Lq^SAzpWl6iHZpU;>bAXBiN*Bb>Z6c4XBGGR=#xw9ImOxl_XoXeF&V?zwF
zJ;9_XzmMAqzS&*^1x$cre;!?t1r%@2g3vA;(I)U%s4aGU1ES=-^hdDcT<tF_;jbr<
z*Z&G*4&R|S$ZP$l9?|OwlS_)V;3c00#So*&;8zzVEU4G?#VtPt`n0NqAb~7QDgZbI
z3qekf5}Y_kEm#JU78bA4m$|2pkO?}3B^jC^oiZUQZf8=BAP-(jPEN%lI%NrRhYc9;
zRgg}hMkA#JlSP0b2?1RTtyD@$Mz_{!%CbHnQlOWNY9~f`O!Yz|pB%y|(nic?I+_?+
zrYYffh{{Ey-~ND@ZgEw@@Zic35G#C_>fh~usYsxw&<;jx@FY@+3p17NY=%QO7$siF
z)A|8brC3!S50jnkqs-OlxOHBcFmXcN_sBZPiq$qiq5f@2A<CWLS+k>N&eoaFd#2ma
zt6|J$0iUj~lvk^3E7~ab!=b)hPz_i1B2hl;yi&<ltoF!xDjDBv-p|n<_<rntHlk_p
zY$<pwBLOk{xTMx!h4CjEuGep~oOQVQD!`{Jz*G#r{-ox8TYj>9``<>p-{~`BP{<6L
zawt+~Kq9Xe)IRaQ0veCNyB$M8%-9fZP0b}plIXIfT>$_-ej9Zu9knSmd`Wh8R{$%4
ziZcj-Cd8~Lh_is3Fd&g8+95?Y(r|G(5`Bu(^y)BjB1^{R69#DycUfB4uPPNibF8D8
zTDTcDux*=CaN2)nAyuE<dHHfZ!57#wYkel?-5Zw<%I<1h_XmF?njc`eSD}O^b=*dj
zwMi;L{maxT`%}~`2Kx-^#9r4?n-Hxf&5#TnL3M(+M)lxdmEJExfid(0xukhSeLY{9
z!XG~2nj)icsU*N3;MI@B^SaOw1Qq@C%1{VyA+0gL|27~&kJPVjhj|;=yT7b7{KWwc
zSA<yxs!7wUan>l0fIKt6$6;(|V>T(JM&406#IH9#Os}sp@v*NcPCL{gcJ#<CZ`HZ2
z+uS?ibr`yDeDD$UhFf@)ymIZ8%dxAW3Xx{(lxo-lZIpNClA-Kq2MY9M0bi-5X}d}T
z<$-#izED33zzS#~aDQi5{&oJsb;SI8d@l$8T_E~+mmoMxr)M_oZy53Q*Jwx3Dbp?#
z)Q?b~2MUjWlUipj5B<TsOBajwXB~@PxwEazM&Dmg?Ehk5D3o-&cYIZCx>IdU4!<tU
z@clO05>f;ypLkBN+)vcq{cv8y;1q(1KBju#{b-uY3I4?SS}C{azV^bcUC2}TPPz0U
zc^ESMmUc~M3G~~4#9aByS>6JfykCarC*k7WYFGE(UzI+C{5$*4<0VK}0^uv}U`#;!
zr{uG!WI>wqTs~n_y|U5yyWsRQ1M>jGu{&Vj0!Qk?0cOAsRj_HDG&`1kpX5W+=&yzu
zv}jayvY)M%q7NKc7;vSvYsKZ6T_9N0SIk`4TC3_?%C$8kC1~`rhO5kRFxEK)d7*2L
zux6rBxyq8EIEDr&iKwU39LmKO6bl#DMi8~a)bkZ}eZ?>SlGY_CW#$qUtIEoZaB3Qf
z^0C>NT#u2_?4`6Nz)M=K3c@&8`PY#OH<~O8rqbRF4M2)OwOgKhOXs}Ch7P`0{Mf&A
zSDwYKvuzh2AXSNg%3B@g#aZ*FORs_7<u8J7wJF;};}=HW!k=BT>#rB&uZnjv{-q?#
z;wuqO(lR}EQ1e!>MryG+n$%bnLHUgggdU;4`7n^RE!3#y>TvTiW+ffec=KYfc<i&)
zWlz$|`edj{;_icJq6u{DKZ%lPL*iiYrRc;9Y1NnWPqLm>EG9zV^EhS1hebhn4<pra
zVx72}^wCBrPfo*V$}rOgsppq8n!(3vTh-(vtUrCosmC1VJecF6)V_1NX`DHG8B@uk
z+EV`%lbILy9q`>uX&&qIr6-A7#UQFZB}f~MK0V0#2p-r&fK62haW{=U_rV_NN_#4`
z82g~A`?qMq_JE`ukx1{$iAQ(o47&(ls2}x`8GPLt<(jg+kvrmV0VabR`@<Ju$ay2V
z5@Ss&=BrMNP-h(d_aD`4-H$W>W#9jJ-sjB&wAr=|F=`34#^6(g!y#8~;nF!qd7?Dt
z3!F);4>Q1@2cguBWdBn<<YS*HX}7qaU`f9g2{oVrI!FoigN<fhzYvs~u$DzNVz|W5
zTO1q;xWP(FN-vw@se)RiT^Tc`W?SLw_0gxmtC*x-lv0Ngq;mIjGi>vZCaNdp$pVvl
zEd9-IfC6Nf&MIEzj`8E3d4u{=SFVlwre6-6xJ%zL?`!>_5&S}n<#Md`+n~`0k^eIj
zlP=15G=&5K#uNA=9?Uu`pnu6{(lS}TlkbQUlWjD4!ZH@+FB>x_Gh;~u>wWdy?_x;M
z9P!6bK<(i2Zu%GqT`cAZ(yJjbry0WDFK#|q_%FUF2WTsB+2?NaEpk2>8`-DL&BB{S
zp!bj?9TNiA8HPXVFF_?qH?oc{3UwzF6rd(KDvzwt%wM!2(_9{#V6jW`_7cIbK$dL)
zrKX@XFrJgr_YgwLOG=>V@68exPtCn*1R%_r21~!rm}~g{VM$$y<g!PDH?N?XPSFLq
zZnBPh6R%$1Fp@ve0}*V;US&y;r2s8#jVEN!o0Yond^g~21Itr}%nv8s)aK_PU*7Oc
z1%)&^2hs<_(hat^dNtN-`~rR}dwleO!zO8lK00XX6t%eOa*3>2P$i2zU7w`Hj<rT&
z1q^;I94baov4#lT_ZK>eq5y6~EOODPzk39;>fo*mK4knj+el~odI=sY4*WVj(9ZdV
zBlOK0+~qfnTa9;N$iIj>l=Iq9o^*0Ntv?-^ei@x;4gT#QkNsEIl$LJ&!LJ&wpM*1g
zhCtC5Ry5Muwp<)Vv7y=j5%TY%yyis0+t`(Qj~yjQi;V5V8m$n#Uhpg#&LpCynG6NV
zmz7>QF0sy@YlQ^`G89~pm;?o4Qe)cVfm)QC?5-#5ne=8BSH#*=w5j$2cd$lVBZLH|
z;=sVbEYxWy*|dmrL}=i<ZacK=ak~9AJe38A*SAWf8NmBp-D87gk6c|&8^P!-T}RxM
z<vaLWuZlr6bY}ra(*?@84sQe&XTx=CeX76QkB6U9VTi`w19H(bw<Hc@ScvlPy+ObW
z+uz$w{OkD&W3_wLSf`p27V`3v2;>whoZU4?jtK;fOthz+P?8yKW2+l-%n57Qb+B(@
z-yJy~z)(@51-b>hwm5b&B^G6ZiSDyu<j@_}Ux|YWjg&~CiU-*TDk)9vzdI4<xeWAx
zJU99(ImfBr$D$0zRX~Xj_2rDoM|ev8dD<-udK1$c-DcXOp0Y(%t>qin&TA^T%0wy&
z$&Ciq?3&wI=N@YO`CVxHF2Dur%fx(Y=ZNabYni<A9xURI)USID((`ol-;65M*KFqG
zqcLT`Gw{=F`(Q|&A*>6<h!MPZd$^pIw-HtKtV`o}lNU`dv_hjAaT{9KJvr812wVH9
zns5^uuVt{bcP%K4XyMBlp}VL@K09~3cNs9AYatH#%7n^w1|oEm5F@j)oonK6ox>Ol
zn!Lc1v<VrBtx>hkJ{hm&(1QO>E38RE_~CLSDBED-deR@!%?cWU4>+x;OdIDY{5N|p
zoJAHi!6L&n@TD=~G4#(p_pBJOY*YErjT$FSuWx3XP68hJ5Fs+FCWB1c3~@q>hZ)HN
z@0ag#pLyztGVSTsc@(+u6<&{%QfSg#QR2jcdM`1EEQuRU{&yai57J7<XV&T=o_Vum
z*pr~=3Ac)uvTZ|~o6JKY$k|We$Dd9+3~ZRF<~5KnhxEZ*RPv@?Ry7%I&NQr-$wQ_1
zMBM(&yM9wtLRr1GAT5((XH~^V;+YF0rygZzb<c#3LEu%Vsz3B1DckMLcmYjK*;r{}
zjXo`sh)+LoCDD)@WJVL6Zv`Ffzijm;M-9^%Vv(-K-Un$6KY~{c!U`r(z?2=LE<=ud
zO1{}29%y+^W{$QI*DI#wNfJo4sJZS!S(H9hfR!VF1Jx3PT7?>Um@9fh7+?CWGI|+`
z4Q-2N9apq5GO%|-VNoP*)6E!J^q2PMYcm215;huutje>+M^Y@+Z0uR=4@mPquZpnU
z&+9P4FtB@6fpOV^6qaJrQkB!C1YLC1daHzbn4|+-+<vVV68&RZ3<-;_anqBpmb$o)
zE!2BADsP9Twte>=)Vye_6CJLg@~Mf8(kD}0xi>^-=T_Dtzw%&K(of3}R@IEWk|f2F
z&1ucMb0#I~7A)8__scM^HHp8D)1~OMSUuCNL<a&1B#s$gYhh0<iO;e87OCq9_hLS@
z!Wt;4_wXl&_gY^4OC18NNJ{W@_cgse_TX&4H>M?&nThoK8of@xs)NaTK6Y5~y&vyB
zXZ@U9UQKyl&cH9W1HM8OgUrgUnF_uFgr427`j0Bh=fuN}a!CcX$e!SP%TShodBQU|
zg3IUz{(&^@Z|gx!#Y5~7=Q%=e%TuP=!5bQES%c=z5qW2Gb0Re@0LmM~lcY97?a|;a
zzQn>V-{oAuLk{YHo3_=nKSp}WIU9fXdFCU7m0PgzP`e7o(ubL{7REjt#C;}H1Jw(A
z3*BJ}Z9d7(w$i&pT#Zp=-5n||nLcR7H=HXUGp|mpg%}mqS*9H7{n|Y3=GdovzWr@T
zG-}GXN)_|nuVb>qWglZA!un0i;FN*gEkBy|Q%0dCc*n!WV~iI!di3>i&4Z@|70>;Q
z83`%FLg8W%Z5zqB#|u*f|Li1iVGAX*C&cEMb3q6H9IDzTj>I-5+<LMGgBHRlsTA;2
z4!7tRL6i>GEX=LOMql~7jTTXrBU5NxFV3x5Bd*XKS%4g+1t?5VC#+y5Pi;x^xbr=&
zm5L$CI(%CoJm(NZi&hoH-hyyg7|Z1tbT4vlHGosPr4<4WBiclm+cpruGeadU-tW;h
zrt4f*FP2O}Ev7&aQ*Q}Oh)42o<Bj*1wtFg!I4N61e=AO<51794$9OCibu(>KGJ>79
zQ&2=NhVm^42JLW1*44j8E*VTVw~zSnyswdrki~ai$w|5uzfjC#XeK+tyTvbLAS7cy
zh8eu`cG~FVd{OMn|Fio_eqDX2+;4f4J1V%F&tk%{+CIqeErCI^dlBF25>v<@;>=uv
z5S`D1p=df&R*`Dn?}8r-yx?=6AQfy37l?twnEDU}6cDDlwGCnm#}TLgg&jkHiGH=%
zKK`~gXp98Vz80z~@fR@rbol26rsc-L@;7vBKIaIosGoV{^aUpS_-{CK>pQZCzd0;o
zjVUX|>*S+Q;eT5voT&*pK;e6sAwi73HeIg@wNU3_<{Jg9A&h>86!Q1?`~zLZ+x|EA
zBOFW?>i-Ng&mX20nfvK}gP5R4hGnx#cYpssN8dt-KmUz0_beKa9o4OMcpZos#$00V
z(mD2m$qU_J{ky6rGNdI%CZ=E35bZL>>C`OM2XgS3<7#q{YM?haVHaEd9WS>QaiLBg
ze<11c3v@rDbrBUbA`7)+bmQ7;G6(JKLc1ftB>{uQ!2^HlmpIOjPV^mx=`{xEZY`<Z
zgR_d(YlU{;LAL!klL$)2U`ai_x4*2$ZD}~P7Fa$~9L?dj_&9z^a~$3O^F;P-7M2MG
zWd(_ROLuJDD~f3#HdV^P^zc?}+%&2sagKptEogK%i8TAJ^flr5ucyFUiu37_^Or`F
zkYov7ut~$~$(Q!ZGt>#b0S37^Qb;EH)6U=LhlszPyR9)=eP@reZE-PJSN;C~wvZWb
z|IIr4-EX_l35LDxPZA{(+&IDn1i`G2oF(m-4G2$;1uqHy046UwMq5ZQbQQ*-0@}RM
zFz`F_;XfsRygIdQJn0A(+40i4zLeyM3d)H}@b{<AGOrYSgYg&AJczIy?Vp++9Gs3O
zPWqf_dmLzfo`~qoV~XoF%DiD3ggdpWbQjrm&N+-c!7o-bWKyZq^UJ@iT+NZkOr@f8
zS`T$ib~lnOdL9!BzX2{JysOxiJ%tTiks(F+yYXvwgjVZ76rg=Sm)|q$1w21RAo3=6
zBAH29690`8gytQ(Ahz_JE#=Bis2S~5{*S?Wyp`!}(F|Xp;C>?*OxpdAuB^qoqCI-U
zilw?)7}{sUfWy1N`=3HT?OxOCYJT=F=Sp`5EjxxGy&%lW=db;5BlvPFUa1Tw&#U>L
z)`o6^M#4Lj|946jqxWzd4$b^)`T5_+w!hJ3CYQC|RvXG=G*fXI$ik{dmO@1I3lB>8
z9ykr@AK3o|h(LG0$zE+lz&y;t2ja424<+i+PDsvcgh#6skPjFkLeUvN2Zejb3ZUhP
zWH&~L5I1Dn04f03GfCE`!fk}Pw>hx0qvgwhx*u>e0Ly_hK{GFIMxbpQ68Jj^s0{!e
znov@!GRi%QwMoc43mPa~o(|!W2gf|*E6f40G@y!1%M?%~+L)>N7Ot}&r?bb`18_5y
zMC-;>6g<ox-%pO-8xiI=$#{$}C>O#<*|-G9*_bEUFKU7$=lSK;PILvw%4mH3TL!%V
zkBMSrT9W-L8DQ$P@mf&9jK+SI1Q|$aBht#B9?n%#bA4=Xi(`jeB;$wn3yD}o@u{*A
zwUJ6On`jddsRdRdsBzRrO01-Iyu$*xUB|nG8M-z^$9sX*L4_zZQQ3E_2oJ2#Oy%)p
z{exiy`=D_Y(&~r}lh&^bETJ`_8imydUVF`{u9TP}_*LLT;0A#o#IFnA^1|lDR@Oq2
z=*vr}xYGG^vl^mGNVxuE+6a%?6DT!~HD1|kkIrBBiq2twHxu^vwSV*T|M=hk=xj@?
zZ^X2V<SAioA3|mHhoe$~h|hE=X%|L_#`6Hapfn&74IXsLStk_ZE%{qd=nHeiA5P(A
zQ->DMQlULeeUk7ZXIDYtf=eWTii%Xs`?8c;m54COW53m5*|lT;KYMQiv)g)=hY<p0
zhEf5=q`)DS>!QmqfAi3!DPS`wxoyR<lR%sLaBbgfuI&5Y!@b5aAr(-S1RABJ5u`K?
zmFv0#MPeur6IxOdWI*u%Nt7TW8Z}J<Ng$L#qyZ%8^Q`r*cdhmA{q4QKe>%tZHoBZ|
z|KHm0-rpY9_^fByzgLy<{kT%FNr?LKzt_M@$iItw_YXPRX8tW0q{bdG7>~L8JwyE<
zE*?%WN3tE%c?)~T3w%y&--+f0RUVHoA*M(3T}GUg*+6iA)(Ez2jj}P4St1_&PPIkl
zNp&rqH2g_hG+}uvM|%-QmT&baBaFZCm7noHey(@u^ykw&rQb6ufj+Dy-Ude{PzO}O
zp{a>6rC)RZC?<5KxbiWu6@d{xJt`rl^atk}#FYLXU{)Y{i9qd+HQ-ZWhpuIZBW6#)
z%NCg54jgLKr4nJ7WUV_K_n7CCB+pV*s*eJ-h-xjvjPxrimFc+Gx>jG&z}1U?GL>cj
z`ZxZ`zO#S*o4!w!g6c9=)&2{2y3t0+431<PTShTF_$h}f`XcHtF(x&+*ZS|&AE|UI
z{%YJmYm82EQQYI8zs8b_qA%T@emt68%QHNpW0(}1-RZ@>N8(OTyG5-Tn44X1rrGr?
z{>tC|%J(=yn40|7ovzKU+s(2IW`a>MpLW06=tyI9X_RzO`3goQy3?qlGiyZ$9i1hO
z-z{Yl^Sdz=Pd#~ba<&e7bf<Ug6?<6WvH?n_6?{K5O{twDyi77{!sTDfq~hRr>#PA2
z+~X9KtP>+4HM8a`(v+GY#7eSmDNROcMKPr&Sx|P!C~l3V)R#;+)FY+=Dt4|<QZgxa
zg{+SS*g0}L=c7ygsz`z?8jw~d6+wa8MnwsSidJP!*5N*u;S%9I)BOSIsAA{PK$P##
zsB@r3y!5cxw7-`5r*#s<8k>>kzm0iLo&move=vku7b!|~nF^Km72j)Tdl?;&986CM
z-^J7DIhE$jC=q;y67EER0Ya9D9)bxSHsMT}#cC*AgtG`H+L?hc@n?0uGG!L4zDx-w
ze3w8sgU=z`9&-V&nF^Xr2_~OM0G)CF#^;guI=Voqh$o#j0b(EykG=~iriO1(5X_qs
zLKylCrUA}%FD5KcdpB|U)-*Cg`k{AR{OZ5%H5h$)yJ}rV+CvFrUT2Hsjf%s^?1I>_
z6-;Wqj@j+e)=_PE-UU66EigH%b<ex>Pz*)2j`o5=gA8<3tK7n!N99Qn#MFYAlG^CY
zq}DMIMgSSa&9{O{t%HLIlxzsPzz0P1SXRNT!I%NqV-k+kb+#B}(`i|VY8^Ch5Mc3X
zPrP-rX1qH9qH(|vBoX_y*D|Sfa8WQV#^#20*z1**wJO6V^;DIi>Q%!G6EV!Mbf42f
zo$dv)eG2;y)2b(p)|E|L$Nue;@xM_j<fVFoyEayn+DV9&X5@yv?5>%6^tNL)6pRrR
zb$G9#nFXq$-|p^BP@UJ&%yS*(>C4wY=<RO1@j6P;i6h3dwQh6ZcQb+C54`%vzWVu(
zs&y3LF*s2RVH%B)ZlTP-!ps1MX$KfKHCN@UQb#dCPG5ixf*?XAjg?$ba;UOCoYfsz
zi*!W}GpAW|K`ISfakoc|D1unJU6Ja<1P=$HS_O>nfKaJfk($H=3(o@aeweAy2ucpM
zoFit(YVQsbo}~xS&rx!yMG*Z>YNOG+)mc$<s6`Ug%}TU@+2|!m^Cczlv$F(BLp=o0
zxFY<_7f5OrCW&FV08$WPj`<Yr6%rt$Ny5_sZviC_h<@8O<Lv>$9Cw9L^wvVzaGvr0
zpihg4d0x$Z8MqN7(+$F8AGB!#GQWc_(;hwEp)Cm(c=QA25OpNeEdqN2pgH{QOH$IN
z$4G$5_S<pc>6`UmCCO=;ByyKbUf?{@FxEauichxtZe-+#4Sd9O^t1gacW<WqP{pgV
z;50f?#kI1Cw(YfIr<FT8{z+FnH~!VV07fyts{FxD3}gM8LtO6T@kbr4PJk)_XK=I-
zF}4^DM3A0^W<+`psDo?@5u1zvl+L_25RI@YpkEJ#lyH1Zbx#0g9i;A6KyC1=juINi
z5JCI?=oExf4abK-`oXdtB5DZ?>T-H{43zT9S4e-By{7lEh49@xt9BFn;!QliYFL&Z
zG3#^6eL6hi#yziTw`lgfrr)A-s2{%a7k~ZZe#ooW3MVqptALB(w15wu?hl}vV27d>
zDqj`Ps|pUy0j72|L4a5p{Ieq&gKnD@Y1vJ1a9}VEy9pK-4Vm;KxF4DoY1d5<4w@1u
z;lV4Jl=2mR49&U;qO*-j9D-RfzqeVDo|g%N)r*%0`e_ZCsYXydFOxjt$4VXrl4RFP
z7g9VglLRdF^lV}Ayi9UnxbUd`cYA-Dyh)6v3ufp}vG9fty$ay8TKn?B6D@A8{gWAY
z``5qe`$Vab<?czIv_PAgUy?>UX1TKw*A!m0=|TfilPBn$3okjcCAhkwv6n4Ec$;eD
z%hdEqdD3Si9>{@a7%Jey(5=#@GlmqV^H4ft^dojOU2req$ht^j?oe7|^kdw2us7-M
z_?y1x<)8We|JBXa%@+&WJ(k0f!xtmv^;W*$wSF+QZjR1x4bPQ-TB4Xz`pW!G0DtKK
z8Vq~r)EPn|mW~MdEMan2EDq-tQ-Ni*+^NNd)o-gIxxj+yH>Dg=I^X?QixIb<jn*E9
z`z&0nH=PnkDzJdQ6IYVe9S}e^XQ`0SQVj=vQ(=7}RIZiG1r}tjxF)LhWo~9T&v<)C
z8ii{_E*A{rN^6cf25O7D)o``<(~|@4H^jVvm})%)asuUp+kHLA9T!+kA9R7g9Wd=&
zV4;T|eFScM+}{NgL)znhsiWI7d!J?ZJzVJ}(4M6iHa5pj^%2T?C)D~Qga`kN&-m~!
z`9Wv=P+!K{?RASB@k;__Nl$~3fr4r+U!?#G$s4FrXenl4*65#Oe#Zsf_k!SNPt^lK
z<iZiWBtZ|bK#mUq;jKHMJE2|C11x~qp>m}HjTnb!A+6{E76g|Y<qkj~R@nOd96i7y
zFFz*1Q$gWZb(sD=z{2HOAGK7Blq|6U>CmE^?LvCemW_K!^2$0-v=tRu{h$hJQY3U*
z%bki>EV8LbYy{)?r)2a5Gfi!y;3Cv#h6kSqRZ`RKL6C$@rr_?Q+JWA~tA;tD?K^p<
z*H&TW6&cZx^l>BlnE0Jn{qDcMc}=Ur+8hz84_b7?t6a(@dc;LiVbE6?>spdYFbd+9
zxS*>r8k8;Cl9_et8Sv^Vj2f`yO3-Ij8mxRpS79i=A&(=88rIy@%W^?iVX#(;O@ZTv
zIb2$!azR&NNUpC0mIJ{p$}#E3Ox8D5t;h#e7-fXHZj93wP1XqyQB~1JcdftG-{L!E
zWmiy?4*8ZIRN+iplqxt<9Sok7YzI#Yj11ASVsKGCsryJ60&#g&MRO_yz9B_3wtSzW
z`F}t3Q$FI8JdRZ`zWGYl0klPnkXBp4sfm{u&OrI9C>j&=o~s@jH7c4aC=8bLl@L;?
z)8H#o(U>6WyF_b4aJ?WEK{~WlnRR3-d?oU+AxOh?z7B&M6^*S}o3r|e*{Ent(3`U`
zqgDMmKSzN9ltXVZm`L3;5G<&#<bvWW*^+zI%q2A5f)lQkt?S5Dg#p;lS;6Jw&jF|#
zVR!!B3IiyTg*%ll9kh3noU9MkdGUmFQj7ZKaGRFvBl$E%g%zE67ZX?q{IEG0eIDd{
zFd2R2VMpct7;%(3HRB19Ds`T{(Id<EsmH(d7mh#l=UhE%$ZLLB34p_3)lr&ux;9W|
z@W%$*V-BEz7Ol4hmbuMhN#tLWSSYC7G)?=OO`c4kt=i$HxjlyLb6SF1b`ys=%?EmV
zP2OSFNlJ>9PE)&(+dZH+DhWh-E1A-KHvV<D*ue+R$;g!EtMgwOop#ntX}&rDcDpLb
zt8!wnatTN617KmDa=Lc1$_*;E835br+aP%^keYf}_3Sndx3(S_Jrcf}thA>nc3G^h
zI0LDpJBbDuwDdT>hXAK@I%Wp*e1+BSu>ev}Rn6rMyMk)|+i!d7$KLBO3SFpG%@x3k
z#+42Ue0=%6D{zVCh(@8r6n~)YSTfZ{cvvm0MAf`SGh}HB*%!KA7o_J}wh&!lAckl?
zjMi#bj1?FFErA_n9Rg}n(Gk94tiYg~r%(z5dC_XesG5%}5NGL%3ow}FACoIE0LALD
z!AI5}TRd0$Ik4rWuCj%aZr6-0E?_Zc=}FpA*7=%`s!=MUpcn(IK=|t|QVPu8>&5!s
zlkD8UgB;+IjGjYqEdW~&t~;Dz=Q5Dq=BUmBrLb43g;LxQvZ5?MqVPJd?%bF%H>N!M
z+|ytSWtj4TjI8*g@B5a2`VwzlRjjJn^@3cDjVac|S-!H2RDtTF&r&C29d;mz6l>&y
zl993w4Fn%BM(Za)4_Xq{E+`o(TXDH#fP})~a#aEf7nF>Yt+?25_zp5s8yUY1Pr(Hx
zBV~d(Gr=ri79{!llb~dzOb~$<JO!@U?G2HPl%3-ObwCOtC)DX+(Tr4)1pgUJjBE|D
zP{vPEGg7uDrkH9*3KOT)sR)v(YY3e-aw(7dRkz`TURT-C>J7M-`(?qDgf-*s(G)Cy
zI#4L;shH%bub{R%PQEdcff^rS-i?1At1@cXWD{*V^K5Rm$Kpy6*FeH+Ze6{>hzl-5
zc;kV-ycuLgd9r68;qgUZPP;{IN^x#py_x3KU;0Cb-}@mR`)m5Rty&#mbz$02IvOJY
ztc`zg0bpBFjVV^GP+&o`0Zl*PIBlUPR;@6}jfCI=v*}FWiB&7OC#3m-AXw1bL#L|M
zatoSt3NlmCoMWt7f#e5Wu(INgNrLcWMh&H#z?+)sqo(&!GdT>H!?`$q)5Y<Seq_eJ
zly+bnrF3qn!wLC8hB~(UYziM^Q>caLky|vI!rSR4{CmIWWiR<AM~a;=g+p))3CIeV
zU=VJRP2t4xc7x!EXbK0h5z!Q4I@W1uL^6dsRhCxxY-9vYVaOymCA#p<4I{;>34XYX
z6+7df)6f%N$r;>qY&aXkM`H}{);3Nsy;Rl{O>e8$iC(?<FTV9Fer`-Bq1CBSc#MI{
zl;8z^Jdo(E>l<|v%BspfibNH&Nx}7f^{b+Leh5Q6$={I#qfUzIkn^*XVA4rL=(DJk
zj_4pl2!R?NQgm8JGY{$2uZo~DaLZ_}kHe`88;L4uq7hhTDf^Mfj_fW}bNd4R_azgk
z3|-YFHemNd`Z=SqfhEyY136Sox4k_{w>6#CQBv{;|9`q>A4iF<VmJlaqx@+a>*h^s
z#&&KX%J@jGdfiLlA))St!dRyA1l~XKkhBbOvh9U3rT%oB02`vt(M=s@P_SJl9uncR
z2`4PuetZ<_&_?XXCo?EsK>xuI$b~*B>}GtvFQ=Es;rihD1P{U4UCHIK9`Q6gwX@q-
zc<=l6cYnrz<O4nxxNi9f-NMz!7<%mw>S-jR6IKN`NVQAiVkLuqp>@hvc=f69s^D>p
zx;gU^SXwos3sT{kAaDiD-6P8nt<wrp;hA6s1b|eyKv{i7Dm)VeN*|h&hu+J`vviJB
zcqRxQ<24SAx8;bWi0B+&kqXZQw+l(vK#c(f)(VQktCDM!3q;|8eW~rA5`|YK7tE?q
zH9|9LGQ<xqFfo(dgUmwoGVT&C6*Ya3jCTi<**G!=YXaziYE71mx5p(n`;r9;YsUMt
zTkcmV6;XxrMm1~38&t==9d|3V3^$VT4ju46q`z1L;)QJ_<1N}s2ON)%2d{JVc#n3A
z1Da~33IpEOwC1P*sh(|MdRCp@H6CghifgSK5&BmC5~-xzMD*5U^g#XgL{~eP`)Z1X
z(W6f732Sw>l3xw!+?IYBN}7*4+6#GW?!97+ep#3`gOZ0@PDW~E0@}67vV?9r93Ny^
z2~7g_t7K$k+pS91X2n(wSSV0$oo{5yD|%Z-X4>+7UiFv#?EiK6=VxG%N2vOTm;|WB
z49|sp@@WQsDlrorWZ<U`GeO7N^)T>Lg_$6RN~*fq0b<-DhpE9#kagyQMMjFg!JJWn
zRl)t52xHO%96RLC8Sn<aBvJE#0~X$(vIza}h(+G+*R;YyPQX@;gb2)7WEhu%Z(gih
zq@OT0*7F3UP|OiB7oFJy35z^Dd?4PR;o$@E1`P}!7*+GCP5X-N`c3I0LBU<O$CbQl
z(MV=c{xU>zs3QFee~Rj!BIAJ!rH7mF=INT}*_Nfe-X2fzB@S(PLem&34dER}r6GKK
z>x|J;gNyQJkC>G{z5n$WpZmYQ$BUh6K-%B}oc1JLfj<tf6iO(0rO|yYbH5PGDZ^_L
zjNa=O{3(JALaQ&rk-TDZUTY|@5v`V1Oup-JqrJfB)uT#Hg3)yahYQ<Z;JWgbH-gb~
z<=9_D0u;pfkw!2%uE+>!AOK6DnUCO#)6FQGXjon=DGlrcabW2rQ*Z%SxI{L{Hg&=f
z#=~{Z!xDrLbg+Bq8AR`!q{E!hDs!fTt@^ZNX5eH~DFwH<>2FpASrF0>qjz2R%la*9
zME}M=>EUmq*;!9DyV2I?T>iz?wxN+6d<K}<f$Tm7qdYQ5O~$N*9ncear@0m(FYx1^
zJ%t75yAMB@AZxr4Aq?Pa9dkY6-nniSC&4%Q$_7;n2HdMN$em5CFyox>4(D8y&Y31@
z#xUIshUuk$;HBUAd2ZI#KQNrL#R0oDMvAF{TajTN)~I|{aLy(OdU+Z+w3cEA#Spt-
z3g?y^5O%;(Komx^o}!f)E^PP4&bHo@&L|1SXu-+Z);M@07{j?E&`uJ3q356ELuthr
z&N+?R2Dzz5Ft~cKryyP8<-y5z+dyg7?fM`ONFD)7!WgF|M?;!w5Yq>>N*>0TL5+4&
z{}w|Rv6oSVpH&ROO8M}j+HH}QKlO5mxasvz?aKa5-zQ3U!<J}EXPTn(>LwcQyDg>X
z9c<|pX^P52Jff&Ob&uJW-kmL-c8l8ZZnmX2V@p?m=`%m~<qkL~jtxwtM`5U!gS$pd
z<PLR2H9soYrOQH@jJ6cRF9+$ecAFI;449#?T9*8zujnB%IICc5acDFbt<ei4*2e`s
zM5Z7|(_lrUKEWhP6X}8;B0~`TqMFFWf_cU1_DpR{AGV2>K`cfzD2)lxy&t>ky+wld
z@4frSi`a6H7=8)Az{4X+`-boc0r?VxjA{Uh)Ex?^L0*))kMPjMt?T?Y{*+RB+@DWI
zJYExjwa@_x@8bS^4&wgeWBKuMzF>r`r%&j>IfKB(zw*_j1ml%^7#Xy&on5&v5AnGc
zY-)0C+VZXTB~<BmJ^S&0`H9iWJv#5<JQ4u0M7Lbd38my3NKv%JzdEp=J|&N0at%X?
z`1-PZjww!!plc2gcCOI9KtYg{vPV9x=$ZrQg2kqgih@(LO#r&)Kt-&k>rnG<Q(-Z=
zc3h#{OI?InOa(2Z*O@^|Os;|TONU#bZa}7kbdGK1zA$C=T*#bU!*pg*gkW7IaYJ6y
z)jEF9o~#K>u7UKR{oy&ehDZh15|SwGwAWIKD&_a#0beq;M@dXHZI2%B4{GedT=63H
z;RfOjI_%au1fzG&bcYbs=r4kO>0Hlvi?*as<IxXtQ{z>dWCb+d7zcBysy|t(sn)_R
zL^2L#BLZ5jGNWf;6f`PWO7UeQ?sat-tRAO7hiI0<{zU~r)ST;3rqqVvQ-Wyn9f03D
zD+h<hL1fu{f7brbnS{KOX~toHKm(G}hd?qKvK>n4Lc|dE2Q(n%Ku6|YwLA}*H4%k+
zyuVkq8fWJa&$Ak1%lBE0kNKqMo_jb}ir+6mjw&e`Ky})b46TOcCia_&v|wmDJk9nc
zUPPe<14@Xhn=|gWosx9Q-RuXrxx}xq6^E#~V(tbLj^SL>gY9AFin*I%Vy>9G!DC9j
z%_SFQ&|$fBol?9pYwzHXw;85Ximy|kQRZ(byineccL$S_>EyG#e{4$eSJJW7tyY!f
z38eak`P?ed$sVa*EPi*{P$lW=*FUMiZKzZ~22VKfd@;3%;!DgUwLY=<xY4KOLm7SA
zxh4bs;9j^~9{0k<T7>d<c%`KY9k#?8?bwqZHYeyt=8;S{-Il|CwR8y`_D}rz&-sHt
z<e|PU)TYf*Hzv(Jvf&7m+mv*Cxt$*jlv!{yQ%X9e%@yF!6^|Xkta=wrG*k7iJBJSU
ziJbkGhzIJnIdoLLmG+Co*li*{s@_Wbg+xbZo=Q}`-h=>fQ=4B;D5%2<vQ)k7*2pJ0
zs{B;*3?YF;gQ!?PRhO%nAlj$-WRc5$r_$7^{o5=moA#ak+b83Hqg2S7o8M^v-n$P|
zlVfn9nT`664`I}IEiDJUj^zId@n7B{DToGN#3Fd|{{&AH_m>B!Dwx*cJ)77I=bMV|
z@F#~IW<k)^<3Cn;^9eeVPT@oy+2=n#_?(Y;=L98&K})K{)}2vCNr_GMA1BkwPWB&B
zcGIDfK<^joB6rQQ5*u&}vl1h}51qXpzSg9~2I*R(|9HD}t)dp*f0bBq*6=!t(}wG&
z|ISM6Ne1z=5_{^a3+Y@tqvYdP-~J148wD`bIdsd-rgdJT67xB9Yh!eCO%gq^L8L?U
z#C%{K=*Y6~l1Jbb>?E8VtlfHxQHc#gB9aoT^Xhsa5mAXD`>W&X!0yuV7PBW-$JK#4
zy!BKQ9(@pIk%Hg>oW-bjM<1xVPU?PnA5YSWVWWi^>VBsqTve-&8GTHQYsIhgv>9tH
zNE(M)5g*9xb|tJHYfX3Rr%56+oUr8m!U>P`bs{gB`dZnul!{{zNIzJ%Lm(?}vb%k9
zc|5L_hNkoLgwvwQ86Pp7f#k+V&QH5Vt*<=eBW?yC@hMNf;gcSWnQG64jBur6cm>(b
zkm7(%Zw+fS)!q@dUBS$;2;eq7n(CY9O7|28u!gO<jHcQ%97u7rJz3FI*VgDQO6#b~
zrBqQe)!Wlt>C?@sn!aLEFPDLy^aQuU30rCz4f|Kc`ZFbZ%)VT)*zo56*^2gW^L4XH
zsgN^i!;U%JxY=+6%%m)m+v|28TR^eYq%i!BD={!kQ{%Ixoh_{xuKwBXAIR+GKl}2Z
z{G#z@y*hgsO?EB3gbuXfP;_`ZEG<~fI}8%Ev?f?)4<iM;WVdz%f++Qo2^J6}Rs^cQ
zMa!@}Q3drJu_73YTFeuJViP>B7Ob_f2XBvIBKgp?v{i5+#OV}V7YftzRf8wm0z{=a
z9i##}I2~s8Y)MeC>#ULEx;sfZ;fdh0*eGRmNH%9FL9wm5MZs6GKbs?omooAw-W_Hr
zrPPh)f@8i!qjp|RZ7Gh>Hj25_zm}44XpvT<J+WC&7kN}w5<S%nw6UCGG5Rrpo(se0
z0fkW5Np+vT!tnV4bb0pAt@h3h26xwBFzpu20rYgwYq_}h!sQ!Y`^JlBo_gU_nElMt
z%LP#f@A}0z|8L*?F(2|F_g?u5r~YVB2VP!-HEQ$7G1u)lE|kr*zug>lCHN{^PlWOs
z8Uvb`GX~JRI<*meA#D^JQ3pP?anVh)$6R;Cacv24Z4Mm+=!)Y)uLQ6HIWA5CbS>Cv
zZ~N&0+6#8*u+P6{)UZ}tT0f{geDKhaRuAH^kB1K~&8$A~E_i&r^!|B|WNoQrTpv7)
z!xgb$3a+_*23;%9I{xR!?)yZ^0K76gYyURzxQpX*#gUzG{=mhiz+!*yVrAe0XmcHM
zgYqsJ81lUe&+>)CSl|tH(jp-nbOAbgt?a^2>`vkgPxA#Zi^}^0z;?H-Gd#{0$g~su
zO?=9_Gqz7T6&1jEaoE6z=5GgGX6G?gwvi$UCODGi5%9O+wCnua!xMc0_6emO+5$(D
zi(6NHPA}_odYPV6Y#BtxpHm1AJg4|JKBwG_SnB3E#Q|>pIel%L^{EePVZimm1Od>)
zgp)J*S#$)~!gl7@Z@sy|vmOdNYreFh61Co4;1Lfy0Hd7{M-XUtNCyO2TV$PBZ!gxV
zlC`FhhzAs-QA<CY#l`v<kH5dzV0|y0K_Klf+g?f9;Cv(EXnnlcq+&e;^8OidgbNmb
zj@G?uB}%WBZNBmqo0Lq$79P%;Ov5Iwb=|~Lo5*f@AB$~(XQ@q7Ovd5VY;}GgHWT{j
z_0K-@%$qs)?egj3q`dy=H%UbvR|goR`T|u!b7scm>Vn-IjV^r&*9XV24OE0W$2hes
zy{5YBGf!jJ=bn1&Tl_a*>p_@j@a$#$?%B)u9rv@J)U#XtD(%G+mDr^INN&CP`O9Zt
zC#NMpjaex9NhhP2#fAJ3`2myf3O(>Cccovho^ao#<t`<6#(U2V_^^}i3Z?lw%I)L^
zc71=pEA-6&-p_x_AOBo0ZE2X)Wye}`&Mh1tP-v^eUE(RYNPPRs7W9vG`i-z-9j(*v
z00c+dqeWlFRzxufa1E-e9?~nSSQA7-?g-}$@PXxVm9t5&epO7kftLeZS0cDC6Mp>1
z#Dv=xQ{;_ys<R^fn0n~iY`4bn!#3rGho(w;!`b!usnnTnC->5&)g02;7`~7(i++xI
zIj8*PG4DEl&(D49=n3W|m-#m3-<af@(ZG)bkgh!KuARlOngwxtxx9!-x4VKMP@`9q
zTl9RjBk4^0O+&T0MbX!N2bKJ3^Qx(lYL8xc7O9e_UiU_~&0>nCWKdvcllAQPXK0~J
z&5Yb4iav^4q$XF4sD6Z(Q!n$#E#eNv0PDxN?{h;Q5WlmB6oP&O%C#T9Mdu{B-u}{0
z{lvF=YmKt)n<*{a`)EqqvN;*C8NzujkCd;9DK){v9{<y1!<sW_r2}*aYHFs<m=`cX
zG~kiCiY@NzLg(%)(v+GY+V8MCgMCo6Yo^HsX-Z8H|CSN-%Vhy{x*$zy6<jXKAH_cJ
zH9q8Xq$xE)^b;{70YTVvEppk4lOlaJ7&kRl&rFnB&~4$tt$8OmjF<S%gzeuxduHF+
zzkM?PH%f)9Q;)%$2MML-X#mMnC@R|?$}(7r&3+QN*60vr%oQE5C7%5)^3TG0ZV%rF
z+T@_OeCogT$$zJ%<FGut8gRUnyDdFs@bhrarX=XfSIL{lMT49Y`K-WU(Fl6^oPrxp
z+(3{H&bwC7y?NZkCHn~>xL+<fNCUY+KeT)E5L_QN;`W2chnyHm(7pM1=ncn%M8Qd#
z#b0(CYOPwK(7p0q6%l*2>mTy_Jn(fmLV<=+SdX$Z-DAuQE_{ja;;KCd_EV5glo-@2
zGm%fqu#NQeNv68y+eFFKoD<4e9sn)?zC*vYDVZHMF`0qJkK=9u_@3gw(SNmf;e=N-
zyVyB{^$1<;Q;w_MBKoMai){tsn{%<h=6C+&zww1m9HLXi&AyeH;$V|Q`w;Eh0kG-f
z=dRI}_ZlOrGeP*x8!?0!^;6j5%2(!M>uyhw=}GuN_LwuI4c{&(F186`3KHxa4y|17
zFk3DtF186SQ8|>f)^^JzR1y>y+XRn$j$mT`>v+&wuCFLAb`jiy&`#;5_V_u?id0r*
zw<iG5D)T>gsOdF=qUKBz#vImM>mbx*=_Can)|CXymReyyOR0>SB=UXCz7dkQvDiX?
z0j{|ahnVCZgG!|~dDvjiNjmzdWmoIk*E?TrZ-9qY>uL5@cE3EMud1=codr)BZ#~cb
z!{QF?U#uoyPhoGIw)p1MF@njrXxjdTC7J<Zj{`ugJk#eI_NjTFY0I}piRu6E{I7rK
zL!bBbzb@1&)KbxhR>M$*{3dGpzrM0yhZcpgM;^RY1Cq1I$_iQl_=r}sB!#-zkUCJ+
zUwi1JP<IDX2U2idI_b+<L9b+mN^K&7OU|E5N-Zi>uuka=Arw72nkp*P-Htg8u81Gq
zs_3Lp(I87`1R=b}Fybef6e?;NBo1K-8WT6tS&k~ds%+{9RWpu@<rk`8#)<?gwdsZ;
z`h`JtL^qW`*;t{zVCR$$;|bY+eKP(xN<rh9hO?@HZA0TV^rNZ)Lt%WLHJVHJVst|`
zh3{z%bnfG7U8&QhJlE%HypzRbFD0L@OnY5A=g9jr{vY(!f@!y?wHkL-(BW}EkUL%8
z^*i7Hd*0`vi~<SG@*02@c48ujO(07IEf%esLlgN%+lt^T#57YxDB$qMD8DR7%WHy&
zU`VA6K_u;3>?|#>3F0w2&8kStYl4HUIJCSb2$pZHKkP7{w#7tBTBfin9uMe+p_K$7
zZHddu8Vn{REo_qOg9Z~@Sl$dZY{n6mOO7fDvN!6(gf#<>2`JDlM##dNqgv8oAXBYc
zj*g709vzIpK#=B(p2iW@iwR!ElJ^WpPxoif<SmYl^K2LxM?D0w)DL~sm2Njg=4#Fj
z^;^hm%}DcKH_Gx!7b#Ezeqa7zDb90!1wH;PBu33wuz%q*w4C8WpBQ}xKAr)LN8SdI
z$VZa!v#4$qp6UCaJ+rDsZvs)5h%?4U*UCKfaC}(#gkA*eVgAAL#(OqY-fQ2K%VQ-M
z)L6o64?;y;-9xFsAUWD>A`1(u-Scy$<+V81!I&CSO<TS-jtr^Z^gq1&UGH|qUSJbY
zNYouxgJCvDODj;bZGFQLRg$%#c4e_<Rc85k#U$2XkVhiRtLVaJqCsq7#3_KmTq@8=
zz$`Vi@D+KR?Hqw!N5+X7W_KK{!JyBNga$arHS57tZ@wZQ4O<b>BXMNVnCpFEGjhR@
zP{*sbVUUKwX@I4xx^_WP?6%|q^s*Ap?J%K84PTICDX>bS8>Ez!b|vNvlJVx?_kyXG
zr>Q8m^m|0H*EM%YwuvN&AUj8ox2HBc?pH#yp4X&ew>5ElaC$iUenI?Myqae#_Ns=#
zfS~HwgFxNx(d(*sJK3+O`h8t!b(IIpkQ;=4S?F?=-9=eFkG>q3uh;j9#~99i&078D
zwYt4Bts1`}tzMSDEv-In`97`wx9)%W+dt70Wx9~L>t$KGp>$lStTBHky}A)6%M$#+
zES;z}f>y_vh-Ss8HM~~eGju0w^|fZJws0U@l|{R4Kc*s;b}L|Xn?pyfUM5ILYY>r2
zNwp=dj*9}L2hFxd2UR1OwE7PHRf-cp60Rc|wfb(g2Zs*VTpc<;Cp4xbx>(?ch_1%b
z+tW;1oh27>tx?P<$+vP*7*?d)0~L+)q@9um`t;MK*VwM+;AA3Ur>&BwM-$=b@eZNK
z5F7;j?MvR%eBq_KZEJ$ZnPkblN+7LkCG$O6aDFdsAN;vSQpOb6nrJQ4al{C2I#*s$
zHYSPur~=RSt4%3(m9OX(Max@w7&zz&tb*z2qqfg&()58GYL2XfG1BX)RYKxZMWQt<
zf4Qlgs4mwZ=jd6$Hkv^#e|RR?+5y{W5l$CkwrU1$D9d?>csc<@J0SxNW(iHPYC|M$
zds=Y~NJQ*cg1sP}mG>(_AVnOq9rkY;v@lQudN%IQ=O~0|O@k7egb?9)#Ir{K({O_q
zy>LH1E?C;6?yXtUSH1%MVpVhAyN|8F8Q*T=(o$pG6*^>U#8Y0$5!2ge8~^3U{L`1c
z<0ET#x8@biHkK?S5M2vGKv|W?bRc=P%U4hWyw)ao*n_r21TpX@mp%&c)k@=1LB?I|
zt2Xie*ov?^YL-EgS}d6#nzqpd4;%K&^0`33qfwa)QuCT1dPrE6hu~q^DYo;$uYv&i
zma-JmMa{3K-ZjA*#{l<MjHn+v6_F50sMbeas$|E4ByFRu36LEdSWuWkqN@ElsVa}w
za!n?IkGd&0!RExfb6fzqC%+t>Ky5)zY@;2WoI4Vb;)Ztaqu551gk46pkmresfh}}F
zY@<nTH=JMsNsP=Q2M$z9`HGe~-XYA;(1Eo~(&eY<EKA~6C3DEBA}-OBZbb9pyG6?(
zs@hb!{VO{Yd|W<sV<S}3^azNX@?N(RQJK|f|3#jx87rb`u%Lv+K?q8?&2ur?leDhz
zo8}!FHH$e=uC?JToR{aq9HyxCY4-NfYQPL7t0CJ#>*IXmFhH8gbF5y2RMyKA@?=2+
zATb6qSsD={m6<0)hcDjcv&}?#Ax88#@rI8Ap*HvF0OvXF7PY2!uDqS1nUO}`$z(L2
z^c%nN37_ntyk>gMT`75A+#=3mq@~#oPmV8!hHS3GljDJ8rvt=t(Q>KLS~(bO7u^**
zG!Y+K*+C;~TdR^hd?_ozuYtM5ioofUKn>Q=SEORI6>(xTo1o-C-c`dY7Zg9ethn8;
zF(p$3ElzYnDyzC8<~8EbTyfQ~xlS^#)e_bZYW<pWlbXF~Sj%6|ipx$#NM=j<?^R`d
zKdv&2Ou-ACibjG|3D#3wvS185;5{hMROJybD(?`jXQ!-n?kL>G+>@UDoW>kjFp#FY
z^``a5`FYIOaTj_zdE6N5<uU8YAwjUs=iA5f2+#I0P)IyxeXP@N(QF@Yhpg=-zy13^
z`!{}f4d?Y{wDu9HyrrbNLHk{5e&w!}aK3CI)h*~q*vFMj<4s0Nk}AdaAVg&pC?n!J
zW-r=Dx41xZYG~(&j`s0@2vy)Apd>ADR1=(Jq|_wku2Z!?r8`VFj94mTDcvy0r)X=g
zV{fLc<A-i+Qa7SITtpHg<QbBFr^S!vr6FQKeJ!QnI`)exxuCz>X&-oj;a|Bqkn}-3
zUe3AcbdX?9WSB<WpEp15FAsyG6mY)D{sJ4Hf<Z|j#QnvGs{7}Jrd*q9jKosjnJt={
z(koB<h^cG!C_xM#`*;8E=fC%3s}9iIpy>e1>z8yH%3Z4!=6&b-Lj*B6Kw#M|6@<(w
zhLHmq!0Zwl#yMOa%EBuJ)B$#Aj0j*4E1c=ou{5!`Aa8pWMEj^#eO8t&b3xu;6J#$e
z9DXEbSDQ}JhqvAY0ZAY<8?_W<m~wqS&UMF=rWg<8Czs%MZhlNEcMbN>1|DWS-9rtu
z^8VRr4tCI{a+e?d{1#f(fDeErt6DC9FafKvkcThou=0IvwgKN`b@%bnz~q&SkNeu1
z=+1Xl=b!BB4gmeZL+iK2QMv^e{;^xs@pbz?6$M)gEJ(iU^f&vLS;_ExUmR9@vOh3b
zT$a%v{xrsVA$TE|h}0;F(5_Rh3{Ut4(&fwt6Zj*%;OU)-^+NDME;(%wk2s2Joi)QV
zegQs49-Wd<f9<17)mKjYV!s5Zk-UiLp=&$3#lJVnLLtt|;-Q1-iS@#Z!(vVR4So?^
zhqm$@>xCDG-BIU%?KWU_YR*$~i+1$FOocTMsJ*w6=^lY!Q!zbYh3y<YC?Q-Wma(aX
zPzA`EVAQ{mhZLNW!!Hg5%)97)alkrR^UMC?@UIpicV8S5Sq{87__}>@_@}yF&x?cO
zUG>EoqO@#tw@8l;=3ZISi}oGK+I6Bdgh28SWZV4{ln7a#s-R9(An^<a{{jMee{P5U
zQP+#fYH~`Za2*_vd4KV0tA7E;NW8n@_~4*Spv)0U$tHKbZWtz&F*5#~#=Qd%BPbxl
z@j)q>{5xTB4E~X9`|sauNZjcAgFzC!jp2Y0NWWjU!~VOAO{CP^fcG)|{!QcG$#&RZ
zC@{nEw-=k_-))*?zij*dxM1;iYC*etcp%8c$=fC<ErY(icOP4LIBV`6n_%axn^<at
zN>xqe-^XIxnA^WUc(CN|RldU7Rc%e@NS+|6UrkRHH1?x0xw>Fqzf8*8>&8rJ`NG9B
z&%f~WTb_QwStRR4^s7z(ddgktm#Yi*-4*UKQ(6Y1QN}Kx|L*m__H$!ATm|C`{l0{~
zZ>X!J;0>xvkZqyM1NCdBB@kzjBKsr{7u+9W0w9QgTS01iBg2A*V(J8{64iFNVQEFG
zI1?=W_gHa_(e;fWb(sksP_57c`ubR=vlzqCt6xPuWr8)xp%zz<#RaM0svv%>L_<dq
zf5QPx=csUma(xQ@7z`b{T-slwJ*_5*43E?dz!pZwDy%upUFzvrQ8%g!Zl+%@{(6ix
z8V6qE1T?Ia4{dS1za$?QtVd~9o+9JBP&yP2OjX#wb4%-!h$BYW%$rP8cFZ)dWTyM-
zEv@<-yDm?hg;C$9!g{jaxiQuDp&GYWZ)ACj#^tH-e&xr0@E`m;j=$27$A|}Y3x4nh
z&F2EEItUU8Z(sSUBy3F(12EX64)wI#lXoKtdZ2_A(U2mkmGPlj3qXaq;>ggf<7$IE
z5}atL&;^3DbZAf3ED;ooQijsmtT>)B3A$qj!S#UwHw4j0qT0!Swa2ltVo`X2(yGF9
z!H}$3k_-m<A)X{Aa;q8(l8#MAZ3-PHQg%fcOIDP0mLXY_Bo~}cohA7++uZ@T3mb=c
ziB_y4XUTYbwg^sfp8V<Pr)(uXj>XXz2dzwl4+m(qxaO#0t}0NK=#(Bpm8@AF3t%52
zW_6_~pQ?s7g<Ae#!DxR=p$Xf+vQuB!Ix%R~{WDv!Dd#rvGxricNsLtr+pg0~_zHos
z@9+}yStqp`0<ArC<<+Rwf+IdndCz<V^N23ak6^@GLoNLXqhMO#UV50iff{#s+}Vpa
zfAbrD^Q*jVRZB-!@hOF*;bN{hT~ms=v{JU>U@=$nV`W8$Pc2zc&R{1-O}1i%PwjwI
z9zsP`_!M&71(9CXfJY>`AVWn}_>|5dNF{7K5q4;YPr);2&rzI+Dp~PUl_<`SEm4z6
z?r{?0ZtTFvpegPkDSoe==3-a6Yk@?A8BeDv6(3s@K_V(wa3U~xi38NKWV}5`xMbpy
zbtR{}1~kNKL_JUo_WdM?TCIIeWt*c=3q&=`ZG)QXftcaeBTjP>8oxs}&3&+c`(*rY
zlnOyyk6!@rRLpL|kPTO#iJpq-V3dc>LQfGZ9n-nMBA*pRby|eLd63e%(h?KW!Lse?
zRJ6IE?cdX}#Dc>a^>Tlw#VDu41^DmFX>s0zIH?Yt?+27u;DqY7fQ;%sMp;uBT&d(u
z6O1fR(YQQ=xOcqvPyXQ-$Mi3p{`2`@N`2h5Mts5d8>W_sx<P%eRWC{ZqAo63E5sd0
zh-IA-^{<a{>>-X}5NBG*hb{s=Foa6=p^H%c?V+>&1-%VJ9%SG;HX`d^U~v_{x>*&*
zYQH{N|LzxsU|<2h?B;h%5NE%qPI6?gzZMR|n$bGqDF*$4=-*XojR}(R_V_4A<k|8y
z8r8q5KD#V0y{ee{OSD;0x|S7#(&bmWPt?FcxNm%n?qJ%tY(26;j`us*p;`O#<&N6>
zgIfFk!l!-0%YJaIW`GWS)s=mv0KVUrJ+Cc{$YW6xAW7qCcD~+K5S%4~HQ;Q|p_;)C
zz1GkeC|4kr)~*<923s`iBjJTQG{}3QE7PH4%>aY}JI#!t>9Nh*$C?2;9eIw-wQY&;
zNGryg0SYCBk!n%dEj49I7!0A^_Omf0fIt-ZpNnl_ma*$Is?}T@70qV6{r-hF5vbnj
zK^!@30(qzrRpw5ff8xR7fBubsir@3If7ADg62lH;gT6#o8|eDrPl<~k-sq0bgWHi3
zGvZ<89bla+9zi`+ci`BLkN_AENGe3OegBRF3zrS+2M4fe`4+fP*dON`@f37m6P`mr
zL*e{o+xJ)F>_3NZXf5EAClv1R`3_`xP)9txD?5<WmhW>Qf9vyq^V?qKvp)_1d2L@~
zRRG;Ws&|c~w4~zUt*Ck^UzI9=34)%g^bUiCyA`AgGeL0UBGhGaAD*Npk9|ejlq%?a
zx`2y{9x=humtNlEUaXY9;r_<Us}<k8=3R?loq8#6N=DBuCyv{|u%?ji?=NDfDra?s
zSEYT^@Bl=p6JpEsW{3wH-VF6<<EsGVc6hV#xDKll@m_uZmQ@_8DTQ`pjY&J;%){ZK
z$<9$s5=7LKkxNtKLh<X)f2+%rc<x(0r-$z@Jv{9ewVH8mn!TB(+0XpczyFJ`^z?+5
zBh9*4aT54qx{9y`QhSVr#K?bzYU^qR9#nB>W$t{cV$!<UiiHpeZorznM0rJ87ZWUu
zS~&Ec;NdJt2e}IBIbub$4zz-?#(Z2YKpX)l^?0NMoL8jMu@zBfRT4nZ(UN8({g_l^
zULVPb0Zoa@x>~@phB?T#Bsc(+0T(<MWGqQbiZVCJf~v-vnCsE02QxivlKfsFJ@hf!
zHL2iDvT_vyD$PNG;pkVi)M~$rl@60P)IzQc0w<P)du+2V@~Dyxea763cl$5Ol&ysn
zF2Z2YJHB_@YYUs0da;B`BARqbd{rx`R2;#k3Ufs`;E1!_GIbDglU#x^rVgBMY$ap%
z`J8OAcnraJ=PMhWbwH!9PMLIe)psgnr@SyDUPEh=nC<-&-}9e+&UbjeL9>5twQYK~
zcZk$Gr4xrpy(IxuYe^gS_oMw}P4|8v+dD*powB_{9N1K+B?C%w4CNDNyNdRnl&_#A
zW40Gm+Sg{lmgqngO9NdA-;@GX3=6IhRp?RL-U{!m=r$x>xvS!IkOA9~0tZCFBR!n0
zB15QfmaE^Au!^1tdTFHT5^671k(kstOD{@jll9^`Nr*ObqD2jQNos6ixM--yg25+z
zJADXXdDusk%9Rrg)0XekS^weNfBdz-?Jl5_*qF{Lls3>8M)QU~NiCO@uS$Z!1Z$4;
zumO5mG>i*Mj<iGbhD@ZYA{V62vK5`?Z4Jh;W<|-7mK7__8)&9w?i={W^(&mC<Vfw%
zM)L-g0ywkT;^BhSS@qDB=4}gp=jPCoBeg>tUG-s09yRJ!Ur}?UMbc^BP<GPFPmt`V
zc>@BwBgrSyPSa`LfE4IRN(Q?e-D%zqHdZwpJ>DLtc>`NDoZ9@0;{9=&H*mG8Vh?M^
z8{{-^l2UHgjCZKON9bwnN)6H09CcNx&(*8TE&l%ZCat6zy!BFbiB4%OS_@yXA5&gU
zL;27m(QlRJtr!bDC)&FT=e5zf>RSe#Es5ITi?+@q62a#=kJlY%(6$ObPBd>Ma^p*J
zHe|6p$hTz(rY+xR2>!cI{PDN_$fzM08m<{t4HD7^prnzH<hYkcfkaL7{xF4;bOc&{
z16-@MLD3NGFfu{<rrBuIT8v}}K!t!&*~~-0s&yozAwc*<hZteo!T6v}8KM9e4Z-ka
z&v<uSvTw3yygkE{J)<GG&SX!j(%_mmPP^*jMr}t;e5=a32@1k#_Dwg?Q@Q?x&(^^f
z<sFoDTczt{3J_bT;=yfa3a+c{B?54d{zmcUWp<6dHtm!H8n&wptC^;2uk6!LTfSG7
zU;M-uzTEQ=C7}T~nA$?O;64sbEAYf2b^s9}Pzork_6#AQuCNscnd_-4OmL96-qX5>
z$u<ML^`6${Ii94gpX4!yx4Kb2@=euGQR_5{A%0cm8=2w>w#@I9hv5dZ1?Kp*S%Z7`
zS%mZ#O>+lPvo!U4O(ysbsKuoeVh5nWXD8(R+El~2RmD~lo||gG`6j?D6iq6^wwhG7
z{rq!6R&0346yW8)iW68~gxhigr!C*7IsU{;zUQy~rw%tz71U+}d=&cz-GYs87u}34
zn+>%)5$|Us7`=>r9Wi`HHUL0~97D!n6LlcYLnqS?rU*hX2Kh18L?s4YNa9{`z<gdY
zW&@5(Or94(_+#yliB90YPB-4#s3)^LhM2NUL>+Gon%Q<q$x)RZpV$K;3wIjzt&M?Y
z!<x|v#Dyl?JsrzKA+idR@$SI&16YK={CLEC`~1tLyVj2G6OQrQI+Do=tP_s$2DP5D
zR7dKXMzeD~;C*V39`6tc=Q#wLw{p#rUAmR>w%VGNhzSfrUAp~vkFbZ%%K=~*+_>?#
zkJ<}W;%AMa*Ii<cq5Q=f8e*bBz8da=Xv~Ui>WY1t=+n}DGf4FC@L+wn@~7CzNedT%
zZDwukU!+N!VTzhf2zEv&pJKY9^&k;or=0XiX90+EuEz>5Ohj(y$O@lw(qM9jM*@lk
zJcFB+FuO-X$5|+>kt5%N;_AI8S73`tIq8vEDB_^I-O<Zq2pG&F2{9pNk>KjC;7=K=
zJV=SI1bb6ObX#_)yq6=(_t~MJ{p?Tw(jRs<Z#8IUhs=%iaf>5Ng*%Y?NtZm6uKKo@
zHQ*CR57q~BEjY=M4}c3s57x&mLOH4Sa>3}q)-hUr+=tPFMJpD1#G$t_%n4&<^PwG>
zfG2Qy#1JJ4E<xkb2=WoN%Y&zb))z!(#2Yk%;>eifZnGk4n36`R>c2+pkVzJT6-aKZ
zu_Q>w@B*g43{hO~8!pGIX;@W4%YrH>T&+|?`o%=J!C79qto(4|e2ytAyGkwkv-Qqh
ze<~2z)d@>vNga03cmk3OJD>=7j-!i&X6{To7ub8<&NLx@_4B6zNrA=)RzW-#*PnWM
zTz^U*n?0-dv4yKy^(Ta{fkZgfGJLY{Yz7$K9l)^M@-uDF3^2SIfZ?zGsZaT-KkIf}
z%hYDaOVRoXpI(pPM&rryRjDqUAi(XUUO^<V>`rl~9j}6hHaUV*hVEGX3M<l%n;-|r
z3wRIA6J;=>ADVW&3hp+jXR`@$y+P-oxQ2?V!HTrwRnQ1pV8uJr>mwotKeY_6N2x%4
z4L>yPxUE<KL+Gp7V8~i?XtCoaS!dT{Iu?LF`6LzdP>?`%c0F=?P5<Mlb*AYG5l6Ae
zm_^Jl)EKYivj|1As9*NRI#JBmu}(uj+@<w^clb<+xpDjIpW2oEo4!w!MqFcdx%>;q
zrj}6!TjOR5relQfyiH&s0hS0XZyPJq1Y(Go&#Meb!p?s%3!jNVv=hkD4Gf03$p`X1
ztr;|A=H=`1D&5BxuBO{%lzic9o?T=uFT{w_?_}m@yL@+cdD<;%W5d}l-;7=U_013b
z{hb&4)k!kDTwEp$nA~y9B@zqkeJ6nAYioi&37}`Fbd0Y-vZgF_n3gpdU!#jv2R-iA
zm@L}Ft}(s__a(W)0V7^-3y!RuRk6!<j)2FL8-VdO^0Uo~F}^;+!b|2DX#UPr<s4rx
zKr$eKI8&}UVo&ikI*U1lfCG@h^xsN4xf~QDh|J-SK<8nPj%13jk+)RI4Or#Vnxht6
z<Jw`8@=8&D3w^dFuGTVG*?2Cv4^{?Ke_?k9!GN3eV#v*KxBmhUg%B|2>*fIa11G>f
z59&V_zqdw+Q2)RBVek9CullGuN<|xdt#hih`#!Nf>^VvRSq$Xt;Nl|;DPNVq#suMn
zlhBfM#K4?3f>fX;h!!l4a3CG=o)wO?q7-5ZE$?BE|MAc$0(AtXP*wy>e?RGn*TmPR
z6{QSgD{e5Rm#T?WFi=fNPzq(X;tDBD3W5iq=rI4)RG{`_@UQkmfl>xkl~kZE0Lwr$
zzbFc{N}^`~zXtg);E%PvD@aOayc`^9R<d3~5@TGnbIK$qszpw3P@VMPvVH;`f&Hz1
zdT5BG2k3pGx)J3Q!wuI)@~N!Q<+YO84Yqza=D`RPL_KlgdbH*UQ)GM>N{3jdqaqDn
zrkD0&2SOjIEFM<qH*X#QK>?I2eX&Ks5S9_o{hIeJKPp;TENgCM*cTtF+GlRJ3r7zR
z^u=+r<A5Hv0t#bKdi=DqXlr7Qw>*D`6&elOqlZV@M|}X0T)N!D(Ze%+fw~oIrFf>7
z=*npy)$mYr91EKuAt~tb{7?(T)~*tZH%h^Q`AL8Pre7r0Ad?IXx*)YnlG7e)%=##g
zGNyXePxesbZx+Nqu!kCdJL93|^pOVC!arGc6VGqAoOrtr_0R|s;fM$UtrQ+(Wl}=a
zQ0Qwbi^z1R2wrA%#LA!~guILIx|PLt1PUsP3EMX|0tM$AN$NNPB}MR<X_6|Nvh5MP
zhUoR@tTDkPI!+j1LVfEDAf1UQ&CC*xWJ#5YHD9%G{bbvZuQN{khOK2JNH`z_(*Cj?
z_HRd^9M2qqg7b|m4jh4!DifG#l8>KL9=KpJAtIHCngO}5SIY_&tW03Oie^BzDD-07
zi4`ig_b{L&*lr9c!EhB528}K^O_-bV9M_dMRHya0$wjJDMe1A%uU#-pVy3|-VvLL*
znY=oEt0}8TIr?9J>0|%l=l#}LVi`UFo4rAU2h*hvMoBvq|H~4m`{kb0MGzDlk`t$L
z_e$QhLZ48rEwF80F(#JL!L1=53`PkzT`(q=4@wq=Lu2BX0>ND{CYHfcMMOP9Ltt>!
z1i2tzpt6ODVVv7X3j{0NW`Sd38C^~4Rbha1rzbNdmbY+V#s5O%D2mVd`lQ4%yi#<_
z^Wa3*w33n;ESD1Tcxm*6ORM3t{~mEC><1Ook2PykS&)pkhZbK#a@@y;d~lkftY*?Y
zKQoNx^@X}~@aZD5hhCNVa_6v7=?-Qk%hnlj@0A=sd+#jSZQF>ArP%BmcPX{ypmE!r
zb*Fd?n~EpWZGw0{LB-3qt7vVi3<sQPO(*k?&S~uF6O%FB>V?ZUy!MS3X1`u|7MoAK
z?u`%X+-%|WzGZ6IIgNcYY3wh4-CzISFZ49F;>|3LZK%*e6f!+E6D-+j^sE7ntX8gk
z1$TjF#ss%Z;f8?|yuut$jRqA-2SEv14d6Qj1r|@v1c#8o60(}$5D-`*)*?8F1D1fb
z2oA%5h2YVa#H<;y?Z-YCaKI-5uFUK;697>0YTNxv=AJdpd`Ub}pm{+u-W~N^;aPwK
zv-lt;IcnQ!9)r0))#9OccP!yujC%=gJAv=u9M0aVwJvB$Z$Hl~Gewvo@2Ot5-564w
z4t82HDZx&+UBk?pCsgdrV1uOPxpPa(gX@t`8a3guS0)snY?P)g-<Q^Y`S1I0e)#iU
zp=$BW(%QO(%!3V`LJuqB1|+V^S0$`6LA<A0?n46^t9VI}3e^OC=+p=y7<4(b1eHJ!
z&leS{t$0{%HDW>foAFmVG!?1|Vww}@D<FXZY>{RQ%UAd@RH!b9c~2s^+71$e`G6?o
zw>44jD~7sY91sQUZ%5MWf{<LI3qlj%yrlW(h(fi~1p5U$MVKmIHDjNUQKdpPNgoh}
z8Poab*Hoyg&@_K|rcP}G8Qt9iqo5ltx!9{wMXvdO?aIy9Vd>26*(g)ol?nx#mFY|e
z_Vk;c`sy-Bs2%?B>QDQ|&wQsh)439eb$oBb?LpN0>x$R5w&7yjJYLt-o8Zb6g8KzW
z$0JdMNu)}xqlaD;@3d?oow7tV0fKvsr_<Dv3%W8z($L#*jSa>HU77M6tqm8XKDjsH
znkq$J&+5j-ce#0w<5yL_krAcRt2k8M+=44+TEqUG+i=h8PLRB1EkTGCt*Pw$*u2X&
z+!-c#cQC=CXGT2b)|H*}D>sv0dDnM;+DkwG=Bg|!c388@(o;N1Y5bv@NUXB>oH=;K
zZGk6MS(Y1`I%x+lylY-Uh*g%s`bDg=43;lqm1Vek!TgHVIc}nQ;cl!=&`@Jn>K3|D
zdgU&2rlZ$LJ}P2fKN%YF&}rOLtN=hgF+2#cFXlApM#Y>)Tit;AIESfTt0AFSfjA)5
zvmuZVC=X7xUY%mext(@6UqryQ(-<k3vk?8aGeV<0#Uq+}E>_IN3b9T7^HeXNK0+e`
z6Tq>oaO<kOoDyXH`#$coSpUEO{5${jCpctOJsT@l6h8+g2BI)wBY}470N-Ochz>4d
zODTwa_&_*F!ew9^*2p0(_BkfgVuc+V^P7lV!-_otW(o%p1WJGjums5tQY0F~!3t}|
zp*vmjo?Am<#rgsO+AM?n%2^>~r7^X0tdS@nrUf+Mf+4pC^}F7H*n`0>u%+r61WC`W
z(flsaBgl9eOnh3+36e2Z2cVS=5ok65#I++C?+&?F%9=;!*3u;s1f>0<BW{X77BCO7
zpf$qL>Fk&!+US)+dk0u!M*JhQ7jMuGXQxL;rKWv0q;zj<Vq}BP>;f30KtRIL<1Ip|
ztFQ@dHf2&|kc{_ezgQ|x6lsM{ONP|_?dW?ndB{D&4=c37w&tXCfkx}6p&I(V!F1j0
zQ7>pBXroOi=%UC-mJct6u0vfI94zI-Bc^<9Q5C?JjkMGYBfonV?7>f-(a6+yaGn4e
z8TJPZBzZ;<NS={wI}C&zDshGofR#wx2Ul){Lxr>`(K7;N%UMWCX=U`&(T0c$pu;A$
z<Jr}o@=TvFW+$sXbDCjJGkkDNssD=~{n6j@UwYM1BMI}2EIJNzGl;OKq9|XLs-p>_
z#gG*+q!}=rqQyVPGy`Vo(NG~NAcKqYiZRV_SYo!itXSFC{LnGYuv=qDJ6#Dd?vMzc
z%B!?CXO@8D@c`}~o})BC<U>oeSWuD&Ph1!^kFOZh4Dc6A=OBhjx4&9EBfCDk)e_@N
z>F>brX_F=i%!v*f0z`=<MNy%-<QhfVX>Py`s*>=cT1k3F_2?Krq-(<EXko148JQ&J
zDY4fHN8bV@o7Nol4%GfO9Bpc_9m%QNQ{4m4NXVzwEoi=$&Ef@|=?+X;*^kzr9)Z_d
ztCVfFW5#mw-46L6A(nHiFRpahWJD8c4blu^`24~wY_BAlh2bz6e?daQO9b14ufICZ
zbHefrr0vxk@jocqRxsc6e}4Vff5Dhyc1%3p=oY~qUwzNkuGfWd(k`6h>+H=>O0U&r
zQ87D$eS>0VQW39yRaDFlVBbgsVKN@Q`Cp*y3(KdUV^qvF|4X=itMQ^@uGp6Ck<j?8
z>XTwd@QWBs6!Wsc3jNqgF{3f8bWXy=4WsOr8=5Zg)&QfFZ_cO^rD_R!tf1zazj{;^
z^_HsE$n+8x>dPs+Li0~meRAcpam#JuJ=x{CO?)h9ly|&lx7CLGrYKvBp`b5=2L=vJ
zT;0&I)NEdrmaV)sBMPsRioSh)`tpzZwKt6G(;@#g>eE3dGF;{-l0MB!prOOk)>&wa
z4MsjSx+>{YRPx}PQP4;-Tva7~3Vvmz%-FTW#_X*9qCN#}R#9mfr`euk(x-z?X{x6U
zI;BhjmUD(DJmi$duBGcZrH$siNqwr?aqIae*P{NZ>eKSUrR5KofW4FtF{c&Pdi@2y
zX!D5@-D+KQM`wLH>(d98^tz^h`U{`+lHWI>3ltHt#M&$4>2X~Z^(k<3%6EkB!A?J9
z)Tf?dKq7v~KlKa)x<Fe$E$UOxFn}Sb6^#1S!+dmDw1<xRv_|=WH+K|o)Ti63^Vj>1
z$D94rs`S_3t8a99@=rk*rCJ}6d&kqyiG!;4!6)3R{oDl#?6(j&u?uuCaj*qNgMg)B
z#SRL9v>QI^^QvkrB?Y5Os6+{#I}o*3)uHY+v7n&BaDvN+Rt@);7N)4-DKf6F`&D(s
zH_w@a^C;-2WDd?RACzbJTyJ(RAKYE}VA?HeGb}So@n%qpU-N%_?2mkz<Lvcjbjt_E
z1+iInOj7a!DoU{@ofW+RXKuh4oYr*3EEOi<YF>vt{Mu+^_Nd8HEf&n8qae|fAj@8W
zaY3MR(b~e~1sE3u8i+bof$Rks7er4cEqei#3!*2Lmb?H*KEe=ZJ6x!x%4I+wt?@R%
zziGXi>;<e2Y}aB*q9>acZ_jWxE#05NY+7{F(C&6Bn}%N$@6cg`0SFrR0*%r7O6eA%
zNkhjp09KQK`($rLjJmoLO>e9G5mZk4Wtn-d8x!4?)~V1Hts|>!6{-qdxXhp_8{-)}
z6)dx8%7*Uc&Pv~>#(}Ythy9D}lJo@xID(8Q2yg;n*@~3_0Z8}`L{@T=5*i&@%)6)A
ztGolU2sPQonK6-R35bC^#ZLh50B&YKf0iRsx9-Rco0gS>IT9~@;A%-5C5O(Ci%4jv
zOp|dWP5l<+LwR#TYK`eQOURt->r7bwnvv$O{M^ev`h8=S5a$~jvoV!#2s0I1?GTmN
zU~MJput8%KRoI|0iW+RNS`!smh0OPrOp=X(K~-2<Pst?Nm|@EiGk3$5BW3OeEl2bK
zhAl_DJ;QaFcz*^g$7C%psxic*LF*R<-zxg3yBiIvdbY0=qldXk1s_j?pPN%@HXF5G
zN-{Bp*fQ$R!*ThBN3$evd^JnznfNB(-m2DnO4#UTuoCu7&B`ri;_*}LU-F~B^w&Pg
z6}w{fx{L>V=CeSWxI%|((|%9Yp}Q?fG)Iy)5T7iBaZW5Ex?*3$aD<w}1QCp=e|Q*U
zJg`CGql^at0KtzWk%4fZr9)G(+o4enLd{qN@yIkkhL45`?l5p%YR5+mCxewrD^jtW
z;2zqo2oh_a1x2x&BpFR5HHU&AQ><x82_9T>k64Lk3AQ`&zU*+gl9}<~xY0>t;D*3y
z#5G4%Yt=@o4o^7Glv1s@PBgsww@#It;_<(#P|Jr{U6DVr6}f!yWMHEeWizhI&wVm_
zkU<WEs7vQ^%ng=f?z3WzTIUrZ!hs4i^}ATL<jNK6@=}dx-!?x*p3Nsd{iT2V#WD4W
z*+}3Rht@lQsaQ$3%MlAS(_ZQ$CKN&SkbHJYzc;=9Q9W)~$d#xbg@@b-(yy`=fqx;{
z0S>+2u_+?0NGoW9paNBBCbR?x0w=+!9*^q{t3U|*$lBfrM)i0EI8s9V<6%KUhO}Z-
zk6`xXL<y)e^kpEzPl8!J3KKLXsVG}X`n~pZj<|y2OQRso_LjUR{a%wi9QK$6#ovP~
z*-^RCc!8}3v}dYv+1HcTOt<H_(FPL?wpe7+oKcmliq0!6RgdWxCmL1t%b2n#f9VW;
znye#lg#bd%>N0Cz0~PgnvU7#yaj3AAS7k&!J_7CgnIH4h?|t6;@AW)e=d|!RK?QD+
zx^7<E_<loAAx};1i)j<B7aL#iO7L~Syopx1MD1G%zBuT;J#^H*7-s`LK{bsc^N{w%
zyuP9<(PP+ZtEhdU?nx#9wT8<2koI*tF7S7CbSm*erYVCY2yvPVnVr+cfm0^XY6NoU
zEP1y6Q$5yrp5oZ)Hzj8q)mr2Bsay%=DOdhr^!pad`=U2FPeKmXXgo{7w*P`zcpjg3
zgX8l!#0VXIcjj--HRZdjDNi#>t<OH!ly9b{{8O*^$Y1|{uPK+CfpErMYqYIvClz}_
z#SEa<qJm^vbIZ&AcJ^e!@}g^>qjQp=TV7=4wtFUV*sOmnJT<<eTVB{KBxj_73{HJ#
zHlJHwtXP}%(FzVbnGWr7535)QPAp*izf`G{pj+P1tlu}p&*L5(dVN6J2v%~B*}2;J
z;6EnBJ&;^25ExMslZD`Lq+<umi?bXW3>eZvSaWDHU?9R=03N;6Ml(_=w09>p>+cu4
zopxxj*3q74x<6>G6ZZt3R(CjHyg|dm0plGS9u639(c$!PKqSxNJwlRAIh{EHsl7+3
zSsxFQ(hux`{?lr{-OW*-r#dB}dZB)UBkFY9E7iJP+DjhB$j?`so_dSE&*M<6?#6<1
zv;Ivs>!UgyG&Rc6DE(vpCTDKFhSll3$<F?(yHTfTYHlcPH@1B10x)fN`Hs(c*?T>3
z)apwMiSpud4<8%NS1H=?SuMDv9-1|pFB1%nCd&@Z8r7o-dgETt=oxCqDp=7*J(Fjs
z98IuRq5B5UP&b-jZQR@T%$=cXG(m6N1JjnO#_|;_5V6su7L4(saH9mtSg!Vh@fNm3
z3`mgV6KhBJf-zcg)FhhZBz5Zw&xqitHp5>(ARG5^1#92)T-mt6%En{exHpHTcNdlx
zB{Y&NZEeyVmflQQ`sv^CMQ{J<536H`^=5SJ`Ub@zMskQXQEn3mU}YhRb{&8L#5?eO
zkjL%Vt!UT5>7l+qs!atlV8*CzAQhG{_JtB;kPn^gI?7TwGzC`(l(Jy7>)<di^Hf1D
z(5{&5x>A{8Mbz6gKJjx*c72VkHiJ#h$7@$icKrY(g@k(q8|Nj@x4{<gc=U2&z^V#P
z4{cN)y`N&-gN46IRDrL}^AA&lyMYX%@R^S_&1dbvjKw8%JeK%<zR6cK!n1-~2uw4s
zZU|(M$hcAhc$yl&a>;Aj@~xF%<nr#{`_^Aujzuoc1qJ1ionuYBmE$Ax#5@gEA$l}4
zwc|=5YB&xxhf*LR(~2c8WT0FyMJ`xSuny2mh278vqlN=2oLz`eGg!GjbkuManE*1x
z;bsmncoagUb%*UFYPc=fHcNN@a+wc3s<%X&U<_u^BiE@-QCr0ST#xuCJ><Dv194S;
z_VxNcQDRtY;bBB7&`Fi0P!*v6l3WZJOF(@x3o~98LOg1(fQ&ECJ0QIC*StlsB3?;T
zrP~>sEs9f6ui=D&B}iERvB_LGU({p$2ZOs2=jZ1y*}ri9O>=;@`uNYGma1CoKCTux
zsRK<?tHkQJyD4L>sEF)^9C1B6I%|#&=IG#qgGce-{D=SEkE~j-o<#>GS<lK6;lC_e
zt-wJ@OJ{lfwrI5=Vva?tL#No0i&h6(Iu@<=&D5WUVHz+H2~2jI0~;aJp<_*?@<9_e
z(hA0s2r+HiQlewt(u%Pn0zIY><sER@>h$}sP6ZL5WN>)^;@oVACQd7+q7`OltAR&S
zkHESt>0mtqOlmO*&Oj?k&1g5LWLjAWGoCU#%~8uu6Q{920oM)D7O3F$;LKuYb)oHO
zP34qPj%K`1#{brsaLe@BzrdEv8NZv)_}SyvQgvOGo2#sMt`VQw;WushzQE(hzV4rV
z^DnycRHxpQXL0d?oHH(&%?JtYibL%gPe6}k7d&$vI+sijTWt(HK<`S{ePOFb5t{0z
zKkz_bw8H!l^K^1BG4MdSTTyH@2|2x?qc81O?^pYsYT4zYlTeC*#~!s|spIb!#}186
zO0AG$r{sT7)^2`{x|W4nb_-PqGbB`Fz=Y@DEl#<eCbBdP%_zB8w``E9i+qo@r8>V`
zjF8YmPW#P)JLq(3iidAU2Su><aiduV^8}jnOpzf_@|sraNRBFbjg=fdt=pxFe(BY8
zQJd75@<F9y4ZZxuAc8U5Bwt-_hUOcq$nD|^JBr^FefuvIzfjtGD1%64I23AY?Fg;V
zHyvXiiDni{!u2;R$-2bYO^Niw0fl!y?oa<H#!ixJsKL^;`^0g+F<1qIEqM3i@%f&|
z<I8(rkB<u$e~w0q#?g46UGLTb$Ubjr8aG{YpFQUG?XAadQ`LSsyAi9>r}qEJ-+1~P
z-su^2wI8P;)}SebQ<yp<9O#9lANpIMtR${h&Vb_D(U7>$3_<Hg9_{~|I`P=i%ROU<
z-De(|I_y64$k1W;nbGw)ICD5btSfN({F%e5vPx#ko!zD6msBI29y#pVq-w_Kc@8Nw
zJ#I-))#E<gbvjRaS8fh0n|id|Bw|{v<kJy8)I#>0Pk$Wdo2h1a3|sWRck!Ap_+ocl
z%Xzj?)jBR}2keb_C&g86psGFv3c%7f{&fuo>oNo^jZjFR0@VX7%~AiFF}jRw8jSh@
zTmtPP1sBLLHb2HS7{lr^GHJiWu^U0xU;@z9hBo#kwwEVI{dKw#N)PIe`h`qT)jHh>
zAK*SW`GFMmFL&C(jJ!zUz71=-eW6L;=n#5TWRc>JYmT~(jW^h2^-Y1QwmYNgM0dC{
z_3TOg_z;9Zy7u0iqV+8IMpTP$`u689?UK+&mD=X+B^Mw3Ct>mn{u5jxkRrIl$5g{v
z$#yu1(P4uFcGk#75(y<@9}G$Y$v{ZfOVKbmtlaiu1BtcMU?!yJ&mlI_NXPw0zP2IR
zFSJKj?LryDH+B;GQ=P){4qd@1{6l~9uYT8iT&1dadG%aC<&{M)pp8o<Fest!<XnK$
z#rA~wG1R>Ifi#ucwU5v{<^l!@y;CmWSeacx?b>EGaxS1UyJFmf8YhcO3MoX{1PR8_
z=o)!B%0)*D-@xdaczcFf!eg%HIxOL;^STkfdyWsU!S>V9)~Znv%9jsOqpENpj6{WR
zfiT?MUi%lpeOo7;*?vU(23;?2yesFPSU>1$8POLCvyuwXcL8~h@GW5Sd2@o^y-uBW
z!txB@E$ev&wZ44nM}Pc}d}Kw>!G{9PfvI)ff?1Q6USw|3PF>&_<*VW;S3zhFN%4YU
zq0n2pAoYd`;(Hc~u;O~h25n?+U65+S1W92b*pmGYdc6_kO|F9IeU{SP0iZXvD83?Z
ze-&IpA<|PmfUBZCH1B*B!~{;sNgj~cR?X@wQmvaHy2D5kffcvRV&)$sYQ0LLpG=Rv
z-IW=rzNDyildK4sW9_30lJt~K5*`gH<ly@3tO+SdQmt1>&|)B%pyaZciXa(p&#_9P
zGN`_Pkc{`|a0KQ@vO7Rls_!2p(+xVTkb&k^TDNOX^3zqncI$XG{V>GzraQ-!uGkLl
z8~;<Wb2WdTzbz|W-(R=XNBI}`y{16s1n<o!c+dCO%fmjRc{~3448XZN0M4{q)F#4b
zc>c}6^MC4(zW&Xh?GDCR+&Bhatt_!yG$xCw^=KysCW9!~7?%v9T*ZqlK@lFK0{90Z
zi&8=Pp~b%}f=Ec6hQkKe@(BzOEptE7oZOa2W8An!p}T<YK?dIZ>Kr$s30t5#7(!K2
zm41>bZal0()<tuS-hfU7o@&U0sNGaUu1-rIY*)I*%gMkc8NltP8uCp5cU#xtX5z-`
zcsvBur*2$Y3Ap$YqbMMiL)_?Rb-62hMc;&<`#cT*8zlmu*L(&0ca9sMWRd!u;q5KH
zm~U?zH=ge^l$T)k8Q${zo8J7?8=k&c+<We+x4z}#nWtV5n}dx9{?!YYZ+Pt+FY1)o
z7oNrTQ?GlYOW?cX;+~4x5<ODSQ0jIA>e*+w8K2?Pe&ARB_%EH2YhHJzdBtqzzWNNL
zacQh)_8C@a`4fQJ9+)l0@oqe$&oI~|7<~qSgn^LairZypJXrJ@b{Lr}))=_6l56lo
zC!b-3W`3G&gzzQT;DXU-K)$31E;y+@|Cr=60K`QU9Wi2<-PTG<UzT|`U^T_hq2vne
zrp=m6N|bX!gAYL=iaR5@UAmOXXV|YdI^=S<*dvqBNXFY!m{Ju}-B~l<pX2(VsRsVE
zPCH|~K?S@hUJ%(u!+DM-B{anEW~J4je?a5T=CnjjEpwhoEMwj%?hHV)@bXLY88y~Q
z*+Ntr5~pWVE0?$QTnFTKjVC)DcYpC6f8?E`6k`>)0Y0l1L_oz~ikwgEx1gM-_J!Ub
z#BHDfqM$60wQf5hs90>p!{&IvifA@nqA^j_g`*OvS4?n!I2QQA8UrsIK`MR|1ZsmB
zbM{s&N;jshII4hZM4+0eK`%&LP(}E`{_}`IKIz_%iYwDkhNl<8mu|}Qc#-f1$k@Q(
zc)eeYS_CLGyqZXuCVYUHp)4Qq0f<6#Gb1o(ciPN|^Ib-K1)3QT>Gw)B;x(@Z!79!`
zRa1I#9M39n;PW*{c{b109CNz!?$VvpZqc0X)WCB}bh(@qT`m{2VE^pHe)TW>W5-Tw
z?zvk}U9i&w)lXO+wO}Pl-KnR7L|Oz7GGv9;UMjR?(~JeX!yZGDMG%vK)iZZNTClPO
zn+O1)STGbf+j<=>m<etbfCt$2YSAgYrh4iE2tBFmp^>kx&{9R&is0u2l8*&Ij7n|=
zV?A|`9vxgBR%oqT$A63{GFuWCMSC5OFu3iKsh$d27iAGW!WGcOv8_4D&Z<*CTz#Yg
zQ@ODwLX{fqCvm&zpUi;Uzy6JXvhVC)|EBK~r2;I_Qib*}QihEYRjU}SE+4~aMO$nN
z%x3DtkepiHA(`D4R(Ng?|8-%7V&)yzh{l<-`d~D;b-OE<$L;PF$*Ie;dam7_>akB-
zzO@<DcfbF$zW+@DKcbO^={w5?c#Gf}<(*E$Sj+|Nk$})t4OB#%iHNBH&%pGMn8Zu~
zF2PNgcPz7kWJqa-E*AvKJEm%CVgu|;1w-M2DOKZ%jm@UR{FKT&p4iwR_mmPF4J;5R
zr^8+F59(Gi1p>*KNCQ)INvi<A-qx#{@=m#!2u(R0ETmPiX1YJ1V3zm?^KhFo)Tmz8
zz@?jj5k;q8UIP|r3RD-`T3eu%cl;on9H2sd4Keb~rImNWUTBuFL)gE48ruI>bhYJP
zOh?<lb9v`x%R7(t5WqR0y1Rg?=;9IE+ZxBY7IZVUpr3r<4gdW0UJKIb)*Ory>k`Vz
zH~^Wx^}dtoiz&gu#w$7)wjyXENi2q9141>misdU9@0b!qorB{Wk-%Fc=htNVVoH!`
zQqA;%VNwe+zG6%X0$zez5o#xxOI%peT#x}(J;%+8oM!0xT7ihZIW!#%69l0Zu^vcU
zu93iO1jWHHNkCFKJC6Nk7&g>OMgkXDYZTMu;4%plCf?6d@FTV+s0RSm;T2-(yj?Ti
z9o$51IfJ8tt53JV)|~7Nh`pq#%pcT1PbGL(qkVRKc86YoS^aL7w3D}-8@ZYg6)2Dt
zaf(0c5gT9H3i5y#5Z-oFV2~Bw;i)X7`Dls*pybZ-s8i#)^46SbvKY_(=;!~z?|ShJ
zu1TBZ;Q>pG7@X1sTvTlo65}~%G+CQA@fBmd;DjdYRfmB-#&ea=WXoOy(IviOEWKAc
zlkIU|u<kAxs&7ceuSwNK_R22#eT?UJ2Uf>La9=u7eZ>^dl_5ARKkvwmkmICSdM_3Z
zk{clIljL<(V^WqDiWCiKJy6DzGR%SEv5J3sJapom#d;jFSmiAkQA;yeetKzQ2ELtm
znBM%tQ<pD3`{rk#zkDEbqxn!RFNguWu#eEh=0)9m?%B(?IK~iL4lmU23|N@Iy6NiC
zE57Dm{wwcx>#JtGW!@Q_S?caI2z55#-qGq&cV?*vJCULX@e|MriOa{`AF$f2Fztqc
z#ElI%1@9M!wxRJJVM2Ro4|YhXgBlm3q9Zb&t)K@x5L_Qf^$$VNS|Zp<KPCh_sI9MH
z@<kFQE?D0z>A?<2dyh!XiX`S=BcGWiowtIL7^y0fIA3@?Su)<8GNYDNuCoWG(n_m^
z?pCDK;5IX(#UAT7X38I0H{3&ub1v;KmnK7VZytgD>!#g5*?0D@f8(F*JNwta@lX1j
z23de&3tWrPm?NEQL^}5w%OL4+HkwSe)Y&XRa|-kBQkc_j(VW7Z?s%Pp33>iCe`m9D
ztFB(Kr7(+6jD#BqnP{xRmD%ZRi!t?JXIqS^2RqwROg+@F7GvtcPOubHgYjQdetnH#
zF{U2u1B)^Bb=tsaaawCBHs`ddG$X}--8{*s_*Ku>Vc~gv!yQ9E;Mw2W_y!~bLp}zH
zKxoTVNCbjCiv00g(mE_}odk3?o_A+Fr)4c><9R#A^QB+=Rqy;rumANMPe=Lm^jSsY
z=_sF`scp%4E|F(tq>H$-%m^>fL8<?l-5%`RipFz4)VURn=V0enG@gU4Q_*-<fDxxr
zbULTv*j5J^^>t1K1!%PISL&RqX0v`UORQ!(bJps27Lum0wK2^q`F_yJFrzAO5>*M)
z9Nux5W@P(O!LBtrU@lpFz9Z8-wMKU}v%(tPkNo`4e(RqbQ;Ckb92?63st5t2V`NJQ
zN|{w68%Y_VR9N;2mAJ-W0Lkg?fVYqwm<vXgctn#Fl7CncfbX_PHL66gUVvDZ5CY7a
zPymCk7*!%zC3ZMR2re;TCY8xD=5o6S#})H*z#VqDH&Trb^+TH+x87(gmmfP}E(dua
z6Q#y55oCCnp=J-51;JWk9}#4{J?zPq93><hBFOV9gsMfGZZcEM`eot5)s3i`snAt4
z<`P3p1m&!I1$JEBp`Ue&3&#H%jW3(=dw%Yd@xM`G81fFp`8GCQ3DZ`Dyz|iv2zH&V
z;E-`3FwPnq*BQNlw$3{py?{Jw?5rcRZt8Rs2t@qBl0C?X2`AQ7fWJfD(I9Jx2^pOb
zUTo;<@a?TfO}DR_fBHxM=O3D&nvZZPMUgItM|G~Cdqfa}$y(JD-~Gd8OO`}Y%^ks*
z>H(x|spXd4kxByP30G!OHJ7Pd@PF6q&N+rV$i7t&II3zM9@V*AX{85FXh(-fZxv+h
z7!RbXxdR%>s`<z<q9SRd!Ti@`)x5_DAUR9qx;lXL#9V+&tuQ^DZwKU_R5O@)#nwRK
z7P8B5^mKbx$0CV6viW+vR5NvBQP1O)MpM-86q%E%xd+=etL9lXgDxag?xnhK)%n*y
z{idhBx)k`o;>8zheV<<QH+|i=z4JS~GgDvHHVcnB@1s)9gBmxg<~k>bBiLKUl&G4)
zWuVF)Trs6P(iK$lnlX$)n{W^UwAwML=H-SV0K8@dQ`sQhfFct`pz3FQ*uoUeqHEnr
z8s3m3?Z4>CL1r)#glOy^-AS6C;9Ye9yhv*&cT5_n@(u~l+IC>hQ<UHG^b7YyQB)c+
z(-Z^)nG00ah03-{g`!cZ+%lOMb=DXD_pklh-}cI3DdQpo>5vojSJ&ya;BRWt{d8D#
zHwc218!<1-6kXyJ`YR^5uS02jh*KO7gr{~3Cy8zqlAA~(M8N*5Uqzi|D`GN^c2|Np
zrE`wdStf|Fu>ityMYNT-%>vX}CWsK4a}`O&vhNI?q0TbFeVtyr-W7MUe1+>6@Ict3
zPOsgd^St>r;!f5zZF((c=`=HEN_VA6`t({%(P^)cbXQhMC0R%60`=dHWV}5H3bgM7
z>;Yvsg#DsXEnGdkOGgd`y<1>IlnQ@sBYgMRf>24jPIe#fP&pSz66tGY&$fS|oM(sf
z<{irOQ?2FkJy!wGRnWVuf=;_da~1S<s-R!--T&yD{*<$5D_?^JFNM8<tc=<Pd4OG3
zN1%KKOGih*1f4Bredx@}prtXvQi_C;1&f*b`@SL_fhxEMEe%}@jA6o%XF38dNJqc~
zIUq#ps>h@8SGph_0TV>|hFy?g>^dyLlATwiBTxmct_qvYL8R%>bOfp(DBC2;Ic!#7
zam#|@2$<v!&WcK+CemI=aRf|q3;QlPpac4w)MY4N(Yc_PW|A0|%vuM5&X|MU9zEWj
z!fipWGTg{kGTt8a84>CX%*%d70CW235+&55A4n^TqaT3C>inX3gD`}eI5eCmo0Hl#
z(;eC!n9$=LqCXOkewG{JE=itSnj%5nXdMyxYl1C{L(;~EDrU?LW$RkR+<H0~$5&7O
z;a)Rljc?R!QaltNTE_$qNke}Fn9)crp&5h6F?xySPHLkeTGU!;P2Q8Q5|0I1+VI-0
z?>)(4M2a>?lK+~(5BsCqn)rSokiuKp_G$s=DMgw)&Vf!ecfx=f&tEbZ_55+cV(^Pv
z431Wd4`lDT3PWajZ)S(YdAJ`pitcH*Xm&_$$07OEhadN^ew8~Ur?ROi*GpMyjQ44X
z&WRSU<So%FV}#m0bWXX@H9+SSv#EpAI;gtr&<^xkV0f*VyZ&ry1$rU>+R4wxY-$C1
zZI8<`4a`@}g|14`4LaFQQ7Xc+ww0nAxdC;X!kVejRVljH%PuG^7P>0VtdGbl@jg|c
zSuc}Sygv>!>tnKtH)w##YShh8GhODR>&De^4Z81eqm;@#cIY!pcG$o0G3L=>=lLiN
zv#z)t?qiUJ`+#&KDIo;l5Z-YB9KyG^4se(gB6pV%DQb1ZMV$SQ+wnhkzx;9Ud*Wm2
z+)}+6mJlho#xTl&#oW37G3ZuA^EODonEj6d_Qh1qH6}|GX**b#pqr32xGwkNgNMns
zK6sd{>jO+>4h4b-4{x%n``}@RZ4rMmCdLOnjEfKP$7$`%9<-Nuy^YhWEiDx!(*>n9
zpG`<>b`2zWRRknm95!g7m*fcmNk|-J$>6759G5F5qp;?2L3c7s20!fr@LZJnB^`8R
zy+2C^H~M0WLZCF$Z{d1&{K?>_U4S)NC)UFE>kQ99^`!d87suU!1vzO5=yKVFC;0*e
zE*(v?-5pBCu3W%-(>%J%{M=zPK6luR&mA^z@VUck?Q_RJ89Qj-b9Z_5=kB#9AG|qH
zbj?K3S~5ePw_2|*)?mW$aT05WRUz-R-dyn2@<iG3f?3kA1K+T`L(ELAcNYN8`2Oql
z#X8NRgFxP2-maSHS|2Xf`?&x1Vx1CY5Xk%IMA;hWi~M{&|MmW2jR9BT{AnBF`HQXa
z<4d1V-E0zVdbMKSZNn10`o#C~?FQT!)kWR}1$Xa0wyH2DtaH<ZxtTM18@VlZpoHjs
z{j<+Ja|-1_@J7|zRj>>3=$EUokuI$a&JIgoKFxSt{*N1=<>?&b)UMCOU1dm}WZLQ>
z^EA9cqMFk~^kg^twVA_)Cn|A+AMyFi=imJN<+HDo-y=V*{-l!;#scIzxCY%Rd-X!p
z^&|FX+FhX+-MxEt!M;n&U3k{zp6D%_c2~G-VG82C<p$dQ-{0>F@kAf-1%K=x{rirA
z)S9k&&85QoL`if3CbYv$cS&@WuSyxw1bw~|@XwkNb3rP@DmcU{N^ipi2T4V#4qb47
zQ<T1j30{X%l+Iu9U!#v<9!3@62m8<6NBLxbwtt(i!x37~!u^UH<PW#^sQvfe{dvAp
zvZs>u3HGqb0%hwc>DrnTdgUu5M^qj{t?KcaG}ch~9;ghT-H}+<b5+<2ex}a45>9d2
z@>Ga%dFqw#{jqQR4_-5-SDd67NqogE+XYg1LfHy)+cv2EHwq}~l_LgmqXJFZ=Vb@l
z7xl^>EsKgOTz0valU`Y}BL{vRYTt#0#m_P76|_pC84!ZFi=82KbiDk>ltW`4X9ED7
z7VKDvP4y9UMGSFnGQjDCH@BjM2I88<#W8rPz))ZcTJTaOijHq3(9O{;NBul`<x-!>
z^3f~r007ZDoV;?0apV5kD{ph@1aF_CMW81@BjR2;n&&X#G5+4hD;LG$<#R0)Rj*vH
zj(Nw#o_}B|n*Zs&Kli_UpO+o=CF>9*?lBFDYT>xW%PDyf6{M%bgh|-~#AVHiRJi_V
zhSSuF3%a5qK_Z4%NoECAXShVjthk^n8WJQP*{F;Ma!2mPEa-{`D=sjXh57?Cv)B@r
zR&+(PgcG*F5xF87*IGhBS2S301x7)xh#r;>^B^B0`_%`WViDYO;%+*$JWnPGC5MS#
zh+2<p6d+qk24W_8K;MX>hIHO#UX#(DNfu&#S(9A!Su)<8Ejq8Ip&7}c&fSsMx~>U$
zIqU$|Tpb9V&TGc|Q#qWEn+<wn8p(KrFq#ecb6(EP0S%6gWV%B~j!l-MqkX28jJF8b
zEA0b3Y}Ry`!+DO{0;+$hFb3eZZZAvA-icW^rF3b1*XYZxmc%{LRJ$x2;~ju1cpLpS
zvhrmnf;??A+6HWGs18b0sGEWk2Ln7nQbFsYNSCaJP{ijQqSavTDduw6F`cXi1X3<V
zwq0uz^b~VB1YsqsF#>vGQ88K#g0Pa+fIvQe_Esf%6HX`PR7h=wC-bWAX^7OPxu+*n
z3Z76nouBaRM9%#5rvz%6rsM`J2FeXT{rlEDO-T;aeFqZ*r&BUhxPxz;-nnSZkqDx7
z@#k4KH#5uTXa4-hf7dULwR3rxv|__NUu?Z=ocZbY5ijV_9BlVqpa;~Da+VFpSLYSo
zI>JT|4a|1qh(qr|6iufD?XvVW(mA?q#Gx^oMtqGuX3MpqoSQ}nf~`^<i2agHYI#NX
zUU29-^k%sLk~|9r?}fj{A}>(Aw8s`lxm?#LKpIw}zMg~USvEE7gUxSmPHN}!ql4F4
zq4RbdM{T{@)l^z7M*h-`y%=xz3d(4khY=#J>j1UnhQ98_J?+2dYuQY;y&mRPgTZ3p
z;Vo*L7V;)D!}EELiGcpyuxs#Y;o##n%VswFcZXFfnrAlq0nW~e5B(LfDW2Im{LgQD
z^Y8k9F509;r|PmqkwkKt8?<LPb}gIzC8=zX^xW*hlamc#H2cAt?>htMqS*)GG)F5i
z>A3*ZB(IpvJ^(119fc#&3P!WPTkSV$_Ir$UH2ZGNk=$rouA|vU*}9CdLpPYVC7C&G
zy0{O3XKQ8_lNhq$g`_7fz{vofG&xK3g%5Xe*RgoJ<F<2}$?SUtYq4+4K8_x5PZ*1b
zS$oY@7d>w7iPx|&vOPN;qpn1?+#zic?E84X_bDGj|9&po`3}7b^CEh@ik2Wldagpc
z07=~(J-0K(5&oy7bSJ;S$IQ(z(*r47NB!qcd$eSRx~Dss{x~OHA&hSRbM*3<S3x*A
zk{MS`7mQK)bgEgi-!S_P&p!7wa2-rj6o`j@MEGZK{a8kaJ3Q{wp#J=CzVL^Ct4$ZQ
z#f~yY2-(anN|q_79Mc6*R|m$SQWe2)*GA9{)5wq~_ZwnqV!baIRyA4lZQO6biU)K*
zOR)odCGDZzZ(t4M(M^K_Eg=W_vWT!<x22olH{j<igfW+ryKZ437CR33pLkaAMCdn!
zgg?9i_v(0w*t{;KmNmu%H<I!8TxV{Sn%~0w0*`>+LDw5lDW8?A+mC-@LC&)&8kj!l
z@d9S*m-9Nk747Y%X1=%;@p2zN!Zjx0&P9VbaBwafD4<qQr(<=U4|Ff!C%^qa`J><9
z*#lrQ(OqF)fNs%z?2p<cau9A4sozo1AP*)8)-)EYfsH^It?okk3O1W&#sm+CBOp~2
z#Bh17)4L$OfGW7h{{*0bEJG_uFTezkU@4Id2LT9b;(SFa6B9(+5|C2FMr?^c&|;+h
z7=~sh2znG^BT%_Tr?p}ud_`stOb}IyVuue>hDeu3iUw8kxI~?TOYYa~iq4V@>r4_f
zEgJ<Lte2cboh9i7R7niOQro^dl5QtU(hI1P_~r1?=vge;8IvXB?Ey=Rk|YCsz~1pJ
z8Sf8DH0-v)nwvEVU9x1nL1-W1b%!KKojd0l?+_Vu#GwOEP639LpD?k52cR~!*3Lqw
z+gGb^=)RG1zWk-z@1<WD7mPbUms{%{>qFs+T=V~|dSd@JUpJeS0`p7pL+C`3aV6F|
zX6BdkKoAWQu4zcY=GB;AM8D4d$Ybn}6jgH~*0=5w`UMfEJcAf+2E_25FaCGG>?b~|
zc3$hvX#Ej)GY;#5@-qXWS&6a*43@bWTc9=@>l6*%p}HBzbw@DTyTULB?*Zt=)-pzO
zR~Xrlh+V8XxgZ(FWbMq&*e(G`mrT9?nCOpGHv>b%cAC<6!Q_vamw`syf*yCl<d3+Q
z0l<M~C4yw~N2-^xL9(IwIZ8#Rs_hAI^%XB;y>G72^m+oism*q?{Z`B>{uU_QuvH+5
z4{Hl<5p$Hn1E1%Gk7j}&R8BW6QWFpO*tO@4nUzhm$oB75WqiNJdRtb({)O)>*_?7~
zF3?sDd?)ZRWMFR}EvW)kNtt{^Uw5<MbfNSRN)^Z?F+zgiQ6&2IQXtHd;laMxZjek8
z{~41sI%|d}`(n4)!y)C-F*m98v?a}7kG=$FG$k>JgiLW_Tg@jIOKg${FhRmErX;DH
zv!obllSI|Abc_Q;)R7F&_Qf7J?gH?|AdluM0qt2m=nM316u%g8Q2X`^^_?BP_P{e5
z$#2&5w*bA}=E>H#0DlW_0k#a7o8JPojoXvWkC(+E_O}3g`d{l?z`s7?w_u<vy~8Fb
zS)ou`>i}bg9w(Qk&Ox%GvluTz*dJZesWjJV<Ibfyc45cT9NNP9+J>%Z#%BQuj2&WW
z4_)C2$;&qKgd~PQ-k;lEOA~fQ-|RGrOVf8e9lpNdbkH4*E^hz*>n(e!!w%X$y^t7w
zvnuV>en4%u4*MT3Hfi>hv}(r#@@)(IZ!R_wNsadGP5S+t=J(5X*k8KX{rH>p#RdVW
zU;hnldpth3eSc}1_f37gYH1QZ?%26*;@b^IQLQ&fXrQwk-){E4xxu#pWuw}@;J4k)
zxYQ=Ce7kMvlUJw9TTN2!yEc%EImTKzGdZ^J{n?NBZ$JKzdHbGf$kwH+DJBeEYGVGz
zrBjOEeg`bNbe`v-m(ytV=+b$z18gL%ISrPNaMY6P0QX*-y$OcziJI!b&F(m0(WR@I
zj_raSF43BeS_yS_3XGE;M0HLy36aN~nzK>`v-c6d*4-b+9LC(dmyDM<MnQQsDGTxR
zU3p27(X|yeuhhI}4vxLyxfC9?KnjnOmdQzX+lTtaa+R)Vdb>Q@=$mThmtX%gU-cng
z&+b<<9uNW#*SdN!R|-YV{44$81KWv{KOEJ}Zn5NqItZfp+`5EO%@hxt=4*i|qIt!r
zW(xcrf(MyfnhqV+OgS_R8fwOEbQ{%70n9N;yD1%P<u;BdRH+$MBWOWaKdTEWIz;|3
zp+{Kd&V_VE#nhv_s?LjYuG;!OQF53hdsZ_yt!A8?N3d-6@Ua&RO30WCCg=!y7ZY{F
z4DFiEjd<goVAHHbtH$Rf>dhokw?FzN4_@L$U8TP>NO&Q`JA=M*x#!FV@LU4Qt%(#P
z)YGD_t++>$NlLJ2jVfdmE+~Q3hS3kwDZ3Q~(cp#lbai`OkfzX9WRg{S?YBLI+%$zI
zxLdC=^%b%44k=GfqWX$7g)UfzZ@_r6`io4H3o?mnf=C#Xf&;?{af&dmNl;y@@@uy6
z5O8ct!o0SUk`O480D6;_5y>ldino#y`r4Y9NUmuvR7>G3<fBWHphzP81n7-xYS(v`
zlypFmM6SH7i4)D&XmYS&o`4$jl9=sl8w;+1<)N5`qVd%=YVrwR2jO$)d_}v@&!;SY
zl<Sl6zfp3ylsZGZZV=jqwz2TWgV7pS7ip79W`q>qRp8&{H6Dp0W*^}Z`Uul*(d;8k
zzeVS?js2NV{_fq+jb-I-q0l;%zKh}VVEr_P%Y%8o7%mSMJ7c(v5gP?j)l=(?h1P*m
zCrWl8hqO=yh$}2ARvP4FYR@eQf@CO0aS!-NwCBquvW;h@1Zlcj3oVAtzp$FA<FBJ-
z?3(8C7b~6ih3{@U{Zm|&pZy#EG({#$2eWMHC;J!O+~C%VwHEE}4!QmgS6ADp1v0QS
zTS^*}Vt!3LPKPKrkSdaWof9}~@ax41R7B6~`@;Dqniyb`QgKB}m*M<nJ0t{itw}FW
zt!7{oU1aC?T}_MpI)-;b?0?Pt;6MKGx4-Sh4|ik<3dsuruu6efwvdWImkY|^c#aUl
zsMlX6K^1z8vc;wocD3?>L+)N*Q(eQ5uC^k=11YQ{o+x8~eMM1tMR1FDQG^jlB(4@^
zp1KQ)!m|~T>_bq53Wx$&;qkkmC_EF~9Y{b%!6m7qlAtI&6FdSZAc7lwY6L~$**PxO
zoB_!k=Am<rs_=^BZVhgC{+c7O3Pp|SS41<2!m~9&WhS8x0<#i6`Ois14go?|jKUEQ
zaX|_Anv%UOYZkjDr9-RzyQHLV>(LQ0%1_^0;Alsm92AL?5=CiD@l<W9IZsz%ZcNwe
zk^69^dWbt=cct=+$_UDASeXK=0c{zyA4sYYeS2wE5tm+CmTlKw%EA@)7t*S@Ki})q
z+OH+)qBc&Y<uQ^jp<?vovkNzsmPaNV-cG+ud3MhYdnRjNeQQRRZ(Vj)XTJ0&-t*xf
z8no|;J_GRhh|(A<0#{sL4*)P$XAWo!5H*eItYqm)wUp>?9xyr{dH@=u2LKq|&10p1
zTnnc_S~0qt2h5*P?c0MCjP9mlwV6L!$XE}mX~oh(RYrFcU3_RAB!V8*skV5P+)V()
z*pUE9JP>X5D!ZE-5JIRVTNC01nymj+%@|&<n-tRTRTGM?jGmu+ctMTA%~Y+neX-IA
z=_)8omk%!nr!p?c&&{HpgPxM{{U|ZJn^4Hr-G#zU8Xo%i#@)?OCkk&dl0xE)T2g3&
zwxWM&Z_$XhdIYZMJ3jQ~Kl}3`_7<&b`T3&4!GeYow0M~CAPX+I`Y_Si*$;V`$=4b3
zFrzC9N9?qRnOxB!4>P%<Lmp;yMUiVSBd>P|fCpSrB*=lmp>xZ#Z3L4m3S~IpU`AJT
zz`-0<hE=81530coo_QrlkZZ;Nst$BTRsLiFdY>EU!u~0)TF=<OZaz_BxMn^F-#4!f
zuMm7s^3SfW@g^<b=l*@qzxawT|6vE`IFSRLbYmiNZptO+$unLpUzOn71f81_1xc+R
zx*#>436^p5$YU%QB%@9%QsbE*oI{R51ML-t-nWOQ#xud9mB~$s2)9|08qWkP0TU8`
zWe8gN3O|M#uL=S%DiP=&`96)5U6Agd2_lbhlqemg!455^XgrhL?N=x-LN{UuqMXvt
z7WdC2fwyOEmxBgYUB=0DbHLsOmlBd2^n|80M|GoW!U9#)jjop{Du;?jHCi9zWRIfa
zpW=Uw;*w20A~a+9(E5}JkoB0mbA3ub8qcAtA#nkv5p#j`WHU)X1LhE~N;^h~S2cq6
z0F(L6#NrHr6yVBs@YmV^8<n&>4X|a_fuBDE?1X)neu?mdW!ukR@{wVauWN-bqG}l4
zb8!&ioSK73W={m;=j+e%TAb@K&ZJQ@Y19V?Y1A*@`?ufww$U`s?!dk;yzC%8Z1WTn
zxs+BxP&FuE=m_vEOkc{Sga8me{+cVIx`FCh&QiSkRXL4guw76owvgDA#zB2ikVVJ!
zg6xdx&@qjJnH!~v4Q*nudr2^+aY&6(3U`=QDh9GYjdK76wL~sJKVbxgq~&YAC#7+~
zv59kI8V7z(`)g7f2ekz<VN()`uI4OLd*%+@4=M>1R(mO9n;{C2;MbNl_sE{LYsT9H
ztW=rg0p5?!(c}HuqY)9+5L7o8`$CFo7iqE=p|<*Tx@n>#q04k&NYR<#XBD$<h_dyZ
zW|(BlMjDk3oonHafq!aO_HX(=^*a=ZR;xqNmM>?XNxtCGq2PA#AoyB`O>v*R-g!ly
z$;Y<d`K`b8<^SNlA6M(0ing&NQ^DR`4Fp=qdCM9IXQ&HoMW8G+;|>rgnSBs@xFA)5
z3GPrLl*Wls5GV$^K@S0cItBuTwUJ69u$?6~^F#A)+o2JXDZvbaIJSnRb7aU>1u<4l
zVlxb~W^+dp6jg1KXdz}gO5mcq4z!a=rYea(5mBo^VU_`y<ttoCQPow_So<)Vooqq*
z=u*8mU@2Jr)b?QDd;5dbkv6y}1f(vDtXMHV;pp-H;O=1}6jk+R!;ZXsba}OGO+=9}
zDU{r9uLLaR#BJ4|wc{CG{Ut_}zW?%VXELV!y@E`=*%>QLY5BJmI?$E}4=ZWUgG)02
zqN?pw@%<E~K1Ie&KvDcqWcc4}s=}{|9}kHrGQId0!$aZ2Q*Wc*@g8l+2HD)u@YoNJ
zkJmbEIeN)S5XrqMSy+FZ5b@Q=-j2=!TX)dBB2#S5HPGDhI3X+3K6n}=7Reo2r4%I%
zze4jI;pjmtUBJ~*(gHvx%FxFk86NwK9pK*LbLktMuTq4nUhLrAN|6l@eSsr{HRC-3
z{-V5|NLRI2eiiQ#%HKRXUL}lQ>HMO2kHGyvHNr&Hj>Kr`^+cb!U-_bFp_<?%!jH?6
z@gD70Bv6&3W58UJoaUW@YM{KxAQGsb>_x`k$}0`IUT*Mfu@=Z%i51!xnSUzjahyT-
z0H;7$zeVOBZ}CMQ;4|pZ1E5_!VGug){g|r03!n+|0VK$pwxl~~Lbl!K;gt46+#gfp
z6J!kn$%T?_-=F>Wkq=>g;4t-&#M1fcetg*u`{R5gN(A%)XYm0v^a$wo&-4K3u}28x
z7?MtVKh8H!Mk60U%BgL{DGcW?FK4ZRZgIX5w1PhUEnYM~AOzC&lWqU~+ttN(8Lt24
zc(F~8JqYCexgGY$`NsRdU0!TcPHjuCAh^J??fW<M2>N(c7g(=W-Nd(BOl=S({uYb{
zTM*CG%~!r+8(e^U_pw!lL2tlK6Xqs$aZTRc-%{b$%~Z~<yaILny0r&$*7`I8f_}NW
zVBY{X?o!9M=>~e@>d6?%!Kq#A^fvA)L+S^|wsAN}J)P&Cy`08|2EkJ^MR=m8r`Rn5
z-)WV!pTynX{QTv!uai;sJ``{AU17PWIwzeg=6A1Ou3m<|OUqpf1v@XS-lA!Dh0=wA
zU3cX#yZ8QnSBRwg+9$s5n}0BX2YQiI2h`F8#|j+KWQMd3Kq}^V4vV#hLVd(%e4k4o
zj0)NUI9F!>dWg@cGfWWSoRU5rdI&mV3N9qKb|6tdor2qMkh0P&Slj2GkyLP+b^IzC
z=Q(i~E|I07;9f`Ieo4VGVTG(_k{or3=*g>7V$sb~^G5!~xp4dteiS!HW0CFk(oWZk
zP)ei{Yh5x{+e<%M`wC`K@{GYub<m@DebWdLK}+TxqFqGk3ux1Dz%%D$Bc{n<)N^ZP
zIA0)9Fh&TW|N7pOVB$Cn%CBL6bu;wxR6~qSAg#`?W<9p4sW1Je@BFS`a5R7-o-8$G
z_@@$#0AY{ZzlMS3tAYkFL5Jc?)2zJA6(}VtOt+puut*iQ#)n;*p5!Zv3M+yR#b06u
zgTC~2MNwh4B9tqFFx+|orb^Dg3W^FVf`<h%<0=TU=w?OwnDxi3pl2zFl)E(w)fMTS
zS3$(va%gaRl=#nojH<A5Y%~ay8JkNk3$`IhGXAqQj|)tw6x9tSUesbec!J`i`+?&u
z5Y3|ps=Y#5MX;l<5YoyoM~Nr@@=*n<x~;(thzg7v)IYCNI!0A*C&6D7=EIV33J6or
z!T2YYeiYb&n)#UrRX$0ue+rC8!1;ou@UTa%hw0NYsM6kn`vB_0*f@3o<F|HK(YE@)
zyD!|!TtsIy5hKmmfxE*Flv_S>ix@gQid)3vejpE=`ZIs|KmOlC1D@te&FYuTX(&h`
zSJ~E4Qpsbnp#4KO8-TQ2bZ81vAjW{{rQmj?AZ4s1t5xUFA=&W+I7tvHamCs$=ZW8t
z_XSiA9tmw9+zs^h<;-hH`{Mg0{0s^%fevT{L)I4)uQ6>}%1j&dJvV~6AcaOo&0}Ne
zRhvl`?0{YJJzyGQp?3@N8|Jf21u3NNOCI|OtKLe+yK{t7rX?W&HU<k)Tht4+aE9TQ
zIX6DpKTu2WL6&Y?`KUM3T-kA_vXT_Hp~Vi~aX*SBTH5Lme1y3H?{R3rD=*cEiRpL&
zDcd$ck2r1l)`Br@^v$33u1^ixSCNj!!m3-Cxwk+&v$*wAdS<4jd{tsD69ihAJr$DV
zA!s=XGUhTtbWx*{1kDC;RDlNhij28Ta0l80fde3-AbC_;k=oY;ecT_CL9HOQuL=6N
zKU5qUm)EcGW2k*i5PbTCEU<EbX6{Ci+P4a>(I%*Zi^Az&zGCT~X`?E+z@UI4$>22|
zJ0P%^h(!rFXLJV54^5dRnf|vmcLKxWX@VjGnlejL`*tNah@xA`czb-@A8MIda<cZV
z0hxSIJ?UL9x?RxRmwl*il|ZT9qpq-0Tg~%YUQZ?O2J3ioRwu^&nHIKxXYKps<I(<H
zUVz)uzSEZP)4sp(Gp~N|9?y8`LLKd^ULcYxc)>N#U5?mj-#Y8;xCKg~dB5Z9jiIfI
z_Cf0i^HWJ>^lRS@Mx{4`N&8~Xmn4%88}v6ff=T-V9U+SU$U<Ku7_~3#gW`<vW7wmU
z&M|7=9VSnxPF)FhGzli{3u+Gal7ZS{qAyD_<5jLJQno;0iT2$bI`eJC_ccjm|H1P?
z?F$Nw_6kYH%Oth1Nt36HeBtPmb*gHgtB+7+POMR<Q*!*Oif<#^)u&EdCpM^4q-0V)
zU9hgPfWT)m)&p8D9(3CzV8aMk_O-cqt~-Mr=Nk(cH=6KbY;cWsm{8uGQ(96%uC?>0
z-Wp2xFI>LiwQuyg{tM4y`>EHx(Iq6ib5F%=i5@Az4@?aRiqaXec&$mAiFR*>X!oUm
z;HBUAd2ZG<I%`u}yY&(w5<UFws#xn1#uc+(wm_AHvc2S$utQFT<doK7xj7;Z0rqdP
zC}k&Kk!Iai^mGwssJBqcn9{=djgl=|qwS-~?8KCoCw4YFq}6h=D5kVLuY<Br#u92R
z-p&zi{G6$T3OnMP!ITz4s?s=vWPO$#HLTSjrVnbBu2h6?&8aTcpAI^0RvQ~Fo9)Vd
zGX6J8hsIO0q4qCAlerlGI27Z{<36IOJBgvWJal*Ep=q~hwxzd2NV{GBm4Eg=Z!OiE
z(b`g&P_~+|^m5nbp~0$8w54wE>*&AoWWnAiTUzbCjA=Y=O9v}7u{<<fpkactoC$o9
zp#n{43c!^<S)gh9mE4kpBrV%#NXGJzTXGVVHC{yOSK2MvBt`E43>B@vp=Ew;2I0tg
zj+BSw7P*PE=BRg|2HF}HypSYjR=@0KG&{!HjdIuz`Ac0O08Qi%>_jLZBJG3<-$n@T
zr`PxlZ5$4tVeTy@2qYvNr1K{v9JCY25qt7p`zAf%3UCMDB)s+@tH9MgAO*DqB{abC
z%_gvntHTxWC)2D~$HAByXii(cHIA%2{ppMEd-`poL6VOI*`Nn0>%ycuYVp0o0$sZ@
z_gOVu)vi6!R1A{5N)LM3|DV0L0oE<e%EAZ=43ILIP!-Swt`Y*K`I7Jd2PlxggkVdO
z+Z{w0`&Q3PH(Z83eeLcH1OCKVGDu2`KqycOYeYzaF@{1?nF%o|5R(*6pr9B7Mt(#l
zPy!kQ7(oo=dDhRn*8A>#zP<Ok=bS#%?5XNG-@f14@80{{d#~STJ*%zKSBl-M^rTm;
zHB7D8&xT!9kaRBW%8I>M0O&TO(~YaH>i%H@4k-RKKV((+4-?Q6%2jp$Fae!dZcw#S
z*Az{u`mQO=tH#@?lx~!6&XFTSbgz*bc1(OVM&?p|>amyqg+86hf3A`IN9cV>S%uL&
z&j7o3Z-fq`-gDJ3$6#-H@rz$kFaECOGp}Z5=6vS$<THQdKmHFt<wGL|)8uleOu52j
ziZLJ&G$wQC1sfbUWI9NNFwJLr#S95RBG4eX7Bc-xFqbK<@QDg3+L3Cw!qZRDRQrlx
zW6-IbXhJe(A^XZ=Fc;i!S)EiMdk1!s%ao@TQ?1P6o=CM*Ura7jqPJd0k)6Q4-_|p$
zG9^qoIr3Rj+!MlBU3LW$LBf|U@s|`IGe~52LLrl<d1T~NtvP6_)rxkbAo+K1-S)<9
z6~2nq9%EatOGZ!q7#>JUmG*=^vzfjNX4>A(sb+eF7r^kub;Q8(eUZ%TKH?YefB6R&
zN*(R5a`otKjWJ?)j|-7EFnS9a$rQoDO}`dS3cUUy$VjFL7H)b##Z>i#Ak||LER^%>
zwIRepkm}I|G4kA0IH3~FIWkstK^wqGt#|COg;6~g!6l({q8`C#+N?<R=z`Gn=%W->
zhRMl|ps2?pspBM}^nhZiPjXO|QGck0Dm)Yop-h(W0?-2=V{E$yNLW~9@-E(11acZ5
zV0c|RYs@;G*rQcuCH6q(O<KH^VGdpSN5ZYi&37WJPxa7A&}TnChy{$77KGfy&DWCY
zL0%BJqtH#5F+~~KA~3d+%`0nSf~YlvElEXEubCd@#eR8E+C3_Rw&z#+d3?<nYl)s-
zEi|U1r-yj~He4f?Ss|w>p>dK-PxImcH&pE{Xl;<6tj{w&&WpnyxJ`MSS6hr+$dcn9
z(o4xw$`c0(Pe9fWX@@Z-@8S<B_P!Gj=@}}N7Jx%MVa1Fg6hS!w2a4AW5#l%ksEVNC
z7?{6;tQ#_X(Xz`C0~M8u<m~bR>lEJ_Exwsb1qneC>!joJG0FRLJ9^SU(E~c%bo?Wq
zowUDj_@w=n)2E~um0YQ8k_jd=i-5d?FL|7fP^aYOK>d=bST-wC%j6wsFzOwu^T+vS
z#L@=bH5HW#xMAx3xgFOJ>K9PY#Px%z2Gla?_z<Y}m+iDa&NttGFx5<=qQMWAPe&gw
zZxOt&zgF4Ax8SKMR4DisyfeP}>7ORFDy~ZIla<DWk=|93nv(6^^0*~6d>;%nX|r-+
z3YSLV$OtkGrZb9)FM<v#8j>pu_K|LI>6r>;3HA_pBV8<3`LWB77{4}s#xZxLU#=|J
zcUQQ}Oofu}3M&iyKk_g9@Vf`8P|lGICpYFi$#CtDpCK7eP7DCRBq64n0>-Izj4FS8
zxgofi?KI%Ut-J`WESPe^Y``-l!^wkr;w;H<a$ue~&oNw4p<K=}Ji*n8;;P2xE9u$S
z&+doc^{hh2`}UW<{X3(tZiEUYEU(Z(7R#$nqnp~wnD+P7Nmamh56wLe<ve0}Ds2yZ
z2bQO3ZaSxW`|&^Xi@!YFG*Uu&G<#@BW%(0Bm)h17K+bT)34Bg)chs4|-fS$i7Q&>q
zdm?9J_sX}66@e~o`&Du_*3u1F5zW9FB*i(>t@d*~fe}iZ;ZQ<tSEPC?f+fj{HfYtX
zu_D!*3+^^(Rn~OF%3{+Hth2GJwKg|{6S&h?SY=}YH1WJGI(0-_r!}i=><$jP937Oa
zZPK`E8YwszKw~&r6|sP?IjCGUTVXUQjQ>PG>A!l^7^+oOZC@i^*){Z=F49LerOS8^
zo^2T~Iv2|S#d`56f8TT9xP<XNcyrUpHL|44O(QQod-3waS0282@jwg$Tp{S_!t`kL
zf^PB8Qwu)(*2LU6H;ugTT(rq_gPj!W=trpO@-tVqxqn}yedNA!x5~akpjo1<hIjLF
za?LS)J>Zrc(buzD(yagDzxnk)@UFl^shamHf+>A;g9}ePQeZovZz_B6^izylil7fN
zIU=Lm8l$QgbV*KUsSKhOzgaPxbxb@VYYEKyVcD^*m05@FRl%O7(aNlEoU5#-U$rvp
zs00hz6QpLH`fhF3k(gDpzB7JHH<w5`GiveQ=eUw#G^`?YSpX`te!wUgL~D@5Ahy=|
zsCq$-^&FB*PFT;z+JLTpbd+PgU3Sylok6ostEhIh8#Ae0Hf9suxHM?eubm42q9lbK
zWOaeB##rO)lF@6bYqDOxu)px3_`hd3H*L1+vsJI7x31c+a+a1TE055C$(XJB#fuBi
z9A`G@NPtQcQW(y}7%hon<|Xe9-J;jJRsW=){h6=*)gh}M{5k`{x=r<<`R8b@+QMY3
ze%g}Q0nn)<a0hK5oUJ;<IA)aL|Ax5W6kxW{DWmp5p1`mHVOq0Q55}IJ@V~O^Yfz90
zHUL9W+e24Yy%6zW;!G=8S#>bE850lSU7f(XVt82ZI5k!5f9D6PtvZ-0G*wVa<8k3t
zuntdwKf2g|PGYToODTA}{SST}p=-^OgZ5wT_VvKA-Wz-XSJ>_g_%?iincHkyaa#Zq
zQ7e^!K~ZzW=9L&u?V-LT7|Ug_oXNZ`Z0_s>Tp8PTTes-7?gRYTfB#!P<~{E}fDZ&;
zDXA71UZ2bmdjUfu`%>#G)x)*0ZNkcgV6I0Z3<sQvkv*6eG;j^UT#v+DJffmuw=nmh
zg>+T*$n^o=QxKF3El!I*fS)7ir^xdOK~U1QD^~T$Bb)&70rtD8I4L)Tk`peu;s}q|
zsf1WlfJeHy?3<%ljYK_%ol?#|FUn_omSa8IcWCN*S8fj6Y;w6S5IG0E%SFb<z)e|I
zW0oXuk+3;!e-YS_0ND)ikN70Dd<MUC?5m9K&inJ>$oq3U*_X#0zW{Qk6+Kvn{4zcP
z4U!d>YJj~-T*Gua^#78%!{Bi~u~WN+>=~cU-QDdL8h49mc3usS<h5?@-ud7wzwc+l
z+(obyB~T2D168b$WrG8o!+QXdRKaCcpGLwK@g(db2A!-=OqEuG5%N;+9G60q1j<qj
zORNPW<YfwYNuF+W3Z8t}bR0So+0<-HB^ElYoMa#bBjkmk58c>fAh#ljLoh;KTs5vn
z$O!fr@~ZsTE;u^wD~3frs1fYT_-2dNV`$ENofZb+Kr(VVO-Rk-{vy?rFZL5kym2yS
z@Y={OMR>Epd-V;TJ)yI~ySoN&+%1|7-px=H{oQZ>^}qdl2Xnj_1g=$4wA^U#h~71F
z8C8|r!vVy2?0W5~iiW|1<G%-pNpifH)X;X6hQV9G=VfY_fnX0gZx}rE32Y7=hm5eT
zC)F7S5A5V%^3gi$>R@48Tuc}|9C~xqVaTAzwZ5Vd%sE~V?s9H1!jlc!gWKF`uH5c0
z%3RF%HSp-F^-SaU#5NU+2VU}QCe`reLTR#;ePF1tbnz)K$j|Xjd>SL8C(~apbpz^u
zXT0f+;7zB;j`r-&ru43x($ug~)rmcuJ)6>-F{MB9=^y{se>Pe-^nGbTP*E-&7)KK2
z(m|#PE0=<4Vs+M(o*QtIO=(m?d*+s8Q;I1gmyZO=ru6JYkZelN4+P1kG$i{5g5+{(
z6fCbk5JW9jfR@DGsRf=Gzv@o!B_lwPG_8`D0$j>TRQhx|iQ1CvA<J8JplaDJhSAG*
zN^`cH_o$Li2_oB;TN2MzS!QW5O()#6oT4dml{-k;fqTAXmwBOd{&a@Zru;mm<q|1t
z^vB+s<sIA2G0w2JR)et5w}0H1e(19iJSfpo%WrBOW8gR4tO%80OR@4>TJT_77}>gp
z{-PU=3XHnZA;@3S1rt~JigPQf6&XCZ;C>7Ag=EW?QuC<<CEssEo9OybI0xVo9lktj
zJYNy<4G3^>jyn{l0Ai_Dq{eeWa(fDS1mKblv3J82j%1pI1c(d>5UEzwDuA8jaeXpL
z)Z6OI9!$U$Z@qkQ<)xaft~cp-4yN>Ra^d4A?bjDN=#pN8vaJb8wlN_@oD8MAl_wa0
z0=Jym!l!9Lfe<AvMx|D2PhkEOooBD`eX2}v97k(7Kt)&5arWNK(Z$`3F2>!W)=tbo
zjO*dC`{UpLDZliNp~{rCFQVYI1y!mT6SeN0%G3@la$1?<tUg+u5R60}3QlO4Kt_)R
zryYi0)q;_zV;yP50Up~Y4$Fg0qK_3Lx}YGZCUV8y3fwjIiV<Dl&>+qe6N+iLXgSP+
z<L#ZAGRu<bMmsz;o0~8z@+p7C&&}7esf${%i9+@d&s(R17n?%(0*H$-E633&-L-KE
zU2$4u;uc^jR&YfUSb~vLa(khrE5{yShl*K6prN%q)+@{`odyPRoAdGbWaRzDD~tWx
zFhNE|<^A~_<o(45i~VuFnHh#)kBX=Tet*5cxMpR4Mx5zt<i`mw9jLq0mA&-K`no*%
zLP+1MQMjL>gat}Cbc<#Sb~E|0pZUk{^Sbp=w0Kw@R#F(kxF|5KQt6xD(L{>}Py+}E
z1N>{9u(FC46?51hf97b>AQKotg>HfN&^cNx1Aupccd22DbIj2qidP!ZvWj&sS_J6F
zrjYLrWI3#^TC|nLfXMI>|FMG=W$!~49Ay*5HeFmt9&3yNt4yP9+z<}K!@c$|A`&r%
z35h4RFB==iGuha*?Rn6hC@Uswdc)T3ag$&;UqEpKdWa-g<qaUKRb8-bCws``Y1)*Z
z$IT<cKl!?ZfB1D1GZ;cgB+RC#xW>g6v+*3SnbE&CqJ0}uW7CA=ZqaN!$KRqU9rj=L
zo`3bTKl611IQ(Ow-#zX-<Xp_+IK~~y0ZB;$_7T;!7<U>^7u+uiF@_*myfE>R&0h8u
z%rVuV3+~W~E~pABQZ;oUNaI-qacT;`ICA!Jy&{dL3xWi|W+U%`uWtnD&lf?YWDT&t
zu?~Ab^mv;H(pw!(tY+!~xWQ@RB4`8GuC=3Q%NHS9C5f!4t@Egz;DH1Alq7z9ImMKD
z;d1ci2xDYo7fN^I#>kCoD%yUn#SURMen{Nx%@zRH`qoG)+9glQ(7=WdQV}$gG!QO{
z;+r*ctoC4)Z6s-0ToNSoN|dkz{gb*j_7xsA2}oV?0Ooi}H2~RaLu-;`dVCkh^_qh?
z2?_$KZF}_e{F3w*z*|bL*G7QkYmR@2g!Gobx!ingwGR=fZAU&tko1R$A73sB17F%7
zA{^y*9-=q6YXx74T@CRR&9k-0v|BOFPMfW@hKE)W&!X&Dl|8}CTX}3PH7<yK3Azgx
zRj6Q-Puoz#DwS@yh-AvGx@#a%A5bEyl0ezCOuL(jOuIN=Aj*r2N~YbaP7LbY`uKGM
z2tQcmqDs2QUDW&fYn4qTgFxeZ0QdJ%W|N)B(pxsc=}XdHBpa9fw!4Y*C`*BnH*Hp)
z(>W4V`mO%<+$(}kP!Or1N^bP(bh*Z?p5<7*{lEu*|A*chcokK5j#n||2L}N~R6%qO
zxfF*p45CRVKe0bY44PCj_<{~rLS3$HqN1y0ZSVJUJnT@vm7?rY5HNUmLa=fv)+?jK
z0Zy|uaM`6m27stjiTavm!3owNITRgBFxC#x3KWa-XqThsSE<12kDC8QggtjURNDF)
zTKf_mX!52oSWz1PUNdx{fAzQhjpffrN)Urn=~%$u6UR`tPzPF*VUv6yWjvw^dQr=^
zfXM*8hY0TXRxk=dQ9-`qeha@5jxJi7tX36*qJm6t2d7m$Vhpz2YhEq{C93fiHy9>D
z6@;LMlpIt+`V}rlRFDZ`o`Vj5Jz`!1Jkm-~RFEHfy@b7@Ro;P{vk{~jvh|~Z3ysZ&
zU5P`h*KSMhms?e+2>Pt(mn7vmGszReL-~PD!24?*F-hi|L*`X&4LEEpbD1P1=JcbZ
z<6D0s#HRI?59(A6ri>0$Hxlq&UE&(TlH(-({&MWQE|`4m2%zGV3cVUbZ1Ho8!#y*H
z-Fp&f6O716jxId!%2AZ<l)U8IoHqH+7IzN0%=EHDxgznqM;+XT*rKX<B~B50{=+Bh
zMPbjm%<+k`YtLnlyG3&@bNnrulFNL<Pe1js|8)c&npk$LZ)xTyq7aDbT5A97D_B&4
z*;+~Lpfj~l-vf!Y+Nuzgu+;=@@D~J;VXA9qn#=S<gGq#80t$hf9cV*ykxc^+7ldNr
z<S9T|mNNT^LAw=3)atw74%mFfysS1P;;sZGmbG)-Z}v<>aW?#FS7SVD*eF=I*^SLY
z;K>F*`Jo4`X^AIZi-kbXPTAjVJn=r~q=SNBk}VP3V@jHIU@p+vb0H8VfoIm+dv~i4
zSS3qp-bPQaP@DVfIbfSx#m{WXw|(3{_=kgYWPw~?LvtV%x5jHJ`DR1co6@qam|V~|
z%#}*Z1#ONj0t+x4YXK%!r1#>2F^~Xc6HqY~fgjx-7d%3_X{NhpL@Ps;BDmZVy?`fv
zvjw!TUXe=61!H)?4r-|pWT@hT{90FJex?zWP{k!tBf?C09-G(IN-|V&$>RZ|BqdHd
z>@8JeU*RX0H`*m*9>MfEvQ(K83uqY7;0ZOrm>huQ7$tOae_E%6A4TvHcq__jMVum^
z+LfEH<4P`>MBqv^MIQ4U*?Erab6@=Im&(Y18pBBS`1A*T!ux;v;FxXj=14V}W29R}
zL7&cFRZz)tSS8EOd%~P5Wmc(2H1>#Nh2|KzVzpqU9^s;)63B|>zQb>t)nm|`?^m4_
zvwB2H(G(BL11%{+R*xXC({kkrCC0Wvvr>;+xVoBk#$`1Z)2K(u%0oSBCa3y+Dh7CD
z!dU62<hnbMo0%N{%@6A>Ir1S1{@wO8rT*%Y8bCAIB|mEZ(Fc7`)nhk1*hP<#@;R!X
zOU3j?>ZN*&(VPY?#!L{=*%y^eMQW66L1ECxQ<Bb_IF5?j<jzPLUK;zeGqQq=%h;;q
zJj-@uUXYhTCurLLIukj!J=kTew`niT6}-)V_ai>`FO3+>y;TS-Qp)GYav#xzsBY=`
zvD~L67|m2m4vghSa@zFnz*ug;f;2x|sJHuTb4QG*k`*zQyEDIA65~4V86&)Ws1^KD
zAdR5=Vns=eo8X}g_uCAP<wm_vRNoroys9M!6<YXX^H^@NJa#iCjpg3(IfgyC<72tE
z%bip(z<a<rhqW7h));D}LNms4Zvb9r8fSPc_fhjWCm_2!NUHQWFTh#^r-1f(9?P9T
zb4_T&*Aa-fc`SFB-gzwdJeGTlq1_CyO6{w1VG1Ov3`Fsy89D_<qKo?F%7T3ZZn(=l
zmOBlAuCe&%?g}%MB{@?ByNI%WxwChLyUb&`(_LYD<Bxsp8=v?S0lzoL9%erxXt<%P
z6QJ+N{vvy6*bWtRpo(ohu|E(@PeH=w#gPcXNN?bE-GNb`{`h{0>3NM{q&E=6pJN)1
z^afU3t(pCm0u#r#!iR}NM|y)r^D~shk>0>nAAtPhIikqL%u2Olq&Ki4a39*w4I-1a
z@jInAaFWXvnND`#O-3Vovt&wd;JQJRg`XT1I8A0(2T$2wNUpY4t3%sC`%|X$29AD?
z5jl;*IZKHQhcMqCz-Sl-u{(avS-ACi<{M=2NRb4pF-wk*MN(l;^AaoTN4R`;ZcZ8N
zJ{`bJ7Aav5yBShG83;w$>X-Fics+m<FU!`WLk2n?F3)X;!^dO5*K3p2MK9h*lA}&K
zz~Y=unh;)6HI`$nOogi!?vtG5;QJr07IEOY^^RGWDR33Q#@7}96tXT;aOJ>(qt!2E
zS#|`Lr?e6T<n;oyY_VMf^1|&nfBaz8CSTv;GN9s@-h1qeUzgg$;4;l7K#+LIr*{IH
zHVW%Dqi0^Yle2c`Hu?vNtLOG+?SAD~{gur{!puqrqJ`}Px5Bn7mOC)mt;%RM6M0I@
zAV%r7`3Jfpmf>KB1pD@&4Of^!(5y&PR|HFMA!f?94SuwAE_gbi$Db=AJ81=!NT;N1
z3dj2yauj_4S~d>BoEj`mh^HQWf6Ap0DQr!Ma0c3QRLra;5u*!{^Z?Ce=X}=_`_hI8
z7;c@H95mc&0f*<}som5<bH~hvVU$n0rZ<swTgYy<91<sER@$v{YpsPctRkaP*iAB8
zol!q6eGKSlDl0d$OkTn8+AB31-lWy<nBg6Fi(2&3%)oX%3~cXtVf#_f1Z-6uRfnyb
z+lu}VbP{R~V2Q9ehi`dQ0^-raz_vZI*}94da}FQ^agfQh@pX<dVW72whLJzk-VvdF
z4lx0LCAbP9COC9p)2c(~8~`RDY^C4<H@=gnNU;De#tx0f8bhP{p-0=kV$YKGn#ir(
z2AyGq;**-iTcK-nQ)hoO6m{;HI~#{f2VDwFNm!FMiT^8MDG7eAGz`z4(5VKm%AB8p
znvPq(Rn|;4{`)`imw)hGzol?}9O29Ya%;&(-CD8zi$kE9Z2+!F98>!Wf=u<hAPEg5
z^#tH2Ut-kDLy(Hb1<}c6&2DIp)|ep#sc4EIn&KpfcmyeAedsH`?R;_Fx78PPm?mM&
z%YI!ldTuqi=W>Vku-K;@45=BDGfTCZ5=eM>D^NO^3@gHN2{CH<>Q!in91*+RE|cTF
zp6UsdPnEBdsm=NHX@}xXuCq__)0AF&$=yxQAK62CQ*ZUS<@?_1|MGpm?ei0WTzxrz
ztIe}RU@B9LfUGK0jO;6Wt6lK4+;Lhw!to<oPn)>3irWkJX9x1~Mli?i)^3j#HygIw
zSGbxSw<BWaR6|12#dE0ybKGvRGf|uyP`lJCR&jfo_IucZUcDBq;&x4IpzCGng_HPs
zs<<7FivpBDB5SnjNiy|RqlAFpnwNu#icPP-0m%I~$CxMLREVWKVuVya&Cz;KHOVCe
ze60%9?Rt<?crx;7jE0kCdguP?0X!=&3)(+jk)hUI9#@Y37g7EUAfJYql&nXnN+~BL
zrw5sRQYhcj1mLkwkG(1b+P6<V{+-|dv9EvM0FdiKcSt>=&xG_;@VCI_+3L}(9?$C0
ztRBzm(X1Yk$~80@LQ10oD7dq%9`U0gkqT9Y2(L?wMJL)-8M<nW7z@)}Th&~pHBr^2
zBBj!6Gs#z0da7f(HAyP7j#g_Fo+>kAMd1$i4^Fr3<;bMWF#3Fqnj9k&CqwB}eC&zw
zTGwnIp2K2kat5WCnlzkr^%jmP&H5I15Su8iCSH`}<e(OrzTMX_n*I=t*du=%2DBAL
zE6VPhSBCHTp6~kM4+~|eoxPrF@}^?6-H~z;z>D?T(m37%Tz5#v1RgXcDx@F;`7`>8
zEO7|j1fC8uL`)2YAbmv_jKMlMv;s6jP+WZPXZFE5Xr@7MS+6MGzX^hGNfi=^jho>%
zPd`Ov=!f3HTNAAIZY!FrI!7u)7c8TCKzjm=NPTGW6<xB->fsn5h2zB4h%$6Z4B#O!
z1qv3YsUVUhDOdozo*34HJ?aY|RGU;SMGdyPGW42LNT~vBr}gN59SnzQ%Z#<S3#3x5
z-#Gh=PZB#arNicC{6%}bZ%RduTfWa<{K?n<;l(ecGPtk|xr#J?4@q!qiFt#dRdj%T
z<>9oE6WN$y)S?edaG+9IgrHa&6J+<Ga6=sSTLW^1poG&dh`B0)@dF1bJD95##b0#6
z<(kbW2&ch+s@geL6o1hKfyW~c76n(xztt;>zi5Ixv?;(aAfnw1A#QbO@fS^Sf7p?2
z0#6yHnVDm$U*YG`aM~nKm;|W}neZ@GnZ`+~zi5(XY_R5j!yvgjxFGUuN2C*%?2Cj)
zjp~9b)3hd?0hf%<ZOnSC*Bn%)s_=%Wqg1LV={Q-9hKCrR#(0)`27MqnJ%vLBvjaXZ
zmX}YBKk9+E|Aj)GTMnmz@g(9-?kQi|5`fR#QHByP4_JlM>x28R7SBINr6Mf_&p&rc
z05U<i6;wL5GPLTh72#FU4Oqn1WX(nR>nXy&<Ka7g*H;XUK|AgM#*!lZ=0H#zY>=0u
z>moeJmPklIAU+D$jUdgw?FN7UuowFWjK7T>jTLG3T@Y=voFvK~07NRyiqs%3$l+&_
zcE-dPa?w`jNVD&PQU70qa-b2U*>}NGtp`84SxNf})|_U)2s*VSCrh{We=++mX*}<Q
z*TYCR#}>2il7QZU(S?xx4X^;MBqJl21Ytazk+CMA5*=_q&Av-w6b=A{lw=6ku9<Jo
z0gyzA%MPmz;1~6eDSF)3Ji+Ubp!onY(e~GrYL!dk86qEo9=#)(@6hqM(F!Zj59aFG
zB6OqjEjj>rAy))9rgMv==v|I3L}57kVbjjt8}0I_<505@tDDhn0}vlVzZ@A!woZ*6
z&C_qTWp0WtY@iF<$W+E&fzZ9KsV~4SQ~MX$B0&r!n7oi1q#|tx<}cayv;)`=kP!{O
zbs>_>sV&Ktlw1~Z`MD;{bXA2|9Khv+(R`f;{IO4<0#LLB@v<Gh%Qd%v>d)h;xljKz
z(8rgz78L6Eb<;?oW)u60zMWdQuoq*%>|QxnH*WdXsuQj8lRx_8Fa1j&SkM}}kO!jv
zIwKI{EK8aN)NC3Bm31Cwot#V%!C51iRUQZeNqUafu+`GyEISyKPGyn6i>NCiRoCjV
zev5=|rB;b%eOGGFIP|GqF{``O8U`lC=3**~<TF80=&)(oaWR!e+JW;yv1_Psv_E8J
zkwAHYfg{x4s4jO}uChf!yi9k2?PiCrWWGBG6n|{Z6GzQdMq3gve#1|=DPocK8=oki
zuBf^{Ff$VWgR{ERKUY~K0Ceo=Cm(s2*35SZ8DV9_#}sl>4%O$GZ_yF_&e}kSp2g0u
zl<(02O?h(d81UM$@G?TouO0Y91tQ|Y8oNDcyJ%I^3Pg=ux4Y#cH6$C8x4(}b*L>;G
zDvlsM&{Xbc-3t-DeTW$@P3YciS|s0^E#HRuYP!_4_>rv<pV@4U+O{%i=nAGYFs=lx
zUDbRNSUZ@bd_X=@`GDN6%@1a5lyM)hb^wi}{b8S~{bf7tkMl*^EB40-j|wFv1}Gh0
zwqt*>S7}pz9yee2!Bljc7U_i-FJ4@Dr#3fUdGX@m8xa&sbj-L|W5o!ElZ>>>vpf4<
zUDoQ4w@Y*VZ`N4dG}3_6&|395R==KD{VV_QXC8hvaIBi^chAT&|47kqNl-`nW>_FG
zRrVG97`~`32qrrQ%u?=TUl|=>Ew(|Bo{<Zp4~y+$SP>cew(uu@xz`+1xzjwOSdpGl
ztSCkjhhDY?)JX;{j9VD96nKRt%du6DbvX02NayeQxmjYgOzg*1&JB{ge_UjCH@Iw7
zctSrSsb`b{s`=gM=c>A_rA$!E<7Sj-3XWDi;1n-#)nzT7Sl(YAkJuj|+uUVMBIwE-
z4xm=iURGgtnOU!*xq<$(+BnK@Q;d<&{7r{-*^@e;=&l?cja$Ceql}I|^7s7e*L_VO
z8MLC~I>75YWtQ`C*B!G$9pHkP2uU9lWxAsvFrfqNE7Jik7-c$)CuwU-)B#1Xl<5Ex
zXp3sp0WP@5gg|lVkz8!Xm(&wp4gi#*LI{5a(9^c&$Xo9#f<p`}ViW|ua$ET0Q{jRD
zsG>S2Wx6$~@v5tq=*T7aAi5Kf8Xo>VkA3t-@cUF{x)YFOTKYSX8?afE(UGr-%TeGP
zCfsz7p6?DEG@B~A->*7FLcW8(CIT%^n&fYUJZN?FL4~T`^fearWWkHA0myvd7B!w8
z3h=Q|gz;&Nk~Pm?(H61d!NF=?)RR^9Jn&t8j07_C5WxSUsMo48LCq)6x>ZF8)Ii!0
zt~7Mlq~XwQ>_M7`N_UH|opf*Odp|Bi26Sze2}9~`N+wQJdom@AnsZSvz48dO@arCs
zj`o2t$l@KYg1H@4F}kvHwwG!^_xCC1*Z-3rdiSRWhC;QxD`$6pOjE#}!eCU*xY$>X
zgNCetwj}iVU6q5TJc?LRI6rGou6EG&EET}-T6xML4xJse19*@n83qJfXS4@zv0u#L
zuvDE3yo05#Vnwmb<{P4ALDfAAKdlo<<?JhxfmzZbphqw*9xIA+c1cUhaAb$j<R=IA
zF_fOFn5I!uRms`L0p0J;&;!6dl-Y0g7Y3-zylR|9_5QMolW;y!CAT^ekNzb0u3-(L
zdsk&Uq@<HnoNR|q>8MyC<w++j$+EP+Y^VLjolPnlDz?gYpnOre)3P1=i}##1<>x65
zCY)U;>|66N=WNGw7jJs-(!+}{c*C=Ad~?7WgktaBOZewEmt;_nl%#{k;*CwwOf&>@
zw&QxT9Uu6o{`JrM)rfR7_2kCXQ{$uQ0fI{qvk=-0K8>lb7!-j8VQpW*JXdvwbA$sl
zre(#T2n5xzIOnlqt}+BNp@*4(#?)8D`~w!XSc>W5F=$MSAXxge=Dyi-T0Bf-9J;Op
zq5lt+bm9$2XxK=mdL$M&v8@>g$4r?H-XPb3jw`fCtK`vaemXkUsGnznT0oC>h!Ltf
z&>3a~L}dB?SZqsH214PRE2?e~Qe{#x!hGbW{x;f?P!C}OHXw&Px^u>s+rM-hZS)IA
z1*ABqrzuBe9Se|T_a2)WJhF<UB3kPEM9~yM^|%*}wO8w%thK;0vbAoiFNm;iHv(#l
zIWtF~7DK$I65PdC?Ax)oe4-s?w(HsN{$KvyD?t;h2H9<Z#{p$X*lz7$CHtxks7h)a
z-F~VKLof$aP%_BONXDD!^veUP$f2*cq<~BzNIlswa_D8|avVAbRM14)XN}Yo+NkRl
zb3k=iACQrP8b(9m*?{T*#FOZ2*egTW$D!+h3K$4nHUcUj&D91ZN!kG0d}4Rl5H&!_
z9T;uuvs8cCYXTsY#nCBA1Jg*-2Kbr>>>&w3G=tlW<aG3Wdmx7#q+B{+^zE8C;5~u2
zPpa|A&S3yjbMzeXg7bq6cKA6vl9O$K=-o$FlE9>T-L{aD3N%!4Rb5v(Pn=!!+5?rj
zGwqrlR<?hUNt^A!G)!6(^{SbSFjEj~sa-SWOpsVh-;QtBwAX7uFL&~2a|k+zpbuYo
zPTCF%wLR0J0*`P_Q2P*FJOy`<rUgD<*2+-!eS!3^0oZtW5rO_a`ObY4Y^1L={4e|U
z#Q!|SF<FAulJ3Vh{~OQ!M(T-EKgQh;k6j`JMI&vCVaq|zS(slAK}#DMy69|Zu^p@l
zK{3q_jb04JFwC?CH|7WjxG{^wP$+8A<T(VzBp&2@83rO|Y6Hd`g6_9#*1Jfnj$l+Q
zYn)>aK>;%2j8e3kuP{}s5v)Scl1l~)R%giyiinP8^a9Ai5!|(F<`8t}K*&A&16s*^
zcR({}V8HE`<N8vlJlX*eyIu@@`JgttAB`a2O*Qp>fteoUR(SaQHT4{`Z_hn(PqLg>
zK_`GEtaHoa$Od#myw%v`OPkAQDWL%?kHq;NITJ6RA#mMaA@gkS>1_7zE-~%6TSU8A
z5oz3#XQs9u{f26~Uvp;vd;jM4jqhlQX`MPrZw>e&F-IGLt?)%6ZY2QMzOt-?3!aW!
z#gL!^1=^unFw8zGQkXu8qANfg*EO2_>>%+l`zy5LiIGO(pM*xuIfmKCRiB$99%dgi
zKhBO3Cp_7IpJ+y(K1N)PUYdTZ=5!_eMEd#iDdLS4rMb@k>F%CswXMPat7g&S5#*Ko
zWOV(pjF>IpZ?56KDm7etgr{24vGvDs%ePj5fy-Op_IE$}SB8KnfNp44FUCe#T`Cf8
zRc7v7I#m1_OZM$IE6(A90|ab~s*zZ+%FCgIgrES373`ofQwZj?-0py>7M7CikPhX%
zDUyad_Y)b(4q~Oso1*Dgv@GgF9r#U3s(_b{018~p?f{ky2?*jGtGp?2)LK8n*;==3
zlFWHiz%8}2bq6o5%|KUq)1np8DQ(STY0Z3hFsxYnf1$*ijPYRLLan5lC%S`*%F-{a
zwbjXyvK~``oTik0<(~=rvk&L{bW~_+FHk-We(qDL@o$tki?${t1$MVI#1N5u9^x{O
z4@c(ylDNgjho@v^dZh&g2#8?`V{}HBZ;sH7^g6w2Fje*5l84Mw{7v61Wcq607PaPU
zhR<9NeCEf#<CSmtxi*MtbC)qV6Equb_ivRQEAuc1rfZ~mm|d_-%4R@$_&iL2U0QfX
z&Rv2U5ayT3K6EO#$dm|TkT(Ivw`K)5Tsmj)l=4vhDWK*t4b$)*0DLrp5yU`n55^2R
zG}5A->|q2kI5rxC4e9~{*k;K(cZn_qlq8v9r4N+Pa+JAFCU%tziIXTO!ZgaKG1hd9
zjN0<P4qf@?0`PqM@N%!kHap$s6;pn3=760Bj*&sE$xcf)GKz}QJTM)*YA@1&W~ULX
z(o-XBJFS6b1`?fBMTO;Xl-6)C?s?mC`DK6Ng9~>=Kk4;h1DqG%K!wp|+Ij7ebW&$v
zKh}a>IITf*Q}NSlhh!1lBI=M8*Gs<B6@x#Oo(mSfQxFb7v($oAdM=3WC?Zf0Ngc_N
zUkg&{xghXzphM-wKv$u>QXQIcj|(0FK@lhfQasH#J!La;!Qk%){Z4ymiF;gfw^@<v
z0LKQ?BJ^lF_IOpscfKg0?gv*ps#+eDO35R9^aukV9nkim+irw^$}K>l`fSNAk^h57
z1t9m}q6?qHq4B++nE#D+`#w?XTm<6XWJ*K6FaQ#MSrpAW_dvSITyg^mxd&*QXz#zg
z{dTt&Mv#Ryu`3L2x?4Cp6@yEnjHwnEQM!%7v9FNY6pW=y?f`&N)XaK`SB1tbNu~#S
zVfb4d{kUY<T^~I?(F-uPkj4^m_X>?2?V9P4UVu@Goq<?$Ed-wRnl$8oo<O2%4<UTg
zW`^d?JgFD)r1GOZsr=}zYTYOG;{20}uiNS#|Ea9k^Q7W<SAA07;6}GK#)#i$wkJNm
z3DP=1x6$;IY{1x*hhlHG3-+Q0`PGJ=cS6W+_6t1Zk(~e=$EM0oK%m_rN9UX4Vw1bc
zH@n5AYQTX&y}u;PN_4+nE;hNxadTK~tLukAwZCkK*RjR<=IqMmwAfY+I1s4!mz-HS
zK7O!#Ioc6foEZJJ$|k<ua^?=l1|C43wOMTO<ND?n--6-^eCzvXj`!~Crsf_Xj7^+J
zS!yfy!8a@K<xLNtf4*i6akoUPV*d8rD}s(#8ImjLl`dpPmo9k)O)`MGq;rhRb}f9N
z+*O%TNCsLC*${=E1L0gwB6<d&!xNPZOdK(Bu`D%ZB5W*0(tkGY=EN?U+GX@zp}RQd
zuJp^56Yl$IxeL!4^-%t>n)(@cS14^LDBYE}=-yfS*7t(DLRb7Pf9~5Ken&vJwW-$g
z58k&9@UTwz1W|#_J+QB=h*|^-QNh8-fQF#>E>4%dM_OMP4K{@SibtYf8FtE73`T<<
z;&Jg@Y(<Qzxtw@h92XM|MuRObr#ZCvEoDV#Gyt)RrU2q%#BDLb#AvW$jhXJ1enm$N
zPn&NdrNL?A)Ut>Nw6KsQsZRa6(E$vvijrU+KTA}A=?w~=KJ5@?v`43I^fj%~heiy{
zp{-=TJwcOz)jLO*P|1I!LX&_q*8EVqqI`p37>y=jN341CD^+)>&?ErdR<AkA4b<dC
zluC5Ft~P5_F^c(IcZWylF@*osFTC_D@r3=8$2qMhNI$SI?$ER8YxB_sClV%@GYB(T
zx`~@Yh&qSKndvm=O22G4Gk`r$8p}YgwCqd}afJvVyr-L{4#oLq?~Ub)%9#SWTjk8i
zb~r<V>yAzRdECbU3wnD071vFqgdx5N^Uj;_%NXNKrT(<{D9mAReueg^O5e=2liS06
zkGn<m9$t-GlpG#+cP@FDy6#f)c=RLeSWzc;1o!>nzy2lP{MFHVtT&_eU0y|!rQHe>
zg9UrG!W6m2;$_nqF3D?xWGOrn=5U+q7Wjm{H&28|0@d2_NGjh2wDRzWfa5!0{A#;q
z_FZ<Ttu{U%_wYC>$wBWy?eGxE679d*<(g_l|1AS8>|fj+=<5f&+#Tq19(2KOvh&Ib
zB+nc5xC||y$jq@s$2TQ>#DxhTVdhvecaw4DN4?|Qe`I*BDQLkF4j~{}L1#4CW_kNH
zeU79W+1da^LxG3%*HsER<;0nNQ(8?#FdV#B3FZ>d5xINmcR2zJ)~4A-H`}3Y76fxu
z(!5ixm=fZkv)d7A45t@3v-TV%uJjelG`;1<x?Lk1pVHw^l<E@5!98NqHA-A*OBw+l
z9Be=Zp!2GeR02Gg)YyuWKt@-RqqU<dp>BL7|NbZ{+|-kz-aKF`{}4(~_vurNdX)a?
zDlgcxXy>4p$;5e3;#}qDKG`x!z(};=Vsr0F#iFHvMrt!jJ196U?EpfjDRh~LKq|^N
za^6+!qAf#18?rR{e@1XsI(Gnzi-@hF3q=StiSS`eO<O~z-8}?U=`BV;_1c<i`a6F9
z@S`t>qpFB2cT^33g?gVTL-O}x>Wug;_LU{sT(Eewc%^N8T?q1LEP{oZ4y}r9TRoMb
z3+^$!2GvP&46{_s)J&{MW$1z+ib0BrfMASTZqJd*&;`MSNzgJ{9>IE`PFSocWs8DE
z#xNogTy<HCsSJHZ;A^#t4ZYnVQmAmgJCLMGt(9p@O~=KtMH%{<(aN}luijjDh4T$w
zJnY;4Li(iJ1qCr4i0?X*`St`c9xACC9{71)n<_4vP(G-#^)lxpc&b!;s%W#@nuPoC
z!9_FMhl~>9g89GDu<<E>&(HBq-zQ3(r?QzH)oHo4vySS068l`GU(uf3$P$c8S5=jv
z{#qRq5UvLXmZxY=wa^v)Bfsm%zGW5KSA8w-6En~2yoXu4qGvqJWW*4tp7Ag%SM;3Y
zm|f9AbBvaU4&0=2Mb|r>t+HEfeXMJ794l88U?T;FEPYOOzbkq|0z(;EmH-iHP+eB6
z&_)5<+M*a#IhZwi2A$@P+k!((r;16^J$mnG88uCyn}C!$aBG9;zmgo(lvE$8r@9Vn
zd}mIpw@=6plSyB=T>kGeZFU_pu{Kvb@42?T@uDxY=i0=zEhh|J-zs-594Oh<6^mYg
z0LmqnJm0BIpe@xH^68VvmW9|pj?ZF8h1|gClRA*+b_(RhN|(zKC{@4>u!+h>@?6uq
z49_)vJD%djp8Emi)=Bk`)t%#(@6(-s=;J@;JAW|gPO192=jvI!v-I?$JFQq~gRu}s
z?U$}aN}*JAr&krrxarpbk9`z<1*hH=KCAL@6n&3P;nQ`e|B|KXdwnU^DEjts<5#z*
z6n*=+@#TH6^TbPdh8w@iftRB1bZ&gAKv9UQx5ohPvkq4CkR4$Am-nKQuh(Y$@67D=
zBvo;ha2c33DON360Y;xm?F)C;tyOVHt9E_S1}sOPYX9!9|Gr=S?a_R!HlphmySEFH
z*xK`|LHH$2icBrZ{wilKyT!$cJ?^)hC0Ge2Q;HPB8OVHgi_b#lvs-)?GN0YzYeCHS
z>Y}8jdax(q)XAr;ql$`aWb`PvQYv!Q(o-tp+@tWf;JahaQFbsJ;HdH-#16=GR%|?-
zRBGdpwE@5Qd--^LJhFev?eu0hoQF*1PVsM<nXOX6XhWWonbmAn_?PnQIJV?IGE@aU
zBAHQvg;`)^sP2H8B;gx(H)mwYEh0<whELS%b64*5bmgAD<RjnyIm4*ftrBxgLdCW?
zknC5JQ5Qsk&@G!i$cXAlA-mPrkBU94kiV1aCGtD%p~W_OXhxDk6<isQqQ!y8+9XsQ
zGXEz4H5BX0M9>*jEc$@;*t@-r7mg*hdT9p-iLx`7@V9T)l!hylgtlk*Ai{o73bwzw
zB<wwU1#=0i1R}YzB0xHNzC9s{d{pP?`TiVFnB2oPF*S`XclEXBu;+jXN`EEPg6lPJ
zCbgBFif-W3>vyOaDzWw<=lhHQVIRh@h}>l7abwyw6_s5B@#<r04sod&Cpy#GzluT6
z>|orYgK?$6adt4S$HDlMU-UH}^j$&wp|vy5t6C+E^jvIgCnA8u)@ViSs}>PZn7`1J
ztF09wD6x|jr(?dsEzAgh`l*ZvD02h$fDovI5$7oN13SkuHee6ZF|~*xm=OUnH2_$z
z_UEY(0Wme8BRObf)vonHLiL-+7(wTzSQkS3yL`BKbs<l=X=ENjHw`kSkdX-NrOXt8
z{q2sR8=FD2muq6A$-???|F*CEGw%!~rgS5oK{Qsx2?VTr)_#fcJZw0y3W-5m1KN&C
z%vUr5RtTai5eS<~kY2b8mT2LKSfpK%PPhxA7jdr)85>UCtXHHGD}tCGV}eL8HG))P
zE{JBIHG&GlEXfd3uSg~4g8L1JAPYGMpskIdC^45zxONaDRg$BXm@1ekgco<ed{BuY
zT)T*y+IpmF>(TDK>+j0W|H=~0|M_?RxA{7bdeP6o>KRJ^iz3LZ!tR0!vuAif$6UF-
zJ#P8FBFLw{|Brscho<_rWZhhaS=k({VMjovnIRa0sh<dBp$bEBqlJJ=CcywLXky`t
z5CrJ+ToI&{Re(MRRGxao&I(FV@(8}-IS^4Ug6uIr0V)g^(*q)^iXiI~&k=ZbBuG@v
z#-SzAV~5^>Lt2$0(C6({*VrK7M}?>jkb7PY(;~VEuBL*iBP#*|7#tka*Y`-ERM%Z$
zgZB7dX`<j*s;2cdA5Sf+dJ8nWZugIeXcU#}FaO(o9eT{EVs!2O3ki?uJwEc)1-_EK
zd`17;w{rX&r4lsA)uR7}dYqv_*AET4LXB8of}2v0_C5?O-=`iw>Nno@KY#MDdVJNo
zc(QtAr(vZguBaYYItE}+J#Ll=W5=k+QpX0HTw6k~)MF`R!?(1V>aNNf^%#}x<LW$g
zGD_Jfx7S+yy+=J#kC}?}AlcPp=+Y~<K)O0?|IX_1$yX0K`C0=~{s+JB^WOco!HVK$
z$*H2K|8+2MhPJWlR`DN?;Lz2^0OCL$zm=$2<&xC_<d9eqW4#bv*X1Q0lkHV7j2|V9
zJ`RnUUG0jwU}baE;6H*mxGn36qiN@ekpL_dLJ(cvnv{%ltSX8dIC-K;w`j&}ie=(x
z`c-4>v#Q+f+Gdo+imFLX64b$943^p>X!n~XtBT@bg<6nGB01Vh=8EEexmE69cKEcv
zP+d_3W=eka6Xw^#C9SJ}`Su*a;E3~-uJ+D-6x^}jA7n6a|9C}+EZSdF8aiAOv(z{q
z7OpcK<M!u7c`NvUcFU!XIXLa$Wmo4pXd8-3zNm+3$G;Ck>O(X`FMHiwb%Li>AkB65
zy5+uGx9qD#hWp(2i6@<0>K-gq)3!M??X3B-Cp4C9Cp4Di^h?sTX4<*Wu2V*dGkMMf
zX^v9vy221>v~=#eoTsF_oD6WxL{2ams+e>A^~~)Qvcj>6XH!@c!;-lIc|8@#4|w+z
z@B2y$?Zk3=1=4LvX*DKaU2P$1hvaMvNVa%^TzU<3cEANf1Gcz0Yi9XtLtk}vEG?{r
z9u(rgqqFjr%$K<?=esbnLxLcXea>{SdXAp^Zq9dM<l6(vX~4Y2aRL!_bwz_NfktS3
zmC~^EjZ?rc{6DqU*%h#VnaZBy3;N$aGp^@)lZ1OMMtNq>VQDVA0o+b*0E3LQNk=tx
zUtF;%d7O>8a^Ydz@_m`LKlgus*%v+2)=pjhYCU5KN*U54BPc@+@uce4zJk`^3+{pk
zzzkIogUeb$zTYkw!>y6sZv~@v${qIFLVQ4{nWpOF(7aW?A|e<a$b47<t|A&E1bGu(
z(C7|834usT;Tj<rwNqR*f+h*c0A?{#Fc+MrgQx)H<=3~pL2Af1t|N+QD2x16&(~>T
zbYJKZ5MlUUs=JV-vJW}-a2fv_$L#w=sT6UztLJ}_rJB1huDknUdc0vz=zueOWkoY?
z`94MSE&u%2K5HL-o-TA{MRQhvWJP1Gz94aj=cA5<zr>n&vMnImRse6o<Jg1srd11B
z(d?GUQV=NtvYM@8s$<2hX!eH<xuwyg4WFykaaqy8yH&<6!0wGxFjfqZ#(*-E#FJmm
zS#2nZCzm{{4yD5BlGm>fsZx^u>aS_4;>!M5+NF;crcZg9Uc5~O*^`o)tDM(Z<utA?
ze^bcS1)i|dkw$t1yrYv7Hn!f~Q=p&y;Q#!aFGruO!sT3n8h9>2b=MoyVgXvEr{;nj
z;)|ed_rz|)d9Vx_Ly!uz2p%>%tP0d8+ZF~ZuCwE1F{%e48&_Q0JiS;^oN5y+2wV)|
zwX}2yQh}C3FZU<Oo`XfkdQw7=3e*LU7=bPj5iq)U4lQXtJM{j@ggsQU&i}2iS`?@+
z2?eU`t@xohhQ0cIM1i`bImcLYi*B1r@``R^QH#|FZt%aZKD2+C8lM)OSgjl*f^Mg@
zInx<VBb8B-x00sT7UjV9UU5X9J<L<J-rOj2dyO*VZc*!c&uQxGNmGB>7yXZa@$DZ}
zMn&q)xZL^<QD?4@&R{|X#$|vylQ{|z#8~;txM=v|RtRNv3XY)81Z}zW_6|~KZZ>KG
zL7mz05VG|Jbeu^aL{5X$nFn|f^bkOusgaYNK9kQ(Q~T9m-aU%TA~{T*skL};8g(2V
z?SpXt#D@=Zp09>u>FC)vf;QFJm+1SkYIu^&&Jie<ZJ;5VglaEpr0sw3$WibQP{CG{
z{NT~bKV6hh;4_xMruYOdTWB;NR79GbcxhQ59e$ajrZP;>*VrY*C#1de$G*P6*X7vm
zER^$T!ma%MWiqh~C6H9ISP2XhIw;2f^0`Pp<^nDz(FZ6sfFBk?I&gOB&Lk&uaZ>C9
z8De)``qSiPE&@n`H$#zWY0dPYFEBKQZSj1^mNqphNhUvYfnEx}5;)KGmV+*<^OVZ6
z?YO`oZF_>yp`mz+SW}phU2?ZS5kiC43|;E2BaZgr@>48qj04^?yhrVmE}V0|CSZL;
z8H!|w%vPw7T@u%>{J3zj+4WKR!%9G}?-_niph<Y`px!mo$8<&c9$9XNM_(G|B}wLc
zbUJPDgVS&4YhE3F+<S)6m-cpH$YuHD?-~Bh-ZRMczGwJ%f6w?a6V}Fi#(ABOQbGfg
zMkp>X5;xO(2I=ZO<MvIzXK%RlMZ3>oLB~X%!>N&Yq+(D~XKLkUL6}w{A_ts;4}98z
zIyDtqWb$HERybBNPiEqr%W5(c1nT`o6BN%EV<j=xGLFx=m=(<y1nT|eiHiMkzPaO`
zV>2uEoq;izGI}X4r=5DqS&<d_P-kl8ma`?(`D4sw#Xhv9rAfb^Y^MY67u!7M6XPeh
z)tJvM-?hBIynE&Px5vdckNMp07TfCjAyDrxx-j;~`R4n-#hA}3|GlL~%*PiE8T*UD
zFPr*!l|V*+E#Lg~PunH;;NE>~;o&T~9&F;bE}K|tw@Rs>`&ev;;#J87-9LM<3O7=Q
zWtNeSLlZe2mU4;Q=+)`T7du!^qq};RV>jgYe!(vu-}C;(Q&r^lrL9Cqz$0Ny@M{Ld
zoA0e{rD+mtApaUcKA<kRD{VTvBeFL2ihOfj5IpOeCpv)^zFm=zs0(7CGrp%zKzS38
z$m$&VE*HVl_=Bb*ScgiG53LIxyzl1(mf`x)<ID(*NO6S92UYItl$@#C7Q@>qyJROx
zcdt!>8v=E}j8)Ax)PyvP_O9F~6aQwemp@{7Q#n$ixL|WV?*`b^LIqW7pr3A>MLK_U
zLlcZ+GoKSPx0jeP?J{tS=ETgiU+~<*v75!3_JB7zv3d-|bG4+o`{)mO?tOo@1+cie
z(_#*M0n8XeK7sDmi4nax55%-EgF|!Yf`G7**lR@dzjLA>!ZW*QYhXp1J6{oU778vm
z){7g0G<PnDQ6&h1c#bG`Ak|ZyBh8%)?lvca^=TJTn`s1T?pzRaK-o(n2z-KUsuk&s
zxgdHIjCTN$nA&dp$`dm#$?tKJJT_8S&626E%%6N~O%nW6NshL)Y8pK)($Fc3B~zO?
zOkt{WIU1{Dv3~QL%``uU@r+MnWb``vxdM9Z?pzYN3ndYI<OkIHV<Ksii{ES5TdP5h
z_ecMW@BH0A6B<v=k83=4b$9bfJA(~tPcsx5HJ<-G^GLhMK7}H^0Qb`veKtm>){y6c
zgR{cB3kuKPjhj+<<CgDJct7wL-t`fm7a0vz%&zd<GqKV@zvouDcv}n+5*Kfcu|$<)
z((pT-WZIA9=m-I++^5>bTkW*N6zPXnFuQoCHB%$ZdSZrWE0|q8K$C%txx^RDE*`v3
z9h`JJEzuQPpJR6Mc1yUG;<%x-)(R%*;0o}a;{jkAreb9{N_E+li-$GYSu2uOIkCGz
zw~-YIzd7i(0Robq=y8dksy<CYG`J*aYB>TBYckc}N;2WpmE0cKHCmr%zCS=Zxx>sG
z)kx+Wv_s)fkB+)*$Gy#W=!pLbIQ)bPO6!En1P4D)q{DC_ydpe!oulV_v|k>z!gJiO
zY$Sm#a&OROrb=$zW!?4)qP4tSG_5b{8GCVqr49R+=UaDI|J{7(a=b?ae1W>xO$-K*
zl}9shY5!}a+ffG_80I7sl+q690Og|&wl_XP_dZRkUMat=Rj`uK#*`1tuS@#_np4#$
z2F8H21)23;if-xne0K8jxgGTZ<hU_yDjgs7j`IbbIw7yc$G9B6&(R2jf+MOY)AL#O
zK;M*+8Ml0&k@@;Bdj220E8vy7(B(#EN3N+_lk^%Hs0nfjRLUu9G1wx)D{-UHThnTs
zti&)9RgDa)s~sl4ti!@~Y!01ZKC7^>9ca~W51oxn*pBVmW~#VeQm@*!lIcLIL(ASF
zSQ#0lS=iQt_i4TC{63YDfjywjgyibPLVop2)<)*Ep<#z4Y#qQDwPe0Kp=dFdu)gwZ
zQMBPvzxNFCo2E@Usw5Y0b;xv5jzR^Ilv8JlG|<{rweY5=NQ=5p+EBD(I^ls?d_<S$
zYAN~Jt7@&FCDH{edZMULg|WmY-`LQm__ZDbtUi=P=;SEo>cH()2TTDD7`)aZ&9Keu
zfo*=>=YH+K^I<`Er0}9HY||&618fLmU16JOHw=}8`L8F2MkGS-4hg4KcfpuXzFE_}
zRV&h}yC5pt+TMDCk!=BO)DbQSHgbS4;U|Ff$GXn!E5ur~>Mj@)&oN89h9o}y6s>v@
z-1x+Eu)JxmELId((t(qR10{3>_emE@mv9;As_=>Dn=NY{)n!*r6J_H04*k6d-fGDN
zW`i-`KmeiR?y&Db)D#SF=NS{vw_BsFiwhE*ykENYyb*{h^^SD(LHn=qOdq>|elt4E
zDiKt{Y1Zg5V#jpJ#AvKm8r2H>FtwfcN}0R1MB$JDKm=xXfoVaZxJ4182@O_q10-Zr
z9FvS(OD{G?`lfHk&sei(e!%|BF2eZg^tBTZjk`s&i!lBcO#u+R`DcIa|NGl*cG+D7
z_1;i7(&9Wogj?^!u&-c#BeX<zatDJ&7Xb`5ou=;y{2_Q)DmCC9cB^e8kH8;M!WK-3
zG=MO}9b$_J{3*z!G6XSc%q(S`V+8&@M{sY8;C9Q5B+Q=+4w^q2kQCnb)#QsvHa1ZX
zl27@oBHzfU@w<u4VH}9ZPPM7l(%rj%T4Yc(e3%tHsK~)dQX@rua7e0=oM79jFwx?$
z)bdy_!ooJJ#@K)==O|%h-d~)_*dLg+oO2|vc9nBfgA-%NWIG)n=bJInwC@!rSTfuB
z_~KEP<JTET{c!@rD<Qr=Y4@2CU^ah+eCl4%h8Q<`)r8`5wr_8F@rz$k4f(<yBiOTB
zK5&a>`*t(-?K^+)*&q7#AYM_bD7SA$90l8f88$RqNJCrXW?z|obHQNz1!Sz{f2DnM
z!C?Fa{jS=#Sdm(*2o}a)B)~Oj3_;pA7euj<UH%Axms=TTnYrs|-&_znWhFWF(}|-$
zY2RW+Dy$;7-dVwICrG+Vkh-i0q9`dA^N5%lVR|JfYR)A~GbH$Nv34UVBb1F`4^TSR
z1(`)%cYbn7Y?n1xpf#i<DzX?6+N??M!zIyQ$xt082iU;QdFI;#A_S%~SRh)NS7D2=
zcAM|d{&>>bEea3aE6O(rloIS}WtA6$;M()7?hxAEq+*W7FL{dMR*v#OH2S?&#$WLn
zEb6v4t;#{Mjojen1PZ#BkIqm*qx`dt%Q5{ET$MJ(J~RHJB@J$IcssJ4yq(%zd370o
zM>GV`Li(#d(U=l9_?JHMlm45x4&eq1vDOKVsanD_;Eo#t#nS<$%=yezAg2vU&{1s*
zLD4iOh|XwgFX*J@h7+ADK~Xd&i0)eGf2a>IY*~wGtSEZMR|GUfv@w*iYb5;&=O}8%
z1y?IH&9fp1tJWS`41oz^LK_VM1a~MRR_FLw`Y4o!7XowO6YqACn~JZ<3tkpUjgg6y
zq4c?)?3w6qTIo{!gQRun1f-nbYJs>X<^04)u*dnn>TnN|M(BvgX&M@_ys98QyDO-m
zzxH1}|Ea$gAPY@=xi9M3`4~4lRE{Yu8aoh_ioaoNKo3v_`HF|FvuL1KV;qYH09XJ9
zz|V^nse*h(%)2lajh+GzRgep!W??KE7&m*q9OEn+gXI`!(ZDIH2Oj6B&sr(mIE%)J
za*Q@>pn^kQh3dNdSv2qjcX$Bma!g^-0NF>U#FKANuxRXBrjbGIs}7WR^hc|R`dop1
z6ZKZ~qwbYKZ~RL4aRgkq8dGF$vv=fDy==KE)XJ1IW3I+LNlagrE*pU8jj6^=&q&$Z
zIG`Tqip%(P$6Rr_<Y9W{rDrc*e)!767cYD&2Msi*Uo7~1@gpok(GV{@ym-r^v|eKC
zK}s6(J7*WDzZx@@V@k#4jo<vIzvCOj3()jn3$=`v&D|OtH8q+qDlVYdDD#?oFqt$n
zx;_NA2iSDxxbVLgh|NHx2p$iduq2pFat2pGLV5u%2xcK2(#QEpEd-rjfD7)o$b!p?
zJ(x@eA})AZ5e~@|$_`@{nnN>OErQFv`W3*Ba=KD=j^YKlWDJ#FojN~c1+~NsKh%u`
zYAMV;^_m&aA2Xnl+pB+}>$TWwsI9+qlGUcM&i{oSjZgV|evWVA(-;|b9M1N4W;2bV
z4D)WJTUc!FJ*gmyTvX!2n9>#~x>VRh7MZ40Wsuka)-oLsKmvHEQN_~CN6M2lNN`{s
ztEv#Fg4kyhNO(RQ>4cSys#JwwmaD21gPN);Hh{fU>`qxuYhYehx{pmDF{hhpdp|-u
z_BLS7X8Kh$)8lRt?c5i>{BqpLTY|UpxEEn+Nm~%-P(4iVoo4z!`eSeU_zxe(POcGz
z5)wH;I1RW1Y4b_Q2SR`*#LUs)0ks1p?Fh02aegC6%~(R~LV1Y+kS&0*3f5O>CX*F0
z?YtGNf^`r>N`D?g*A5vU&2%~RdIbterYcckYBLX#RW2d;iI@x^4Pp4(L)XDNW(1%h
zLrD-HHJ4puCowTa$}GsMcHpX2u#V0%OOyh%(xx-&U>!J2CB{Zsug#Fu*h!R_q#py=
z$)ncwJpFLJroR~ENoHS#?+kR(U2hB4GrQ6ZoxH|i9m4?98xO1`e%%9{We1nQ<2hWx
zN0>wTi#I(0oFvDIcMM!I?iS5H!gx1sN+|!2e);qNj}iS9V||2kra$`#NWNcg(JLQe
zvm&1p<LNa?a}m<IwR-xgq%i=PU`~Ku2X<())w7SVd<H+<t@;QOfvYudK&BdP54N$>
ze^AlOGy3K&wByolxxZp|5=v~3ggsIlk*c_fjDD)MrWbqeuV_=rOntm220YI$0=>9b
zE8G7$-}+;}`%&Oe^=7m+|3fL|@4MvoRSPDH0UFEi(Z$z<$=OBNZ`MdN(DLtP!f0jr
zvx@*2<_-ZZV5OT)C!EeM0@#QZO@zp|GY}(b5+nsyN^SsmrZco7rx6YZKtFko$TxJd
z163LU7b}P-w4rqC^SK4HPz>!rW!a`#sx$(SPWl6((!w16<u!kxuq=&$NvIvke0M;o
zM8AxT{w;oDFgXzRI;!~M1jHAO&F2^X-;kv*i+JrmGW_vL-GM&3WnI1>(CMe(e`CGA
zPn1d>CvN-wFRJ)$4~X=1q-?uXty8wW#eK5rW2RQvqbj!E3Mc}YfMoO2D=m3~@-3QB
z$g$(XH{N177H)Ml^F_qxFa7iv|E&bK(sX*K(5kFcs7MVJT6OpeDnA2-*0WSKRJ~rB
zJWEx>`{aU%Jc#HAR(`u@s<*04QuVsvtI25-G-GRW`qU>`6<UL4Y`x#NmFSAohNWth
zWF>xOmtb|_lEL*W51O&fhGVU3$y^729QGU4kO8-%HWMwUUo@kwRcqQ<*D^rm9LJdJ
zQ2*PfLG@5K(HE%a+h50Z1?_)lxYZqjTiFY6N$D-7aJ)K2LIzP%8B|1$)C<caG*Bdb
z%>-cMZqb~hy_p>C7k$n4?eC1fkNyB`k7?%8&H>|1KFcZ^$S!_R+o1cRty9(km{Z%J
z6;0{b%##5+I|pEZ9kIckeSJrwsH#IJZw*1k8qFDuF19EQH-c3F1}6a(QVMe3Z@pp;
zz>3jC?>xEkszc`h45QpN1lofWwG&oV0T}rHqy-P|01;ei$x$JYSUj3PHAaD8*6qv3
z%;@(y*J=MUbuO^mUjN%?gZ(@I+b0wMMyc~1CFpan5Jm!#$}tZg!5s6n)l(>sYWP(r
zNRkJs<QY4VD#<(M1M)G+2b9OA?El!1Dx7b{p9e@)H7!ioH`DQDJ2jCIag{dZ=LtxZ
zkljs(M2(9yI*_QF4`iPE;NSDkFApe+wuN~hV@+b5>3vd=<#BDW&FCNF59ET;<A#pb
zwk?b*%mu;SNzyGuJnI$PRN+&{id11mur$Mg^rIEzljnj!U^0;d3@Xx6>ZQkuRADX%
zR3|!B81d|UDrE@rS#-f8`iMmkGZNWgQk^4Jm<yuw#X<?pX;-jntSC^FB6(UtfI-Ir
z^G%y2C6IARbfOpo^k&r=(WO1i)?tU%KFthab_r?8s?(G}rmTr87v+r~-58+LdFI=L
z#Fe%8Z4btmoFw!8iT*wqhW7r5s_>&@$|iqzwhXe{ygAQ&hmM%+sinmOnwTreK}9c`
zxlE*te3xqM(D{uqI2%cw(f_Wc{jZAp%o90?{gc~@zUV6Sd3^E}{cn8J_lZ*H?EpAI
zs`8IC$0fDgL8>;ip3v))prxoc0X&-OO@O*i=^%M*l4qQ6HhfG#RnXp7r-gY`gI~uE
z+!F3`4yi`Zg_}dFn+d7D`t*jk4cD{^;&l%~$|@32&M<Sgz{ll?SJYS9aHS5Z9L)mf
zK{Jf~AyuGRHXCCh3&AR+!uU_cr*7eKH0M}_R0W=jnpRtP%OTal(W(0-?PHFAlq}q@
zI>4!L3ECAaXe?UtY3GTy1#4QfWL47wMGR|slmweeD=9v+0dvcEGBCb&T7qk6ET*Hu
z3B;Pf+twE}Xy<A0)Ut++7sD7|JVs4RR(_P&LHlg33c;S+hZgICnwFm7ekzw}9L?;y
z=e63ub4}~Xo1hE-%~{j>$3OhH{_1zN&4Ugn&_p9*9#piEgdtty))GMWm9dx<K`<&o
z|8Y39%+Eo#CIqQ^T@WunVegn~zdRZ2Jp`ksg&k1Tl9+M71__g>pb(_$^%XG^M+Z6}
zII(P22vYUBAR-ZRa{(o=K3Shb2vYUBAkqbB$w%z6UxTKyIW$8-7d&7Lk5rZR8?s7M
zN5_hydW$3?EK#UnvoaR1BuT1@B8ka95;X2X7u8vls@EkAZ~;Qgm8)J~^GXU;)z_Jz
ziR#J!Q{kK?EBe?)_*ca|Lb9zv+NaQn@hPv$&+$#)CrX@;kmlyWX%XJp=0UU#q?a7^
zfBd>p|CiQ6yiH|p{D?#sgA;$!=aN)vFTZ1L1Ez5XZqXb+-Aw%S^8fqXSN+++l2n<E
z&{IIlB`LrumNMNLunY9>)gea}KPihdEGYnbZKWxfq>!!D+#XYmZMi4MPsVad1RpyR
z>O)uY)4AGJj-N2N_43+PE=irKU5zrMVt$H6;s-TzO+X_5*pJ;%`D{z=U#@S8C{HW@
z*Jm^1-za(Bdg6s%rgR?yJ<v6${G#NnQ`w~h#)E#Z@`Kup9<B4ToeDFLOUT?LM9CE}
z3EPMBt+)n|Z$#lJweGWanJ!ootm*ve;-yV#^p84|5CcrNkCp-$P`)&!RbTfA^Gk*8
z9X<ogx4NBxxsQ9l&wBIgLoaDjpi^f;RYWShF_A3F4!O<*CtI}$*}~e23-wYdI&9V$
zzy=Th$bw2$O3+fd3tHBK)d`R#HiEg%gl;F*A}36XX%C&N7|3a$9K%vMT7^~9#l_@0
z(?O@JAq}!TIbp1^)D5Z|)gd-Bg)eE*J*qG+FKWlTU3SUpKzF~3Zu)XjF>XkGJH2+2
zwMwrYF}wLa#cR*<TVdD`FSeGi-pjTeE{q#_e?A;}e=%aEoc*}va6*vDt5YaVp!TMy
zDNXmq=}_ym6p7Q-j6KK$df>`xX58|9YUcBQ>>EBSA#&6gbv2_~n60@2yQy#n$pBea
zBJHaxhXK?8hAB!81Laz<_|<|D-arr?6T;O1lq@r@)ryhBD2IkVLdv0Ckx!1FBfvl)
z*k-j<6A9Idk;6cRYq@2!0R;*6sSh1F3{D?LHdq8P=CVclMh*ijuGWgkiT;lGc~TC8
zSF=@eLx6}$S(r|8wB}Mp)04z4YDpzFmA9h*mK`J!mw)DXC#o{rxSRnx>${>m2lQg|
zbsTl{xLi0wGa~2JN95QeeN!rI-12=Y?9c!32YtX(F*WBXBy6t2bPI2uMsRE`*jV?q
zePt@l1>sR>-R8J<6&8Y2VJ--sHPW78#X_VOf>dEe5cFtLwLw>d@mRay=$BqzoASz)
zm$jXsonO%A#mirng>Fbjl}$f*UA(*HGl_oWu*h>_IOQgJ3slJ2S-=C3qb4{T)tk|A
zW}2Wuf~@2mNKBFrC*`($JPGc@8)zG%rVho~*S;8Bttn7JiQ>!2$WK!OAR%U(?wHt<
zIQ6Zb@fEi>wsqVsYBl3Lw)J|(wtmyMf9`MnmW20|kttr}^I<0dEHJ!A+_A&JGs(Th
z2os6JZPH52tl1oiC`7p{1SJkP!I)VCbEc4l5TteSLm$e_8q8*ESCly14-H~+!K9u}
zo=A)pB@XvPBT$Ef3|hqF3Kp(DM~TB-5MvoMSFn}I^3}x%-qR1g#0(foDijcW99kS?
zzZ$s3I*RVN1J<EAw&Feg;45UhWX+v-7pFCu94c!rx7xAHsjTfUq<BwT^R!$6%fc()
zuYqK(uUz6@Uz04WQiH_}?c7I6ytyR87Gg*lz{nu_>PJ$%ryU)@|CPdL6;e}6<~vl%
zmf%6LHU+=-L60JQhg+Rlqb>meBH?mqxG@zDqI+RxjeQ6ZNvz!WiPw#UtOG*K4Z(B5
z|2?w=Fr>+E>b!&G8_a-DzWgZzD<y#9j_A+h{s_vMNdusqiLL?$>&AixVZ^@E-4%Px
zXLsf9mNUoQqS;-!nR4ded)FI3|5t+IB=S%h_7a#R^x3PGRl#MI&%+B^FiCsl*Tf)(
zVC3@<q7_UMTFu%OBcBHiP%uebDMY}?#fp*7!-}i5M$M?B8cAyiMm~=U2^l@XO@TzD
zMf*@=*>1QPLpgy$#QcC*G4gp>v7nqbhpjq5A(--c5}6vz38M<t?@N-5J^kQ;bJ}ig
zSV@x1H|J1rPQZh<Kc%=Uz9xDP)&GJX-FJ?jZx0Z&WOPMsc)PZ;bUJ#zKX7~iu7c!Y
z?F6++GT)#*CijX1hBhJ;c<3y-<Rm9V?YLp4E&@9x|DF;Yx;-kZ+2%TQ*Z$f}zidCf
zik|9~=jD0oqsRAXXw_jJ&lfES;>^t&7@j+g2YpNEQY1p?>{L}A0VAbEh-`=LS9X%L
zKbl{vOa=t1#EopH{e|~E?T_Y{YC15wS?c|{9s8q7RBiJ0Ema!$9_hV@?}1;J52yPc
z^(Op2dfK;ztQuQ6ws&d3(`n5pE5~2*{onNNe=YK%YL73ESTT=IHM@rp+7Vz|r~`wk
zT0&dUF}Hzef5bXr%Bmsb<Iuek>)@1Ck62kbrU{4{o=-o;N5L-!<#LNy!JyS%O!8Mz
zB=U%L$B?jz1PN5|B_m=5Zdc7uT+JmB>mFm#+co<m);)stRx;-kB4QOroaQ|9?TLsL
zO`L6t;*y9J8SYLDo^Mb@tkO%`9KAncMPj4AqDjUgqSsZFtdfzC$WPVu$dOw8`X9E!
zjK*DySX1JBj##g=Me%M$tYgiD>6$B;iGTX>AM%r*5#0+4gmN?Colz%@KNal{6i<hd
zP--J(y1<g-o&nwg+n%ZyTLU2l^^mWKv3?xZN<nl;iEax)dZI3f{whrcf^n-ew2xZI
z1u@JO-@|3y9WdgsSy9{uJI52|ABt~_KK9O(25~4%@CXQjkRk2>BWY2bG!uSk1T!{#
z0c?bwCa=uJv0HKqHZQwF7&52;RiE`?mPFlAgOv}i&QyC{Uhmg6?@q(k8Q*v=|GyU#
za?FLo+ba~BR+?xF=0f516bgUx?Vs}T4~Fb%^jG&x6w3A#RX>DfC`EzCwqvWZJ>8!h
zE0FCerubbx|0mm1r1CBw|5Mr1b1eMXo|5}YkaXQaJ#`~?v{xTaC{{9{u!kC6gd#KD
zM3?qz#Pzl0pgk=HQ;lGxp7@0tPK5!FwW@A({jaVuHHMI*HUH-;`rqd3W|LB>#^tpw
z|BD*eY)h}vmLg(c)b3B{eQW_aUGhxG)gs3>J+U-Sjd!rZv!$Ia?ZX$IvuV4p<!OLF
z_vJtF5&uclxb%H#BUg;iBSRw$=o&5PjVZ~N7U&TIG&tmso%*%S$(Gho7sAeewq*|;
z@7}V<bEsF$miDkda279{0GC!ia%J-LQ`yo2<g)|TRC@b5V*@Koi;0FBU4#9;<!{N6
z>kg21KwTJ*ZaR&Mm8AuHKU*3px!h>#E>2PsoVNMI0k&ED?w8(8pCps2M6id%rd~Lh
zG@D;YYFxf1S{d2lM9P!xv9|N@2=eVYp_M>`_KxIukAN0bt#=fn#K@|vaOw14{d#Mh
zWfwW_*5&*w$J&<zJ=*zBUZ0BNUEn*n{QkEw`LgNX`QJX7_%}+O_Y&F`9;r?xBn+xe
zb#X?@RZ-1BI(brSU#FTib*k^<R<mwl)X%N?0b?_V_J&Q27Fl5W1Hbd%d-qR8fk`V>
z4!GAX0PaB~rSN!+>`>-L`>MhiuxChEYRTn~IHBr^(afQ*cmnZ0lm-baSJp@vD@F|)
zjXP^J;!yP;kwQd@F?HGKq_wi5XAV&kF|N#5k<Yjbf((nfNUW$juv(G&*99?+9C<*f
ztK*hQ$$aQRC8RpU8hb991|R*>8lu~Rhrjpy8}IpO)sT1ZSz`1$s_AOjzvuNl2Jyd$
zMdrT5SHCaOp4$N>Fvl9>!CI%~y_YV&;0<qHJpb%VmnD{-$EfamWA*g7Thsyp=B(aj
zKR!nwjJZXtC2ieb_=cZ*=er`Sr#GXub!SZ&V+}VCXH6KLSr;r0@(~5Tw(a#ww(hJ6
z%eD?}v5Jv^J+@9cJ1ZFOZ0k;-LR7puGL*Hg)2v>(7y$F&nX}^-!9-;gEvx5}XgE*`
zfD>2<;O$p-R$>j81P6ddB_N1~SI|fXo^FMo6f|IR^yRVBYMk(N@Eab(c++mG{R_jb
z+t(jRT$jBbv(7}D-uB1M1H<@MUyh?|Ia-(<C^)wJXb%Ae^z_KVUXt0W-(8gaxLY(^
z_3@6^6s!K{|Lw)@e`>9|XAt$)pv!oB;*<gqa<12#7Kj~IJ)`9DqAR4u)-ddguNWwK
zFn4OIF$BY^!wo1X`9bDR49Sr!=KymjVr#JUATR}=m}T+e&|%e~eO4HDAcCt?r#FBZ
zM86o+If(c{PLi}2lEaG?E0jDz=dvV{eulbCk{Km$BM*pEKXhnAg%Hb+4mcyb?;(ja
zgEsZ~nxg}432eo{J&GEjZrk*@u<CvD4*2aA!fidm)V{Yd#yU=h(usb|qHwrHrQ5Ax
z`5~JZ9JEC9!{;#>u2ln3hv$U(2zduSMfDD-jpg<*fUr}JP!Qm0|4w_Iz^7vW7V$nc
zM<_f*aeN})(dZc$jQQTx`O9{kzvi0D>4@PjmKv47@ZQl&IFon&=9(wB9B{Q}JAN~E
z{Hy=-Kl#soZ)?Zf=zPCk5u}Oa?O-O_CL;AkzVUvOaAX6Ft7f9vrCx=pVaKb8Qp$0a
zAbowcS?J_J4HD-F4U8`SHVK}i^CLVA*l`MShEjd#u;V!Nc29;a2Ho3E5<KiU6ZIG`
zq$>iu+pL)EIIo72jBg;hT?{EdXv8_H&r2;S*sJWr`Cjlb7Ich^o?w5PyT{PZkeh3S
z+}tOqh7|eosIJBj#<mx2Ku^z!?(uqhPIO=LFun59vllNveC6Sb7Z1b>;zPAsFz0XB
zoad2&j(uNvc<~m@<+W-7wjQLc1;6t`Lj9E%8OnYyJw(yr**Cs9c9vPc;}hNA{TF}v
zH{Q_}b=#2GIL|oDq8p#(kQk!}5i(2ceCSM+2vbS}SY|c&)E|%IlyBCyP$u59%^Uc-
z70SC!xEZDtF8m$|Ux^G68Y}uHRt!^07BQrwHH2&<-N6vDSS+<XD~$tnBgKxL(rH9!
zov+H0jf^g8Q}Ap<DH>(tqQ6ynj3J8yQTi+-gsf~4J0TMf7Pb^SiJOpPzlLWGv11i$
zN!q=Z&#@wct9m3UZ0PymlulQQ)gG7X8s}SeS0gx{66*@kDndmDvL#ex-5Y`MRV`Rj
z994)l4;O#o*|&!BGrf0SJ>HN78@fevFgV`Hn3B|e;?ak{@qY-$bj3Hh1#>(h%Bidd
zg6rVACOM!}0TCMvQ{T*R(M#5{u*0}+3Ej{Fcor7A1ANpFm*~1|(2=xYeldv0&>Mn_
zL7~=I$m}aC)w<vj!*dlI2@F+>;moj5=ujK7ghqO0K#LVc%lncT6-BCNBw$w?5;Lb(
zjq%pA*gSqva~3lnbbC}F*jhW?)d7{Zbth&f&C%dphz9K;4kvt^EgcKl8Ml0E2M9O$
zfKPh;JHKfN+8#~WTTXSzuU<3FbB$Imdf}LkU`3L6o9Tu7%Z$kk@X|%_&;g=n9R~a(
z(@$7&x3W@KteBzgXZ38>VL-ZYrX^AJ-HAC&Xq9vHesCnAjOa2BUF$IK2gmm<puf^#
zB!m>SCiG{gX}yBB<9jN3fZ$O*Ya#tonDWz%CUeZ(>l~dil1oOHIY>!5Yv$V%ZRTJb
zY1Owv2;9b$Hghn0)xi2ett@J?XA-!C3Hs%9{HgBOm!dPRe2qjKtrdv)rtcG_&zH#B
zC_)G#bJWvRyp%CAg-L*KRP59cs7p-Tj<5w4C*-zbe^kUKm8c$jf;hsQT%to2YZ9rG
zv<1ZFpki3XJ(%}%xI)}xugl%j)ywBz_^uxq*3~xX0(~~;b@d8~jd8jfGsa9;TaDa)
zA~=1OuEwYz<TtKWSD%?~*63;_`~^Qor;j)5>K)p&#4+VOjMmkyb@e%4x6;*To!wej
zpY?XLu0G@KHf>0lW2*QGbYgW}?M7Dv4k|VUBTy!K&^N2Ai#PsgmorUM{b|+k*O;HO
z>Iy1R0%OQ<hFMo%qpk*=A-QOPGvL=fB30`Idi5ssJPFq(mt^j&V*k|l`)?n;CsN@$
zT*<4-HfjkjHD6j*l{t<~%BJ=e)G$?t3j)Dy1mNopMys)68-n!mT+m45(L1y|k<y?N
zq+)kLC>9{ISlVSvY9mM|ya=MVRcpXo<l`Gb-eDKqodoK}6_3`oWM5e#p$HzgN@H|F
zHzqvMbdIC_OL4}iV#)_q6puf8&0NZHlx>&!gxQPilZk&b57I$ZJz8mQaeDPzob1t^
z>OanO3b#k6Fzyz$`I5QC>3Uk6e&_3c`ZxZ!08#19=$bnr0u*!C8TVP4yW@%Z9FDSG
zo;7!fb7AEuxH^($JDHMt(r9X$;ymJ7Fq^yMcE>S=FraG;-Dm`}x!W&SN44GPQdY>5
zJ%X*dgT<C=0TS{cET|97IL3Bk0v-*-G|7l~+KZ7m#wCxi$&#}_?ROoUEOCrW9>D!7
z^=a^tGkaJ4KoZBeByv(pzQ~TO`ZPydWwo8ryd-8${_#;a>!ZSTYCi0ue9C6D82d&>
zm$%Y3h3p@k5y3-;IG2n4I7WmxP-CRsSo>e}A<szYX=$djNa*_rals_6vBK^iB8lX=
zB9cho?%T}~>2+dh{H2>MdIAbP`_=>$di5*erkMtA(QMIgrV{>lKI^Bx|HC3PY1l{D
zw&;t6=|b&8>@TKFppTbTq`*nhqC4^mqa!d09EKXS<s9l;31%$UX^CN1QWD-A+2>mc
zQVsZuq-&SD%YFmS-bS#(a$%;guoNMNwjy(!BQ3hGSXzuQw!&)bA;`?63zE<m7Xug>
zrvJ9*NQ>@*g?{(|x^%71#EN3kU2?YtW}BCd)+!R1RF^FlJtUW;U_{NFaG+K)-yDo;
zQyvktZ6lqS*BrFy#gMifBE<|>AGi^_Ok^$!I~$)~1*_f@de2t<`mOr(9G^Y;19qST
zyB^^Q(02ICI<S0eW>{!{+gH5fZ@d^mkDjLoJ-UU~h~<$)ZtNUEC0#sl`^s{BE(nS=
z<&Zn=pe`E0P?0DGZcity$SR+VOoUG#D~5_hhI_rj@E;x;!^hi0hl<2Ga+;9{mNCS!
zVyH;w%#Y5(u;GZN>d>JgL70F+NkZdx8sK%<1NwwRBk0*+o_n)mQjs{xkX%~y7$=!j
zWckTSphOyqe3pZXPZf`aFs<^_FAbZk8^-453b1I!R+ZU!^r9qhi?U75@{%#>rt$JL
zhz4`3Alh86=7X3)w{6V?*raL(PfPRG)_0qMiEJUAlJ4u2%TY&|R4x}(a9u8E+5x~c
zJ~#J1P1sq}1uI)?;BuwF7n}0)NQ={YD`DZ4*jw$n9#BagjW?$oZ!g_A?iRIHV@@|-
zPrC8h@BQvSv>ysiU~qx)V$8ASJi6@vYIRNTA<;8urvHWIMYwZr`d?UHtaxtvUszsI
zrI!!?3(LzS;T9@M0tAfuZ7wD(FFI0AO9FoxoFL!W2v)&~cg-A73arC}icyItxzr{X
z@V#~!6)Nt9v(p4~aB^mv;Ak5yHnv#Y^1%(Wn!Y;0sQ;D&6j?-fF&k|gidqOe9-ma;
zR=R22MBCqtR+xj6YYa~A7Wu->hb~|L_5bUC`pKa{HPz;!ODPGUty^HV7z%F<<@S|@
zE-r{T#OgIigm>a_haeSb2ztE+{K{>KBPDsEK#ivr6m6YSQGdM#0ZDu4-g?bRC%0CW
z5GqhVM?fg7UUL8(rMZ~?dJW1{?Zx!hYv4%oheUQKexFO~H5f0|;x{g-*P#AXpXO)<
zs@m{1U$2>!=Y7C}SNjYLzE0+vJ6x|Bt4Zy39EiADcb-Y0ANalR`h`zTniSsI@)_?K
zv&nksOW3fgjFb)1L8bsi(1&HaAlRnaI|ae5r<Uz2s9e#cx*HQLToG>G0rXy~Qp$>=
zNnH?$7|j+H>it+zG^q<N*UZEaI)*N@W<}AYCJ1L)tIs4iYXwD<n&5tUAQLB6#GL2$
z97U6wAX-Z_=?|}vMR)2){R&sDn$(ZI=O6~Aw2=PStbdZED{7l$6r=Yq2k*{Qr7P-^
z#>B$r;-htNq%{SX;73<*03?BmRyH@69Mrib^Mj;Pd_FUQltTWWD(a5g>R<a`73+uC
zx{Uu-S+9=UR@L5i6EmvYrff+crF0AOKXrbo%@4urz195C<F-@ad=FXgf`M$xjBEva
zM}A3up2#m<k=xTA+5v6X$uEsoruw=JEZ?V0Kl|hU+MoT**S*jCpyn^{G8Dt^nbs{u
zfUAvWz?&KsaClP{jOc*>iLy9xjzA55$!@8EVewusH&lI<Z&<mdYt-kcRCY^nBQ=g(
zc1v+WEQ~^M1#aO+uyRXRD}>Yn`jObWI&|fhp4O}*5MJA2g~#ijM-Q_0!ItWu6azna
zG&ZCCpgV>H|75TmEbVG2FMgxLNIX_{SdRaN4M}eMVh5ly3<GX9D5cw*o!$1u39MEk
z3A4lwl<MAOw|x<o4k@SF8HWKAV<V69Y5`pb0eLVm(5`tfgwn!Y5l-RJM@R;aH$g*s
zd>6#jD{yQ@n0RxZ>G@q?ybUQ;pn-Nv@@=Lo8vhVoR1Xoh+K1?3^g{&6wlKnfa_m;$
zLxkhq<V2e{T>2E<N9m&Sp>=e2HeP&RbFBbQHYsB05iU0Oo+K6Xq~gTm8Xo~l#ql{J
z2l^uwH~4Ji6Xtd_->t8=mv1f2dvQ7&v?f-ze9hN1oz7;x*klS7w2;6vPUjDSc87fa
zo9%+0V)^|ys|6qZxPA!K`*S<4f3sge-=_UHi_N~;zwuVEL$yE7H{buwZn3F~V;fq6
ze8Jp~<KqX*n@umI{#xM+92Xn(*KE)t_zY2Tr^N<f9N*mFTeM{Y60+pC-A$ZFS!yHq
z!8a?b|E7n}KQDdmg%u@8W9Tmwom&K*dFm3m(W}#47_)koV|?}%zwxL4uWw5(h4?0I
ze0K0A_v2>8R8AFu<Ol#Mb&e-vAh);dx<>$Qe74oHKWLbZuQ&unC0k5^v264dup$sZ
zn%ECPaVbm?5h3Cj+N~2uA=KxX<1^qU33!qy#jsYfVvf(?%kUgoC+AhwE9Ur&m3J{k
zpqMny=~%If&j1kMv1wqsv7wqwyPPsx4~^>}<*E;^CetOgRf%h^t#dscJ>MO0qY%T4
zD+l|Dd0n~)<47@?wkC`#J7uvZ>B!onSNBI*$oOjl#MVkyHwbHMY>L*Z_UDwkx1Ba3
z&z16?;D@(yfqq4UW>_LMbl4}i%9z6#*=ZbSU~6~fbeFADTq2AN(N0XM!jE4HiE>GN
z(zW~IN<HtxMY^nugGHmUKTrEC#h9Ap(AWwONE*LG5Z#zq_961|iTXdEucV?IM(4+F
zmj{D&m6t=id}Sh}i83F*V<O;Eqzi_NQL&7P4axgAHifTwvN#pZ7>XiE3y4jDKNBsS
zOZ6Y8(rDVF9@=~yamtKtxIJ{kxLedl@H4vMde99|zu!OnZSM#YGKDF47Q}!j30EjC
z313C~3bu|)%LM@z<djx%gca4~UqO&Iu?Vi&pG0>6H8(A##fmhEE{KusYUGc=YHI`?
zg0zS(7?3@rLtqiBbEG2c3Sz=UD@cu11XoCRYr2Hk#(G66D;L~>)y(oLLSj=LT3i;F
zG=L@(#hBn&Eji5?hf%Gwy7BKd79s4Ke4<$XPfcPsi^A|%4QXG~Q?qFA%6&3=w3?9G
z-R<`8JOlnoI2w~ed2wjN&MJ4QM_9K_NL|HT{m~p%yT3~VhPt%^47$E!`OIg0W)#Kj
zRfE1^z^+@Uzo3IbA|HHUjUPdmeN|~N?0}XL72G07Z3IJu!B3Mm3urKeD^w%ZilM>i
z@mLBO3e0%G;?SYNaE^$erHX`VTc^t;G#Jitjk$AFo+#jT&{Uzpc=a+$4uaqyZedrU
z!FXtJJ;;h+>u-Oaq`|P{l2`#A8&r=i<SQYgAqiB4glG70zIg=nXf_*XD--XkpHd!Q
zzm3qeToW_KHcJbT{I?v`pVW7vjZ~pE6v(QRnqVfY$VQRrA_!gV!^=gVHiGGp(4i{5
zA0v&>MWqiRE&p(V(8WGv=7=~hPy2l6GMC2gLTSt%-2t6<<<i)=<@;3XU-_ujH-BiW
zQaesz8B>BxKQuw*^;Ayb(Z`e=ykMcH#>`l#RA#GfObN<QtJdKPl?uTV_}azIJfIoB
zIdrI0cBLEvt74L7iPpVFFjQ*pN+H;x5e$`zLj#^Bjw=c~l4_@xMXFO^7@<-TC!bLB
zp`yeLvChRLl?ur+OJ%boCZPHulS+kT8K#03zxD?bvv22;MxRK#O<r?QQL5HinvSu*
zmrWc~VXNcm#>nJPR<1=ihZ2)d*CnIsvi3NUX%YC0{e`z6+n9zmci7(mV(Y5CcqtP<
zE_ZYD9_JtYoImx6FGnw)@`8Dq-&n$!K;}Fnuo=Qp()_S}Wge#sf{FuxCHOSRZJD1G
zf>e)15a3JYbcLU&<=haYdMtukIN*va!)t{g)uRi-;bc7kJ}jF1G%p^4lKeB8RvQ=%
z(p#ur_4-0kvV10pb#xdr{#bD%1gReV(D1`mJ;E>VFfNLEERxQE4utFy>Ji>yEGg>I
zB>`x|5N2M^7Cp|D<Y=W=)Xtd?u9Z})<gB*+iwDhZy_wbKM#IUb>dn3oTNiZXR0v<0
zSLBS=oBQ!dXPfi!P09CG`tj^xp6V3N8SC52SdY6!tz$iBtgk0y{gFTZkG}O+hOBQX
zvU85`IqMrt@})c))+JkCWE^Y|2kOoW&<erI`WAxt6&A0o(9=(4>x;yZvS7jRci7ys
z_1zth#1_(?Z`pTJS-@<43kL|Y=9avQbIjHk*hAvdn7rCsQ8)xE>l^g&2+7V{-_4%=
z`gA71S<;-P<|NHY2zq#6)fI-2j?U20HeswJ8;2|3Zfz`=OAcCeT4^<(5yBLsu3wx<
z_ozU&?O}Y0Ba`nR?IJZJUpN1!LVal;T^@GbKIBA>yBGeqS+v=tRHB9VD8~OHnwgV5
z*PrZ3d|~O8M%h|W(owdqHb+DzO!oNGKf3^TmoLn;(7-L4U4Wb63;Smu_y2tBXGDHd
zZ$=w{hh^V)XT&r{h8?B-6J4?kFky0*TXu9WwMH}P3z$l`?C7}HB9hy;r=Q9$K(Xw7
z!(_4x5SASawTG@;fDJln#a#EzeUVxA9@7`Y-Z%G!y%ToM<o4m@YPbM>b6*%uxnvmj
z>2qIb@x%zKc}<A4^sgJUteaAo;cjYeUlUe+Zpoj9o;%9|YAyNx?%l^E84U0YGhT0!
zG884q*UkWezyAk6=U@EB2&uFy#OpoQBf>eg?671z<f+ppPkriwVC2_<FQ+}=!&1+%
zudLov1P>doD2&R6#0f!$R4!OL^wIlIc0p7~LXi5@1t%~nGndGV8DdmM;ati%gN(|U
z8;li&QQ1mOagGvF`AHryluWcFki$k9mL#JW4fUQiR4?`E32<O7UnNQEQ(qIUs>C<o
z=s<2m?WbR8Fi=u8+O78_ZEAtiMFdQ$No(!e6Pb7nQ*?GCqsO+)r)}gBE}r?+!|e^F
zn^(PT<v0)}QaRi+peaI{b?G(gQtQy4t{&}K9`LC<<?uQ4d3%}9akpp=du}G|`R0G}
zDPQuw5%%cK=$dJp^K)A58gBh&&JUVqV6b3)VFO#^!m!6}3*Ze*>_HHJTfuCm(Hg)B
z2{5V{RN3}GXES{Q+YKxrR@`tlT((vk_LM`HSwvv$RJ^Qx1y_P$j|=Wl`NKIPQMd#y
zPm+%z$grmf;$lVcgdq+Jp$NgsOk1Ni4LT+tG$*O;McQZk#J-FzLNc{J%PSjSHFzt_
zGlu;w<}Ta7uyyiD?Py<;Qdb4e*FH>5JLZFyGii<<o0K?jc;}Mi^_Lt|YBIgWNKK;M
z2NW(g6m4uS(q4|KMtQ{Yv=aVW4lLgq6vBRg<)^ow`=uXP=o>V2YjZ#W!WfulxhJ!`
z<bc*3O;VRwat<iUKt1!=a~TNb60)rKk6F(-paQ}`WB*|k2m{Uf9au-s0TmDiuwT}2
z=#j0`6L=P;fH2UP0Mv7R)m0g&TsE5-+mpNmgn?F&D}gW`c*i02hw3u#`}eIhdJXIk
zXQL3?rPKTWNP7Q6S;Z&cql3qI@Cegf{1iMgk^Ra)UUAw>8<UjS#26jyhAoV@8+b-M
zrEL*XkkT$`&~H3`>tzR3q`44H?=1jSWFioffSoJ$JDwKhgmK9wx(f6qSsFk+Nv3Cd
zfu2SiDvC+b?dznp%(f;#k_0tvFx~-t;O!%rp6Uf?P>AJ(i9ZNKU{wW0k3>l>E_VA3
z{1k-ENJn;#E?t@aE1mWT>m^|cqLUU<CDV^xa=%5JuSg!Y^lj47$JuyrO;{ssd8`Ya
zg*-mkN{=<Rh9B!oDJ|k*j!$-wN9|*cMf|bGLA#GN|M7~C^%;VT&g^L6kI3K~YTevS
z+IFvkU}94DD!OQnP50_qMhNLgjW!w*I%I-{HCPTRf(-~%CoJ1(e<8$(?pZKW&;gF_
z-vNS&rWv@yHzE8Hc{v)R^!t&9A+tkmKrm5t??Ry60k2e{gWBSJ^RR93No?_boIeDr
z{bf7tzg}#S(F%f$(_&j)|F%I}$#&Xb2r**+?P{^Dx~I3yL8c3q?bu)VH)0ds5Tr|2
z6zDSYCcfRG#YKFj9Vjezi>;@FIU{PDbltuC*eb%{yWpk^bF)6swmMMdCKVcs_Zq&7
zpZMCZ`-*ksja65+KG*?73Wy3h)_V_lX4ae<keZ4`>y@-oLJ(MwTE%LgfD0nr(&-g|
zkaBuO(#7>eaU+waX=A`y3#NOB$8tly3&pOq?oF=4A=CrAmk7cNH7h1-#f~cNY2sD4
zn4M&-fc|Iq09S;+Seu|h!xEOHfw-tYGI4kWSIhr}>4;CdaBD)sfb^J;s~QjYf!e=7
zv>+bHsu+&Xs!}C_HcG0X1A##ju_7lDH>chq>%I;f6B5Ri?GcwI?=R0x(VZ<-#N^2n
zIA7_hmW~sUJApZ((!Cw338~-4@uf%`o1$r5_o4QcYPg6K@$0TjoOmC<B~Y=`v$^g#
z`R%(m4!u8Tb8j!3JMI>>!S{?~y&fFv@A!o8|KR^Drnc+N=orrxT6fiWVt{#LZ!(A_
z5*Q#BXrs8Cz(Da4vyW(wF`}+DOgVvpUR!i<NL+Xx$C@%7=ufN8agqfKYZhrwu{>&Y
z`Vk#rn&eZqU;NyBeXTOFHji``gLzzJ*BhCYD)OK^kc|iC1Z7VdRDkELn*eJ<ySLR$
zyuhd+hodghe?Fc(9<e`|_%ah6t!u?Z*Wt|-Pb&7u`Bv5$_|qxIQoN|Ln*25Z<3Jq0
zZmRAJL+@;hUc7i9))ZDANz~_`W2(+Ro|chIeSt$(OE7`02Q#3O{>3XVUOapwey<Du
za2L;=mg<|7U+R^Yp1pYa;VTd0XQmCLp!~@Eh#mVKoBn;DkA3BCm3?1$c#&_>!;5^2
z_?=T4LNI;x(#03N;mtUWXJ5J=Tl9(l-5+?%=LB(KzbyjI9#A^89Bby+>S!w4qC*(1
zZtRn7QFLi+(Yn{Hm~D~adT6v(9l;#Pp<ameG@>bF{%Ho0vN10Q1;ZT3<<PKmF*%Ss
zfT&$o1b?CN5ypx+kVBWJbsu6tNo%V4hWeS{uW<b`<iq(kmt7~**N~OqaJO$d8tcsP
z6KI)NLM3)zv=MC13?u0QE@D{|DbF@~&Y58rXRJLGttxH!oHN6SueU7dvQL8qQ2Egq
zbOF@5PlO+J40#x1`J{_l|D|nYT#-{9$yXP<ii`eM(MYZd{4b*0nfjMJg~?$-Q$^(<
z$#$gjw4P8r1_Z|`m51myz4nN1@#})R)~cZGCIjo^9PB2`H#-;?FNP6L&V$%-+I5YG
zi!GiVj5mj)BEBiB^*{A7AMyJ?btqE|d=Y1W(ZM)RnGmfV15KL~f?e9z5y5Wi0cnHX
z+QBFt8-2Z)*};g8jh<f2>|g*?rOe2HVcBG_@I)#H1Jo1D8i+Y=S}{kP<77q~s;u<Q
zsF=LiV*BuN$ugVuy<IpGLT%xFaf|DJVR@Ut8_p2YuE`LIAC)8LHdIEOP+6{_Q2AI-
zY+;_ds>!J>1EdY*knI|0SO>>Q`(i>()Wl#3C*_5i>ID~Nsma|9ra^nCFA1=M+kLh8
z!k1qTsX22zXO17f@LUPeU%S!z|Nd3K{;xa=9I(DGmuHTF?rnq2Dq8n>1PowX!5pne
zY`qsE%PO|c(R#$zfWy|&V9S88)`3J_!k*fg?oKO`FVLX*RNydu*_f7SeUI#Q8{B4N
zTB3DeiMkvFRkU6rYqURhBI{H-i^v)nxeo5AW<V&BHE599)2yTQ5?PZaVp?P!3H7Et
z9A893k63kn;s2>|zPwkmEb<89GdE;~=Ks{>-)cl{_8m#_!sj+KApGWFK&ap}XUK-f
zc^FLkW)FjL%;sNyV(|kJ#{d?K44JE5-9qx=2r6I3F@1wusCr${X1qfXbS#Sfu&=yR
z(np9WLoP4?t2H{TnO_S*s$O65umpuJlY4#hGMPpxf*2`-5P^alqc4sXnK3DXm>L4r
zOTkrVa0^wh3+|R11+t)8!wGoRIa2kyAfVP9O9syx^IH@{6Ni>K#wEcv2U<GK=9-dD
zl2pAec{nX~d>UF)4Vs!HSs-&sqhrQT36N2HnxcALQqf_&a?FoW#qVnlMo~o{ssikb
zpo;!%fSz5wYyaZd<2%SI=el;*z0x|Ki@h9#R+V2Bc^EOBY^Q9dcv6wgl-y@2fO4$c
zRa(#O!HsBXM&n3zw3OGX;^>(28WMjh=1aWv5JkCX-&kkAAT`z~jk`syQJT>>*HZ!g
zz90XP)khCkKu2=A9=7ajWF~XVZp>T{YoM$fjA9eP0W=Ptj?3xias~7(Y$ugM&_;m~
zW)f2&uxR17Ii}j7^8r901YbS$b2+AhmeOI}h|)Urb5(*8{thfEOe<PCx^>MQQ|)nb
zip=ek6y%H1)L_aev&W1PR}GLBwbDA`-QlM2==1@ayHi&{(L}397tV%!9|v6kwfud<
z)AXC@>C|oG(5749|C!<U{3wXEJ5gGA5&b1iU&{aLk`B|gt*ZRlH!`h>>Y7cieHQ*i
zylVw1lDub5tn$H3iPcVG6Wt+G0IxK<8A8RxW`&^=D%X6#8bbw}j9$q|j3QAw$%tcA
z8xpx`e~f;s0LKuhFSy6*C!aumo^S%B6~?<0dMcbKpXLf@+8kkwSn+eH!t5{1h^e`t
zS-W(rB@+Qpe9!lM<@=;WfOL7ZTnuNKkR%ac3<5^6z@QZT1P#{!bFfZduwS0xEs{il
ztq5`lMI)ib5gtZOu^@>66U4wHn@)NFBU~dWi2xIX9VQ-_6hmG9CrJdDAewE^M@m6B
zE%n6=8qF|gOR<7#pxU9|K5W#D8viynNp|$6U)vZ40ObXH7VWjZ&4HUuu97$qJW%$(
zDCrRl9F{_Bkl8fS8%mZ+i;}SeAhA_=DgH|>56hY3$=Vc8MfW~t$&#%w$ah7_5qO*m
z3=M($dd0(x^Ow|oY|5__mQkjlC(9@~B7H8Uvn9<G9cv1&$bc}HBX6%9IqnwCq4Ukq
z5<U5@zy8O6Elgp5IdVL<r<5amnA>wX@+=5Q+-VC8&$h<o(D^J{D2L8q<I^c8WMe(s
z1?ti3e%b9*&7t#IF8C^R4$9b`XuJXspG6>5<;b%Tq$+eik3q_}=Q=P*YW<^l>E3|a
zb8Bxcc3S^+<n$>OzB3$2mN>`6GAUZ-;^iDWUw`a8w-7~d%Z%`y5x#GF&{Mr&m(!RU
zhX%wS{T=_-?@L~QRx{elcxm`K!g#fH>|7b&9l>KOCG^A6CX-oxq^XQ^T%&ZU9`?Wj
zH&tf|h`S~9l@X)IiV_f;;QqkbDJ=VLj|Q9yK?#UW@BkJr9GZe_0|td)u8bd*H>tmb
zPA|ZA!>GvB5r;-)9BAYg4^UOc;ZGceuk3V$#b_>DyZ~Pl(LH__oaJeUNpr1au8d=-
zFn@C*UJur59M@A-#*e^bfeZ=h3QSXOZ=(dDe)QdGt27wc#YzIc=3p*BO>|!ZohDzt
ztr(MPz9juV!<Xz|?r<61y#UV+_+MlRW-s6_cmek8&S~+xn-(8;i{@Ow&Ex`J|549<
z>@N&KlM^c^J6dv8wPA!R)KMVqJy%8#>L}o8+lp?T3pjDuDrphdOte?jQB^LWa7^{I
z7*)9dCkDh^Xe?c?n9Jw{rU}v0eziP1ndNZUC@JB7dFs$x)wuxV?hO`==?u-Wt6aeD
zut8t06vsFF_AIMhzz!UfTI~mby3K7=xqt)mE8-|23(^5ORAqF?X>&3-l{;AUs*xBh
z&+4sUZeNG*M7n7VyUDTIHg2vXIoh*OkKpS01=;`6);x-fiOz-|-OKh;H?V&iN4H2X
zDF4?~9-2)`rb0yPYDjMpcse38uQm8wiD)wOogzER(zjY<7c5*lVHDY`?yG^mVYC9H
z9FX=0$e<!Bk<Q^%O3_Z@s6EKr9h>s=L@7e}CQ~UQrTb8dNZ;<qK*S!WDnFvqL3=o|
z=---7Rztt=pFZ(R>rnePg&v_A;|twFl(SxOIuyyoww!wc-D_V#kilOORPH<oBC)S(
zKLnX$bip0RS5lip_kzE#UXg0w1rK`!oO0+bLYGF6YTpG7k&P7*U}-oRho;(hK^sW}
zj@iv|r#&tq$Opp(!Ay_74QO7#o^2dx2=bY5K}^?S3o2FwBVc>gqV`=9WDGhM2J^N^
zpI`luqV|jAaY<eVP+6`B&Tb_0&A|`=66k{<9Gr?8()no)s?!L^MyOI%aYM11D6g#C
z;ux+lO;=XfrM^a!Mi2I`+$R(N)~`Bqj<%&-l4vcfPCkrDlc0~Y(zURwx7+ynxcDfk
z8Yk6>x@*-bD{Qstf*bZ)^$tRH%FpAf6M&}ll0#SG*G+_~@E5KGfwsqaYV0;vd5&AY
zPkFxh$>06+fBCnLXR;sgnG1_*k1L!DG{K_U<KbqXIk)q5Xum6;xv;4Abjnpev$Lqe
zb3C>_X7-tbMYX4iF&R{(;G9KucWA~G52`OwBu>t)8-4v6`b`f?GZe*W-J)yVDvfH7
z!-t14`#)4dmH#GjL3)YVo{l7DU^n2DRH#OA<?9_P>`BXPgBQDUxZay-RD~#Y1#7+n
z-7iU^1!C%5s`u4dc2wpFrE<3&zHj`a&;Rpxht5&}MhE_eaeeS;@Js>k-9pPM_*)r8
zv;!Qi!JtuR8Nh=*Ti~o@;u+jk?TXY{MG*0@wzmOb->xXxen+*fR$4zm4ID$(Yn+^9
z`%UnuNPU3DF?zuY4F)W#M-d@>HbQ5a079S3&I)cWb(Wvw0kC@ktb^lg-9q#<+i%CV
zb^=20wv6+qO!o`Nsq37@cTFF#>Zg&Vbgq*BYxLo0rI^>?=e~8~-zae&bUw3r5CosR
zP~mw_>RGlEG?4K?+T<G=4EeAQi0+emOD`~dwDj%xc-G#8n{vs<E#K#oeZ|{e_w8^0
zph1_+>52x13ze!TUT4(zs1e*Q)ZIV<Y3dnSon5kkH1&)ts$8<d_6wj=OT|#RWChZ+
z-gMG_l}lD2O~`k))CJik3rJJX5bQdQd2R}JmBuWProJ)QRT?uOP57zX)2z~%0ck=`
zxFeZ8=72PThO$ngj&w3P14ec0gNyR%(W?Ag`ShqC-1Oj4(aHFD5fEuiLGtV)KU$-Z
z9f~mM^08rGf;0kZKd0TT41{Z4(Ng9_pH_Ox2c{jR$9!>w|1YT})VRAHUFkVrSfGGl
z74{r=yCN}BdL^4oWaWLCpkx`IcxwfvY@3VIYE5D;tcjw5n&*%dYJQhQ8VUmfk&l61
zZP+}JD@%QEi``*m)q-W0z9qYs3xs({k}<W9M!hze(zx5M2)%UlOhmHt>S`OZNrKWF
z0zA*-x>JIX-lcLy+7-ZAOWm1JO4R5_$IqnwhDeFEr58=Dn&b`u1Qcm_o*;&)^yRqs
zOlB>~GmYUz_MYM2?Crw8l~4We8D#xE<Hz)#VPAjG_%Xj{ko5PAADj0K(*B<D<E^}B
z=f*EJ(~xw!QSucqo~X)Laa>Tw?Q{H5mGOZ<yF>OSFhU29Q^by#c7z+856Cw^IVUoa
zC%h4i8`|vi{#%YS%KP&z&-=?;Us6mQf0R1{H<*`HQLPP(KWe5ywbPI=tO(PM(&=D4
zQFS^P1B7u!>H0DLsOsC$IYB`SAlq^MI#nq4$8@7@RqT+NMCtlvJMDj5Y;$!7bBMOp
z^>1n9^ZsJ=%kQ_9aZTy?82?oDZ9t&jUwnbszwX=6$Ls%R@7;rKTeJEgDm0G{sV0qp
zb_wMvEhxx!r`BVxR}>6%g9&snIZZc`iha1<eJ>oFyYI=pm##o##DEYY;prct!XpX6
zk|cJBrA1H(m;`|YLM%{I8Wpr{2#;t|N|B)G?>8Rb7~ePNo^!5!_TKm0%Y?eU*F0;?
zZ$8&}{>E>3#_zt~E#G|88+VC->E3;8K}~z?%uU?uvWca3YXntLgKr1@9wsiWFLrri
z$^FrTRiXGRZv@l3{_4+s=I7pA-+C~2BcWDl-quqcX>d<A9LzQ&4erT=69ad3gL|^z
zct=O(Qe;mR&LRyXjTjP>&Bauns_?t0RW?*&*zd|y#Tz=(;hyX_8GS}N+$GXdYsZL-
z){du1lCwHGs618hufq|M^F;H0E15mj@viy&d`7zF=h<5KXx+}j%1V%~F6E3S_Xz3J
ze#UX5ix|I{8uW9^Kf2d&!~0`p9PlEN{3(}#+We-Bt4Kyc{L7?c*;Za<U{TSotjI*f
zu2FX=W%!zBm0{q?p{+gbkFro@lqcHM;onvKqimb6jM78}ew4YJWT&Xdr;J1TaU<i9
zzJ=1SH!Gz+Oa%5g`PHSj@9uj0JkTgX;vjQTo5WdYac+hd=PiHur$6ZvV@Q=2IUUt+
zB~PL+7%kH0+8i~qv#(lU$9{K007_s7q2@Ilf%eN++<}=zV0yr}8A)5LNb}`_2P~+E
z?j+A&K_Tc~xZrLr$#z&-ke9aSNcX}8Ppcz=5I9GS$!MV>)IctXJ^>Qr5h~)5uYF~C
zeiy{N4PG^&B8MLMqyl!F4k#I@q@&+r$qLwk5SnL6R0*%EzLSy&^P?Y*Cq>``LfHe;
zh<o9ZhW{r31!;<Q&Fb-N(efbY2{>Q-93_S0YXX?bf{m<c7{PQ!)dSLw4?tDWOwohC
z<R^rQnq-ZoBq)EX^PF^9Xz|q}@bsIf0_-mm0{XrE1=WCVPa#%R3Ru9_sn9RnZ3!Re
za(9)iJ1a@nCp14YcAjz_j}FhCS11{9Tf|LCUVwNd$!(DoR(4w?*&jR5P*=GC$vV|L
z<XpgGyB)%R6UV39QRM<AfP3^6axMT~3Z{PK3+C@UpTBq>C6?9XU)j{#6Tz#b3j8e>
zq#u<A%fj?ika)?P652-aI@fWr<!`HUGH?0T=n@I|KfLdIzv%O$FbwKUT(=j7bqm2d
zGM1v=QN|@pGopL@$_$1Jp3sAZpE@eY7`qF|U?oV6<bogvBfgo=EVXhiNP|%XJ9H#T
zu2wL~wIKD83!-L?%qLgeBCXr3NOk0b7$FZtHEYs98Mf!h7pDkrj*6E)3K}sVdQxwR
z5@|6is#&g9T%td+I+A~g|H)tRb9@t@Zc0Ya^{B_-qN#Q}ppq6+lq$J)1EeVD>lk})
zZ%fC_TYgB#{M5hl&Ts$jNwhIwa_Vb>N22v7n5#A&2VZoJ-?uoW<7ngLYodHp`5iiT
z+*jlQMRkBt37%i=oMoS9k3xuOEmVlDi__MC9?UM!esiGKqRWGVOtWI;ly)1EAX0E`
z-6*jlb6$RqI3Dv;#QS!{=2VVz<&+*V=SH19T$WZnW68=X1w%B@DL6P<aeH2S?Udr#
zh@%T!a_=-Nrxaw4@R{X;cJMiy^Q@fGZiSR3k514+D_I8(V9`=Zj0>zJClxVOm+G=`
zAVpiMh8`~4dNGNmB$b1o7&<oXZ+WkBlt*w9^>D)uq^|aI01218SM7k_bl&THeQm$W
z+tSzbmLJmBf8~#U#GicsbQ0mF^>s=jfQYa9nb-PyRIz7$y%TK(6$5Nbqd01PZ6xzZ
zB5Y(-N~K*ZeSO%G-d6fej5I%#Yu4AJHl@<nqei9D*P~XY($}XI5sK8UuxLGNk*(?J
zAjA!nbZUUOAc6hcCY(Od|62;f>K;il>+5j~lpoNz1<Dq+Ac+PoP<}+?7N~wkBNj-a
zM89@`)vvNZh68a)v_m3*68nd&O!E>84#Xu-fWW{`)1s3yIa|tWSv55~S4S86y`@JA
zUZOH_D&j_ua0&aDt1=%qY2@Tk*Uy)DzPTTqFx`+#`Ai>-S52f;k<111C+FlFP``!(
zN&5o}fqY6#bk_oRU<YJ7YX8JqRQZk*u^f4S)+1BC13Tn=4WJz;>%{R17OC<*6W9-h
z7Dc{<zwH2)A{!)5o7eAO@hOnM`y=1}AN`)m@MJ9Ns1)i7P%sHk224rq|Bgf*S)Gm=
zh^$RV?L$_kqvj#&(oySBsnSv7Ky-+!z5Q6kQ<=N*kcY3luQ_gT^3xeHIJ1;)a{aAt
zPS-#EtMwbHGIIqwSIO#L=f)H~gZy6;sK{pizMS!pV3AI}=dN1)FM7}0&LXL#Ck-X7
z|4Bn3AyN^n!?QSxMz&XZmy~ci;B8mlrS&OPD%*o9IGE_nbis`dRh(>5sd{@n1x>b~
zS67SV`+nr9cYR-EmGyV(S!LZqR=HzO5G)fWTd~txFk@^BWW6$ewB%ScItmmSi59K|
zL!|<VvEm#HSf}F#uSO#nDitd_GUtebWh)pe73Zh`V7Lpr4GLrRp+lweVvwk&cZ~Ws
zdj_g=43!GO^;TiEC$>8@f}v7zF{my|)&rx)h-a==Oc4aHrc>_}J>e@&rrSNfH>uQA
z<rrxfHG}*E0JrgZlRno~wMK!oN=BDD*GFs?Eea{GJ|v?@o1L*<x{c1mE@`($XfXaj
z!zn}#5Zy-C&4H|MHwQAc{7??$U;VmY{f9pnIS@^Ly9O&2qEZ*zp~FXhqW0BQb+IGB
z3~kM6xGsi_#jLv65rm7X!Q#-=V1ABK7u&EvMl}+G)L<?cbukP>YR{1xtO%C6m^R>~
z70**Qs(UPAb&UT&LBFR0nxB8x4E)@D-P`?fWX{wwOI_?0TNhhEgLelSG}SU;23kG6
zfCg^{H2B)T_vha8)zOonjEoK%G=4@5lS7|8^BSN}vKBM@%50qr9?3`~f?MJzm<kI)
z+Bz2m129)a0u-PVEft0!z4an^0E4frh}vSiB5j=uMzbKytbzt(McO(SJTS4rLt~_^
zRX#(Iwyp?*2tkUe0JhNouP%n(x(k9cSeqAswChdN6>r@o_v=n;slcHe+LM$7h)cr%
zH%JTG`g)Q~fVd>u9Bg_J*bdq|B=uRB1n<^LZu)7zVXRPHkmUPa5<`($Lm*CO+k1`@
z&$=XP4Gd`zc7gRps}1%QUJVI#U2@m0NPvjQd5@Ayd-VK-jKqdC$j4^h@uZVM`>uAn
zKa@!SJ-~wE9H=9bvo*0q&V~<q61pOy9Q%-41$AMRd+kHpWC-M-?zQjl1>~Uab%?!&
zJe&A8p7eOyrpdx+RwZXqnl8e&VPQcI=Aeh|8zc)kHxq8Zh6!uOhKD@P{I0AWk4q}~
z3;sVpo>o_!=}Rv>efi?Umma<fXA{hOM2kamJFLW?zW%Kr`+3PB5n3G&zMYPza0pDX
z6`fWankVKN`y@o-kQi+bEF$6j@CpXFg~TB-K~nBYYL2~)W<>QX7(8)EOb|!~g?Ax^
zX|R7geF%!V^Fyx*_GkJKMH~&jLr@$N6WncfTU-q5mmNV82)<o#zFh=~M6!(7>J99B
zFneU5bkP@c`3Re7Y@f1KBpZuXdLw}3F8RMOWAQ0}&(HDA&?ibHl&V)N{4egfIBa?&
zFACZO?H=r3NH-Er3+<rY*9#0{03cBup*_KGwPc!Dcd=g`==w7MytaIhKOC$n4x1ev
zbP_-ZKoWf}Hq1FmGPmYON5iLfqkt#S9U)zW&Q*GSFOHinX-4sCtm%O_=b7H$3$)6x
zF%^;<q>d}e`7aS@5z<S9t@b4XtAbU-@^fsSoVq{tOGG+`^b#o*gY0M0xBn82P%)qh
za-FN|TG~oZ6r7~0I^U~Z{pRi(Wj9A=Hc+%gx_{D-kxFSjI0WDnXTc#rJ(2MrghN!d
zyDfi3`GDL`a12mS<Z0MIPk`DYoiMm5>ixN$_80g^)DB6lQMJE-E2G|@+p#}xSl-mv
ztJDtlZk0`Z3!V&b!e#r)mbA@IatI!bqFTVbfNmBSCJ_TRT^O6nuu)dtQhSz+e}(#Z
z<<T(w?8pC&FZiawe5kwEraTG{*s(jglGa&5P`#p~ZL$z5egu${Zv{ZHCae_|?IVal
z`GW!_QXa@Zz?4+ccTRcifXvp~D0=4F6?4ktutH)&Ob+M>TEQGpquJ%8K|1FBwt`j4
z1I?ilqvNWrt<bz-x1fI2D{@rJPYIq?b1`+wW4lw{?-Pa!^}>F!puXk_gC})O@F=xw
z=9I_bv;y}7oi+SY6h0oO*`z!Kks~U=IMtG5emwei(|!xgGe4e5yQs1HZ>H%-?pU>d
zm+41uX5NG@I$#F$uI{~Yf|x;)WTEkuSJyQ!sA<zL9xaJrad4gmsA&kpp59p&qEh_B
zR1FM^JvDhn>fl|^eDTv?D*1&v$-$PyFZh=~;Zxrh(Vr3uxNbIj0pc;BlA&^U8)wS5
zuS_?);GxW<f!C~hA_T?I8?Q^ur0F=Kjz9hoq;B>VgNPe$w(7kQ6w_}jqSqU(>r{}4
z+BB#OL9y{B7>wQU%Qbx)f@1ys9PwBA=ur06z%vACpKU83GvH=JyjWk1=w_FU2`(L&
z=~~H5krNYKh9s$*eNFQBNF1~ShEEgMahjr=T@oFb!~l^@=YUALInVrff?8anpGLBJ
zK80JnJK0n|Kl*Gfu7SoCEkpWclS7`0aeTHt<<wR@v8_UQ=t7mV66LZ4JC(C9x;O<3
zvfZfev?;M*(&Xu94^56xGWp-lCX?;QYbbVm*(Wsbl3t#lyFAUBs|u;P#&O;wTGGq&
zAJI9ZZ~w!8`W0V1V}QpA={7nT=#te)#I1-1f40RIU*oa`ola|NvjsbL<hqp=Sud?E
zST<nc4uE~OJ#=<35S8kjHVlF5QM4vagRmV*PMX>M8r(n4p|gX5tRqY9q}|zB@E2EI
zIT-7XlZwdsiuR>y#o0zSQhF^3UHWD^g_tcbKQ|f1wARqLAvNYs;bL27<f!9lJa#I-
z!UrSYTsEfoK?6nyp9p^F_THP}2`vLWs?3)(-6iWO?q^_5>0_1*)Nif<9$)dM?|AF;
z!Eo>R?q*_J;p-ybl>8`FaAiS}Z9Nqov>PAu9D>wYA?SQiCz<qtpeO{Xvs@5#^-xt%
z9008t`*sLYXBEN1MTIa+V}lT+&T_#-MTG)hbup6~rl<k+v`HnM)WCxti*!~Y@q?C6
z`Kz*QBjZQu_4Pk^REVG+T)K|QXL5<}=NuspNa^t^7xlT_#ES#CG9*ieFd3$THYe^j
z^?4D*I6J`wAv<UxXb4Kc=#oc_TbD#4SR;Bw9l3$)f^*5Gp1MbyMg0V=99Guc9dtB1
zVAP}qu1-?|Mwi4WLL+Aba!W0lpXZrx1*%N>t-xkW29Y03JOKHWzvAcSYuQv=f>+>C
zdBo+PoF!uDtw6o&x^Kl;*=lLodaRZ$#Cb^Rh4I!hR!;Fzd8NRx*9DBS4^kwN6TIy%
z;Kwa{fo%4eE|_JnDyJ!o@A-nohb`8+4UB07!gwp?TLi{ZL-SwqeQY7^P)OLYS(s&+
z@kBu`XFM54qmC6RP)b~VuqsHq@zPa)!6BGb1+sFO)xEOsvroSu(B7+deb(_=-1S)?
zwC+9k^dET-uv)eswjQLB?+-8YcMmV~cihhpH?Ujzs@jVuD){&~;`5i!zx4d&!*>d7
zOz>kwj>#omiKJiQ++kbf0%kuIM#UvT)w^S!Smuoxk}D@XbZfbb;N2AZrZ~8HPldbk
zIt(?(4TPkx^rymf{QG|Tcm4RMeb~foB}0@9r@C1x^=SD7rYS_C2&;>q5dmfuEz2}E
z)b|iZ8S**=t7sWuZUM9Gwg)S|hG34CHO0)3b&G?GlM#YBS_b4;d9ik#kP}vwfE+D@
z;flP7SP{5q^{!&Y94%wgyi%aT&q2+!4*jciJXlrH&cy&6+OL+J@81-Ugdf!Bh-|fP
z*NvR|Z?^<>9`cRm_)oDPrN<-drJM6f=;pMSKh*Oe_cvAZ0&Mn_axq9RJiPqEcRc;h
zcZYEnTXgRQ{PVkui5`&Zo6nK5?7T-rYxZ(HqGW*eBXnc2_0`MNH{Zzu>&LwBb7L+L
zzZ>>*^xls@qH{9OKlEuI`Un3^*i!X(y|iuKFLJcbtcE)4SQaOkFuOyKf;(q%65q>;
z=;A88&RLw`djWxEn=YubIEC*8<lpT%<}6Ooy{vo&pL_W_hSje+*k0EAQD(Km1h&T{
z!1f%)mim&x1cs|_FFR){V806!7%1bK8&ol?1rwMMKIB_D%RZ~6Z3@eky%w{V?PK3K
z+rL;6VX(3s#xp+2ZoPCwNl^_XsIHxe@E@%u!I8L?WH>9zU{c9wOoVC^L#dC1yF}p4
zta-)8)#7bsJCexADOW>Jh?lA2a7j9+IJQYb>m=xOd@zDn@Ka%R%liuyBI012Z^jD~
z4qUNuO|Y*E#yc)YI`1Nm#wLhpBJ{1os9`%m`&;sU<-t_iHAhh|haKQ4{-*CiGBtLE
z0rW>iTlaE2BDK#F@ao5GZmXB+m1(N~;II7nw|pQdjI<r2?LorSayES6=e(8-chwq_
zx;W5!w8sDyguN&B$W|Q}I;hRsJ=kok-P6Fq?(6;@B|#0rowhKeFWE?u>?_oIXsTTh
z9Y~BQacH#hwrwFa)h=lDX`o*bt+waLu-64K{aw3_QDw40ZE<LZy)KB75%T^FW>-6_
z^@O09YL^59<_fiO<QLHk-d?sgMQhUw^9FEXG!wb2`*tP`uo^%!zG(oO+S9e+vMf}-
zRNkYWISzX&pLET0=bvT!UaWt!@*J7ih0^0OvQ(Rs9g~7U%14W#Np`H)=z<`->RV8l
zYS389cCu7Ww=pgKIcy-kzL|45-*CQF#vbju=NN7UDi%Agx5qQq^kZPg&o{jG#!qPV
zUW`1$u2T*BPj6!R)@U%#_ir43`~8m%*zIsj1TtR4{F4P@W5vI~(&v^4WYAc6gy0sG
zxZ+WVAY&8T0{H{cwrq(&J+T#JY*GXd2UeJ|;%3A4HUu%Tq9{D;A;6#~V}S#|gu4A9
zC<@QJF%HN^QaypQp~tTv3eN;V?qHM+-MUAGAPUb9y*?@XJq~P~_Hk%ccrMuiKqJQn
z;HJHriUs1hJ85P1xOax;v?hH`+eCGx)6r4b#R#;MWPUm{E^4=+pnFHJ9uH{fr1=pB
z%)qq1=A^o%N>bID8wG1>IaQwnARpZ^rzGuP`UP|SVwh*HRiY}}FU2#`k?*e0Ky0y2
z0sIu#n&qfU6Cpww*QC9e1z!H0#w;ymGGdmhWp0A*673EZ-RG;gAL7d5R+P4`u9QWc
ztPa)sF7px*UAw2`u81>U?Ge#ipZl%f|C;ET)J(D`FD<W}`f|E0*q!Q1S1ts?&u2u0
z`rc-Z=E+(x7Xo2!Y|!-nKubvwg|@>W(8+~Bl-3w+uwv+xK_Qq6fo0J2e&q?)5X^-@
zusZ5cXmB<$7`w6%2={=6KqO*d%t!ljoOl*Au>IlDY|ix?86%+)zp06ilN1NRCDTY~
zj9shFa?(Vr$-5c)S6yo;5{f7#KB@GbAadO>+uxjwTw<b|kBOq&TuHF)$9f-Ih?pGI
zGb5mpM5Vpu69LV&<E44a55-I0@g=YM;Gc|Ij(Wwe4$Vi7<^W75M1rFZTCztD`~W-q
z5Z!<oM5`L^XIp~EgO<6hd6p<au6?~R<rORDc<Hd&k%y0A5YM4L$0}YrVUCW)ONPCS
z6?42~qhg2#L9VD-v5J=v8$xd)e|F@2-1^XUytLjCHzEKN8}l2-uHvNwKpAMpXNnzE
zh3%R-UPA97M>XIMf$6R%nV$|G341(ni*^8qRBN86VpZ#<(E;)gmTJ<^vyd6O&J(C>
zJ?I(GwF?0Cyddg!W(L@==3ITcggJM|hCA;OwKi!9b8dzW_sw6vd+#p79Ie8+U3X{!
zxLe5!%c=AI8S%6nE@*A*5JcivO`&~-=p|z`Kr*HrDSJ$xSARbQGe!eME?YrRqL9=Z
zPfxoZheitx&D(y}wo25~?{?mja{$Fy+mb`O?ki$={8kdC7+D6)CCzo5Bkj5i9*!$^
z2eKQ;*%?Am?7B;$9tOjYlVsgXosT5R{x6rr9p~I;#8}v)CrP{RlAs?@3?*D8%M|;X
z*R$4Yn4>-<QA}hvhi0nr+X!~b4mlm!d@Y-5*Y6}MYAkh0TP_fdH{U2k1N1HFcaEli
z0AO}_S8c#N0UWz))RF9sKG%-V2)k%l{I@i*d~1VPNBXh9`AI+gi%~e&^KA7eOdOLs
z92tTh=0=m$`jhR16olGqRZhCEC>*1jBkExbHP)<{^(Qzx$!~>wzU_?g#I=JK&TWf&
z1{^C?Vw?4*U6FB-3u0_E0xSxGtE<)VS%2c8+n{NrbXpys^=F{w)(B^si>dS{X4xa>
zL#?>mS+OgwM&cqrOK_BFb*OJQCbeSdy2nJWB_)U8#iE#Te(RzV?L$b*KM=KMA6`rv
zH!Io!UEJtiN{lsC-q%*`rFb6QYZIuq+bN#gyF8(luAK~;xBQUO`)hCevLF7}qCHzZ
zcvpJn&!=P%s>5xfC8ryZ>QJ=M@raRU^7FSf%xNqumf|@w7_DF~o(G@c8abI}#VQ%J
zMn;VK1i6!5j;Brr$p{6>8acJGrl@d^RWeAa3|T(J<ur%R$)Fv$tu)00zpcGJRWhiI
zZv(m2s;%%>$si==2~}c($p_cRom56%%c~hei^-s_2L_P0*>8GbqB`z3&3R5LQq^G(
z9#uSnr4hNPS@0&OscYC8NDAR!y*kd1kLnKhqFuqI8yd4wdr2Eho3fuBrV+UQw0|mE
z7Kf^46}X)L9Xdk%8>PndtTP(xGr6#VrU(vTiF?mEz29JS#>77fBi5kSY+f?y2DPVm
zfiMgiJSP1x8oSL+3WCWcL7k?vzA-ekFPUC(FhsLg3qP6@2;tga7x;k-MB~C>F_1)!
zs=Xos9+#uzpu(Yskzrf)73?egzTlvyHP`TX<Q-ohdOulF)4pb5TRVa)w8h#847o^d
zw;U;m-wg(fw5d_?XI&EG$2y4#fl}##PK5T*B>~6BLE(5osWnuk^L{sI(a*n|XyE5-
zr9MFQyFnxU!0!hC>c1O)%)c9~=)W6&j9)>#!STrsNIZS{7<>5X6z}9Fem5iS{b+%%
zW<G=XcYJ0wS&%as4cfIabUMKSAyD_Tb34#|YzIfRqLA63o1L#qI0z7^_vd!nU&ctM
z{W0|Voc40|gy$jKAaOp6m023l)9HXWId>T-7jnKF{)`hkvfEsAc}O=%oVyBL2!VEo
zeEnT_(Lo87``4{6I@-uW847`Ve{RPKcl(PjqZ_;JMOP8kL7?8B+i8ECZ+`yW?xL&E
zogJ-xzF=<0{=yC&o1jC0bU{bfWYKSWW0%w=h^B?vu7tqY%)HZBs>@?l?qjhX)Logg
za)0z-RfJpm!%GjB<L0%++mj&uChsfcX0J{!an9;lPTH-%_7i^RA4+9Kfjzgl^CQY?
zLO6{%O3VRXjXOsQ5Vip?<{BxvMvyO*1F-QT(uC3d0YbeI6iaLP>myiA1=Edj1N6PB
zLyISAg8LQc;&4SE2irr7C+RD0kpmFxc|wY>SyA2_7u<9@b_0~p#FkWt7AtFl=v_n9
zOm(tF?XwY7PqIj^89qaDb0SY_T5{4Rh1H3mSk?Xr#8CtuU31h_7@zp$j+g(9Z{m}G
z=YQjyp-+?=N0Kky);Hg6t=e#R59vY)1@#3*x=<$Tx~{C$PmLK2g*6)n-V2nMD&HMe
z`Sb~gk*J!c{AsqyhNa4PGgZF-`2YHAfA?!*zO!b2JYnN0Q;?A{3SySJL%FZ6ckx$R
z1Pk#VF#4@v&QhZxN->I)RX$V;_0XvDX)?qPhVYMO)(?$goP^MjFl1%(fkKdG)&((e
zk!TlO%*Ll^h9J$X3+@jibwiq27c5=VNVr=cSgcrQska@H_c+O+!eN!AK6P+ZD7goG
zv~4V}I<e7H#u6;^_`6=Ox+HA;Ji$W8$A~pM)>!MuC~ZA1d0HLVwIY&+2ufF!s|fIt
zm~dc)6SuQnGe01ti}dJ+we!QKHS-g~*V-<}3TN-y^CJRUN(%{KX(gFxRfv^@gI^IK
zvrHwjh=Ec;BPj`oB8m82pV1m5u$AOvxkBpkL{pt^Tg?;!`eS)Svh(E=IDE5@e`@>4
z6%LsKKC$~L;Oa5Xp$Vgk@PuKl-XTY5h?1&7OGw6yrvxOdijb~HU?+2o(g{xy*h$k!
zM7W$qbWVmrQde<0dV5NQiA_<==MLYr*L}k5_B^8*ju%a}>&A)YTdU5%@6W&Hmw)hY
zMc}9B>4Bea;qG-9kV7SoR5}$D;uZS}W+5!nny7jOe{eJr(wvoGSR}0I9MZ=%y5JhY
z(0Eu8B%WFs==L@=E)E?E4>^$yGN&3-33FF<=+JlTrvTVz$ri!a`oscjB^c_Cf?HlS
z1XoBMHiBW1)=!}ZjGkZ$Vu$u(l0~W{CAC}~J6R-1u4N7~LqrgVH3v@?33tdV%U}+-
z7&`7yvPf8Sg-KD=$|&^Eu}DXsrE4W@Q^lD%Ou=BP3NaNA22-FV0qTM1Wp`d%ogTYo
zHYJtFRS~7fp8Pu`qbGjU@1{f01x)%RfJyE3p3rtZWx9l!cNb>Pdqk~4TEfhm2{XU^
z^M37n{zMpc&9=Hx_uT95utn!PGo{B33wW>w_LUiR7mSg|!1Ab355YQ{i#STszG#(i
z6QDVp8?%woU60~?b?BVU1;-{4op3xjy0#IlvblhWs%hJ7*iBNcn6tTMi1O(GkW(XA
zWpfb#OC=PY=xkQ6R;;qQY|~Tok9NJDq|{6;?;B&3ceKQL%}E2Sw$F0DuTB;bi(YWY
zl(x(N0voz?%-wp&od2e;*)eCkFGe{rU|-F0J%{4)#^*oHdqj&-zMc6`zwmSGU;F5`
z!^4fT`AeLXq`Wi;w9Ss$S52f%#wr***8b5IA*Lh*t4JH!N9h?sAW5QX*s&1Ik+$(`
z2|9q&Fe<?uX=A7d+yKHZR=_ehf;rL#wGL8xQr+FMMZ8)uN7|<~Lv{qFCnjPW!5nGh
zo+z65gt5YEz2jo4NE<vrY;0jnjzVy=WEE*61EBz+6PiYPlF{LTNV_oI(BxNZ-kAAL
zF}BgusNwgJ`4NI>#;F>(=7?JM%<=A3G4D;ujK$7wy8Z9c-f^?-9rquPfir<b2J3&l
z*!jFf<ka%5iD4r02Y=)j-}cVmRtW#qR=IjK%Y|yw%NMhZg(5j-IgW)QIcC9NU{sI<
zs^ZB~yH4o(9JB1U8(?0Tx6^6sRVb2U79hAu;|Qe(9*;I$s$v$)Z9?N}3w*VtVwo*w
z0aT2D8c5PMiPDR+R4lVeq8drmGgU5o1+Nj^5NWCE?m>xg3QXC)@N6R>!IpP?^x%=#
zb6V(Slk%IR`TQ_dHym)v&xP708u_vUK40SN+4?t5isv%{Oiri_0F$>?RYN%3M(k2i
zv?l{#G7<bV(Iv;79$E^;FEDS>I0jazeO3<*u;}z&Utov?CtjeY2OhWH(bJ2Kqjx0B
z#QTaK&^BQpJjs5Z`_+LjEY>_?I9z-5^lD#}j?E!lmqM5g*#L$g%f=(I(H>nP%r1%d
zRg2i@FvSatdS=`ug)o~WfZi;3<JBGjv`LA`ugHEbz(~SHxz3M3;KpiN%a0&1$M%K)
z2mmGa<a_xM^zg^=zRi9`{V@4QfRo5S0vx9L5per)egtDEV_}<4B|SkMr;=c=Bq=cD
zv;#O`Dh^N-1uK-PY)8?rhf)Sq^qd;vW22ODz8Mz01xmR>=>m3Koxg0y`3nF(HUV13
zs~fjtE2!gi|9~Q{mQxsV+5sqC)OZt0n4D3m28<nY4Y-F=KHV`&87S{OM2sk9{C3m%
zQw8Sp=XQ0&@}|CCr3S2bt8C(1K%gB+cb|ytL)ml;vg#4RAQxfG6axmi2-~JFHSjI9
zae$o_Deb3GIcPVIKkhF$#1%-dvGAwshRx!toXo3sUB-*qw1RTCeLRT6@_0{^Z(ZaH
z%zWt9a+hViSQ`4qXyf<)>5ux#Uyllj@^Lv3&)B&Vs~}KJNOaJK6b?W-W-f?Q0wGiw
zF9y%26{M;zf*m1N6zp2kyqZ-ocna6;plb!<`HJW#!#EPIxOFzEI7g~=7X+%%8nIVE
zX*3tZXd?tq%C`mQ%^Id+McPdl+@a?USIx<0Xi02VlxQO)5xJ-&2933n(&3}`^{GOV
zhysa$`{LjdZIm^gB@r6Yh&hsEemYQ*@K<3#;kFv6F-hjf0}6U&UPRM}(S5n(%_ewC
zYDS}tnaLd$9-`k;f6cjwZR-qgY))VlZsb(hvM>)LBmlieayY~zOAbflp-G?>{)bZB
zyJ^3VO+fIX6REQGBXE3scbAgPlUtIR_lVkj*@Ba}8JxsBzw3|xm2V3#KuZapbF{)j
zD#@T>tgT{X@MDx@0C{gKErTT)z$rm5S=U8|OESpKv?~slWPrQ0*0ZRK&<iLRQ%W)z
zTdE0{5F9SaAn(%((hKkvqa*`Rcv}s+q9n5xe$J{KbVW&KeMFmgeS>Q7V=2jCFj{?w
zZq{O}?OjST%TTmrrX`CIW?Irz=bLH!B`s$9$u-mS9?@c^ZwEQ?FZ}w?{M2u>X4->w
zeKf*LLi|4(%>ZuKWWIe>AtxXR?hrvvfQ8=%a))4;X;?TkL<yV`t!uqzxG>Ym$$7m4
z-A+cD5-Wz8h9IgjTJC@aY|k;wG|sV*z^%46T|8C{GmUd}61Y7`VBnloEm>ivLAkI3
zRULz1R0jJzmQ3H;0nm!iCI*p2??tm@GSl3{h~+T(sNani$O&9ew^1-9hy(gFQNxMC
zEh@}%1Jh-zuDM{A+oCP5I?qYd&j?ndWG^^24GpDIA|KTFw^4co#7W4RTr!V~#pBKx
z2Zoo}RhM=)mq#rJQei;4P5z@LUVk#;b^8S_j=+=d2wXKD-1GE{BXB#8z)%0sTfbtu
zuL1TAMwXm1pi*lv1vE8Qsz*W8+Z90c)(X-Q2ti?~5jq<SM*}B7N1zBQ?+p)qL?2{E
zz-ZRq1<Q0)oI*nYqa@ib7}HTVn^Sv^86$K;d!)j24lA-eR)8Kl0=^>V6q1OR$R8p<
zYQYL4L;`O}B9Dm{!yYsw_ca(}9wd?8uOSzcrdSQ&E%r4OTmNWh!}i#@-B{pDd^aZv
zK1U{&rt~<c&C=Jf^fkO7$xL=9zV!U%!*^b+w201{?Y%m?KV04|C3may7R>Er)qQ<Y
zYVQ1qvD#(d=h(YY>dM%9@Z=h0Q*Ckb)rBuc`MHOe>FLdBJz1~rshA@WUU+!<h3|O!
zo$roy<kev*{bT>jzk2ae5uJmS9E}N{MAt161K13QBht=_BDJq9Ixm9T9p=s{D7Gg^
zqwIo+BX!&Y7~!k|B37hPc0p^YAbJ)vn#ACRAfs~^JmJU!2ZX&NX$eed2r@c%!D!>d
z$Z|ExAxNWK1VOx`7If?F{vk-C?1E@+1e-C8GA8(9IB-7nqyeRo5tG9Y8fwPO2;Ht5
z^VQ<!Xx9Fv*G50b+TE0lp6TpPe7M-SeTBzT8w69qRjLH3;0nC_8n-ug0G6hz(8}DS
zdIvxqVh;D=V!hA%W1d1qT&XqN+Z#)c^Oe>d67Ov9y%{jtvu5*3;wD{=(1b?ndP8ct
z^HbOM{!VCK!j``1#TP?r>BPUgPW*X~Xo*;FCu05JKmCFK`}-yd-wqo>vzWR*bZrth
zCr4ullgtpTv%OX7+9x6HPpHaPxlzTLd9t^_>PaY8Cs8$Gb-|c}1oRk;W0h#msp}Yn
zv~6?T^G%r=q|uygwmT7V6+<}kwaDlZGQPb=x7XPA$3nKdPi^h((7cB8ynnjLC}pT<
z*tBfJ8kBY01&?{|756p1M*=;WAZ{m}-<m)Ws@|yy#QA3KYR;!WR@Y14DW5;L<NWC`
z#g?Qh0u7DLb*68gi;-G#u9xq6@MMQ~^B&P+!EVQbJ$%Dk-}j>t-f67u;hiTHVIA1V
zH6!N=u&w~0hbY9WoGT~u(I%qfsz;`sbFMj++T&?qc<1Lhno6YwbHTmTTqOkUtP$S6
zYWp(5!D&(3(J)dWm~*Z`N&^taOe)q^j8q6#IoHFn<3MIM`=EPG0>LDibFK_~ZEg)2
z@|rv@-Fw>+%&Ys8_GMzePu;jTX_3`T_Or9ehI#{<cVk*FX2SsYN;T0aIoEL)jMli(
zgq6#^TQu6|SUL1E!jPpv72w~>E0AW#WF=)g>|#s!30~F>St81W2Wf1~`}18%`{R6b
zpnIcJ39W72o29@yo_@+;9Tx<ZvyL~Lb1k~P_7QCkW&@X)biTP*h-j{Onfm#7dLfcX
z=lf;p_2Ta%51Vu9RaREM^K9$wCTxGlhx}*VX9N+A4oY%3m5oiRr^aanX<u2w)&+MM
zJ}JFVz%r9_0D)l$ibc0kXNQ}g8n@c8)0<gSSlH&!V$prd+N#qIpkpV<<LVrxifV#j
z_Xe$u6h}cv-K;1U-2^d+m`JWI!WCq;A$Uwztrp!SopjZRda7efR?hF_9`Q2r&j{)8
zf9;)ArUkzqm~@%Fg{g4{=8AhRQDCgO2NNG}5fEhx=5oo|wm;c=i^v&VYreM5Ea#wG
zzwZ&$s6#Q-!_;^P>8N)SrCW4PK3)9Z+f$U@OC3upI9XKb-boe}CKo0@nQ-_JRr|Sc
zrPn)DCKwdfRdO4--m3jvSPatsvR#>QnEW_CJcLb^C=kYiynmf2;H=>6!qBCt+RtSa
zTi&0)^Ekew($glr@D!<Igw}ON58Hctg(~(cU(c@3a2u$p{pUNed>du50QSt+e(#U{
zn;#y`HS!~E^=S;(<mkFav+(wpU#!kS!1L><-R9_1@N7{9WyLFQm1&CuNHzuVirIgN
zS873tkX%(A0OS;9(V-622xfhHKud_sPQp3%rdDQs3e>4GY=D@CbY|5#R{C_!iBh7<
zH#P>q^%Q7Gm<KEOr(4^o(4Izvq(n%5IY?<r-zN%tz00ZfDcVdBA@MhY8S>3(R{9jw
zCkie?*lAs~={zfQcfw+_CiutN=P17rzasolZ3x!xxa#Ts>H%#II`#0_9WW$1-_A*G
zPTibzYLi=aZLaFs+FZufxheQQZbrWt#@OikrqGKSxr)JCr4Nq^G{^qIaXg1Uj^~hz
zm^?t@*BMalO|YiHS61zONwcPtLRqL*wq~9cU4hvnm+=@~ougVoHUZ@s$0u<0tmzCA
zO{V=3aaCnZ8Bmxnn7{j^%j=Y^8nP%O3h&DKWkmZWOz7U8YG2BTcUMN7_lR2axHPKX
zOrz?z{`OD!#1FitxEPud_av-tmGKT#FB9CM#}$VuQg1nQ#@0Y=M;qoVmbo8D9<3G6
z8iI5&Trj3|pjQTlscP+{1#`ie(t+v03UrATscKv>rgUs2wyRd85(+_|(y?LeRv(($
z+XZ(kjJ=Q~ET$9Ht)k{)xFjM~<wOHnxWRbsE8HJ(F<dexYV5(&Q5}5JAge9ABDGqq
zb+M66K2`RPk;0DV;Y1Bx*g~wbKhdv4Ar=Mlf7g}K6B@<u<d|v!zMkheh!>jdFn^{g
zrV_W}e8X$+@wtX~ZcH_A`POJKrh4NyeBF<}eyZve0RDk_0}@jy?H2Uh$QS`}+D&kj
zk~5{MF_vhAX;WNwTXB?VQ(`I;9Oc=Rn99%bF=#gVL%%u*NZ$-Z0F7eEI-@Mx$fzcI
zxzjhRi>jU?V`Q_7&zLcJyluq?$(+hVmnOtozVeWzKolM}>pzu;-pdy8bxFVMCM03@
z>74ozlTSJMg9zoU<dX#3>2&1ogoiJwsZ_s7B}vq8(zoERt2bvT4SM)qwmerSEy2JY
z1_P>!CLU3%*Oy@6W`co_{L~+N$EQdAMvZJ63=~)dChawzX*;qHPz=dat@b@O|0)<*
zkv)wmI=J{PBTN+xAX*TjV6>0-1gl_REvONc)3*pOEALsm;M~oI5k+E*_=#<2$b9?C
z5E(^K=c7V!VAw$p2KL7-S*;-m!2Kv|4d?{O2?xmzQBHG`buh4&8TF843A&YJmEBJh
zsX=D^U{+8^>K&b)i%VkUfR1rx{iY7ACIgIOmDLPik@2IH`tI8*4$yzg^&9(_+f4Y)
zb}BCGK*KV7Fh&Zk^uHK8(1IS9;*Eq3ffAKsPBQrXDW^^TU{j!R&Ek!{&l47-m*Jb?
zGtkQT&t+oy)*vy^c;6rRj4wPZ(CCq*SAoXyKni@BR|d>g4a%wljWr?&YTX!=8aS^i
z&`>@MDwWQrlZ0RnG*F7s320#Nw6Ufkm;(*eZmi7-yqK!cLNEs!Mh#ER$8vMNn8(yT
zw;N~_jmENqDlJEI$AK^L-5hNb5e=osiwR4haZZ(c2{fLG2HQT8h`Mm;>|Fv4pz~iY
zpxF2P%s2jp&kbOMa+Nx;!I(=kNjBzZNln_mGN70X9yY)L&^96$2P4VibqLZn7D4bd
zqjFA?>;tEPRf6K37+Lgj!`a~!1Xf%T5V4|URZMWd-JpCye;cj4>Y0b26gW)~*dI0(
z1J<=>#;`uLls=uO8h<4i!k`ta#K(#ZH2h-NN-Ryqm`+ZnkLqGn1=^A)P+u8M^?ui0
zjRYFLCOEWM$snQifTX~AZB3GB3#<ajf|a69;xsj-Y?6D-N)bp0F34EFl4O27U>H>*
zaXv=`FZC5w&j)1a66S#I+#nFX=A;85cH74Rm0>_v#4sv6owHQAp~{H53Fl%Kbgvt9
zE<A1-Kq|ET$AU4-X-vMJDJ`kVx0Hv3=YFRkvUX?-kP~nv6AqoPN<LwEbxOlEkPa0N
z9%0H^NC)Z1PH~Lfp2%YYQkSQ6Y|@WZeN%8OUD$4H+qP{dJGO1@*tU}$+qP}nwr$%v
z`TjbnbJMk^*E@4FQ`6lKvBM`GX&+LTjw4e=?o*%3<pxQ*V$H^kwsr6vw}~wVeiK&R
zncXK{y$5_BNb%9T<{}vEU;1y!7_O*8n!-w7aC}59jr7ty_X0)&StAYZOEA|F5@$E|
zVm))Q{~j=Q1G!yz{5dDkyR+-X`*q#%u?8S{Y(xkg%uOT0Y%}g@`1g@MJ`3mAiK8mB
zxQIq60VfF;xN356#B>DSnG6rjcG>j_UAS*njdkm6Wn;0oUBg63Y%i!Pj&t}VTkaF5
zo^IXr4b4|dy!6LJg8(hyP8uO~uZf!~UqB*=wVnAXKhlX)S#*<OP7Z+r6GI)>vsoUW
z0GC2;@n@3rAUWIYlSw2^t!xrH6GZ`W9W-k&^AkD(Xxt{$)t&jsw$qT_0JxI!)hwKt
z$Ndu-Bo4L7<XmfVqj}!DllWtjCnlh^9*Qm%KoU<NoI}INwBNzv=H@I@Pd&@hj=ZG4
z#9-hz160djeM1P$-tU@!V-F`84<~%>L~hYgz9*FX>^=BJ(H+xEHQ+iI&Hth^%aXzZ
z(249vr@^2;fLYQ|KQDqCa^H!YbmHl_h5AvIdGVWyuglc<kZdbM%iM@w^AXVV>d%0j
zED`b?69JV@I_po9x9bzN9$^lPs-2crOB>7O$VPby$pP&dz=Hf+3yF)!sDLm~#)=Y&
zS{9;>5N00~AulYfjs3gHG2SFddKgfkjqRnReQV#!_9)g3bzWK+&xT3DgsZ9mc=!{N
z7=(8%n@dm~w%$VlMvJ+gF+Hn`?wDK(mh!Dls6{iCgSxVh)7*`HGsF7DWB{#c(lz*p
z9U0wwsplbi<}<RF&m#8RJ}$U&)L4=j$sq^1;TKDlgL(led2ISSl({l6$je4!pQ-07
zo^xnQqY{Sc&Blgl{*O%}eW99MEb_9Ka}$rNM2C^#vs7aKAibcdA~C;JG<yc*B-oTM
zZf0?H$_8T6OSZ$%4@f=MAdzuw#;^sUa=u!8)?t&7u0y!JjqAB^gx^Z|FVql-D*mRx
zI3KDVH|BQU8DPQk;-p3Ec{`6{{4-%Fs1MY)tNGDyDMFFQi61K}iHX}7RHn2|7fGs8
zNKBAin>(b$6?d?xg{vCZo?{uSU3VB;pfFPQ3n!(@87EAOu5C=m(@R}=?unPD#m!St
zz3zP^J@L*^r&#0a!duKKPQd=ncM1M8a1M{oFps}!MAP6@Gkbn=8jWX&T#-i4*S%VE
ziMV9lKk@NY9C~@oCM&t9RLSvF-aaciN6ZC)5QD{f-YJvG?IB~&2p6-Xj#UEzTT8bF
z-l0#UsYk%rFe)2w#w1?aK#1MkN9gQH=Jza+Xk{_9+}Ks=jXxPGbVfh}7Jq*4&&XEA
z8|S4o<DUaaW=_SxkHgn=JRnk2XLS{P_{_bH{N&&b#Gb1k3CuhpETXE`F6LyC8JB=p
zc~j;ib%z%N_bUz!HvfXym`nmqh=6n_GTL@Ww!Om4=<&tU0Ns!J$#hYLV5MfK+g+gL
z?74V_?9_if-24>9P{6LdGpu8L`ndx^RQ=sU>{AU9^rVTXS}O?GF!%$}kKK34OdvH)
z7y?2?_(c<|r3HLvZIZBCOkikQ8!g|W!T15gP*vNHwd5!*#H71!w0F{)P;8F~f-Xgz
z>J6q%6@oKw=b}TO7wZk?SH%WJdCswr$LDm!j3dWQ>$)Gqm^)~qHcPHda<yBc63CX(
zI0R#!K<Wj+Tsi#EXF@g4>tR<`s7iF6$Mn-)`ywJxzj%FH7eoGxyNP!a=(ro}{ZlFy
zdcR90l<38E<Y2s(_melM4x;C&fsWBMi5N%GSfx&7ib=^Ed<d+kzfGj4)PKle)$rRO
z8Qkr+Gdu}Lnzce+m9~8fJy}FjfVFMz-jvZo+BJ`^&!WVpGW}a_>>d1N-hLYJ$NuR~
zU}gE?ZeGf}Zbh%u*dMDD>sryHf}TN7tL@Omlj!68AyG0*XBTwz(C;9Dn(N8SbWvd9
zs%sT+g8*0Q^;gclbu*u4`()x?L0{2=rDbHx+Wp*;j$Z{b8Kc&@^jiL-`hbsXAEVZq
zchy%0=;*uTnZ9#L_*)0!S+O(6m6Dw+1ldT5PhDj$DgE?1vF<@%YhkwJ8T>+qBwYET
z8jM!9S}dJvB}Qi6aU4T?<=eTc8cqtK{A9Q$`vKid_q>x2rd@|*jVV)fFyV4Z?`2ol
zc13slPiEqZ{uZs|qvZ&moj&woD#(80YN)x~)%H!R!nJop?|ch)n*GJx79Jh98@`g@
zf5$hqojP=Rd$ymJU)-c$q+B)rrlC4+yIlOM?#Fgo^f~)9;eH;0ok%IDQIohl+q$1(
z@2Zo6y8m??`U93H-qeMA>af)*r;OeI5}-CY^+7LU@Tw{&J5RT3W<yeRMqL3cF*c5G
zfT)7>5;9{+prPv+txkW9XJJt)Jw{qBR`w?=%wlxJ#1f(uDjf>b^c02NXST-GP`9(m
zsZu8$;x_Lktld?$;%J~%0vrerL#hZdk3<un4r~@WavqdJj>ZFoTar8M`f-5Bw$;5w
z9<rf|q7GDDkCM9fbD;9bN`CgSeZE{pisc!pub;33f*0{64d4&?(?LtmuBZdkTX(+&
z>NP0#JIow-D);zj#lTtY6EV?=6`OLw_>g6RtLQ=`JG5br<2eP1pG!f{@KFVOO&@1(
zE!I(oE?gyUQ_XWYEYc3!Yocj&d!&RGm_8tqU<3?3C#cE9j6CuVu?AbF(ou;NI1LEG
zD|K&9mFIjOiPO<RnjmB2dbuBfYf^FIWR^}3Tdh}k7Gk)$|4`J4aM;`J4H}Kxpz>E>
zXtRIBP6T2__gXi=D=5R9Q1g||B52B|fUy^NkB)?WO+^K&kZxTgTwTXgc_AqVKT|_+
zH}*Pbv$RhCY7-m29HjT8vO_*F)jFlU`AOTb-VwnHIYi_jvcCB}Mm+gX$OEGP0K2+k
z(L~DGW!e0@23{xq7|#Slk1XHw5pIu@WB`Se!{q|fN%H~;71uhG3`cDRAZSAG+e!~K
zEJsz+4St@Ej}aIo&{I3|J-;WqaF#x|nrm3COs%Intz{^j^=V?Z#8JzNr-A^D(gHgg
z+8$Ca>tC>uX@#3`$<TeSlSJMBX!^a%Fj>vi)6t{7&Yd;d+L|npLQ>%l;OFrdwEU9A
zfC9haUqe%$UO;}08>!fb?0@I~$`f0e3}N5IFD|+6ftL#MuXxUOU4z9pzQVBIFq1U5
zJRaC=5ZyFO)k|8zkh7XIXpe==VLa+mq{|Q+_4PP?Hp6=z8PkM4ww$Wo9=+^W;#fz5
zMXA&Rwvr(oLtwRdio`er2siC1NJJ`O=Khpu(gzql{wQDkv7<4ctlKr8RUZm45;Q`E
zz7{JGHRb=J87UAwe$#f~v6z%I`#r>vlZ56Ee|ilU44(t?nl6^Uv(}QfXGv;`e@*o8
zVwoSw@5O)mvJOVU`WNT(DKTkCTp$B3_n+l7tax}5>CkprJZB&k#Wa78${m=N$Xrg)
zz@6*b>DczXS)C>Ibm+Q!0#7#<O395*JqBfdzx8~#V`40)@e(2$2N;&XLOP-#3z8ww
zSsNz?ra~~a^p|iFkKzcl!holZb~op#-U}0tg7BKv;F@rZ;+TuI5shAQ4aPc;di{xo
z92N9TRs%@`=B4}ZC_~2@;@mhv%AW(lRI_t5|Be|yp)%5s6Uit>%+&L@C(j3+cM=)d
zSTg`Cx=8UK9mX<y!J*fIGSA5eOfpFtgLtL<@$7Xq3{s3%`L?PBZH+u?&~rofW=mpM
z)w1R@Zk3Oo&Yq9PyZ8xjKHGbDh1lSMCZsn1@!|a-@jjf~d*({b`*O_3KYk4F!u7?A
z@G~JoKhEO$qWT>xnu1?+%5WVt4%xIR8P@{Cor;YS<czs>7Y@b&=xw7W?5p7Jx8j}z
zorge-3eyUq?GXbFgP?<8_)Z@N{$Z*_CZ@rkFAy3CAuN+2ejs!T4kh3z?~EDc*F2`Q
zMUfczT#Z&Zvl|e;+4uMEl6n*G4pY0HVFYJ+6qHpeVn&{X^;eb+!J)@1ValM51S^us
z1|e~qZ8^6U!^h2<Sy{EG<L}~JL8~XKvnty1Lh%=CV+$=Qqh<l|rf_3WYP6c@i=O6*
zsq>3xALoe<noh14Huw{Jkoi~Yr3`Rw6HqSrs_Ce66{UOm>Y4qspVFAICqd0H^Go{T
z_GIZM{ygdUK0DJ(uiaT?SB+m36U}`1Sz|V|pS{Qob`y2G=nGg_8#f<>Q~up(@LG$$
ziM1AJ5}9(8npa-CdkXIYK+rZK^DvTXz!kGjqJ>7#w)X56Z9XW%=>YH7MaH!EwBWwZ
zs{W`-aZ4bfMr?*`2&`wl`^sgu$qbXYkVa0wJj@J{Z(56<a&2xMex7EWax{L_ZwbHF
z1OwpX1O`AZEspSHLUM=9S9{S-CRksb3i55l*x6w0*1NCjd5rm)W@(q=!d-)qbW+Ts
zH_cl^A`Fwt0><Ycg8jm|C`fSqr@z-LHej@2kb>ON=g%LTS914+8pvZ9vplBYl;XH+
z+X4m|<5-DwBkoS@?01R+(C}>Nu#amfxl;>X;X|J_y^O~{w%ZF%(%BWKvd_zL8%lyH
z@{!)>4?mgAg>28OvfpL5dl0buz8F&{+gT8hUsaWww%n0_{)Phgxs{jKobH6Q2(g3K
zKCuPBEBSX5+x+6;#)H<og-L&8;N|%y-mPbCkh}j)*;beX{&Ev>y6RzcIm1$ZJ)r9S
za=iO%k*rdUUds35wfg3>sOR(ajji0Ggd(3bjm5z{1VjtQA#Dl8fy#w-`gG~BPp>4a
zv{jNJpNh@dCM_SNTdH019F@3Sh#zFwT`(58U$zS}7*WOGvO^lIP`^d!MWV}4L`A(0
zxG?n&s+b}jw01#^jya#IGR&Bmp&3CNI<}xaHLTptoQ-WF!VjD!R90arJtRhn%-w1h
zyfGe8Wse5b9jL<LG7NG$#gQ3`IamkSt&}XA>FAV~k-4t+*Y0WCP-^w=`t2$%8tpSw
zI^f@ah@{BNNvLA6sV!7a&YZ*f6qX!&?jlq^^E0vYqU37`rD4s2J@{MPOFu$j!MD&n
z8{MIXgeP6mo2$!pMJPUT5rA>3@5ujL>dY3<*!ib+@=HC(gSW7;lTQ8E$FH$q1zGBM
z1}s&y=FC2-HIGkBbDQXQ$7y%)7lU@!VfY;iEY(q<sgVk3fd?eHJc9%juOV(0QuWli
zUv&Q1PRG>1b>yFoTF`0`QcY>YmyTycCm~efoM9>uK3F*CRMlxNnwMP8-JYue2f5cH
z$vhLT>V4PSaW#mzE5M&sBPlyOShlQxUg}!Y4OO>u#-PcO+Nfsh6x6R!&X`b{!$Z>w
z4$W0mdxwc!bZYT-y3wZnT6NcrSz1@5F>E&Zy+4}%-gSciW|)Q>xHREohtUF9hVFge
zbDTkmK-h!M(<7N>`&rtl;MwHMj^gGy(=WsST-o`+#78*;$O^kU1IUPhl_aU%VRGZY
zLgbXP!YXJOx;*RDlrGd9@LIUdeS<1SL3DzMP%;eAFY66cs*?vNN~RLDmz0+Oi-aLi
zz^(>-s;*#_4UH#9P*y~+?!9-cOdX-LACPOBa8Ii4rh!xiGDLaj7{r}R?ZTZul(1W9
z4FkQJ6P|Q=lz<l&dUgmJWq(e~Y`TiTGH*?K^+zy^wA*66P*@W|>?Q7=zM;zHCAJR5
zS7k@am}a5H45od43tj2;a6d}AL53%vl&>f2^>e2Cr4Rh)njtuy*hT3S@+O6u+2=gg
zDj9~6tSFo}g2!b#GSKy3=J%vg&Lm2R{&Xb1TJL4~ZeB{(blTk<iboPAzEqxC+MU*f
z>w;qV78G`8)o|mMc<b2EgRcSQfzo$&&Oyd&;ji?G8IQew;xvui;4$(mo$S%6rnN;R
z$rWAYSKsrGvI|$~{kiAu(f1Zt=`=5uB2Y9H%|$UU6l#ZuB8No~TO3U^3C>-x`NV>(
zBvQ7o*FwM((Fcx^b1v~cLUJ5dX+0-?d3F@N`z<~-+Od$5mKv@5&BiNn18~WL@#O=R
z%tZ=`U3KxcuXrGDOI+&s<0(&UO6T|E_joK$O2e5;l9wk>^Mp8h^!#rck|yO!Hl22h
z7z2xc5fD5Y;{hkTjSFxeRe>tZ?J{l0=5Fr<+n;^o$5Ez^&T6<zIgGQ{LcL~`ot6M_
z3&(NN3#T4-IoVWxhf4`^`Z=~2u--fqP+Q|$X=q>1F5qtZFNTa{N)RV>)EO3#bKvm`
zl#c>?G|oIGfoMP)v8X_ZB%4cK>)NrAlohh)9mM>EXYe^rW}!NM-%WSlx4M)s6ABOQ
zXk`J#T3VXZ@T+jw8DF#@@Vqnjfx!7=m{zzh0KF^iP=n$7Mt$ZK+bG<%?C*rHi=21i
z8p;Pg7J#SJk$we+E65(8ako@~+2ZB$N4*v!Rd|eK+H1WeMx|6U4f_rthxh>f0&7P*
z&aY5e2?xjn19TfcE#TFx%<lnpOKD>S!9uhQVYM@UX%TrrW0VaP6WJeJ|8$XTgh)?n
z2@1(C|ALuiv*h83iy8QGS0^%mpX$H_dDi=}r1+mDo#`PewtsNdJS50J!w1An_PECF
zkUjT8aJ7-C-Di(GtmQf@Qg>4-%+C`UvxdSJ$MoKhzCRs&_f3DMMA!mcgj&=OPDV9d
zG>LDj2>?FIt;e=+7=A=R7<WW?q7e#@Zc)$T#Bp)5w2y^;v(Y1=@dU`qsRO2Er7j3D
z%1~ERpOPPbflc`pwH68x;wGVkHl=h$oc5JN+%i;cXBPKBmBzMI@v7a~EhrTT94wh_
zHdRu%EJf<rO&6Nr+NjIbjyM%5ws9`M3}GbM%({qQP2oVFJUY{N-Py%#N+Dt`?%hk_
z-}0|#W0BLpQ=e|KZWK_3;QI<)b0iwP__&>c4?J(R`y^@jvv_7DY9LSB-Pne&Up!Id
zsFndx0$<CGramGV(;}s?By*hrmY`CxBoE9&Q4tV|&qti@a^evQM`B_vJk%zg`M@q?
zmbw$@ssjkP*EVK{^bogBALXL1U(KPtb`=M{DwkxG7LSi1b{GbpA$+hK#L5zzcujQF
zZCbm%Bq?z>RxX%~9c1u-i(}erTy`y0Z{*)b0U}N`7;w6krUDT8+B$ngf``P0F0ne*
z#zuH+cT;6CfR^q|xHB~)hyCTUp82!brNp#f)n!Ewf?^_N&NmwF(4X7oQX@%~*!W=s
zIjPp8PZm`FAD!<bf369{Ra#O7p_m6FdiN~~iFeGKzg$jl%*rlo_mY(J6y<xFlQEun
zWk8e&VcKwZw|t~69jSaR8}jrh(0tv%OhbsQujPkxUKj;3ldL5q_UnINrNn8sb}0~T
ze993rhA1TjT>&5P7|v4?`C5eIMMRo6sI-eA)OO0p^$5LBg0%6J32bclIc1u{e3f=8
zUO`tf86W2`%eJPk7$WMZ!92*cPcHy*Wt_(JvCLA+Fc}-_oXakAhDD~+EA?l|4716E
z&Y`j7PiLfElj-{D8h?F%`Bg6>|A>a8aJ7d&_dsh+Wt>?=evsW`(^vOXXia(F&+V$Y
zHJ3HB|7a`mvb$yecGQ`s7QD~M;Xe}g;5oYoJ8|Wt*bu(omOY&89!GHvEDI!#ofcNM
z4z$WGL-gV>MP!VGfwK(}^@VHX(9&g4)mOFxwP#V?ui6WtJt^a8Iw)(k*4T~=`Be!k
ztYH{#REwi(sETN%nVUt{)f-&b5vdeHU#n_GMh)f7nXpA?j0nh22J|igG}ubKt1AYK
z)RVnGh#VO0R#7gHjP1^m!lzbJwcI<cO79($T9NtH?l8(9982|R*ZdeLUX|nNl<m()
z`C9;?rg*t)l$<{9IMf>d+=HMaYj0@o(}7A#G47#7K%Q9YeUxQZc%zH=Z3#mC_bms|
z`p-{+Nb)znCAaum6vi%P+0o~^U2%Ef29aQ|cW@z%`Xhz0{^c0c1`=L?ki44<h~34c
z{Bm<)XoXhEZ8SlPLvv{{P)r}g))}Bu@rg$C@JAJi@`N}RfMiLt+6<%*1yaTiI1~sM
zRf*(w6Y{h=a?Wi8^#P(L^0l$jw?E~Q7dqEAs=3NTFI0&Q*fOYS^;~@9mT4_!Jp)aI
z=EsF>2S_&T5aiI}&y0vAIhB`(JOy=ny92=;k2Ut;6ATSta=JNHA@MY}B)ma#6SqtS
zYL%-XS3Z?5yq(up@DW*N3TNfM#cy26&WY=?<MH4RN<ndG&ncxDT>!z?ZaOw{6F7&{
z5wxn-I|f+%9vaDk_xQu93R7~vNmR_Gfl-2*SM-(ep(9TGk@wgLH$V`r?zd0LcI7E1
zh4qFuKML5?+xwW0f4z0``UopYJv^vrI~~T14{lM%q3C)do(7b0>x?dD;iCZHIBJFh
zx=dZHwEeOE!Jh%)w^-pUHcsqPAqhWodmOV2DmGGg<Rm#B@24YHnN(#EomkV#Z<h%<
zxsKQgdM+QNpCk*os&L8jfxGw}tS?*Q#{xhiT5rfN6~93F!HNMZf<Qp%jBhlQDf{bl
z$9{$-C~Aiwq=-(mW}=0YgC1cED5N@3DpC@N>s@C=_Se^|v6(I8EWt&tP~|HHgkheh
zU(}wKHML9m%(?cdUV}5j&bcrD#My!I&KEh4U?7H_nYZk^#a(ezg6>4XoOK4JPaIUB
z>go^Ix_N*zui!Y;tRMn0Qcm~qj`Ool|40+&1pg|4^*Reu;3oT{x{Ik1Ax<8Nt4}_0
zx0mGS&+{Cr<%Wi*lyy~~xs8|OCHm}ESdfA;{Ez})Xcf`k!Q$}XYK=b!udCamHm{Gg
zAZdTE0`5r%-GkHE()e0)+(&}{z`64{rpKgl@dpSmj-9F@qsc#tC|mZL>F>>IXDG^W
zuh#_*aSR*xAp)i_yS|t~g+90^Oev=j7|;|GvcTs`oY@wt!KSdZ0YPz%kaE#BbsY^7
zTYm!kqFLO4k$#+n^*{&=IY7jQQVGK_7Hv7ouv^I=S%XMd&BGE$psk!%q)P%!IRo=)
zC_sL3@C%S}cNe{@2lpo<XXN&|TOE@SE|OuM08TcaXlXZ)1s{M(JGOtj*EsAKwHdV=
z24Wgq!C5jct$o^mzl$)Y*|>Wdb8DKr!ydl;-1l_gA6SWdOZ;!0_S4uR<7O^=?%!5^
zZtxZ4N1@EFS-Eilw2~~Y?TvWn=IjaLVgf4f)}7?zXM2PTDUjY!1kL2uD#t34-9%V|
ztu6-o256`_9|1fgMEIKXWsJzu&bPVx4sb~C?}P(^WfVt8rb3?f&S#O{UL(Y(EW9yv
z+-Fadaz*&a+yKbrAF=XLCd*4fS??JoG8FumqoNlwu_U+}eYV6?D`dgzFlE`LWGw_#
zWa07NFiyc!juwd3g5k#xOTc3?V=n<s*%b4_JjOgI9;3YOpJcw0rcR7)H##44ORe}<
z^jdMMIEDCLRha6aNfj9mbxVK#(9kZhUoGoi0*=R@Kq<40zrQUDF?CI&n|NW$tAV(w
z+4`w&KlSMXFb$*Q1HMBw2x&@iq4+orcJ@XhV*2~F`P6cFcofjP<U%Qraxs8*6_lu9
zo%|h8?zJ9~_VCU=%j({>6&AMjyYsXxPI(7HmRXetK<-@gBom}Zdr@-g)<YCcHX^{>
zh*TXMS`tg4m?C}AN^})*fK@D%bl8^i*3dnlxK}*bxhxv~jph6PT}``{)v5ZFwWaA=
zRH}3>ZgyX#yyIGbcXckk`16ODz)HzDIiv1RgjM4(N^R(_1JXvSgwd1iKEbJk!7AxC
z;Q-<32ESr=3%L8<DgLtr;9%RHboxASx4WdBX8&Lk@LX67vIO!!f343_1lf-qK666<
z#7ssRbMfs<;h-Jav2M@&9UNFoi>%qbL@;+9eW@9EGhMOpY(1q~fdX3kuE#yuv8HQx
z=G$BNqo%_Ta{e_WM~}X_=j9ktqNV4AIt&N&^xcJ>OfRNa6Hl}@zD1Ss+AKU1ibCg7
zYII4*g^wWiTuk@3-SeeRCFrI7tbeoc1flh#`nOJisOw^{VFqrXomEP~hv&~M;sM@?
z9;uq$zPBXzRuPO_5%Mpjs(XW>cBOgTVId3J5q&I&r`rt<?)DDahrsi4%Or5!o}-6^
zHl}Caa<9^aE~jk4TX+6{o$`_{Vsus7^GPasNpR()9eS{h^z8YS_^uh(7nvnYG-_x#
z?M;-<{n!;E!T$qP7s<OG5qk{rqrQL4d`GqTjIgv2=BC~voend<>1jP45-6a@J?6}c
zv@%EOKff^k0rj?3bK@Q34QMBY3-G{zSXkzk;|kQC5pR&M<!0JZeB&A86xufpZL>!q
z881YX?w(V^cr(13@2y<vo-1?}LRZGoX<ff_$GvX!=&Rwd5&rp`jt=Ch2n8eM=y>!2
zkz(M0hGsKh)-MTLabn0sJEWsqO$E%~X<L_8bC`CDhYqXPiY<XvQ1rOF^l5kx?(z95
zG)4d3vv=dYTGI6+EOjpB`Q3SX!#f71D4cWhJbR&0Lg^%^4=f=w7&1GZ-hW9wIKdK3
zrydXW!FmrL{{t_WE`%<k8P5D??F0U#1+kFQsn5Ju%(F#x+vv~lRwS5LtW*>AJW4wO
zz2H0uc%<S|yJzKS?lkC2MHJeX|NF($x72xsI29(O)>BMZLgIX&RJQ7FcYHiF+_t5^
znYa=Oe3q$$I=)4X7!xO5n^vA<pe%ssPM&|XeTDbXrMwT%@K4x1%(Xv}^sfV%@06a<
z5{guAc`JqF0hnudDVCZGLPf~&H)3e^tHc~SF#(1%eYp}pP66NVwa*Syf{DKX7)ysF
z0eI8=W?G7D#6@K13r(A@m8g+;9=QBE-q=AKDG|7IqBn;-mrtq3A)!0IT_`!;>XY<~
zjsPoZqY%5srO@QQE*WO#z{KqwW+-EuVpj4e2|+X5OCv<}_!s%jd0wLpZ1w_aN@6}>
z%Th2gS*Tzcwu1`v@w2S@b@in9$L*|q=FIrsm{?#Y8rx`{QKA`^`YDO|DD<9@b~+x0
z?!uTeY>wwSNJW3r$Ql`T%K7U3@d>7YPT;)YkfFXhR^@d*WkVyfSqW-UBQ%Wu%(YSo
zHw%O4SRL+AE;9Lpqe*p@DzROGtCEzBOkp6B6fj<xV?L9FS1B_Bn5G4?_0&hM@;4}5
zcMtP)%@LQ%*S5ko#w`Bl-?_Bc_|Ai)7xQB{Qdv1Of<GNX%Y)=a3y6IK(yAN6f|8qB
zF(PSw0?Gao=h&$Da=8PCs`mb*MocLymQ>32l+&4af6Vvz&oiMC0{beJOk03+)yN68
z2?jYn=0N}4EteNe>u}m&j}Zqmmad*XirFwlF+_CVq`8}p9SS1ZkZ^|u3xb306#@aw
z9rlE2_aR4Cjef{P)+QxRmD~yU#BIc!;=#Fznapc>URVBQrQWuo+^R}5i`LFBQP(nb
z?~n<jTc*g_rDDe^x>ktKA-dLEBViAjcd`O0atY3eNcnhqzbRn6eP1PGKyZI$%c=7%
z0z!nv;7*XQg+b2iy8e7>bt!(Co20*l=oKJf+{NmbiVH)TJ9;4vGZ)<mH=+~+gCAZA
z2L~IiKlYQ!q82DX0*5PsyZ9_et|B1<`F9X0AZ{TobtmiI1{t_hY1`T&!1Zven9NN~
z_0g2f?VlmlT>#alPl))_xr%Xg-sWKf9Z+eEw_DvHT|10E-}BxON|7<F=5&dMdvqin
zFVBuK!DQTYmS359fown-gn4B(kILk(Jb~**_`-htY`9XNw8&Nwvg#B1INC{u0h<U)
z%t89#73If--|Fm^hbI&rXa)eH4tq^PFVw?5msF~wD{o^l6*-NrWqdNjiD`eP+*{JX
z&*zuHGONk4=@zm%BP%i~q6tV{jegL@XFh=xz;E`dIdo~&{l8pVz)oQTirnq;1TW4W
zbDr4vFpvaw+SG@C!!<J^#$xryRMz4Rx^$)u4G_>W5wy3gC+KqeQzM)aNEcPVQ~K}?
z)9Qsl8;hn%dLj{tj>56^o7Eb56|#z+=B%gWd#u9b5;g&yRdIzHFBb;IiV?;oQ&6#K
zG@R4~iXCWa;#<{5L~rbN^yJK+t)N-MKoiF}%sgUb%f(JPXvC<N=B9VkC>)e9uw1tF
zS;rfxliQk__VaMJAJiO_AnUm0xgg!1AqoAAtsAnqnYJMbM5bI5(^6}!Yk%8#4N5?#
zE?*S}#m`zIF(|z|B0rQt&Vj=1rLBZ&wyoIGx(6!SuwNQ>9zl0L{V}`?;F31%9BTkv
zSL30f+TmPeIyi{Lr}}c7Ly+>P)6yHs3Dtv-OTP&DLI~C;7Da*yd|!ObW8F1iX6kgO
zA~;#V?Lna24e{c@tw9{keR}o5nxeHLyKR17!1+}$(I23Qmul-sqox~KfYdT8e_?#C
zL8#`v^|i^#+1Y!<PYMh<dlmyW2|clVg2`x_Hnjq_%wGL=1A!@BJz3Gg=$My+vsIdU
zr5jnFjGjusfzRj$-1qD%=voBH2}RB7E{Bg^uD6Z4Pqg^sJI3+fF8O|v`w&|&kAv=E
z2pEL`u<u0R7MwP%H#o-DQhbaDq8(6}0^)0e2jJS7M&*Lg22Wz}@B!rj1;_}R*5z|>
z(Yf`4YuNvjn~Fece9hzT?QA7Vg#~GLkv^di{8t0fL~@5Tdn>e%07`0Z-**+hV2wcU
zpVi%k0jc>pi*XznEecf)SzGfPVoysJ{;WofyFLJKLkfzr2d)=rF1kE8JCt1rLfd^@
zz5p1pTp^FIBP5x?LzthK0XIFg4I0jT4vzzYLWFQO1zfw5ZRW!#K!t=5U<qD{NY%;b
z;Uj>dBJwQm@4g#^J@y+q2a_;Iq$xr@CSf86TaHz~6Po*TJ=LuiqF*OMr-(`%=iFG$
zkx7$ugJ(ch=xwf4NkF@}^};z+-XFvJF%0YX6HI7+8jev(kQ!mi4=7Z83L`OA-htG&
zsxcQON`bh=_)jA^5NY-h_`WN`PTY*9_)7tdt3pHRHDUgH)Khits5qR?ggbiYk^c0G
zat@R2tvn|xx<PN%8Z+QG@c}b{{wLP=<wFlfICqJb9Yj}4)Fnjta4fdtS_UGo+`f-x
zxP`f!?E?x;xPD1BFl1T2A4GOfo)kOaUdXM^!)g=qDH7kqX%q4n_KKEe-0M&P87WSk
zMQGhUML}i+`Qu;}DKRDND4>S6bi9j$j1^E%cAdL>3U-ouSi;OVLc*Utl4g`&UU}nM
zvCKROu)g@uGzq?4O*TC0)ChGN_rkfyW2?&NqU*fcx+(<JQ#XAG4K4klu?M9pp6M8#
zohvu(Y(r1@V_oj3x$&m+D75jWVdu&5=WIoETM&6Z+x7O5#R@Y+CXRH~`Ld-{aeMz%
zV;I|tCRuj18ZO<nmR0)WJ%V%r{ut^x<`)XjFryBrji|z_-2n!67@A=2ivw(fWy6eW
zf`a65;4$<zw;%YL)l$s9n;q>~B=y(W)}N<Bd#G4u7%IR%hB4ideg5}<GGCplxG_Pl
z%S{B9XuQkl<@Bf+mF&v8aty(u!|uA#b8Mu@*W|nn-w3lF-{syfeeXVs*MSkU)>n1d
zs--H6$HJ0|z^pFH%#SxRJ*K?kpohMIvH9|qIe7Gc{AB|&aH$o^-y-xmg5aV0fcrIJ
zJA`Ao${=h6!Dy%rs{@Bi3B?EY1ME+yfsN5SAyt$XMVCqQcn_7n%SYLnTuV(gpY|<I
zw!aqsnD+Zmw1<#m%@w+JP_|k=#8P~z#A5g#uiUHC3u^*EM(V?PsUkNGaiuCx%CGAY
zu)TQ=^|hvNqMu}>nW=A728@L(7KuRGvkqR6*@~X0o{Ypl+sJ-4dP)p|#yPHpWs1P?
zK%`qV-2{xbVTw%e{FJ72pZ!3KM1Sk@l<Z033vX*E3a4<2%V{zT)vSXu-p53FS&8vK
zr;kuWyP(7*g+Q`d3<g|vMBS6c>nSAQyD(tPj0arJ$&#a4fy;GjFlsT7*l@Za3?tJ%
zJmt+a=^G~@4-_1S(1Z^OCBfTNV?iJNT>56zm@K5wV$Ord#6mvtUT#Ru#PfOBX&g!D
zO#i^)5`IT1mL*MBrTz?UnGPDfeD4Dc8||G7CQpD-3>$?@o}2?!<7J$4GN2!hOc_Ze
zO)r6xp`S|+^Wu=nl#awh2Ej-`NV)@=2{tMoeM3YQ<dCg-u<Qi(-FGE_KOXC%RHB5;
zt=|&yzo*#-+rbVW3>;f`qN*?NK>1j0L)F5)YxTu&dS2TG{zS3spC)vn62k;?u@JPu
zG7%GWz<wr~wiJ!k|B!{^*@9T&yuedF-{JV!?tVpw`#TMkfNk53mZrjmN&E@YOC*Fs
zh6&$DMna5?LVf(L<pEB)x>f4CS7Q{3C#<9LppejiO{>TKI0#X(sp-^Ja4N0WH$RbM
z1A+;>m3Wai<fDXq`9{dNRqxxvkUjQZR1?@v{T5WT*-N5AFUIePFdcclJhegV(gewJ
zrC<M{Zd<EZP)h%=u{iDHf$wdB?+d*jd6p^M6G-<7B$Rged(XQs3{$D}Iccb#&Ml}P
zwH2<Hi;amM<SpR$-+=&Utj4_!{cw6e$d9B~J48KBuC20_Xddl`#LYc*z2dyei&y)$
z^}IKYI=~NzH6UJ(`so1J^7*%~T-~$Fkj{}9zod~xERs#t6Zu3vsVzpo8X4PVvtV?d
zrfgN4pF=T;p^4{py&mZAl=d+nZnHi?NYxPb--peFLSuew8EOE9_+l2lSsF|7YBAvn
zA*H;Z?ZlX^`Jmp<eEa$WwIs5~RKA_*=12#%X1p~o?hX0+Z9*gTA5+tt^I4xd5B4>?
zgHauCZqT-jC6>nPCP~ca`%pHVNSc&g-|tSA+UDuhlx2sG<xgmv9dlo8RJmXJ>ow;m
zY}%mZqkSS&?+bRpFC-d~0}vJ`LO{#l4-fO3uC^QpDEx#_ELjhP3&6*C#4h8kI6Vj{
z<(XdyBOb6lu#BBkF}zGSK2}{8(6Yd-98uP}A(cR&U2@-fK6MLwXfYT|W#e-QT0yox
ztht{QK#z#%?PJJ0iyzoQ05EBFk-|Qhgx|#q@H77_2#3rg=8H{{L)$7X*OdStMLa-U
zBfHK9jWp6uO>i&7XqKtZeW7D6+p`S-tVg8|_B)*LR6TP4jKRgh0#2GH7pe55+UOJ?
zbnf0UAZ*zh`B(KG6U1g8aR-$;78^gyM>QJRq}+^fYF(!~`$v*2&5kBJm{HCT_s>O*
z$3`gnwt~)RVBP(JT0gS+aaq|Fw(*(B9+AMD{=l*yR1M3+s@qFtn)Nyx952H*ucfJe
zW)0p=b~b@8ABZu50>}THb0Q!*5KkJxXi(ToGS&4@({?5XDiE!mpFD6}2tFQYqFC1e
zv1rCl&&bX*{XK;l`DO%C@;bWt_~{0~xxC0)dfJPlrbTc4x8(sREyKqem#$ncsrru_
zMn?X|!YYE&@>StomYlpJh9=J8e2-E7f=UBj!%G*>@3ZS3H~Mo=UPCCKf<@=whAa;%
z$jL+oOXv(JhcFoIgOrBhM7CN(puZK8w<w$eEPTUvGa;x0c1Ohuv)V-8lIS#)t%>v2
zN)GoO(Ta4wU8H|Q)ak!2|JWBuUq)nj@Yo_LWHH+@v)mj|JQrPix;pDA`I=#k{4SZD
zcW!?D5bv3`|Ey}3+i_&dwDdnTYSOO$#6V*to+k^L7}^Os0si%WZ4^eWIWsE+r4G2R
z$3cW4yi<1;pYNjxFn1NH4H706hCI-L6qUul6wH7<z=FcF!U(GZ+zCk^R%@iJLfFKc
zHBhb*M?DJAXCnx}XN8qkvji<@mT0Chc2}{pR1)5wEo%<9kOH@{2Q;tl+g-=uUScC`
z>ko5E8gy@tcVhTtv6dxR*u-VNJcHBO82sn{1o&6CrBb@nW^ypQ1Ms;=Eluwy+qZ_g
zphWk@%LCJxfK4k5WBP&e7=ckF&#F4D+10%t>~X(lNO~tLE&JD2jN!t#z;0Ch)BCaW
z1&=3VVlIYU!#U3rNvc~R;K)XQ8F5t-x!%I9NUZ#}m<hg0sG5QnoX7GP3Ibs%##o|)
z#}9rILo)uDr`Ii-<)J^o;@KP%IPG0iM<yxnkIKe9fSvdHMKx2Sz~B|D4q)JxX=Vis
z?L5|_aV&mFi^>T#87voViKf6r7Z($4H%9o9^Ng;Fs`VEF@P2HZ>R)iuCqfC(*vD79
z!Xi4Y0L#JS7TKUwhw3x(s9Bv{Da3ZQ3`ZS5cLV(y(A2t5qhfvE8?o;>-*{7lQrycw
z;?%O+*{FnL$`EYDG9u!*5ZfRIz&b%VdJY$sP?|98B=zy%{O#RXeK>@KY>ukwDz-y7
zgt)UEFi+qNs+cC$%lLW9(Xj$y1CBuiA)LY`DkrJLB$3Mb=|Q_Lo)!aLR6;;7;-N-4
z@}ib!-sKRG(wm(}NH6j-#es6=5=#nS_lc(sNZvuyo2qSt-RX_Kbxwsd!E_(|LQsz4
zDvAU@t~!{!pLUZ6bum9WZZ_MKJQl%DB8H7ZRO2oLuuEL%D%6DXzkY=gvif?d$%oG)
z;Ii7T2#Dk4)RTK1`1G<lwU`i9k=dy!Z62?Q8eAU^L%cus?pF&8I;G?cwBiKki0V?1
zJU|zzdC?!h%r+>Sp3njiOviVqz+q5^_|9j-b{JvnRWQOx0W6&+LqH;+N*$_H!m%MM
zpvVX+^MPU9LjSGpA;CnpfM4gdSz&9S!4RJOx>H5vVQQq%9HAa5DAF<dhU$iH(In(&
zC4!%Wek^U<26^Z(1(X>aDV9m9(sE=06k;s7AfDzP4*Cv=uewNrJTbJ(yx-@D86yPB
zyCo9ffU4@%jh;}-pR+Ol%yfs!^Zc{AQJIv=ZdMUgtvW0-tLwq4F9#9^P}6y`OQSov
z*B^oK4Kzy<pt+`b)#HGbx_dbOm-B7ZT4qsF<!XcFYy<Oa)nWq`$C#-8C}jVvDx*@$
z+vgy<HrMf`f@U6}c=GF!Q3g=tw>DQ+Ny9;9XB{SP73$Ej-4;U?My-}d2~CV37AoKh
zaj2esLUc>U$zowt-h<NdCvHNVWJJ-VLqw2(X}V$dWAZ+F0jH!qm6tU1vG(*m$FS%k
zCCw6#SEL$}$4E-7kS1Uzbb=US0Pyy(RFxwq%R)#<UFjrjk}@f>uy)Z-ZnC+&PG@KN
z;#ehlH1V=fi~h~}$+p7Half|6C2NAYQx9=l)E_cY-D4hJ(Q$^Qxm%mS%<*0u;eVY(
zA1Jj1S~*|_XI*FDGgK1PqKNMDUUGu%6%!)?R%+bHSDuGBPFI#j1A%S<qpC9;F1{W&
z7VKSF`ho9D>>bv=mn}IrgpUR-8|Q5!Ga)%Db?C!srv7~gCQU21A&+Q-VYNiv5bhj|
z{Rg$({c>n^ZJAxSlOM$F>+&MHn$k)koRdteX=wGQ#ecae_Jfjvpy_Okh6DJU_hrr#
zD#RZtjthAnx7>p6?N3htBR_O&bL1r47B|mrd6NMUZQLTGonnh2fzU*UrU-;TyVm$G
zls)w348%}TrLcbmtWgLI;7vjQQOft>9pU6l1x1ov{vESxWFB-8j%*W}!HR?~Pcf}E
z90IV(uK*0^s%#B*27S|ZJcTGza9tw?I*wrY*p1JFj6@|a)Fm9~FfoIG^yH`|hL&A#
zGVEI%W_j!Ej&s~VW7Lkjs~L}vk~SH#5=M5d7ZgHU8)&9MO;PKz_^)1C)DsnLye%U?
z({lkkcxzKnf-uyqe5h1-x4?h&zI~~Ovbn)>0Qb^~eA28UBdy$XAj9_@|Eq1R9D4lC
zj<M+6FuL}H$(ki6LA5hd1sw$m1;2I!cVl0;x*f-99Mm7A#Qr@7wkH%Qz=C-TT`jAE
z&J)GqaaMdNN>xX4AF&8&nxpap&wU(peD3jl?kA8(UuUXTIV%eq%V`#kEWX#QR48g8
zQPV{a33j=wKuskD*?fiM2<oW9KwGn+JR)ztfF06$)*1JVv8j=2@?p=i%3{emWFzpX
zqrWt%&oa;JAVCsdCU@2-_uLSl=|T0<*20SfL!+FIUER5DhOS=vlBM95P{;V!Ic2_J
zNqzDANK1J^|6bF5$x1H*CEC<oqCW}t>gM$BQmd4-li1-}-?EC^zN{754&}v7DicZ$
zR#enJdSx_RjHuMGCgp9=!>JC)0n&gB@q$w6=R^${V|fPHfb6zz?M#9=GFUbqfeM@<
z3T+*Ij@MysN(xd?9gn-jdM-J2C9&FgS$54P8ipWM+%D;&!iXWkEJ?>H1zEm~;ddKx
zP4R88Y}GC`-5*x@*#JCmWmF!?qwKVNWIN+J1x%34X(b28cVz|dJl4CZ12*h59;9Od
zI}-2TmIgC9^V5Ky;!DWHkPhc~U^&LP+JG7!BL5>mAy0m=^orIe)aD;Apv5%$1P@Sn
z`iqD+dRk~3S?1=)U*H3n^*zfYM7Lr!BTPQQ=e8PL8+iG{i=9a51pSVSc&GaVzAsr>
zRHk0wgL(`ps8~mlt?)Cz#(E2LJi;N=p3knZCt4gwTC1@ahf~(m(t@#RKN(Bk6gCY(
zBVFmCP~3VJhx)rq0H|$xG845s2b-d8iW-`n+N1O<fbJ8A>4LJV-2k*u+)i5~I9v<(
zC7-I9{}Oa>qK5|)*ox8ctuDMYxVk*k^waqNQ94=EdSP1Q4j!JFm8dKz;VYnBG_4&O
ziQ9l(gg7S+W-DtmhC<AG+=i(wUKOut+i&wb9|d|pp~PJUXoV|N_e`?ZF7??5dmz*P
zk$B@gH<vH$2pH`*Wt>!}iXt!s^nM?<=5^jR5~M_6jd!-(M?nXzq(Nv)5r~We2<M8A
zq#=Rp6ck-C%HNcRy%IJn%P#@9C0*$#jJZ2K029smniYX+;+1ohi}Bla34K~TOd*=>
z7}`jc_OmDHYwcxeb6AX(QJCi9*cBGjI6%+zvKI*jm^#%NBj?OwX)|$ifzx0%v?8|b
za*r<E*G(z!%})Oz7EU)i-@ZP3ITBexTsGs*HLyAPIpXOuyBl#Ss<g&>nEJz@b7<l#
z;l;i~i;OIy5R%ubqkTd9Tc&$eg2nR?lIOn(XNwXEouS0`3`-GC7+<rHWgBq{RY-}8
z?KP`c;y8hkoUgT7g6G@yL>}lxm0|w`Gn~4JoPXO|;dlM_BE$n<hHt~KY2zzcvH5n-
z^e3G?*YZ989UcP!O7`~zx1`gV%|oh0E!~hPPa&?$wXn}$tHpCv2Y_u!V=qZy@`gR+
z>c9=CdNclP(5!P)9EBMFcCs;QnkcU@x8(<D%VeiDkAnvL*8Br#C@U8nLMYYdT$8Qd
zJZO(+a-qxmRopjVtQjY(Uj7(6A+39QRrhN#vAU*|{f5bC7StJA*pMkbJn20m%Xuq-
z@M7^crC|y1qx)QNy%5{CHVDQbwuJ39<vL}y{rSDx6KxF6!Z;TO?{JN983s(9@;hAo
z1l?-ElN2V`o`4PDkrXQ0sE(@a5`k_*d@iq08q7>!biqKDZIO3B%bYkNa-7f7m9G4$
z)%&;5Gr*I<ww2v*+A)BJ3{$kFZ-$Vi&S*C0Dt<3+=hx>9z_JX*#9LM(`c~?QhWja7
zs21ns856^0HYZkA&|rgS7Ck+wdN4Uhi44WC2#7(RZ32EN=e*Srn2+Qb>2+)O2e_~j
z^hz&#mkwwpnAXqij7Ys5g5lHjY|r!g4L#d^hMAtlHUE4s!z?v<^wfpy=kuEXd5{0$
zX2=V2!n(iom=1^;v^3DbP&&%g0>GGw7@SgKv7K?;uUS#exI+*uHgA2R%r93)lQ3ol
zV*Y*ICe;ppS(J?PW!La;pGIRhUF!gZIxWe~%CbFrXtV>uMLNLAc*Lo9O$UU75g*7L
zD+L%r=(S8rlmh~FoaKEULBv{a`j)md6*yzvA9Ntu5uXK(KYX%GNr7$}6x$Q&ry(Ny
zjqM3#I6*=T@$DYzTjP>ff2YhRN9J0@+_-pV;LJ9w)9~T%dk>v|lVZ-(3r<b~%e(Nt
zYR}()b9@nyHsV`B`)k^s*S>>1K&U11&rf^;RKCCF1iE%MAwv&6*3KMq3k&lf<}0Z#
zdRxOb>AX3DV{i)#3dmhCOal|V|CJ=Js!w+H%EkY@Jfm*@Ga7h?7{NVg%_pJbg)S?c
zo`Y9}w3`L!4})T15cD6OgQt){nFSQnQXbGHlqa<@;YMnaL`-`gG5_Z%Dq&YC2gYl~
zu%0i8Gr<t<)wgdiHmCP_zIu4mBHv1Q7{SGGP1kJ4E;YJjwZDv1<jY3V``ZVY{LDM!
zdnaWvmF@W+(|OW=vSoN6=*1c;eK}l$@So<C-hsP&6#OUQ$LOI&i=iV$>}f7n)e&b;
z4%QO9kMVnv!9~Q;uR&8w>m8Y_e;LufUQ{J9X^ppdP%3S98fsf6m5V@@!3Dh-E&%v<
z0KG4d9k+vy(*P)>S%Xm-2@cjr#bAoh8JoIwIBx)*a5qS#lE%b_8{k}H9H#H^UoTo@
zT_2gA@z){+uGRe?3eJ9TEkPxy4V=$V$1$ycghRUqtfj}GnVhvkFTrnW$b6|Dx0gXb
zu-LdcpWHv#JA>pu(?7%PcM@_1U>U7r_@fLoG=e8>1YGE}+5q2%|Df%18rpVK^cKoX
zBrcF1J7gZjTT;|?ENWcUJXt|G_S|Syzg#NcFSc*b^nQ{@8O+;D^gK;g(DTlbX#~MV
z(&FI>UUisZ;+jiTT4)Pv)K1NhA~s;hruXVY^`~!ut;;&O{2+0|OX)0ARbEj2i3|z-
z0x22!3pDD+Z(<lRk3PRC5)`IyVwf;hn$$K?4v>Wo>^E@amvp02!{5HPs;d&iR9>Lz
zU4KcDFuoKiLxdBRNnwED<B^7y@M#W@ysM~@g7o$CY5h<ELqI+xMOaw>#mi3rRdrX?
z`H&~1n5Y__0e1O0a!$)xptlsj<b4njzN<{Y<Yk%4zX4mkQbey>lO#Y)D4gN3Y$t4Z
z8y3jLmUnc=8P(V`nEzG1xHA4AR;j(Pd>rULLc`Pd+~UghJZ#0`wYxp1Jw;s6?7YU=
ze$1l-uN776W-#}vqrj<(@<jV#CwD+aRAd6WeGGO8NalE9B<W9MMzZA`X7-ssNK9k?
z(h$;)gawk$r&MMP%SG{yU<Eo<&eQsp5=BI4bWVMP%xvTuM=$|&Zt!gJs!S15lM?u+
zs)XPHY<S9~<<PbcV4m37l+}X78ob%qR%Ql+x@|CjjV#N6KR!k+p<;MaZXwn{<6?g9
zVEq0k=(C-wMKGw8PcqBM+z~q4Q6SAg&}ECD{vXaeg~z5wv_VLMV8bC~KO}iUlm242
z@4Z;h#fT3hS1$1a2EUz?4UQ@ovK##ReR3FaBRx{mXojRP^xM|DrtN2ETvNE_O_|y#
z%+<fv!bOj{(3NEm;Sa+<G>}8^?{PL0aIxi^SnDaF+ZK&sFtt<NvlXVn+>P|rSV_8+
zQ21<?vO?9WFjvrhsAt;lr&Hsz`gMlmtM?>=C$bV0<GM#&fAxyJTp>&-+y1n7a=jW)
zE}nLTP|7`p4$0`6s5mDj>1mKSrC<DiKXrH)qXarIiwfwkQTHYT#(9PFrEL708lTBK
zb+d(-%#z3AWPC-Bo=?!5pSv8}B;A=K8svrGp!R1Kp|iT|Bjg3=OWC9Ep!vXDIq4Gk
zjd$I=NA==*!Bc_hN2~(jvpVUfZFAm>T2hw+E+-0Jv?K;`p$JI|FToU*fV{`ui7Ix<
z!l-2{i(5&CL_9d}(`R{)%c+eg(^~SPP@@A+|H;f^?dg6_K=^c?{IlHzNx3A+o_}(S
z(LS7uy_X&lzQV#qS(Tx3YO5w_@^Z*yYALUh&*RW=G+bJuYE@f2t{3Y)(CSZ+fEDQ<
zEPJ6(@w_L0JIf@OVuwGTe~!R)KX~)*SPtx+p?b7l#El)|F?N|;Zi$$C5Vj!FEt_2=
zBZ}-N=GlQq+27c{&7$KKqMS>l*@3e$bcbQy=UomW9gH&L1oWU6VE-{H>?dy^XQ%1|
zD0QdniFI>%cl59em&qf(UAGi9RHta0n7>2keA(;$F96CwHNW$L5B;DILSCL-EyJN{
z0GrpR29sI?n-dI~<B98NF1$3}e2jHgbn&W(R}L=?CsbM=PrDwMWO!+Ky}R{EfX(Q?
z>|t@kOQYZl!@d~wAxLc_&hXN3=p8~%jUzcHEMLrds;GD<sx8j+kj_#=E^K<qF+0Ps
zTNn1fq56hCQ7W+<47f+Di&KKWqBgpQJD#w%e8I970zX#9%A(3vL1lZS2z-IkueMFP
zAs(%uvdGHS$K!U|zrhAmDV{@y4ty&ZumY+}&W2HJv0#nU@ulA^l#tw=P(s4MaNQi(
zRJ_)6juxEFUE^$~9#QLHE*u9p!*TH9r+@eV^mhV13(y9fOLx+B3tEHFRhp+GlCg?m
zv9FN6q?7J~`vcr`5yT8fO^Ajd^_2_m)_XRpK;Nx5B<>+JUb+9VA`PAkqCo^lgn1&%
ze!C(ySP{gTNt?<M+(PY&G<Zc2^p}zkJ$CC=D@cRqg0Oem$_g!HZAtbO&XH*e7X&DU
zX$f-duMHCuf|8bSNelqv{ULI9V@#Myk}A(74<}AF;hG2Udr6XX!CcaO2i(fT8uzrm
zm6Bw0Nrf%)UhenJwB$((PID(_P)+GjAVCrN_Hy=oO<in=vS`TUniYQ}jXdROkGx~1
zj7W*|WH${3^M6At$G=f>+^jDE+9v@(Ywz^A5yWEH@6NE#dqj(2za5CnYhU_Pt9J&(
zMIT0M*fHk|aVZTuiUV5lv!~V=c7u}A!G~FFj7&D{2O0DNyLC8VoOC0Y4f}4r15Y76
zfX(XEu2>oN%?_huXthYb(X3b-_8l^aBDe-~VQmO&!wx$wRv6PB+N-V%`yQPsJjdN?
zB`Y4?>f-iPh8>eFNSe)njq@YwV@r|M?kh^g0!4;lhlkXjr2uGM^0+xLDZrR;-FrBd
zVTbF($4JAD8g6y;NyDy2)kb&fh8g!_VF7fF&r`NNjPH{?K4KtTU+nq~+I7HM(~lj!
zvADbYfVHx1<~ooT`)QnO^=G6dX@>mAJ+XXiv6weJ{OYfM_^(G8bycmysp6*E6<jUv
zcA(Rd-?JxNl@P(UpiBTcr2d{F^*7)=yAZZU3=k_yBFJ#_qYV($wti@oftB`}By4RX
z0JUuq!~rD}37C#STH?^uwk`<mt=)<k<=k&V$aF+N|C33ZL!Uvt+T5S2U7_Sl5yDzx
zH4a|2E1>KR0qD>jsAAR3B*|QfLgrQN3}|oHYCe*rWaXUvZnJ>^&lCTk#?U2a>t#{N
zQ!R^B&q?vNm>ONf(S?`zIte5CQnkNZsN&H5`}U5l{4MVRn)mON_dt@bkxboy_W-De
zNFIxJP03@~PRZ0Jx62=HYFfM|pwQmk3H7)nQ|CMMOIyJeFVjmeJbn4%!<QaDfB8T<
zWBF380pCBt4A@8b-|ofTd+y=odoC`YdHMxOief7;|N5Qx1k_*6VT(AYt>9yS`3?W}
z&qwu8y_KtDu3bO%nUkp?7-asDSyv7Ns$+^Aa~*+@wI~ir(nc`3VZcv$dKMYYv1F=|
z#53>#PY_njflrRPkfkI_m#I&oov-q^IpzW_5@4zn#FUKo9IKe?KtnB29B2F0S6#(i
zhXY0xsU$0tjbu)y9#>F}A_-E$_D)tY7tRi(O>#XOk~LJ<Q^#D&6EC-D&!gvCB(*w^
z<A9e#fGe?~Fh$gB=I3LiOcEQCai^BdLGcmew&lKq;Gs8sR@l$|=$O%=lu&p}fRk?4
ztR9gv8RO^&jtFQZ^E1MYr~k#L-oHySM#qm16UHF|h+*L`w!yoM&~Zt$Ym!Z$VLp7+
z>gZ?tN)fFF(UW*QBYu+lK;yIy<|nlYXfW){>dpVC^ttUAx|ac!%Y{4w`ICHeiQ%wu
z9Q&tyeD0D+pj<`zU-&lgC78!yp@)N@;5tljGKss8c7Stq4vEqR&eJg;5W!zn8HPYr
znU?KvX0~gBGSl&o7u%|m4uNWa*-rc8e0OR8?dk$bH91q;1`j0Lu|IBDE|e=}l~<<U
z^u}${+4t^a3wN{hZ#J9Q7jO9aWo-MAgu$Cf7jgS>??>%E=2PAmZTiD_nf(~QAMc)Z
zE&y5#-acBqJt^-*2iRB0x6$Ic;46U$&_<5HK%6!R()rHE#qf>yiy37M6pL3RM=1mO
zD{#qi%0RyFE;&van4iu#WnlGqMkxbn@ygLhDFbQoTymT;kUmL~d<@FKqHsqdZdJO`
z%%a;<c~O;m@>v7jQ21ZqyqoDMB=LhnOu;B~GdUP-EDUG|!2+0f;A>UyP-$4PNJ-&~
z0?+&N70UZ_J1OkeV1b43EQ2NEUDEMkuqr4Nn)K`AOTH_xOZ;`G(~;W~21Cr7y{s_D
zu#S9p*PGqj_x(-SDwO)K$uE>5q<IEoDUaS=dDPy337g&~n-|>E&ETGX<!8S6-~YBq
z*z3dSwPS6zq})gJg1&Wn%Wh;C(}!uKGYT_G#|4c^qYMBTfJ>AT{BLwRwQcKR7_lNM
zdJ2$&?}tFNI&|1Y_HEffOC-3-SX2pyS<Li;-3nwswICIk-UA$ZgM5R;s~GIt3Wno?
zzAYTt1b1XGt`40X7f7P*6^<QJm>7|X;I5Vo$Aw&gC>*QgffUcRWH>Ika8BOQpq`og
zEO~aoD8~8G_4ok8W#}c?`BnZe0=M{-zvt)pCO*xPQU9Xu-GYr2IG_E+-IHxH0XJY{
zZP`yIR>+i+iG>ZvJdv=8Y^tbiA_OX%DBG101ROZ+4;wx1L`cD>*wn|)$O{}gj!!4%
z9G^roI&nFAd)%hq$0nk_>ze7Q=Bg#5{xW9NO)D;DI!Kl!+kQ70_22V9e%M=oV+zHT
z66MmmT%}EErai}qWGPD3w7%#gZga~yqdptObT|ODEGr_lTO)6j+_xMWg!cmG0UscV
zda87B&Zr|2(9G@$+3hw9OC9Sg;&@l%qG+az;AsRGRb|xSoEU;iP+Uz0IA_$+Uk&Uu
zv$e;KRp9M#L`Km}`_addQTgeNBct-;8AnFZjVedK8X1N2%o+9Fe$84v5L_5yTj!r4
zSv{fsk@U;V{L6Ii^e87C4_b1y)b%)m`1i;wi2Ya3WM)CX^%L|+!#(4=uw|!hBX^p6
zkCd*lw@z~v9HP+(Z)NBc&uko4y(AfKFv)PA6K1b7ZNPpv6V|ThklcQv`L`V3`<4{1
z>0nfk*KABG`cyW`wF<3d!GnEe@tO<95NrS@HM$Bx(KJ4Z8ciqC`Emjuj|LebD2m1e
zO9Y6Z$cWfNQ1pzixWm{r(VUwN+fNYSgrKMy6NEnE5NwvtoHsrM#SoYvsA^Gwl*-wW
zm9uI^F$6An#DE=%tuS&%1G`vJ4S`FZKv*P_faz*bnIy#!n53C2s7(ye(GWRFiXkvb
zQ~`iIV+;rs9E@)|%}KSXYG;CILp4?CPu;HbvW;$P6=w6bZ0fP^q^Es}*CO6~BI7lC
zwa-<LOIr2r(yH?w(UMl3A5x!#fO_5My#DtkmMcXJIs(e@gLKY~I~^SmYKI&at7%mi
z4DNJvSl0O28V7yEC^d_&j@JAcD@t+55xIqA9i!$nx(Y#>>2heWzlya&aB0n-AxJY_
z1cj+fFut5hEzkx%7<>+zX%`HRb)16+7_p*MjO-kPVx2(fW<@d6z9e{O9fQ3B5q^E_
z3I~nKx)Qu2wQF4`e(*`-OCu?ku=+5^+Nw-Wi`u{RyE63)4^_hOUIJVr-#r=v(hS+_
zIW|4^qx2|ThKbG$mmx0#+k8$8_qVHiZ|w0hv~=kpeyFOC5=`dQs=Q9c^0nnwre-U+
zj1VW}{pIB;`)heNGMz}oVuo8fVS!zx{bf5+o5-}TGhBwDL<N_bnE56sxmZqbPh?7E
zBHa0zQhQs^wY+l!lX=UxR)d`%KlbrI``>*{U_LEfh~IwHDga*6smK7fpb(<%VJb-e
z&nP4@Z?PrG3K1|e;HUzPR1gbIS6~z-#!adsixs1ggsRStZDw4t0|H!hL<mM93AuaF
zzX(VY*&@@SA;?$Mwouu2vYL(1^;PFcjpu@eS_br}2GEQZ`O+4_E%;Lz!H~>QvuGih
z3Q1I^>q1cqa4a=ml8izUOA(?QF`Q=$j7ck*9}Y@)yywW@W4fj)=Co#hI;Rx~2u;$a
zgCxoPcn+w>3CX|#;LrB)Nb$gS1SXeE0K9f^hpV<vYgP|PyGd}Kd(0GRuP8qugu#qj
zS&?9Rvbjt75yAf2AWpO-_3l!BMmRkk?uieAca1wgX+czhNBBmis|tT@%H5*2cBF>x
z__*0GJrvqoLhb9q=LU7U7qVaWAsS|4<)KezWW4;={srnoAT^=06{DGTHecARvs;J^
zl=L|bA{>mlPvtlO6VHRlJT9ga=gY=cmL~;-3QQ+{-C<P$(yT66w!^CQZ5E{6$N-a>
zGyyP~xVszZ34_=)n=oghZ{cjc9Zia}In3-=dRrE0-tt2h>9aoZEB^6M2DCv<TkC_5
zb`DbKnMK4)w+9%U+3tb)MO1k%h<SfZpffGLLItCCMk^nDtk19V!N=PCvJXDm<yZOO
zV@-aQ557f;kQ#J{(4uwLYae_oNi|CL{r|=XUxDg|Ywp|}+P#AlQ1v3>p3`QtZ?KJ0
zCUq@!jp!iNQK^?Z{cB7hpt%1hdt7FBJ?%2oCOD+)^swh@RzC&*JG65A8>Pmb_66Q>
zgYX74bEh9VtQGF=F7#=$Ni4*5Mk3m8;aq*X<ks#kw>Iw)wKi#iEZz)c@n`?~zx2bu
z6n0%pb#B+a;2n%E+cj!ow8Hk4MVc-cj4lJ5Zv45sU|=Ek`yLI6l)#j@4Gw}cx(sl-
z(XN*j3v!`5^%&S_*Nb35E_71Qt1gCi-32j&(HLEJeU>=dbr%HjnKHVdb8V$0ruG$W
zFn!J<Sr}b*n|?Q3#zF*c0pu&{_@p(Z1ZH<Aa0>^YE}0}V79tp35Ovj$anb`&3%oG8
zXr?Y=3^J0AGom&a1cD^*H1pQ<O)&Fz4n~oNIAb)4nXFQkV#ae6DQ3N74ka%UF_yq%
zQcxuv1OC3g%#1FJ)xSHdZ;yP!F<Y$u?Nrph`J=z{vp+fzkeU{7;EQfCyT9ciDx~Q*
zh*t$$VP94GWp)c3IjRs^Wre(GBS>vfR@BCR0Q@jL3W-5vXJW<3FB68}Y5sC(0%4>t
z(F4$JkX9!CV~YY<vtnc~A&B&W0!5IJZZ9U1m+WXbvAG;8s)?@7F>;q^D%f;LZc?zr
zc*Ew<DZh**k#;wT4Dp)vWlJ>gH;AAd<g8`Q{!qinFY^w8B8hQ7cpREmj!9e2NH+!H
z4ehE1>}RvHd64aYbs5y&?8Cf7X@ukP$yfBh@lAZvgDi~-1R!@CMALj%!s;UR3oj+S
z7N&;JaRQ#GMuoZMH~UeYX<}G@`_Pv@@Be-NwPj#~+NzPDs6zo~Bq%DBD?5-cHi9`Q
z3d)r&B8o;Z2Sq`-f~DIPb5K+$SBO8ZJ^s&qOAd;Haz&@jUKtbx<qA|)yJ8g-70Q+F
z$nLdj#VRNY$`vT{Uig%QqC~mUXW6a*;z7BBM00zGhACHYi>$2W?kIw{{CI+LMf>sU
zE6UF&C|A(Jr=_yAX7zvy<;oruaqV5oPber?!1vvg%yBDQ*d)0Q^rX#ZOh?bpC@5D3
z?$Q;ME67N<k6r2}b_ficbjp<uDf#M(CJmEl@kiq&J<<6z1@b}n$hAEC7iTufiDxwu
z&c@<QqFhUNdXgzuSOCH63AHYNNhj9^c2d1d)vjJ()|TfM%9X0Tmr^B980E?mm@a|o
z!{?qcmb%Lzth>bM`78XJS0>rrXKz32?|)y6_fr?H4NRknVRE1;1{q7Y!*Rtzd=<-8
zfhkDybbJT;;@b$e4oqc4D#I=gK(1HJfhpR#a6}0s0rqSJb6|SdaYCpFvRABLu?kGl
z@}Ti1IB(l{GY6*dB+ykNLFBG?F;!q1KE?INloore)^(j&y|0cTBXBFbdvsUK@#V`I
zJGz-QvkNEG%`V(bjNFP)iuv3sloIbU<wLa#KJ9>{)_BI4?@`2VfLc}wSZ1K_v`HT4
z%a%;s0KA>|-VCJZS<p`fAw)iyX0{}N@8fO(Msq%Nb6#+F?VhrE%eU!C#*Y8(zxDl}
z|HjGG8bH>XTGMc=QcS!-c6>HK?eL?Q9Nee|1G<B(B6v^-uuV2<q|#tc?SW+7_(*2J
z{IDVbRfm0#u_J4+)0U`G9GWbgV;T%;GBP|u@VH{IQ(bk|V1SidPZ@BG?Zs3Y43QR_
zubKZt0Maa3rPdC>W1{nolFZxH2bXu$@43M&AqlF~Hm4}RZkJ4H%4;RzRApMcb4{iY
z4P88_sem@R`~W*xqkac<@O5SMpu?Fs*P{Lxlpz9qPs(K}r_nD-=0l>mWDWRRPMfq9
zNt=RH>^d-+dFt+E(5AobW8VL|p9pQLSP{>}8FB=fdLMz%ZEaGCePx9T7c4jixKd!`
zt<i+M??teTKmc^SMe4~Du(q0*Y=C(MEk04~xCuf#UEQ8UZR&>(Xyib968%9JEcisu
zN@y>J+SCPO2m$`3y%^D^MY0Sb0BXD^$xNI};(OvU0Vag5R*1KKMaY9BpS|&@fF6;y
z>a9sz=W8Ad=n*)I-kSOG0IP1GNBFI6!<81C?dSn|M3G9fkba(LXQNcpUQsR4FQ*4y
ztK1OoQ5EO8POt6-UIqJ*%PiTY=I0@m9{)y(gn#TOoD}MibhRKGQo>2tnX>9?0O;_9
z&+;658Lo4o3oV}gT*^mjl8Ot4Dh9qiUGPS<?`mDDE{*3dzw7XP`Rh%(8ZA@@13d09
z8d~@t{pGxmt@14y9IMS46%gub|7Bf~A$Zp_U;Ol!BByX|wQeUnvHXye{L-KLx_|ik
zggTRMeh>4l*%p2$kjmowqCMyTXYXBLc3ZcyFfNsV!5bPCt#%oUD7%0C?w3Sc^x&0a
zNY^={U~`uHTsG`*_J3B--Z?#JQ?-gn0mUX-X|UdtQg6{(i?&ChsL*1q7?h$lDs2ES
z5R%qnLp6P#ae2oW@0|ZP=eO3s)?RxvA^ZH_T;G`Qob#J=j_Wg?p+R}D07$aVNC3{q
zJ;r0fNj{x-5~KidrC&iAQE&K)(3A|y$$qe-wuS>5f>i4+h!i`h9_TCr(Wahi2=XR3
zK{R1$wRk5)=w(ITeisCZ0)uk+z5u-SD@yj>E(Rp}Y@Xqvw`}rBD^6;x_L8fX=~R`x
z>iW&Jo|>aObgk!t%65-&L@O;5v9E!Xsl5-KM5CT`l4xvgY7w0z)i-;Wtf8p2yl8)s
zZ_d?8_Cic3je$;DQsB=q1+F)I;uiIKeL-a34<h@&`tyI{7rs6f@)$fAGujZKXg$_l
zhqp1?!EsCb4kOA*Gl~?5t1cmr=GWNBj2d?r=#`M?Uf2%0kf+6Zq<`yAQxZsa)^Kq+
zk^mQkFv^B}`vpCrvF}`fxjk7LJ$M%B8JEWA_!f7@CGH9M-zA6i&SwG1_Q$Y?WCO2#
zA2T;?`3Vy<@B(Hy88k~X1E1c4F@674zv!F({C^PIR}*6%nRwn8d?#edWUsOGnv_I#
zN#A1>Fw!Jsx&R45mvm-i;wutEiWQmXM?J6;%;|fGk<~!joRuuZ*Fdbp$i!FNZ`OMu
zltlW+*w*YT2<G%Xk}1IHNS=SY>CZ72AkUi}DJq%gmq9A!s!RGFbTAq{p?77FlxIIo
zJIU<{r3D5%zzX%pF6sNz2E5@Sxh1~nQu_Xk=uIW}!!`5WK}mo=x+MAuJS!I@&@Vqv
zyWuSRV$^AooYd1AB?YKSw~eFVHn<9g;h9Qa3vQaDUGGaq%|=c3yJ8+Gog$x-Nno_H
zner(;i47oVmERi)9pnq6p<gg+Ipf5KE3)HMjHOnK(k0);MzbR@*}Q)Tn-yM}lmJ3_
zgvUSa&v!WQFImbKT~S$<Ayp`L@>!4L^VQD#OK!RCFU>M>Ir{TBA;CjzKGD2md#In4
z?wz;%nC{*F#y|O2e^n3u@MOO(i-Zc2_^9KdW{gM@Dg!7?`wDt3-frj^Wz<!Unw~8s
zbT5?=r>jG+9oO3d{Y&UxJXpZmi%LC$@mVwOap=&!xT6O!wTPdJ9eTmgy_`R`WqFq@
zF|1?ei<#6bou@aJ>}ewTeGkt{KIN~Pd?%xd$hfj>W17}?&dPBhN+&Ykq4qf6<W~vC
zVO>;#c=hR3f}dR|wt%9z4AbDVliszyFewC9>D84oLq1;j>h^?P(ngo}=W~$v=XN?i
z&bO#8=+0|U9}*3PBB5SvX`at{hp>J5vn#Wh_!=0M34Tdv*(Zf$+=WMb>TI)}?u6PJ
z(<}es@BdBz;x9(FSr;1UmD%&;jw?<lC1~Y}d2$+r`cMAucV)eDgbM~Q2rF(J+8u&f
zuNdxseEM6~D`!AEG*I54ld9+y<qx#=`2Z^V3`yx-uT8_J!_xuG27EebDpcvJrb6@^
zJ{=_2<f2!dHsr`+LeUT26fbD4NF7{Md4go{Jz1%qBwVJR<}5v_e#!*Uf*ME#E4{D&
zTLzMkDoz!1vO(J_4CiACG!$TbdLqT?3e)~3ptvH`!i!NrT2@7T7_%zs5ob`P*XW*s
z+xB)E%VNAG{(|<rB`^z5fxx(0c`j-3=a&|@TYjYhTGHb8lNSG?5B|_U{Tq>((RL#@
z;<^PTwi75KD#3`@74BhQS#Zz<PZ*s-lY0UknA+wLWN_eu$k5W7L2$Rb*po+)q%i9r
zLBr9nC?#X#>o^{d2k{?(9pcEe(ndxj?uS0EktL%c1px>L4-`Qu8T*RoBMapa#H99q
zMH+Em5&i|frywffgT6Ex@g{jftF}t+2wyM9mc)!pVh}CeA%?}<)j*Q*v`fOdRWv?o
z>)=c2)};I0B(<O~{yNhw_2~KbSm_^<=sX`Bop#OF#B4myfRoz4fyC@9JQ@;cyW|n`
z$MshN!>BqNF8Nf>M)(&-O!;`E41cY&VSYx2{m4I&+1Nf@CX`g6G5See8l%DOLq0}z
zRxZPaI&VU~gY16r5l!yLAZr8n;kjmQ1VkDB5@AT!z<x-BSw@S)B`93Y5U3YC2>nVV
zC_hhReS{?Q`smCa;R);Y#2NQ_%a288-}V{5@TY%AWPKDL;2HM@p8?KBAU@C?7!R3I
zF`D%WL9qE!UxVcdS)D2<n!|85$YC=z4}EZ!M&^XG(dewLxFV>ON^^T+)~5)HVt3>Y
z$e&;;po>;pOFY{emT_+@Zb{UH6#=*OiD8DZ(CDlQt~XlmL`}d5e`7^a>~?7Ae;Oa;
z7I$nCs^=)tnJ;++@JaLNyB%A{)73m1<)->2C$U2hPR3NBX5!PF=xB~iT`{RJPhk6B
zR2Y|@pX-pDiU5xE6ODUIKUvRDLK%tew>QB0nmrpeqvA)M<v6=%rrz}ICYB#lZ!dqv
zmp%BG$#0c~di93k^47AyM$dkEEALWoCzyavFsnD1FVfgh5AKjJ&+mDs-V|j?J-9a_
znb0v=y+tb$dL;XE6u;G!5&DLZqoKlt8wbBNshCc^X-$uM5PrM5dG-{%`(0VRwaO#T
zkQYOLun89RhVm%9bgEWNL^R(mBomqqtnktsN|g!MzB@~Kew)f%WAK+LS5l;x>k&9d
zlLWpViXCfiMX^`Oe0z}a!-z0SwrM5lio|cVU(@&$D2dr!{n7Ic!jNcAcZMXYsr@aN
z+L50p=PTN;Bt56;_nb5Ws?TSZGegxI5an?;1ZEm8QZ@9NTzyf`vWX72e|jz>J=`W(
zmJJV7>kI98{uCXV+}uH}vg2<+HS{V@9uyZ*j7)Cowdi&!AEy1UWoyUz<_ZPC@EBT|
zFIe#I2_4VvRN>$o9-I2}G&dKU@>E_+pl*-&gra_OH&@@viRH)az_0vqf8&$?;B*n<
zNQaL#OH>y`(Rre=7B>$t6NqLhcpT*Isc1aM4txr`#+kPlt&JZwR?I~R<`Ar802=r1
z&{Q+NqS2zF&1^&LJ8x60NIT$yhaCq3;?PIrIr<d?Sb|Uq9OqhBU1M@$w<4bsUol#q
zKnvfmSc(w)-I}wTc<keF07foCw0R;2&MN5+UWyP$bc5<IgjA5i`uxHtwPq;7C_;$x
z;upgYuJu&4WjERW#g!cziHhvwd*!h4rTuTX%wwM@l`6Qd8vXAwAO4*$67AYjYIu}}
zkH7rUkKDB3<1c?Sef8nnFM98n+}wWP<qzMT$3CwfsF*MD;a9QO%kTS=Sm)*yu(r$@
z147&33hzoYvy>X{zD3u}8~jf`?WcX&gP6Xhsja@$a74izJ~Rsh;71j^VL7ltsR68>
zA_y>~8m$nNn84HcG9MlJBVgOj0*7EuW#{?uBt4*`q-)^N5)s&nHX)4DQzquaYXw2Z
ztjOT6Q(Cc<8jyVxu7?w7+53FEglvB36(SoN;M0E1=Dl)`8nT(>euD%XC6UXw8BlRG
zwbY>19Y~^myFa*wY_=v~SBk4YgB7E%h-k=Wl9+Qw9#tgm1ghJeXTCjf6u>0N^E@$f
z>?HI3X=nw&8ip+I<pyDBizXp~7#pl9LA9Ny4Z^|ix!Z$GHXnV``4FE0w0dH+b;HnN
zG}-D=bR`Lu%E4NQW_KhlIo8uW<|gRU&$&F|PRJ6sNh|R)i`#&XNLm1W%cxe+e>Z3u
zqB_ZmTpiWvTQFe{pFFCYwftCA_syUA-H-mu$nI%dgPRI#asVO<1N&$J5ImYTvaifk
zG(n7o6;m<R)kR(8f>4lmvq?}Dx!}cNx70*U@X`!hsZTkh!lfCu65+exr5Uyo`<vj!
zt}ZbZev;>lZC#A&T=H^TSLUa<FvJ#hVF88OdA1*Yw5==Oo~Lc=Qk6UsDURxDkIu$5
zwC?g&=<G7xoRMow!@&JF45YeCdat3XB_9~7x@^uZ!`S=xtQ7UU<;N8DKmLFJ<ImWK
zqSnNXD{9X#odDbyMa_x2>Na|Yr%S(GYC@nU@D=x9b%sWtF;VwYw?a<8H4HFI2z|aP
zr{DHl3`dX@7>175bd{E2+Bv!dJ~k+~q~9(zN|f~5#ZHOT*M+L!rB;cOetVi;3H3QU
z4_Gy0*MJpDbgW{*Z#$nhYu}NtlY=}4|J8E<eNV{U9t<=*XXQ8<N~i4Gh%yzT%E<RG
zFkk?CDh@}ieN?T*q{k-xs#e5)v0%V6)bms1mDm@1`yH?S=V|_{M(D0TEnde+6mUgK
zs$-cGhdK`Du%~E<qh*#kaj!hWJZ>s0ReiHsEmVD5t;067|19)hd{Tkus%<^J;C~lV
zg8L;UcnD+lL~RwOnXdImm$r)M*H$s_7WF>;QtP;%TF00Dq#yG?{EAO)qmDEtaJ%ji
z!eQx+tWAe%*Zt>t8}vp#est^UrbkXhjeqmt=+Wa%pcK@;KDr$--Ppye1N`_ncFMtw
zFW@Mywq3d<AF+j@ISP-ldAG^WtMhX>17n{kaS88hc|W_oH^)5y-SlcP$Im7j*;nxI
z5>3eJ25DY))1r*F*;)@!l4ShQ*3^_R^8%Xz<VCtsnk0w;q(Fs9$ON<(xQ(Ftnk1ZV
z!JmVp#7b`I=m~Ih16~xRT>*^9x_gpj3i)sL7$zZ@LbfRPNd$px`O#5wBl?}}(_0c;
zmh+SeQ7#EIB^ew5NdgsS4<HgojwY$iSC9lYr^ow9^yZR{7U#eKw;X-4Jl3AE+x8ON
zwwD0^W-kH$t$zvd<;Y8bfAyDuA1}QG_`bXZ+|Dlnj+tKqZr<}t@ZP>67B1`nU?>^E
z4De%KDmU&(Yf5Ao$d_BVDWx`4cR<R%McCUlt3zr3)6JG+NE=-W1j+$rJMF){VLiT0
zs@S61Bt2wGl=R>o+b-aj?X<rjAk+Sv8!1c2@tF}w=fABP0{md*at=3m9nx~RoYDuh
zWx6gcx5N3K^A7u)9Ttr1hd{qWKHzS1v&)se?HRKm%l<5U<O50}r2YQ8-OWyjrT7M6
z(#LLnvtwDlT|Wfs{`LBI`<rd%=-6#<c0ePi{UwDGh*0d1&wncgsdhTbuG|oz`fK^-
z=e%Q=vZ4<jVhc@LEqjek{MKy~OYM%(B3^fVh{blmIK)3(JXlGuy#Li#UU`Glm>MQ1
zs4ZB5Y%fp~bX3rkTw8EBy9qZQOUt+?e$)*BiU!BHYu8seDav;hEYfSlJhek&E5J$*
zUVHU6fA{Kb{_bw9l<ekLi;c7wPgJ6+IO2zHKlH|jZeM+$oR(Y~CtJxS-5GzafTO~N
z48c_GhiL1^ug%zD&RrRoYYUG3wA{t!aq*t$Et+>%D9!7z+sO@pl-}xhg}K77{rMmJ
zwx1szeQKizF~tFHm3UPLG(s1PU284jet{#YcPyxY%m;W0jV#($2a*t*rD<QmPfN}h
z+D+E%zy>%N`AWqmg<#SuFSQa16ve<($+ebtXh680gr7vbRCR-&8;?_SdmbOW1H<bO
zaXPp7j)M8WF_$|2jgps~>xT=EQ|XdG+efjfvK>B&04179lp<TJK|I7J`0>Ju6+3m8
zjhYQFr9%7S*Iy6SIb(S$HGd&vM>!R@3$B+bnwO{M_%D9(cl@v45=|1?%rR`1lbKKO
zRF3CCBN{!t*5(8nBvCPj0xa!V)ErIl2tqgNB8<)&kn>Y>xM1sn!=wR@)-5YibGRT-
zdThuATyL|nnOOD}bP+X&3m(u@AO&KKBrs;l5ESI4^?~gH9g>cQ6Gjps7Al99DuW9m
zw!?~S7z6WXCnyh)ANvT!E5vtabk+48eTuxaHNl8*5QYg%FBl9?D9v)<k)m41(a}y)
zoBt*QF;#%W*0NAXh`>Y$B?J{7=Qj>*B%iYK;^*$`w0sV>Jk-(yx@xqodo0oB9<rrG
zdtDElWM4vADkq<Z2plek4!MMPNv|^SF6moNSSgz`R2|i$zs3`)ZlFo;^l!tvz1vw1
zSGlVAasRZ%4CbgdN4O~E@qJs+V4iB*_oA12cYN@s^ir6%o47^2HCpNm_fuc^2dBUL
zcc(2nEIEt@a}OJ0nu=}97X7@!Y+EtZXcVmM6?M`Nh`EghWFym=%8E%17?_`FBRC06
zdo<GwLtQO8@c(AfVKu8@vFK|8^m#Gp`{>WHSoGE>zsGc-ZpC8J4`(*i)1vbn>Y<B8
z2jWY)O3(q$0dD1Libcm*1awd`y`>bjRg!rj_Y%;eKu`xQI$B=Jnr|J8PP4Bb!?O%_
zkXz%F+>BsdEc#;6Enl@*^t*c~hY7vv+vK3pHPd3zQv)j#wjN>E%<CMd>zeM!-|>#$
z_wehcV{{|5nT*k!p$79oAA^<{y%E~1Pc{G|0_9@SePlLPMsr=Yfz_hVj?smzg%*7x
zMo$*~a*W=nS}@6UK-Gejus`GUh?J`3d=L`IYNuHbb32_Z`i{eGt7Nt4;2l$oekA#2
zl3XmhrY}9xSu8sFxG?c2%9t+(iNU<6ZV9|!{-O`uI6FbBWR1`hNa^i<Net%Qq9yR2
ze~Yd$)BnrA_hXNrJ!Pg(jaG9cp3Z@Hj;9ACn8i#tw#?0D5GH3c4d{=uWsZf(*-QgY
z1jm4>^pP+*2i`$~xkYPKF>94~E;ukZCyr-H_OIieJFQO0OArG=^58010&f&4mFwsX
z`sW&=Pny&gn>GmEh3<UKKaZh~8s^9mM;h<WMa|DilFyNe<T|A<RsvBts54F^SWb8m
zX}?W)6>V7xQ-q8LOjF*04^G`7158j`TOX4tLxTAE4mQB~;yG>rC5&OYY9P8)T2i`O
z;7pP37DBn}tAX?M^kPcq>*;&v1Dbb>7E?O^7F}aXKlaBy^$-8zFs0gr?06Pu960Yc
zWC;by!^WqG*;hy;^F?(*U`3D?rr?ef0|X+e3<D6PDRn_aemXpIkLom%xx`X7L7Gw*
zG`<<YjvGWc1gQ~S@U&Sm@DrLJKxOKRG^H+h#BroDyyyIbPLMfl7larBU06s=XJoKl
z&QY)nMxJoqqxnq)!ED%HjF?hi@(i|DsWtBhl9Q%XO<vdMo9ep;;8n3%wc}z$o24er
zo_y2byK#_Pd^g8X&XE}y(=IN}wd2K@z6i$Dp5lqz<YG+cYwCM9rt@ymVoc}XqHB!l
zKm3`W`33L(<koPeH{;2T>1L-i=je?wgr?oz&X``by4jdsw7S`t9=02F#4tGAZ#a4e
zQDhuC8`F#CIUCc9RyP~di&nQ9(~D-8>0>)*kcQuBcG2Y|jRI!MLByez@I3+<?Fott
zuZ&3m!wL!xZiEf^OOn~wv8k@`BLs0){Rn~e_<0(qAtW&pqq-)u-2*k<-tg8TZuQFm
zn28O}#yX6082!g%M7)<g1o_UJd%~}H7S-tsK0`mGR5;9YJk7^5#nVb^o&s2OU6c+T
zY4oZ);1O&1RgTih9Xe$BE*&}(lpKSWq-#0PK`50wY}lbx5(wG`5*;eC9p^9oc4<?7
zo(RCfi0(}%`v+hMNiR15X6akv&C2FnyqUcPi+hnupD!5RY~C$e+>2-9UVP#o_$Pnr
zHez*6ob(9t$Yzfeoom|Lr>Kk+olDyL65hL{y>;-%crCf6y?u(x2;RG-z1tuYu<Z8;
zHfkVC9)X6^=a^s&;H9)T{16*svRRR9w&cf4+8g7h*zn8*`RdRwS@32D@SqucF5zT(
z%}KM}%;Wtf$kjAo&5D@a0DkUVfq|=VmrU$Oi6L!Qj_bQQ=FD01_ijy@f`LvZ7dEQY
zYnf#)ZKq<y>JHgPBPorfc(L)YnfZWpMf3jRnud*E*YS5qx?krb;Cyr2EPaI1#VRf0
z>G-mpjIt!#+Ue-e6E+$aA6@sY@pG=>otJ@K;`;eo{odpHdADdWyz_6-HF5pN|IqLH
z*6#_@d~K-oxZc_;Wk4;mz?^iy*=pz*otJ!XUGPZ02^Bn_VJ-@r1P!kXo>8Gi6pj_w
z+phDnHhrQEgt>2UNdV~Gb9>V8`igCA4Kmw<(J?f<E{M=t=bVkr;GyAlK~%Vn@B))2
z>%~mkK8+JCqutDj{%e=b9$25SGJ~($N}Ws#OYOt!EtM_*aEmr5`;e!>a<%+lw`jLX
z$;)-&)lCl4v`m~05c3wv06@jB**ie&HF*nQz%E-z$vlLG=vjsZ@RX0o$0Hw4(m=8Q
zQ&yE}aC1;h1AdLc)r3!+j{G`d@WdQnZ}9BlT_VjFH`1JUixz|TYz*Gt`s_da<?oqd
zIk0Rc%o7-;tLnd#<p5Bkiw4hHpg2pQ7i6UAR;LY1Lp$(*JSiKzn3cBK57?lJ!2|u3
z@Fsw|!KRhi!H{gv1}`S10lZah?F(!0+<uwi^ZKs${fZ4U(>Jfa8&=N@UYCc>T|$e&
zd&?TU8380Uu)mfQ_Ow^lBt`hk$6x(-{@owlDrQsE%V;f7Iu#?<H28^a0jPm|5glfb
zu(9<3o^=RHO3Nn>9SBbWSOkbhBeM%ZNokqjehtz!X?R>?`fj%(6^$PnJ&Bw>P9!H7
z=sH13Y1xW!>9y$rv$fdfS<X>XT7Kx&o?|fK?VZ*}ju+?1w1gk}aNI)?3GxMO_gFCx
zxYCrCEqPd-yoGX$30C#kn$mK~Gft0dp7DSEFDVr>Kl%x*2slmnsKBVI&W_WRikV9y
zIDt~<PjpzZ)iYiBOwIYI4tgSyooFH|A=Pb#fEhH6BVf{T9!J~VYh7*gZb~{ADZC1e
z#LiF0b!^*y8g>OZ>Wl+g2F<lDUIR%5*)M%#bCvOUuY53Sx+m%Rz5(|ShwVUejmd1~
zo?y-NfZrekvDY_Yd%`aH?m;p=;WvOw>GOEPpwfY4dc<$eAaxX@3;GWWnbUcuXZ!{=
zR#J)JWmqxMTaPYWjehMhq5DKqkxr8FLz6tSkC@Lma>9!5ila;7%_R?bw&mz351SW}
zBqgb?@68Q@VG@*JP0d56XZ+poLPai)eq62bV)2T==+yu6!nWr}Z_z!@w;T92@4W%F
zVup=jvwv^E&6tVHN8cOrJ$i&3)Q|F9W5o=`=H|qE1CjjRa8viN^%#sNx8%{&4UV`(
z1ldoD7M{lxAWNY$1;_&;N3ijgGV!w}as&}!##wJc<cR+D$eC~Xe&-YBcBGI&M2Lqn
z5(?mX+?F!2Fcs$gYeoR0bjor<{20qA&tpEFE~7pmas*ppNr6M4oWE?x`9C$0Bj^%y
z3Z6uc<@$wtF<rlGr{m*%Gm$5F9znmDE_lZuDDOY`!Se5?k5|iRfYuT_`zDAWlez>E
zB+3mZcw07c4x8ZAY@1kWml?AjVzE6GPOAs7E}vHC_i3{@dzUB&SqNyBrwMw4u6Hw&
z`!C7OUY%azoYk`&J;{IkGk^FeeA1_cCn;E)L2<OPW})4+=Z`AI(WA3w0jUeGrj!Xq
zjXQf3z*p-2U~D%GQxOzP>w>L{x`n;z1jW#rU}McX!+7_H7CY-Jf=@&`c<7`m;@Qds
z7P8tFW{~gUyFzP#X_!5+G)lS#1jWkQiq`2vI*cQXeZ81c9F2aY-JUdq>9QwnQufvy
zr_?x!;hn7|-|7z2#yz{o+hh?yncW6>;^S@E#m&?On(hc-<C90p{x`mfPyU_%jc>+2
zQR-3w6poWPB>MBi8C##kkw0&DgGMxUx?QsMjcs{r^Usep(}R42ak9*7&^;%!Tql{H
zWE}lOw+*)C*t45q&GabWAdJxB05~hrL)NXCp5>d<c8v#)Pu^yWII2E*>NMIF5v(B5
z4jfW4yOn2DcmwT`y+Q5=NhI1lJpcXsfqXMH-T|!0>zgA+*&`ejNd#y4Jm){8aC@A!
ztv#gpxAc&T^W=U|en{cf_(RH%=^-8WrTn4tzjr-S{yqObsZ8w0Rq&9$*OhJ`WB|_9
zRlODImQ3EDYAt+Y;S{jUU3?VrZ<CFb?Xa;rt}pE`<kk6rosI3B5>95#Wqu&t@I2-H
z#quR69Jk~6AlF7AJsls07T+fyi!=u5{INscUyy=nIk;$ryBaQ6K?V-EyL)zRkcSr^
zWx_|>c1{^wLXcPI^A|@Tt{*$(^B<6Pi_eqxpCaqV`4%4)WZlI_2K#pT{balRVEJ_P
z@p7l=q5fKJ6W_vt#GqA7+6MLz--777ZPMMO@<ZgzZ-<-719}KwFm29uS&F0c!Z<`<
zx<0-74UxlFr8snmPCAtrsHuThlI#e7LHarH)bY0({EnRr*l}%X-l8w6C|xaDn|6Nb
zup(nQUxXF>lTI)h5ik|6G;4)(=t#OM-)QLjUC;e7Xp%P4F$^5<&oNmLyyEEOrUl`<
zuAN<W`b@cGE)%T7-v?R_3@#N{c7?5RcW4lwyB9Rx*QLhC-JUj<L0u?B=Jp{9-l6^D
zQ?@GiYFRqNl4}D?U)Oc`F>wMzPihZ&(}dUrnk?eIqQJ&Er>MG`zvMUSL7~r#fUa^H
zKbWz+R0rH0yS)5Zb>Q_+{q)cHLowJ^(Z`OvF)T6^(iyzQ&`hZHf%;r5eQPD93Uk3U
zs(i<$7P<sYke+Z8^ihvUc^XP41SOl|-69&(@z4&Z2|-Dlm|*nN0H31@Cj=!wV1m(4
zv)v9ZMvSxx9*=0|p=S+>LrMDQixF?eSHv|)l!$3O2p8*BE4;!a8{l=n-&w6APErCD
z+XV45WW}uqL{{DUB$@kZ;G=sFEzh?*dcHfT-Du0vVU3Agy=1;Uc%ER)8C0O#b|9JW
z&wjmw38MOjsi}8-QYov>bl1OA%=)D%<wV#l+B7TDP_Oc-X=KXSM$UWIfjMPdPRW<n
zY-!DvKC2PCJ@j_zr{No(f`BLJr@3BN+j|x%visH5^Ohge)qnXXfAxE(2V-2CElzFF
z03Me{hEo|d!Ao<ysS8~2;@EE9Nf*30wVS%y1)pYUcXO~*33P3GO^vh<u2VXF;{WV_
zyRT(akC3Y^&s=-BrT4!NmcbZTaq-k_gc#{o6L|X##`tI7_ecN1<0&t%jo(IY&v3@!
za%4XOL7>uT?}3Zxy@TRLY*#FOqX2tAEmq>sSh08!s{{GA!7Q=`EV}bdvX{39p9qS-
za5n()=nq}IyweF75fR)Nv?C5(y}T929@5K0lD|82_VO^rkx5bbL|CXlboKI(h}D!V
zz~=)==4I?uUP5-&$V$#|__~8@Bx0T;0PQG}h)1@@Pj$)5Tj9r6k8H!S9LWct^vI~>
zM45R)c38%aFnORkb<d}ak((~H4-rx8^6<p$!@WVs;b9Q-k)vkml8WpkG1VnID7cN}
zm<+m}fGIEOP|2Ft9ZLSK%l}^8_4Krz(Kz2sZH9~=W?!UqqyQ_@`O9_+ljUs=5V`nm
z5j7(@yoXU|QB^vd(<_bKclwr{&1G{&1S|ozy-_co)Apmj`fGphuMNTqMVxqmZTORy
zK>4quhQOD!?~y80y)Jk-B1tIlyB)!Be7Wr_WXq^}UGUi8cZZ$DdLhW0)dY`6PBR0b
zZ?hSId3c{(5G|Oh|B!vN*gjUI>UBXR1{HRLaRL^Qh9Fh13!YYZfT+`eVj|gNxfsb2
zI#h0raRVYaf=<*Np-b)%kBZ~~0OyrJmt3O?M#=LY2(9km6@G{2T_{wR6OOFKmD4Qn
zJ0xyN;|NJ)0(xLnhL633pNQs(k~^cJh~G#nfp(ryLZm1`f*pJrR^QXFm>(@SXmbR!
zwfvmUy5(!mQvAu4?VM#*xL0X@-31-8?l=2i{lcU0I3{_o<U5bTn=RsiIg#uBN2QNC
z<vFB}j=eq_z9}68-^C)_<#HoY*O`MF+hwIrt(mJ(FdJ9(o{~?Z6vbc_uF=A(I>T(S
zidf8+#Lroaiz04nn?=D4ydhsk0p3UvFz+ueSKD8T$jK=19Zp`glnk$r$L%4$R<$of
zl0I<z{zuP=t64SD#4YM`8q1KR`x%n-#Xs$z|G8fjq!sE4xr^bU2Hqlz#r9++mTJ4k
z7O*2Zy4xe$EhywYNQ?WJBu95gz_Cz<6go&w^-F<<mLdJnK<g_=gel@amz`X}moO}z
z0BA&aTd9DS6?1e4&r;FCAj7nFCp#D}CP#Ot?fEP@e59ubVN;Fn;Ox?Pf+gAz)v;oU
z?obOsEtQgIZ$og&`DT{3M=VjZTPek@CUOK<ALw8wY3A<vziM4=E02VC>#D}{zQ@5^
zB!v)HA}UmG_3wj+@TeBD<^s@|;JSJ?bx3<sCloa!sytiuc`0fh_ecD|!Fji6v8B(Z
zE%$>T{nY>McTQzWgRB|BTVL?awlruXQAh19U$&)(!$xCFRKo`==1eK96YH%~4<95z
zvn@Sr!R9RSEd~=R_Q%bXLV9cq?PnO{AS=qGzG}vcEnR~$QSJS(6rXJ=D%MEi!a{<k
z!yu_~=weF`o3&;&4{H>eIv1kU!_R<pODY+yPlF$*WJ-}rF(@{Yul>!DaNV!`u->6X
zkCq$-d`+gL^USvg`D^B<A&E>)J<my-ua-7<2O+7dmf8MZ7)z`>JH*uit`WmFA0j&e
z-Pf{d_TGU?hi8~pb)(-q18@R*stgIRQ<hQi$~nH2h&uvWWJVC6rX8d&t?p3Ls(nC?
z5VUl{DDE*(nz9`c>{AEiG9e<)p8@$bC|$|##OdhI6KPdxtV(Is<RT-Fn!Y{EK@!pW
zdS&8T2Vq8<mKJN~^1X3m^U0t2Bd`77cSjyoE5weq)GfGIr!z`30-+Qx0ziuGD+_X(
zU`%;iGk_?9eDhtfapj(%OcdZAD^f8x!3~IkBu@vNz3ST#q+)i#R!2e`qYZBhLEb?Z
zgvnrf6bT`~kF<Igf>g{dh+YO1dZ3*wm02!^in$3whl;|(balh^$BLquT@o_`3~RaF
zvpKmeDT=vCqQg@va_6nUkE$erN-lX^?X)a*27FWTo9XB@n=T1t0&%N&Q}7E7B=hY-
z`Hb-$B++Es|LT%QZEJ$y$vPFpde!qRHwe{D0Y3pQWz*`@dFDHW8Y4qx{P1H}ly4E|
z^ud7eJXss;e$RZ5P+ioPqmzcV={zS*O$3txrkb)e$vR{+V}>W^OOxb_LszVqcZy&-
zWpsyiy`|0K>DnyRTs8xQO>|vcpV76~=~^SRWOScjMt9yV>J9btN)+(8962Zru$D7$
zx3fa4-}vy$x39nY#;YH?eIzhGIyvhb&I`7EU-<wGU)vY6Z$E;SZPIX^ge%{94{rVH
zzK7&~)yI9_xBtNXMWJoV0nB9|=j1TwVz`>4P{eoOgktJ<BSYOwqBcjNF%AJ$=pG7_
z+|`#rf~XxR2SAhitaFY+j~nn*(B>Z2=x*sk#vFwnk6R{_3G6u!<{y<P6hi}0gJs$o
zSmr)TFHz|JxK(On<edjNr4oh0YocqwHN|-=zfg%n+t>yGkS<4|%@>99IzZQpv*VF%
zj7!?@tYn=c+kAs!Zo@!w(%n!WVTj-ET@G;^=$j-DV$|2r9W#K){>4kf*ZLhfDsCqr
zXA%E1N2W@req&c0{ueRsQZ&BDqVdQS1eD+#9|KTTc(JZ`K<s4*z5k*>y#M)E{Mna3
zA!u;5^zEfQ-9nh;%`+g7vgR54%A#Bs1ob=Tu#v25ZyhWlNX74h#{)1u)aR(wS<Np5
zD_RJZURe<|V44UELFyG>k$@&k7Vd-)Av0!C@w*^<=H<|2!Rj$HRQyeFv$q~aYT*us
zL&e_&QI``7aoVpD$aQ!f=_oX84Jx5LHX#-#PLJoa6xbT!4KT?tc;MCIn)z}>@%z!C
zo0(4KnkxgIrZqE`3q?^Krw5d%r8JXdzCEx{th8dy6AVv(p5^{1sGl`i&;j)4S#A)n
z4CjgL!U5BB`Ze<%YMJEIo~AedO0!Kw()<@1FOyWZ57f{@(~yKSGtwk4((DST9P+W@
zi)<rz5;?v|Pwi+`);mqbFdFSaqZ*xF%5HWjPuQC0JO4&fiYc9WEop#o`KSE>^(;;E
z8@}*4ohzPS;ETk+Ynz(<jc62<0#9NL?4^5F#$ev^eI&({=a>JtANj4HA1O~YT5b%&
z)kBd*$~VBd*V07AbwqC_CWrQdB?{O90U|5Hy!7Ehjsi|wRHH<2yBW*_%}yqMg1fON
zISROlJt<KD28L+qhU3IUCxwHXqkug$x2R*_(2P4V1WOcf5mQp4fQz7#5(OZ2e*smJ
zD}@(OC4hP6+j9Vj#%fVRNK1(V_F!?5q$ApPU%Xjos!@%_PIaiGLTzgjx1w_Q*qdoO
zdJMm^^k!a%C6!uLDqV?YcU=v)KE3u*t3vlybL;VC-lUm>ztu?AW)z#Ark1s&8J}O8
z(RA^I?d^@@l4iUg;OO7`ydU`We<Ap5^k(!9#zkU<V!}}O)TH1Du|l?Brwk<N^KIF|
z*dI3peMn+%fZogw#{NvurU-5a8P23>P^hzsV`Bsi*}=HTzmOe_%k&G3HtiV)Ui41-
zg~>RoC9lc{wSUo<q1$go;Hc-%dIP)wN8iaD*IO1iI>S^`x%)eEr5#|9^c%PT(cgLF
znFL9L#Cg_Xmb_6M-X$G&IBdyUkBki99<?3!-S5ge3@8sI^@;2oiKem+JD|BtLK@7t
zy4>3A88c{}0W(b2VV3?Qq#Y;OMN=h6LfwIdA0qV8k=#q>)@JaCf$>`;iLzs8o&v8-
zU}ITIu8BUsUNT!4P|g`G4RAgKs+)X!3<!i@9gTK_HM5NYYz5#N<krwp4zBX<Jo61Q
z)F&lDBU&UU^|xqdP=u?7z8jq(*`uc8b+4QXvw!gxx3@y6#8tZe&0W?@spK9@B@byR
zJcyDm>-35v#7f^jb2#7<LM<WGtFL{a!801#Lb^NL*?T)6HGc5_{?gz1JrP1F5ud|S
zjg}8RWtfCa9{^zrZ45Ad_LUdxluj9Sx-)U+fG8u!2(O|D(!TkMCk(bRpeq<VJ3%Q3
z`HDw03`m&=gM0cFY2AE9Hn&SM7dQ=Mb?c$&ez@QX7zrsxM4e-FWKFb&W81cE+qNgR
zt%;L~J<-IrZQHhO+qwO%b?>@=x~r<s-#({K?fpIv^VbGpI&l&#O$7vhz!Jt|V0tFz
zx}`3=n<^^b-#DD0R;qoRarLN=IWlEZwOVSGaTvI!n%crcOFa}R%y}F``9_SzhE7hC
zs$ty{FSY^sgDp5uv_FfEamXR(G*Z6nVK!Fsp8?;TvE0uQDwxCxy~T@82@}1RJQZzJ
z<kL14BGjpr9B=8x#V;qkOkVb#W;J7|gTHQm%6bm}<e=ZBXteNIm^M|9TjXE2_#VN`
zT%1cPoRWy_wLPQ>G2^I13Eko34su8KH@NU+14kML1Er%VL{U)zD|`AeV@Z+afxRk2
zIWh+2-|8Zvj)Qps=~>@t;OU@eOE=de?c<ZW$RuFr1*q{#ON~+0mwYooGD3j^9j%E_
z(2);&CNEDyg~a1w@2I`XF+stgrqohYkM37B7Gsh9uq)!mv?y%&ku4S)+k2@uoh>vZ
z!8LNkIZ6{>1ok~z5a!2N%dM3oA%Q&;7akGX2NKmHYM+hBWYxR(jQx;sH>(w0*U^Yy
z61*x@GqSA}2;|9YC+f79Ya$*86wNRkdtM+Dpa!*`JT^%OIRVb(VdQ6uE8aPOx_4^d
zgL@k)UYNrZW=X&w#;BmNry1ao8V;GKijY;wWq9<lnAHo&u)BaB*jbFr#iX}6J-4d^
zs!H=@A`%#;^2e1?J_!M+1ml@mH?J(cq+l*Z;Q((W^>mLpFxez9pK#-u8B5xlIz>$K
zD`qU^ghr_Zeco<KyLDF?Rr<|jWtD~jgp&pJVPF!p>7Nkb7{xblzu5l{g!Wm+y(1E;
zFAB3!$%_<@A2CSXsF{-rM9qV_g1%ER_u-=NqmPzyPdhk`9=!kbM=nu*Cy(?z@sgUc
z>AUr;Mkd;jV5X72vU<I>^?A;f@DT-%qW|RwA&}0>oo4P`J_;HoAT`OW)y{rNt5V({
zL$6?!Xpy2tD8I&IRJ9gvJC7~4+drx$p_F$GqRxsL-j#JlYCHD}MA(1#6m3!>kaG{y
zSMAfyMrL2I$tzyE6h#0%%z@HqMnvY@EULRdH(|MRSa`R~vuPgzR95WEcA-N;4KTYf
z1WeJ|k(sV91`xd0DAZ<AZCU}nkXEf8zI%wD)j62lBzmJRV$F0Vy2{?U+x+0V&+eF1
zA<(<^4+(K>xaRO4b&J{Tc=@4iy=Ih5gv3)5I0%RA<G*2(xeTjmajNHH2oe8GkEtYv
zO_YBz+!~#6VqWP4Oq?zk!b*q0+d49)5h$N(mM}qT*(jmUJX2ClDt6XipAG@B!?;W)
zVH-l;e+d_m)`Xw5fiC#yyQo-HG~=}w<$pfPg36H(^S|8(y)S-MPi<%H6U^=2!$Uf5
zgjK#gSlzl<UezfUk9Cb+ml6MT6ozk0-`wG#Y)17SQ7Rx$sTPCkb)n7w6M2t|7I|0n
zd}8hS@-BE_$MUdDafS7NF;vW-3HUpq5m{GLS$!CEi>5`mlc(u!)|E^wRd5&tIVqN+
z0w+O$yUPe>m6)E}Q1J&Zyg|;;Of(*#sLnsaDByFn<U(B5!VZd#OeY$bnJ<Zqsw)O;
zh5?4^<>K}c^_%vnG`6s*phiUNWRAYnM7o0}C02&?pN<KUtuVRDsU;P6b1<#ucJ7k3
z{!+g{Z;mJRNYx~ob}F+z!nkg+Nj;MvhHyZY!TT10+D-j!l7%z3Nz}Pi3op-U;v*^!
zoBRL)GK`|S!W_FUE{T78cYWwhz>~5<WCe~;*?~nN%xp-lFJ+EwMUwLQQ6D#p4&&xc
zyYqKTY20nfb;ie8_uEp>$&24k*q>%Shfv9}>JMb7zr)F_7J<*#lL!Y)n0z2{^m}ZT
zao{P>=yE2_o<w$<Ng(IYRH$mADfHXee0Qa12eY};ssUn^sq_Q~&ceZgC_w<HB%65@
zq+w8VHHf#ceh*11y}pS#e|2-=1Z_}s!dLl*RwZa?u5~8P36<9r`Pg0gG6rABSAsE8
zI@$0%)aWJ9PTsfB!&H^d8aEVp@?^@tXZp%4pK%D+!;3W*uUd-;DJ>Y%7XvKqXouMr
zW-*Lu4su6Sik6fs&#R+2^Y`X|g72rKgzvk!ItwW`cnSeAk4qUrQcP~FdxZ4EFKa|7
ze#0~G*9PDI60N@>N%mTgqM1S=aCc*EntF&(42#ibTh<&Cw1t@#UUirgy7Y)}NK%ko
zBmmDIAH7*RqT2}~)tf*Fwr+;-%eH6jywOmX(y-}pFhGl<zOY#11HuN5Ba)?$?+|IE
zjWctq^jcsg<yFo)CMmB&Xe8kwZlUgh%av*tlV|&f=a&H@4~JjNFW2U@=r7Oqe|Ph;
zm2bx*>CdMMr}N|;JO=-^1%YlNZc$__e+$+f_q>zdyno)nPe!~GAoMQKBXM7_A>{9J
z$Vv4_u4$5Y$fW!BRXQc~r-Q~u84jjTw%N_y1S6r)K@V1<_l2{slmh2SZ6aRoz<kYT
z0e<I_oP0`hw>DJN!l9#;sEk1O$Yhsrf!u!c8wzKyBO#(zu{Fh4xAV|-8%*bWOzXVW
zLcaa}o~2&aB|Ymrpaa5jh$XC`Of#0xEMnT9C5*oqPiU~KN|&hceD5`Hiby$Him@y7
zv08dHSmk~zbY4l}{*PKnPm=*Sd&f_lyGWHi>VBjSyuMD?rf@;>RK&5Yt^s7DmEE5y
z00eVLX|c7h%p0#04}W=~*b*ixUY^@YR_(lHw(LLZ=5d{fme8Y;ALwOwK0^U1L_;O(
zWC-+6z!{$iOkmz3!e+Hwh+HLQck7X-u1Tx=0TFmwb-Hlu`lzlD+|G73^K=MV%v8I|
zX6W*cSfG7D!ort*V<bsn=_S1GeYtEvaHrLfNIkK9aSix+xXdUfy^mFj4PRn`0l@u5
zJ-H&;m{3>=@<|VwRSt>eKKGy5G#$V~w^K{KdC6rZjA8r}R3&CfsOK?O?)_9@&#Be|
z4hV#AtyDgix5v|^7R34J1mSH_TSNgeHW$PcP^ArKHd=cFnqt1eUB!+L!tx80R?gQ&
z049gqpeGNHS)K887aq{mK;)s>cKQWY5ci95Sn)+TvD8*1_lpQsfLB$jaag)6&tswA
zK5vD!S#mucjD`Zw1q|nG7yE+DG|z!{s#em#hTiH=D9is>KCH_gC=sSklui`+vF7z`
z@g)$GGuDYGST{K-etkBjIqsx%PA2^O%Qo^_o89t|o3nE(+F@{;X9`m!z0C}?-0(iX
z2ZcI9H;yG8ltkRwLO_kYmj;}dp*~;-&l#dXTPtA5L@Q4mgyxnisw9^O-QQn^=+_?r
zHIx#Tn<^ssh`dOrvbt&)ZNmUIgqFSwz2p`+l84u)0-c|Pgr<5L27!&YPmm(Rv9$_Z
z5a-mlwZ4iLFFMyssgXoA!~tx&TAK~(-Sq;@BhAu4ow*EkNJa>*gLg5$IKZE37B-YQ
z=Qjq~lmpCrf@xi5126VN4+;LvD0)$D5dF&-z$?LPG~pM33w>*9D2)H%G><sgp-Kh;
zt?7I>*qy=z>Wzy3S9ju7*U88LWC(b%2Q4ikaTY}X>;H`Yv)1yxKs|w*n9@9Gt!a`>
z&xoY~9r!2WIB7#c2?)r%Qmd(TLCj(z>Tq?7qFrlyDHN=skdg;yn=e|YsWbJxBIqJ2
zF-3?e7py@D2JSQ>m9X(?FZD9wM05$lF?2gcbE1QUOPIa}*>yx(#;>*t+LY)Mw7QMs
zQ7^%iH2?r}u+I(r2}IBIav>8uO}I6Rw?@+xDdwllF_}-VV7?k|;T$M4f3;Wp<CjC<
zepwzR@U=>!_x%Rfi$u^0!_ouiEZOKaUg{cfStAVd*x)5St*Z2iRN85~8Ecu=u*^zB
zun<&@n-cuMU;H4sa9!*Qo?BN*kh9_BgFl2)>ebx<uO1h>`&eH<iq&%sytK@&gMi?e
z&xCaraEeVganpzCqGivvtwG<Q^)+<f5Vs$LxYhkG5MK*(K{H@!rfc_L=YKe~(Q|9@
z8Kl@MuVFC%%l91n5i0-#smW33tB%abtuVzRiBz&n+htvUe3|CB8~U70Z1oh`$54TP
z<5B3iG){_s1>NNwdlIkrFiIL11R5|Fdy<PIed^Tnu<S;7Zb8-&g0i0mf>{=gT3XTF
zm6gQ9=|%u24`S4;(%2zm7Jz$?)*uwMN2f%2b8330i161i_YjiR>X5Th&^g7}+jhqa
zf8Ae4#x`$ejLC|L=Mwl0b>$C`oFDGI?Yx>_q86n%@@A+zTU<71`w<%=J!>(BzJPOd
zmRnQU&!O1Q)QDGF{AH`w3_kdb6TD(2{C;BUjJ$9fu%XHU6AI>T1rxG$6pk--yeFFs
zsWOVNH@Jhl%mH~|UKOKvg_^%@H{6AB)A!c?3*f8+O920dhOa@0Vg%sW8Kf{orCoE*
zo;*%cfx|C#6U8{|=ZWU3FcX2pfvpDdlD^A_Zr|%b7s4OAHEOSDKLx-8uyTj#^N+4~
zK}PP_K^I$xMw9eebdXs~og~2&yK|*Ys{cCe2<86ZK)Y^jH<Pv7D?ZMa%|?aWKVG)|
zhy%5XZM<OF$@0O!2Ui?ec|TLy4y*KJJFs4N)d2n#I+O38zg%Oq1^w?eeV_dCeZgT!
zpWd4e<bPq{6QmYJfI7$w%z=3*?1oM{#~mbHrUMID6xcEbSy(N9%?f*lUcY_MvRyD>
z`B+%SRY0l4l<3tq6&K9-F?H7G+Tw0tZ>Ep$a9A;56GrM?m>96TYwrK>Q#|#UAeS-l
zNywI++`&mrNkHk}3e>0|m5QHCuJ(%vo9mukQM+=WU`6bQOdD<yOJ;%Q`${96e7!{j
za2;t8mkv2_kUr1lrd-pQ(s{X_!Yp_vm?v?U?%dtBC8n-ZF;OP}66fxlx^<-_4pz2$
z<DN{}P<9S;S~;-o82g)sT_BpH*EbDDBaXa>X0#4HeC9lSc2>mb@=w-is}>-HFere5
z(JV^rg%>P}&>x)UA}fT{JDj7$b%}E`QY^iI-h`7p>(~t;$!JC4dL?+{z3{PrZ{i_q
z3n7_^K)ildHVTC{VY(u`Ho#$_ouvK(jV}SE3Zu=BFUuq(UZtj=v`!K&7^(R9O@?td
zAy8l=JRS>W03p-W2$4DG9;!wTN%x%4fR<NEd(QB-i=(upLO*xr!L48Gk1b^SxKpLF
z(s*UEtLL`m1QtuH^ut>$^~L+cO98N3ml0!N)X@Lj@K=C)JSZ%`TvrjoJheT>ijspY
zfw8m|qx4ZntUUZK8w^3ccylsNTW_DQq$|z*5I*{xp7{!j`+Unin$4KYFvlI7Seq~v
z=-yy6Ae&>n6Jvcwot!hel$3gO;RD9)!Nh`Xjg2W|fwSV63Nyc)i}s+-wj3sC)C1*B
zmv>=(9?e7G`)8c4eEX{)oXTf*G8TidB=z{qse%+o_({-Ggig=fd)BglX*az>7}I#A
z=_D4|JmaaQ&h;w9go#3WW-BXZ!Acf`%}dO2_nmf}6H1g0m@$a#9SI&Dol}ZCfPR&a
z$WQ&`td<qdHDPia6-mqcX(WF1%2%S6t@vRPGFDPDu$fo!Y3u5=6-nHXG;(v9Hr+LH
z@i+DW!x`s5u=RbWL>H->7fbfo_fa>}czY&k`o61up#E|q#P75Yxo1Ss68cSwH?}ig
z<n;!Hxnu;qu{rdtK(sBpfEj9WDMwQbCN!{jd}pq<zrrTS)4<<<=BC~Pd@ApOkC<)t
z4l%kF%(=Gk(o7XUL~}10H;@tRow`d68y!&w@>;-ufd{tx#}MBANuKzdBUuW^!RwTQ
z#97ubD7?6_FJn~U3tOtXm5KZbJv*k~3r))}H94*IO>Huv__0O!Dflf-Hkg0jZy?ta
zV3^cx^9i#{hIdPJKVlse^L5wj2eu86%P+P8q}}Oa54ps1w<W|_ZVYWDykMSEp$=VT
zJYa9L&7uv78Si)Db!uOER=v8nV6AYAq{rGs!)l-ywD)yx!fYyz*JK*H9mDX#G?JKX
z8_<1M%f0!;spq<_>G&naDiH%oW&QFAKIXpivmuV_U}PS+tcDESWfEnAYK$>Ja_ON~
zgpuy;Q|&5ZYF1sntBS{zjw5%y<2&TdK8`(gN20GBiflJS1gjQBkH_?aDM}w@IS+jf
z*?moc-44>FMvbaD-!d2=Fp!KDP$K-#-O6|CZo>oz0S`h<-XUu?lqX<zMeUkO1#8rk
z`X9S^6)WAwjNFT35OR-MKPh@5jhTHm1w^x#T~S&5B5?bEs#Ohqlo(3&d5cFg3M)oh
z)AySSJmdpE!_YJDuIVaPwpX2;x<L*2cCwc75SI<axb!BK>}MeAJ0JOXp`PX`)vIa7
zyl-_yJq+@~)z`eOXPWhK=P8|>=FYZ$TETC7wpO+%iWo|sF{)!iZ2o9(Lr)2or~g#_
zT!eK~qR^Bd@aGxh<Yb9H5{y0iHk*d{f`6rcDNhm|8B##SPVVe>+|Y7Bu_vGh1EG(w
ze-ua(wW|F!&duSt8P1Cmlo3=zqt@bHqzN{BmW<gC6WAL*E(`^Xs|ZB@IfnJi35;Ak
zs;YvPH*K$rYrecs!cL-xhMYa7CseIpV$??&*^{q?t%?D^yu$?XkE5m=BE2%82cKvP
zr+P$rnRTflU6%yicKmFP_&<CIJRJxi{H7fKftmEkt?PSK-r8o8mKPfWt9v<<yYITw
zAj07@xEmZsN8YTYAFQo06tTM1y@e~m3jube$nSvqW;OncRM_Xzw?IH`jni3|O^m~b
z$yd3uuEMv>Dh42E62WM;_F}zpnf#@I3Z=Wk7KyUE8V2@BEgPbUfB~(BP{N5u(Uhw9
z4Vl15K1q;*3_?Wy_eWp%IC0!fmTo`6Q3PS#AQ(6=a_yPjV*N-mj$iqqbT6Mk-F#6p
zu8Z<iIRo_Vw2nBEP_Vsf5Ra6g<Kubi)q~|6mM;>x`xT4S=W2rk1VwVNrA|!$tD02p
zOjb{%75#DlQnM3SkZep|YX+n87ohW|ta}X<f<&DzIFn4HGU2SQd(FXv4r$K{JkX)b
z3SgA3cChVai;ab@{R3z!iYeI8#g0G7S6IU5=U?M2&5z&6)(l2=>N53ebck+Gg?Hz@
zcS)g5=$Cy@j%NI6ghZC7#BMi>q3wqqDk!kqu8;rj?f%e9pm||OK4fhQTmfGT_+AHh
znkDn-WZwW~TTE|@am{|v5Bk4MO$s(`-5GlBGs^A`o`$~xBXEjqE0Lf(Bk**!FvQIP
zxu?TTYr80|{BqN|Q)c;krgn<784B5TBy4!QG<D*rJ9WcuchQ&PO0sq%2g*l5q^NPQ
z(3fy7o<Z}%ol|#Ph;K(*pKAKc$wc<J+%Nl)@A(3PjEw<!f-P5`)=U%aSO*6k`>=;8
zv+}EN^RTCbPvY5|__&^p%}ZxJd++Ww^l(H#KBkGGX=Ce>#oD>0do39dui5I+|FQRP
zn0oPV=~_cyqyk*B$snk;y_j!En`n2mVx95oeu4(%y*L!h*+Ke<Eu2c1f0fYfeT(!<
z9!s3fzP;A&U4?W<1y_=+p0-4p#`MPjDOodkslhF}=LeL~=S_A_dHP4Oa7KRi<U($&
z2|SGcw7s#h^24dn&DSxy!`*Hn&@(a<@o{%wD_7IuVHEJOpqmRz`bYo3-mhs@%KJ`?
zeA^l`&HK)U(=X8$wy5|kIhH#CHq}=XOPwu*^L1#yI(niBmEgmwElT<BF6;<`2mHS@
zjwbeE;fn?&SJ%-EOx_@&_etE(Z-3U@L7exev!(&y+FxVoSDLB9|4`-GyEG`SpT?NV
zDPZXhf(V8G5q=}=r^=o+eL*i=iy`F_)3>kUXuRE`Yh$P*TAOy#vj@ZGYyQO?7$5oV
zc$%p%N(&j`L*;4s%S0M@-u^xEQ<-di*K_0BSf&k=-KL5vm(HH)xD_XY!$;l`s;|db
z*~^zA&Yrtf43`0#P-~7qH8QxiAaQa`@c_)UJAM;Z+j*YcMxc}D`#8ky$9l4p#+m+8
z;>F7-VEj5{ZAVw``WG`(RkNW5#Deq3c0`C2RhokeIR9^(12t(QX|m~?3~!057}b{W
z;@2nxfBwmDm+>bG|K6@ZuCRV^L09P3KipvN$kyF$^!qyOnuYHgn48tyqIfk{cAu99
z9~R%QgzcOQ*#L1y)Lmy+Lp-eoW>$#pIwKG4TBCt0Mx>SCTDW&Di95vtN`!rA;10<r
zFaf;nMKAQIRP`4I+vvWgt(njV_yWQ=@q>`ij3*()ub4_odA>hfb}gjplsU(yFUO;&
zXVJjMM;RLjb~4oKf@ZaJaewbaNt<zsIUr}5I8f~pzo@()r_c;(wLWDA={As=NkPN9
zN2Qp7!hO|qOyUl~Pv6UniJsq>P9%Ufr!aaMlg?y@#S>hqhln?vzF~gF<>wqi>VzX|
zgi%`K*8d|@GgYqPF85}I1NIHZsQwkbn)I6+Kycgbrq}1pn0@ZTYId1-fX(=4)m`HY
z^a6<B{y-JY{rvj5)$IJ_4{Tp1^D=mZZSF=rza}rLHflZEetUPBC1i=Q<UF&%h8xJp
z1wm4NTm=bSsY)#;wLM&#W3`ZD40e-U^8qJ%j}*S}5OXo2l=^iQWC(f4<!wspQCpW~
zD1%M{BoUzs9zx!Nm)_i71;xij!=>fqji=!l-)5Bn46JKcg*t|D7UgFrG)<rl9s<;U
zSQ)QvmxB4AvRDbY;Vxh4G%om0)CzuJ-jHF#rtr%8)*SOJa|~Rh+YjC{(7mr<+h5Va
z4$ww?F?A}2w>F18=?wguZ#sZ>4dwq9k*8Cfvt3E^`21(^^Rn~WF2Lm!QgRIfk-}jJ
zv@X}^XKk>@A2W$@(iZfgioQKpoDgPsh))dVh@S*)m8=L_&O*Z{$R^dPzLzx{pMNx=
z#T<l+;J1aOJnD6ceCudEj1;{ayr8)<$Rg_KjpsNZ?il1U80;2^PkIL#8PskJMv0^g
zJ-`DaGTUC>budeP6ArUzAA%uFy^-&?NuPEf8?S4G6(O_F=Pj*K@`+gwd{c|ho3@m|
z;G@DGN#GJKD#}$^B|Y?=-%JZ58FCY?TpAggey{(ueW`TZjNtF~wE6?S)`#-1lgmlE
zoD1E-KSCC%x3GOT6KsifoLFHie|wP5aPR||blYcv(#JOa$+bFd+o9QBEv&gd^=xX7
zXp9X`kMmYZtJ$`gnIby8*Qr2fl1;@9=BB^VHAdEW2(V0ozbP?#5Tm_gT+FDwV_S?2
zIhW1KAdI$@p*BoDRcJqB1CG$Ij)k=|O5C5u<y0F{39VRcz?yM(;8*okZ}B*RK-Pc?
zZVYf&2!sebm~(1h8#OCWHJPBh<i)3GxX1h`Kg21G2t3}>x^ka+ec0TEDcUS|iJcz%
z8u9y5F8l+}ePm;mz*t^D?D6?{uW;ym&)bmPPo;W4v`ezro%C|9$u_aNMf5$$`TlnJ
zP_7ywqBGJ$FrB#0I?T(fo0IjqMrJo!HbUy-r_qBA+lrWiDiXsm#}7$;3Js+H<vR06
zzm4evjG3e!9cp2DOzx>%Q;IxTdqn-Ba-Lo#JK>Bj451+wosn6i@bp)?xQ8m>8+Qf%
znm7+f>;uHTY(-C5@FFW2;sp``p0R|wjjW>+Ix^z6J>a1$!x&^}+Q%OOh)>!Gg^9t$
zGSWbGm(lNWz`8;+*tTYluKmz7g^1)sD!05W4ldB%AVbp5UdA1GGO9MAz;0`ft!js7
zBF-+Y(w4tDzu2ymB1v#>G1_;(O_#yky-B)?or0~NiS1}zUlMlE*ffw3wz=n8>9#w;
zt#WA4rt%}e3<`A$M#VbPOe(VE?3GFoqm#q(j|{U$vnq2Uqx<^d1#YWRiiV<;k-jXf
z&CHG1ybBOtdWLFNix1%~OUb*N1K;QNO_p_XclbfLBaq-CdAPX-E~YZ3xQ%X*rFoSf
za=v!sJ79779Fr)$VNppH>t5KvT2}GCN&Y9j06Teud+FSgoYE$@S*?WNT9zW^C{lXG
z6YRhrd5o@WzDT)Pv#LHz4R+wQaZHQfD!oYa{R)4C>~_ZzqHSea*6ZGHKJn*+@$22;
zCsKM<?80{Y&$p<vnf^dcZN&1L&vw3KE^6UW!&W}SZ+$!IY&9b2Mo)(Dy#D1d9|6+b
zvY<v!`PwGp0)r+<<JtW-Lsw$LHD(>n!XcMFOA&|x)vnYx3`KY?`!+oKakCFJ4haCT
z=C$oZhvSKA+nC$7*7hoS20++j_FS2Ai3jrN-xa^fk>hEmR2^moLM_|L?}$ShTFu;}
zSZI1a`PBZs=U$IG@#2-G|El<z<nX!6`@Sg?XDgH$*A9WKGeS>;-!x39&t?6|I~`WA
z3lZ_Dz|`po1p)>n4BU}_P+yIu&jk!?Lk33#b!0}x0?)nrg#O>kXuxPBR{U&^iRT^|
zUHs}l^2CP5Fmf`X>8jn?-AqxhKlr7Tx9m$U(VH+}%9JXdAfa53VG3>NyXYL=M+u;(
zQJr~5tJE#^<}Ct{0<~}rgw@y)j579b8KNi;Oz%5<M;f=NZ)&d#zz1*Ra~9Ovb3Td@
z{+@F|a9zbtM1xQYwpPVnu$pq_R5s;OjE(|<9AAkJK3gB(C)Al(SlXlNiZVD#HAySA
z`-9IJtgS9(WO2DIH(*g5dQP{bYB=Vq26!D2eeC+05L@ys#>>T)-E15Py&Ya#|C*>9
z<L+m2R(7vWHKH>i7L!<JgypLgP6+Z-nyhFr{)Dvss<Tw}tQeh$I_4$(*eS78J>|t=
zI+x00sPf{pSzvv)RL&1`>&46OOJ7?q8Y|R{+p_I0Dj%3OQ_oV9JT;wB>&Z#ZQC2Ql
zhWmM1raX_Q9b8#X1b+BP-MA3>_K=f^17v>;+!drV#M8P%lFwYMy>;95vR8@dtuj8O
zH$Lxno-exh9|YTybCN&Tq$#6ecyiZMWjJ%|i`N|c4&J2}(X<*RLn~%WIfWY?Nj{O<
z?Kr}7&8-cH?~TF_gP5~iI*d4qq2^0Fh$s|j-!8E8VsM_c{z`0tzwFjPT;mlyEK8~>
zTtuoA)mu?ZGG#<G4N`$rTntCDop+NIm*={L(DwH}(^|XQ%Nnzk#-0q<0z2m>S0EQ`
zFRQuom7ihpRO7cf-HNot{Gp`}LqT@8Iqm?xM&jk6a=SxaDmd9#@RL(J3^m<3`pzBE
z@Gr!tph{+^9AID{(@;{#)6}{jrp8b=dz-&v`*Cm9!Nj*2Q3my^>d5u}{hM1MM%47)
zDmqif!#qhUB>OLr+$wGUaAg1RQK+W;N+EvlP5_>eqp@^7C1$cVT!b1jj)HP<?3eOT
zce!YCf%)z~$uQc;O-Ip%ySGw+H>_ZR$41G80lz&n*+pJiN0PSbsPLyH*^9}YvFmx%
zZf}LZNKJEVuv<|ru=$@eNhXe3E+*u`8^DI$A@2L_=)`nA()T)8^U3)=x)WXrOa~iX
z&WqI^65SAY#ub<>O`qs%wq?cuRZh_uz}y9d0ri9{5|1$n9tX)*F;77lD61)p8?-1Q
zf9n}6JAlp!q!a-RD_jOUhJApiiCZhkj9AB84-dc03RY(2Bc8hfWP>S8hQkB6VlDCm
z-6b7v1Ti>%1^vwMH8Rz(TNnZ3w{iTR=b(MVRx;<+TUx4!Xea+Q!0b@^BZfM{)y~85
zXtrqq%!FnO!qoa%YW)%5SM`}%3=4%O6L)TAMZ#Eq6Sv}Af-$%SQ6nmWpBFiX4+rG^
zwt#B6pLH>@|0JDJb18<}VI$G&23j#1j^TAerGa`EV-9@(MfAOeC$DZ7GI}e1ESTyL
zk21<p<aLKHS#o&)`Z;C%c0|*BGJg!kN42pEHPTbgxrj06z&Bb|q{O{7ZNVfE;<(Ht
zuK|Z38}&xa#d<yl#^b!)Vt8)<Z`erP;B;!cIsm#VyH;PB3h#XnR5;QBg)wlgd(c?-
z#f>r0jd=={6?qVd9$Ih8d|8EL{d7q)<{Y56B@5}gEDL=c{d3_jLtWi&+KQeE!r@3e
zer07pWM$_IerE#XxU~Y;0<x{>hLL%+g%|Hg3xP0N_zQV@0I8K=NAE`Ku{tQ-TajT^
zLpbjjy-2s0PwNJ>a-g>hQzzM;e;}n^?Zr#Do@6?4*Si$!?#hv=y0aasvMMLEtK_C5
z`|Or}x=q*LHu1gR6E7)ANEtUiJ5wiL<pE#dKIG@IN?b9GuRv5CRE&R?e|cK`VjPlV
zngm@Gq68~5PtT2e7wJv|MZYZwC8`R54T2Vv^fgkV+xQn{h!*m<NaQta6eYS7&v6xc
z9_BTY`5d-wj)qGXx2Uy{HfEetjqd7?O&Sy-gaP}T!ti7C%Q=Ds`6g+?jQRvq!SC*|
zq!%Vtn?gcTeku%xB1j{bWp2U<56C;Kgpv`ZBNrajW3#M<zv0G|SP(WmC})ErtCHsN
z^9Lkv!#+OJOvj%GJaJe=1AFYjAu6ijOW`JpYjS~YAEMv!ZAJo##pbuN0yD^lE>DsF
zi#e<D*|*5jKgYEUo#j8lNe{ZVVh@?+kM_7S#LUx-=XKB(6#{>TikPL1uz{N8FR)pN
zy2|s$5+8*Y^JHkL0FEVnl%XKU>3f|UTzzkapd|0-qi(eWzv;6mh33!!3s7MQl7G$>
z4519){Mu^`@z+d2yP01Z1T7I~&h@b6P}lXajv9Qz<L(8}hhIPwia@pwUSQma7aIh<
zy7_^In6yV8z~;mm0f7(bR9ju-Ux$V+USmq1o(9qv)!JM_!ro&(VFCB%v~;ntp)-M|
z-Qs(7_o~`1DKSbvPN#L{Dx3~YQ|axaBW%_f!zjjHlQ#TXTN4u|&2Ew<<{mWlW!`FP
z@)n9p4flI{{6!TijTErVRGw~jiH|+6azHf09rwHVsD)69TO1MfD%t4+k=o4A`+O9M
zBpYQSl$i}>{h=I_^Kk6U>`;PQ&G7(S6AiEJzI@L%ZWE`UNEKqF{)%>t4K(y=%y*r`
zM_`U$MkKrv<;P3w(ycpOZ2Yp%^PR1ab8J|RJeBZ0ba?)G5mHjF<}zlY16hvED&p@g
z(RiIP#Fz+UTM^>ZJ29!i&v!n_7956D!zA8kYfRyDGR#Icfk{{$SubH|xl26UISPqw
zfbeOETSlQD40nd2SRd|HoSkwnVO&fGo^dGEIUzmKaSR@LFQ40^IOc0N@m+~+5H5&k
zi42AgZE1h{Av~<oMjsYs6gHNQhwD_2B@dDsSSo<F^_$W7X_{06lk<#`I6~pwWOtw+
zvU@!23er?6LVz$H0PZt<(#ccV4G--%*88H73VOY)6C{RSc^r!}L4H^D&n~{Lg$@{a
zf|(&$wn5)kIr8^Y{|0n~AhM^>{Cugs`A-pksMq+tvqdYxF$(#sGKqwNI$B#Gz8CDd
zCE)@2*ea-C<sBD+l2i6|0pB1%y5h{;UeAdEu}V|Q^oT%2&IQzXB0Es+`TasRT}@!r
zxq|0JqWv#2oC-d)&yONYOKAx$teoAvPml}`o!T433<eoVdXiu`Ika>+m)T@;t6ePt
zS3~#-H6z|nv<pF(hXKjRBr;gHZeAxyLby|tW)zGyBb%-#g6bia<|aAQGU9B_rI_gn
zF0q}l=AfY|P--+Yh{#rVj<X4mz~r&}Hopen2_&1-<T%m=><P?5LmHiLe%qjYoR}8v
zOi0;h7oe`dE2XRC(fdOG^0-*Q*j^i@-=}Vb$?}`qMJktMa}urj?r8dik<pvie~&+M
zVy-jG8+dfJgp+{U>$BZzmpmA`Uhjr<)w}5xijl$azq*)DumvdA>%z@Pa*IE8(1R52
zu?ljpTR`zZ{vS3Yrj7^=DUX8s^@_6*sMn~X{9zKvaA0mq8SrrHf~s)a@>O=VfywW6
z&12G5B1dnwuhgU#VYjkh%qXEy^K&9ZRZ+H1mx%apBAFni4@iUqlD%k8-I?NqeTa53
zp-5r~^_WS!o8-UtE&>7!s$6yDWzIxmn#VDfLUqCw6ON8;2SxklJa}7a-bym<W-7Yu
ziR;r12{8rOeMr~5!0tdF@Qc|6%4S`RnjHtWa9y$PLu|g=5kMn$5{WSza^QZWL257^
zzPi15s&`UAlvt#hzi|{->Xl}1<BhLN5`M||>>^Se=8*k{)P6p|X!RzUTd}|yOTfc8
z%sC2GWO`#tkh(XzSI^<A(RN?t6?Y8gi;V135psm*unm_?!QTS;igf+TAc8PC1CUf7
zYfe%dE^}kT;6r?e3vnt6*Kr)-om0NZ^dh5UR#;wPrX`@}<3I9#;iIduKo~;zC7214
zj5lv`51V>WYS&+mY^6&hEK`2a@glheeLnc`l_$_hV*=i8ckm0XmHc<pN1GnaK<B3Y
z#6K1kw9%hSm$9=I5yN(*{`$MSlDhT9HKOzfJ(5njlMZH<WD9TUXE4UU5<NwAKwUp=
z2%87kOMfd4RaeD?J|O4ZmruP#)O08&Nzwng)&e-F(fCcxtgsJZvspn=E+ox`oIUHt
z{<Q?!LdE#xukLII+L-*bfzJV+c}}#j`8)R}J@;KVX+bAhLjQt1@R(PgxqudqQZJz1
zQIZ&mp*-~oeaST8a8{|i1E()Qa{wwGMY!l(MrPVcodnY7-K+=4W4P8@HHElbhBM7c
zX3Xl4PL^=xT2aPSlWIUgtQZG^weU|{n4v`DAgkF?fxv75R)7}zLgE0U$WCgx)6)D;
zNV&G9CG5S(t*7+ds5^{0&AkYmn+n^^zfkw;{JIu9k+>M@bcY`&|Ler>V>RcI<7;Jq
zJZ`m;LgV`Uw5&~G-*9%+Q^Z0F8Z6D<WV-%%8n|jQRIMmUn%u4$Y>wej>bVj+e<>TG
z%*Frw^&gxR_6J&Bq139+4?F=AVg|2k)DRXKIXXlLr|lgl`(3oPYi#XYGUrji-e6Eq
z<}sV0Xp8@DxB~g!f8>m!kI4Rkjx_JZk2SR_3)nYHBGU7868V%0&K3SF`w*y`Six14
zl6<Z30^7YmnPE62|K6*`Pk{@<yf$nG*46fDQ#*{Okyv6XqUpDtXQw$UtHV~5#U%DT
zr!wi1LX9kTh6ZKY2)gq0TaBe;m4$6S@T<j->v^8=-?w-v-df=IvI%(3=JmY&J^|gx
z`<scKMu}C7&om`l;4#oBdOLr(#dJkB;<ot-m1mslUb$-cAcX|Kd+LgQ5SFwES982=
z{uXIvm(l``+yXdCU-wg#&Fc-h_eTyMq^#Tbn7qYjE)s%=f%^xqy#aZ%$Ro>9^k`5|
zeT9sEYX$o-+xzTp6<i&b(A>G({#Uvb^3Yuj5;Pz*dPSO&4}2B?O+mJrlpSm`0Buk^
zRV)-fJh^L!Q7!?}(?<-fweTeI(ULp+e1B&dc!>==i|ON&kSMD0*@e@KMEnd7FXP@|
znZ0=iW%SpQBWd2w93NZx`Qz}$TqAiTc1JaxW_XI0dxlTZwvp}SN@$>lX<I2hT7gR+
z?;8^BJ-i1lhaxA!-wfE+8!#It;4U(fK}4gAgW`YyW<Y|^CsfoLsA8iIOk?n+MD6I}
zl<kIpq{k~oA<CUB(^UjV0;Ge?%LFAO5;9ExNM8eSUB%KX*UNIG9s(Oq2a?fJGGH@F
ze@^V4zFmXKp#Vhz_89!YiC}^UAc;OZAGX&9o}g2KZMtx0G3{7qrfTSrtvZQLt}VcZ
zK;`?HRi_|{lS4?aWMP!IAeZXUN4z{?R=ePnQ_9o7vMF`}r_fzlU{S<9mph*~fZ*r~
zrYJ<<q<PFU6*WPDrj|^>@v>0a{g=BWzHZHAP~AV+$J;DJG|}DUDxtk`*EQ&B{~lvB
zQ6%|Hk8$+?WDL+gyivSa@2F@J+!_utJ#jn!X=X?kLn9OBVDvrKHLXZP1XHF~G}lKo
zzDrvkmC965Y@zjl(G~Qtu6FMDIa5x?U!ngFO%%<c*~403NWkDBmr*!QPdcy<B^M=P
zIb1y!ov%a*)ER!nmOVd^!f);8isSFcO<26**LSS%VC`EAL0n`UBTTmYohEhR%^frr
z<Pjy%4^tsNDsSE&cOLlj`WhK7aVmkC5m>f^Ml(P^Sg$3vZ_P9h;bGddh}ctW!j*-C
z-GTB!SJ1ANwV6pB@_W#?6%k7TfFtx@{<IXfwZTdng%B=%rw&^pcOF5Dh73^o^rZO^
zo|?46&*+aF?5VIpCK3_)KnLutHc*j2rwOMUb$5he1I0a;L7i)$o+eaj53nmc<?WM}
zjOv6jq}ufi2Pe$F9Fi0O6U+Qg<Y}Inj?x2=1Um|+qwr4qSCtETc9)W3?rrzp&Rg-$
zH~U|2jh!0ARK<)e1xL|WQ_|TfX|{h;%}QnDz4#2!pA;!vd2S+1lBUCV!S2FIiXXSl
zV3WLT_p;PzQ@-r36}}-OQ+Gt-hH}(6VpZIR68zqbLreSw=>oQszz@iQ4MG;rg<_Za
z8z@XQ2${_gt@u`-JbfrkIWLgL9BXS~%D`QPjw8Ps{UGw9KNCd9q))2LQl5p`)n?Og
zVb#@4TKSVDkhvbCedhiBY0>=t6@PoHd0C#wS^+XluWo_cmU=*z;$SL!*2Da&aHu>s
zNJ9)}dncM0*?`ijpcgglj-vLf!DpJoXV6V*q_~3JF6%Jk%QdgTua_oz=XfL&A}wR&
z-6TUP=xf4IEOLy%CQLrmb(qvIdSZ!K@%RVu4*?Cn0@)hD5tJy~7hLu!Dde)>(WAs8
zB#u&ziA<{yBDI4gsdpt#ppLfbI52%EQ9v7UeKiRlf02RCtj)1?*-Jzv>X@3${RnrU
zyJ6;}JAEwD%o00JezMglo)4`56-1F|IscrYHC28<ntOZ!?$_dE2ksscmO>k6H$P40
z?!)(sAFTgw0ExOG07b6nE9A#AGGM;7IWIaF+e=GQ8l#1H;Z!H|y!Qh4Z7G57nRtP+
zHm-~XrVlV)o1-i??EqkvUpu+WKKyl9<;ugR$M&&Uy1=EHQR&9^Ijn!Th&nOWaFs<Z
zN^|ljy?Y#1Ei;lL%-X3+VY*6wN9Kr%50-o+D2wZ$w(FZ;|1jkK7SgE^F12P`PauDR
zNzd6w)zy6iPa(;^<7zzQ$R%$9*HKA$Ir!*4txaP5<nQ^SbSSR3-L0KvV1As`+2%{I
zpay}A_&|VwUIJmWsD)CB$0MJB*w^FQM#3B0IHJQXR;}fM?qkPwzldyfb0<l)f!BeP
zHpK6fUV}cS!|hF8|7;2yn1?;4`(<)JG>T~A9ak}}&A~+3z>D3++6!kx;W$q<&rzla
z4cUb?*ytp<tVDmIm8Uv$uvRy~=|zhr`KlK}t8#CQT_b!bFJbo#b~C$nDQ9onJ#Vh-
zF*^1l+)~L#cKE+tPSD<ujfbgR2CtEU>tY?$0NcAi$WvKr5f}d3Z@eaGe?BwIzmNkp
zxZkg$L;^Wb3*3xj^;k2UbDsa5*u*y+_FYpVK5q#gsr~?Pn|F(rQ#SV)9eGa<6GYL0
z`@m-Bcr0$E`3HAk!pD6~m!+t5fW<CIG`_sa9Z_%RTr4hf4jOmbV<F@Ld}xe*?tJ~l
zu<V1te1u7wK3fE|8C<Z@#t4g~Y_CidfK89junXiV4C<OYy1tT1SU6EEs$C=prz+ex
zL?`D|@*h|mXNvK#tuNmbvB$X&*)@zh9l=*4DWti;JAl^%Ac0scMZ5c;(R}7pu0Af>
z1luSTnRoKfhaiW+({soRrH&QD+dA*?09X9z{-QL5lC1%-7E4eiBD%SN>$7NHxpqWZ
z_AkrnRILl~k~5*`6+@OVFu6G1s*8smg_Lp%b<GsNntnGH={|jqtN%ygDn9X6S&6Rr
z)ckoA{Q5Q^tim*6du_hJC|5S*z!1Z`tu@rD-n#!g6GFsfit^XE5j!=h+%VOub4|!1
zTv?oOFrb)al1%~F6VZ?{>6f^PE-x)sGt&ZBBjO*3oX6Y(!AZ7*Yzd_R7F~~6qhjm;
zE@5D)`VY~tv|<(4AdoBLN>dQv?<rFdoz?P$Xw^?jMN^RQ`;G=Va~$v8?cEYG)`8_v
zvtdTE&Y$jMzZM97cydnf#g+T5G`3Tdy4O->#J(~PnJxT)WC6%311>DapwEpj+E^jK
zO?Q;Nkucy(arX<&6#vc#e=6TL$7RTMSax88bK6D9O3zoQb^dgchsR=4mXR9oUf5l}
z<S@#MhT4)ZokYPFR}P1_ZQVN8=ewP!m+y50IMaa85`IfWBtDFVG;*>mpQ*E$64XHH
zj#LF(CLuP3ms9p_ps2*N36?iV*BuRt%_J~01xgC6T-2pCoY}Di92PF!fqh`v02Tn}
zB~t;+R58j4(v(G=btsZNYc<#Nbn?_c8)n@`sWb4fuwFIG*cYRnnIlo92b=QbDk(a$
z+mVPbPV<v-KLs4u@n<#Q1fn6MV({`PefF=3%%!6559img0p^o`R{VvGT#F&nEFF1K
z?1GVvsL2(rC_#teMJ|wJ2z4C*59icJ3WEY7A#&e8nlBPBQwdGL?VIwJL*I#~%uOss
zejG&k$k3}!o}%48&g9PkRyL>`e?^jyu@{zxixs41ObpcustE>zB|zJx2c;6xwu@}i
zH)z6pr3f*JARNNE7VgkE&zup01}DS!m5{J(Wh2re8v)rcAwN;Vem2=bmY$DJ<jeCK
zoI)mzx<Cf(z5H;9?SWZHR^p!RZ905w;+z8B{_ZvA>_7WlQp(Z_Uf#O^0A{n)vr6Y{
zF}fv8dQj<{lR=aUb}}ivqW7UO$%j7h8I^qVP4KVpGMpdOC0pz95hNay>h7waPgdx8
z+4Bx6oJtVP82=c5U)xEfjA^#K9CKVaD<or;xWN9?ndvvLZmIC{!SiSyICP6;<A?1`
zqL-x*Ph~-n7gqU~AfNCPfr9)YDiZ-aZQ>?2)L?a17{hi|`~~dK@UTOq^nxi!@hr(v
zDW@OkqJxBo3QF0+wUdc3$R|tYvH&jnUoXjk%QB8erUJDSzZi`@Y;|5m-{!N9Txy^&
zvQ2ez8o-E<{O0dBe)CGdgE9mPzVQ35H`k5vDof_WbzO^ML)qi2SEghhVkM{eT~w(u
znwe?DbRwp<Y#$>e*OD2Pk5`l<0rS-TxC>5L*|>W@QWsrqHv_)W9vuzeJc!D_w^};6
z&&j4PUke)*ZrYBW;Al3#Pj@z=WCp8gDW^aOJJEJ<fhdne+)Z1hDq1>UOjeHR(E^tZ
z4^Vx9-WZ$#CZ#e9r5|&8NKbrMU7D`-L>h;-tuJo@$*S03KS9Eg7Eq=?`f0COxEBHn
zZui#6tgptnV$`=%PE1{`MMKOMz9Ry5U8tb5f=t5DSr04-H*pCqFYtP7`O%SYU&PKf
z$m(NT05Bh_=0G4@3AuV#J~!ij>;&;J^cpPf=iFckqDe~ksN{Etng2%IL4ZjrZ8x5F
z?~5mKE(O5qi~U~6?;u*28<m(We>GZJnX#JFLwcjE?{66IX<%M^Mi1I8WsScEF{*v8
zwY4pEgPPC(h#Y-zYR3HBzCxI)Z7d_!+6B@|@GVUOd#w2v%`@;`M0y-hCJt$r#6x8@
zMFp~V48$7_m1qNl?9A__78qHmB=jHJ{WA1n#K(BlhxKaAZ|91j)PWht+U+_(rdyku
z*Uf@vy%#?iIm{@}vz>vUlM6cWKr}mie{4Gwpcx4-!C>5d`wa}Z_wIx9;WqXeywZ-u
z`PjCDK?Gzb_adarMH?$I1P1Dc*1D$^$aUAK)aIwvZ3U3_FUp~Bt_Or@DWiz%*Ye~`
zCUfA5s?det20enTM}~FHp99?*Hu4Ztm62Km-*PnY2ia)tJI5A*xo^`zLy}wo_kni-
z39@}^nYRX(O77LvoFt=QdHSqM1?+?sG)&Z4jO;G8vrrLyM~y66H#k?bq?xi7nyVWm
zzP2~CO24;(?P6Y@seu0~Hza)BoiMPjY~wfE_x9Ub`Syq2tjsHtWX%Q{VAJjQNqf#3
zS9}NSDf=`nfKQ!N#L>lh8xscg9aB7yuE2g9lQ7*OVdzkZGGAAB2((XmwPX>DaB4$Q
z%tcvo$x8ktTjab@3g=rrRdbGjuz&tT8dcDTeV?e5z<mKFT^6KGfy&NbDpn1xv6qgY
zRfVg*vo)7dXduw>Sx7T4s>FN_d{ZYn_K;Xj@pY4s<8u-PY~Nhs2hAyPpNH6;hj3xT
z=>JH{S;Lj{HbE%wb4=L%mH*;5CQr)yb6c_M_vUMWg7SL3{rl(y036-)<k%bb_&U$|
zqV$&z$XNR0UK{zb5WzsO+Obb=gFOe%DqxTF@6Zu68f9<|MJMRfq{SG4lL3N>e6tm;
z7qD)p;sN=8lL9lP5s*|Id)-LGM#+UBl1_9?5v=sH=L|t{sD&VO_GFJKHPsGn*sym8
zhbSrYGtu@D0Wvn!5={Ii(a&~ovOW0vO}qRdSfb<$Bkbo}1Dh3#MH(y1&#iQ=?iOh=
zCWoIp#n1AaOqm@#kI^itcZjMV{!`8phh73X;nK0cWjJRR6a+D6xcLaN!~OPx$i{Ky
zip*iUC<IjIYW0CKyfAFUYhr2KII+E>?`T?XXunf!g}WtzO`J|E75j>Z0~034D_?vW
z%1>2wqXN!zL4Xq~q4N}S?30Lo0&YuW6hf&$w@PrTjAzs1DIH&55SIRli%fd6xl%e(
zD;7EqqX>KfUg+)|lDhe>jHS0WP0k@;7}HFs_&(dQ($8VyQ0tl<DB(R`%X*GJZzR)n
zwq6A4K$p>%<>sOV5^9ivss@S_vRARkR+I*`Jp-~E;ia+v(ulA(o0F;ywC$duWYbZ)
zGwhF*?g8>q+KFBqtESORQ{Cq%ysanajakZm%l#fuDg$qkLYAZj{fp!7OGO&-!Nk+d
zWWq>{3AkztvhC(6p{h*))ipCY<<Il7iNoXJgjAJ>vg1qXu5D?Kbt7k5ms1(2U=L4i
zSuSnXE;ziqpk=M#yOqI{x8Rkk!1Y0IiVRZ%$PhoXNv)GBb;FK?&hOQ5dBwHvATt!!
zi!Dm5JWBq=fEaCWFQ~{gc3}mufSajfB9`|RbZn{DJY_aurOcpk#Kosr^r6Z3NG?|C
zr_4EuMb7T<H1<AHINnLR^gjx}CZLBR;mAH`N6_QKg+LS_LQ581&{!|R^od86`<|pp
zW3`m0Dy5C{qbNcGz$k|+KI4m`O4;WM1cxOki`k3(jBPj$F`$0ajmsvyT?h0_{JlC!
z<UFPvbN22ezi{0J%f9BD_!9PojDzqi<Ui*E(6NLwuL2C9dwBP&zEdW4d3muvx5=h4
z#^}e~&uaki5eg3@bJ8w$u@D^dV%qVS(z(MubDgIha!PzWH(d~LLS;?KoB>9a&Ez_}
zx|xiGiFw)DZ{m&F@gCbv0=xV?JMC9bi(1ad5UsE=wcoOYa|@5izSF$Tc`<XL0aapp
zTMqSSr_#m58?a19zcJ%CKNCj3G^^Cc6gTq)L|eHeUk>oH1YbpaK4TQ^r~e+~ZgJON
zlI9{!qt>k<Q0cj(pu30tAr_(vEDXk38Z|toyAbzV&omoKmG5VcZ_zVBX}}uSV%Dru
ziZs?R$sFad&_?_>EPh0HG32DoJ>lh~t2R|nVnoXw&R^-5(@L*S`-p45*H3KK>Gq!4
zY7hMHbxOEQj`FZhy9V+LnmkUHBQ(0sN`2n=Dj|l%#8p?lTkzkA`VsCU+d=taW|(*W
z#&P=Qw1={*U?Xb<YWx=(pp8t_uOasp)PFFLDZAj#4#<QDMKG%{bKPgfM~c-zVWu7O
zko!I|;yp#dV+(j`&Js+5kQLbcvZi}Y0Lc*MoXpC<d;hop?;{icN9KQR-cqLo<KI5|
z_uu!QgWp^``vG}}Zt@1~eziXT$(V#^MQ!shc#h2HX=8O=-=A*{C7xt?o-TdUYwe;w
z?kL&`|9dX^P4<YdFo9HmPiy+U^}x+WQ;ff(K%IRQy2Bd6>|ek%PLd;;+yy9zhBVgL
zO5F;UCSL*@pJ^nm?tuT53G549=5>G!T2#>2tDS4%dUThK_Or5?A&>uZA0k#6rO5TE
z+;VP~FaQCL=z{8hxJ!!4Mh7FmZgJsr7_FMHzJ)>KN|&_^Dgq1YM2@hd8jA%P;|VSd
zHfg4qk-w`?C1eunlldP2;y@k0ZpI~1H^!R8wxYD&UAVX({u6;LhaMVBHAf^=>QAH$
zFSaJS613L>IV9$L%8w~rw!S7B|4Dg(HTU!rJIQ>5z~!aGyMU}3UVFYnNW&?O!hW^2
zS)G1|uFj)OIx6aRTpY1^!B=6*CI6?QUMP<|&2u6%#B)X801uM<MfFYp!dK~7`!$!6
zU5Ad6M3XDwYruA2#qg`0^|3z^2+x<p1?QU?jyLdq3d8b-&PeukMx2x6ddbhzd=)a%
zAVGaS2aCR7*ZLzf+#Lb?*lRMed~Zt$_BsEUAN=gU6s7R!3Z|;jEqH!VFp{G`pn$EZ
zQ2WYq^e%W_oe&8zVYJ4yVbOCTNIT$y=ttJpZs-w`qvJ;nLD~Tq#FTafzR;YYb7eic
z2vW_sAnqtBr+~x)<CiA$V?}Bi7X-U4D8sNK>8?~4hajH?7X*nE;X?pItdHP^uZN}`
zaKYngMNN!zJRjNPP|i{8fJ+*<6vtjWRbm`l!q6rut<qd`2UfIx&2n>&;Nz8}Z_%q>
zBxh?)QCKa*+&-uh9j87VD#y@zk6*wxunQ`30Z(41;x%K@qC@4lcNJ;iNq?++@Z^)C
zC2hS44Yvez^P`1(&nM5jMZFPP^2zs;PyV%k|5N_*e-rs+y%`?R>DC(M(F1KCf~Ap3
zoDAriAh2(e)WsxDOdq3rZ(qUUhaty`rz2aW5vPILQ$xfM3_}k7lMQ%HRPcz#@48|b
za;&&HfK(oqZ*pYk4mw9xoEX`8Uat)Nwm*V^xE?wTIS#!#fQ220J|kGv;43aB8FE|=
za=sdTHS}AOWI$(|sQ91P8hn8?Uqh-S8HOB$Dks+MB}~JQ-koNmcn6&eB2$wbp@_-1
zhmN5(RbmFu;EMA7IpB%VHSuE&&a>PgkbjB;g<r|S$#g~GYT=5udy?ZYL|t=GKzWKJ
zDd@k_{=Cy)9uzUeY$VA^Cx-5ddLu)Opn5PvqL}2X^p$k^D*i`TfJ0*+`c_QDe0uQx
z>uL0=3O|bxb9%6glrb#~MD`RG@tiZql8MgYAD`764RxtzCTE{(su|(iF`qC}&DVr)
zOcw*t6RC2fuCJl+#1EEJ)xyXb18T4-DG|<02aWygbhJI@i}&*4_HfR-MT_?`-@&{l
z9sTh0f7j>!^*#;JdoN@om4JMTI1VH%v{2)2PBxDyuVegzcrQbzq*zBCc>_0R#Mj8i
z(aw>;zA56k6+H<08bhZf(hw6)N%meqv_SA7tnFq8R_km-4UzBG7X1e#JIArXe>3nj
zviAZiI(MD6ob8b8vQaJgn#c8;7)d6X*F%cA>{}+d+|{;T?P}DcyHv#{L*ycFp?ggj
zdM=g!3l|_hHM8D)j>q@J8#}|S!&hus-DO10b#95|C?cy{N7OvT<`cDW&a)XyQewW%
zct%Ny^@QiOnDzO$=o+*BXTS09{pL@Pq=c6Ihafj+g4=-cR1(;R4Y2BhZ7~neP+CE5
zy&%nc6ZD<}fYwRM$_PM739ur~x(lLrne_?*x!LTC5TsdmL4%D8$ZfD9LtYmIq@8IF
z;g++!eXJ;zCTB+gr5p~8lJNP=X25ceH0!=%&_|$pJAj!<xME8N0p3<B!ez+<a%%(<
zdvpM3RVB`{g4~=z0ulOPR(t`ufnteC30x4Sy!1bM0lA$}%2CPvp#$Sh2K&7OpxbMU
z!R;@I<Hcro-a+pGsLjn-G}%j+94ley4qoN8EyJ^C*P$IZJco8ZS+4uK+oa^>L3Ils
z>~*!}i+r#sT%<Q1sT2IVN2Kw5P#qEp$0w-#+Iux&fEFKNz5%*-A7S1tT6~20x9A!l
z;g5c7_5DBUiY~$RV3?L5(Yy<eT=Db~&|QY{TQsZQi=~AN+d>ZAXN{pI(s$s|M`(g=
z))?lh8g@$$24{jA!v)){F|^egR3Q#6I43*Dm^F4>8D1a+=_B}xK#kd~vE$ap!-gPz
z1Q(21V>`Aq)~i+|njJf4jqO;$=p-5Xw>4wd*xE=@;^4}%=)d`j%?V5=99A}zH%aEZ
zgMt8na`3m%C20I9Ns=y{A3bJ`p>Wjy>WW13D{8aG*f-E$QNBSj7YvLJ{VgwDf=8bV
zhG}qvheHY%ex4`vqH32Q7-0r$=6i%a*igZ4#ufSo@-IKz>k$t@{gXs=rH)9DP$sGD
z^5}j~iox%klXMJY@uj<>hqz-*uYNf;XG|}^`vha@+cJZ!^M`ss2Q2o|C&&a{s_s0)
zKEb6i@a5arUwz}%58Xa`<JH$b019FHaIbuT+SWdvSK;*uWo4tK#8xm;K6v5iELF3{
z$;$;g-{$-8U`$?s9QOm{IRE`W@OM995}EH)@uraZ!D4g;G9PG0t#cAB!gP)JLxvF#
z7NaR-zK_p?BSC{Fl~Z3){2^O0=#I9h0X|*)AwM)4?!?j9gM7~19Q_LCD7MxFk0{v+
zCKCO00|>wRLndj(2$U@j8xk>;lT_9OliY8B`H%v~5x|ooIop1#p__&&(A0?;<Olz+
zv%qRjUzjw7n`8T%ixu0ObuP)nds`Psfh30#1_7=ZM#M)AAI>Hs@nqJ-SF1a~>;)jP
z!@MKx2M8kX&u1m?&+XX1_aor&0aBj!S5&}i&Dz;+BaTPeALm=z?Eo4_Ei$$15%!ag
z4_lh|*R)z(4*lZ5AmG;mAV0OsiQ8<i0pXVI+xhr}dHC@`lH%FJ(|^(jzxDHfQYb?$
zxb$^lG^=omFMeVSJ?_D3x$+1e4*<Cteyk9Q$SZ6m9Ow`Jj9$z{NTCoXq$-VBKLm4K
z7|k!x8g%N>U))2>7<>4Ns2j7DiseyaqNrzL#f+Ico-zDd1&2eua$VS1T(BZqiuyzI
zf%Zf1*~TR+;vD;{E~qw)iZ;B~VMi{?a*_$2&i)GE-X!D$_PxIx2@c!QF&Isfz2H-!
z&Q5Dis?DYbRo7X_qZ@(gqLeDuS@gcHPW`X$I-b<kLye6o>6Gz6S+`T~1odbYzI8!$
zF3|LQgr+|v_#Bm)tGjpXS)Oo+pIAN4TfWafvU}&pfA!{Xzw474i3D<9aM)Zu>K0L$
zLaYny3MdWK#j&rT^{5_Q@VwdVHAAu48eB63sUBVM0Maf6;xsf&2vR*ZLEvdcO(2hD
zeW5Nm`SR<#w+cU~y<_?gb@>i|okw20{ME?UZQU%_y|%Mu#@=toZS;R1JUrfHKj(Z?
zkd9RL$w`3zUE`N+$VNCd#VHZ}T;)5bqIzL?Tww^qDG{$D@6SggoFetw;_!6JV0+U3
zyzzN|Zm0bZHyMZuF9tqd-ksJm@6PSmU23e&C6b@UJ5C-79mDl+wLOW~zSRqV<MZQh
zoOg?Q&A4PD?k5xRKmDxF_~z;PSE%}H0vw)eA3#g)-{R*}qe(uZ5nLQW@9wtBOcPuw
zml4Vz1Z9+voJz%ZL&(0Sy+Uk>*3f=MN&NeYO8N&c9qp^VV9rDwKxJ%ADn2JS&M{{q
zf=pn4vX+t%%$W#q2LjzezyE+#M0YVI6M;&DGCiITg2^bCT{02CiyD0Mup@tCm1HdG
zzYrQUeCY7e!V^Eu+4fg0TI4Lm6smC!A6&Nsae2X%=CAIu#=6{CVL{IZ^RL1{+dsY1
z5+#0w5E=VKsmt(+#rb{_oNs$z7c>3h_D;;ZMT?n!HUNsx`_7;Hg}*3zClt-ngDfow
zWV-=#=(HJu_!CdxL4+$}@&pozJhzmoLy$qBZM}p+@kC>C{?&?1H3e-bzQ3;sK4ujC
z$*6ZAi%=01FW&`EXiQMS-2ezG0e}hO$ZXpn)NqG$s4LP;`#GY&Lz^%6*t5SFN&5PV
zz*k7<i*c5Q-H59eGwn-)RGI`mI5r}&y5yuuZRW0gQ2Q0(tZqMDE=$*#*^+pdb#y!0
z&UQ>t{*4l8UJD-y(9z@?z%tI@x*CGyP$T@+;Jih=*hEF)`jFQi;>DJ}xVCiOEm~~p
zv$3Ur`wKqz{IRg5mYGGKlu?y#AqO{?4he(Iv=8yHcj_}+qtu&__tFv#hAgelhFT0k
z+EPC>xPDl7!MhKHnu6UzkhatXFA^+8y@`iLBLeN&5%q1YsmF@6rM@C+Lpq-gErEve
z3&E&2afi1B9g>*P#}_&a+)k@tM%s-S%xp_Xz7{L03yoEq^iUr4+SV0~2VuCUE%g%h
z-ec6eupzBw;PM?F#~UAh+k)s!H{NmK3yAKuS8o#pa?aT9Ynlq)|8;-juRe&vsG_w!
zCe|$&Dli3VuK@bxk(({O0+PCRfO~5y0CrnrK3l`=cv}$(S+w8-o`dmty`ZG79kkw>
z3by#v9a>V?CWw(T#MU#)-Z6s<GL$&9q^^C%GY)CtI9!A(D5+}~1VgVvR?u0f!E>xA
zscS#<3X>1%8DRVl*^tW5qp53?1ONq4C`g`<nEBjG=B5G!?0Rs(?S>G1NnP8T7|BXv
zDK-@#-QBO5@6H+J0{PLw$3M6r!It^$*<*~8T#>R?byt+{Pa|psqocJb#*ZXzWWFYf
z>VyT701F70{ygg)l7tC<PmnfgBd4!9nK@9`z>49zaeV^-ZNK^sclSK%+DII@!xFAT
zd{QAeD)wQHG;seaeGF;&2hInw51BzJj%F@PUp@Ejh2!>}_sVe#mnCTdfE&T6M5o@T
zU$3;@bS(vvJ?azo@QE=r^Oo<!W@2bQ=Lf#*bH8sY<O6b!V^I-zfn!DA@(6yYj66yL
z7b~Z*QPAgNhhRVqbI6D8Dm4`$SVF%286(Xk<im71Q7|D`Lq2wW(^LRs*wfJFkPqlb
zlEMKAwO!fpxmYoWd^9PdgMp1Ua~6U*<U>vwDPW{{G3*<eSt`~LOb|oF&d3#u^#Nwn
z`lXU&P|rXToN;1Qpn$DQE=lHi{D^y_*Mr1x|5Hll%yvY+7(INva-^iqRQQ^cDmb)f
z)IwEnjdY#s7gIT0l|o0BD_j4oUpOXFRH6Nok4YJ;jfTpMPrjo6jc>+2QR;F{bRnL(
zN8%Z%+VrMF)#BGZgsLSi&EW~My!J?6t7;cu)AIv1&AUatxmtit_XBMDHUIj*_}1@=
zmUF!s9v#}Pao8-&ESo`2wwU~u<pqPQ#!0ruompOtNY!{V1d}3oDYCp6Kr+kZ6I<U4
z7L$)zw`TH>R^N<6XOoXG6Iozda&Ylzu{H#=$v=5?h;rz_+o&cVm0~sdyThSB$<$RK
zP9`hGLXW@hJ($#00D#kOqYYl*1lnm3>!&&2kUxHO>!$pXCwlR3Zq6TN8W}Oa_;SRg
z<6k|V;>X8<Saze!zrKL;#X@wXJp1Tzi>mN3BBi^Gbw0wFO#GXfb}P-o(NZos|BFX8
zdrCJXMpB0t1&4u8l^od{a5AyM18ye>f(ExYJ=TyE{w7p8)|jo(dx^=Fy+O1=e-dkM
zH<-cD`IG6vzQN#MGFo%ZGh+KrGCkQhaHCo1z>|GATA=Qa46Z#r+BbVJRl`f9B!)?J
zYYIWE?Rc}tFbTX?kc7|OpQm(*xg@ZWI#h^j_SY``UoMGb^9_V|emD%?Kul+8vBu2_
zf|ByV&uVj={fg$l1h?%axNR>1{>|$i!<PVGj=Ti;SAPlk@zP6x@BJm<#~k#llN&Dq
zPU%^^1n<4`EuagA7LkCtZ$SG~aOy%5lyK@gpDyiy2E5X2DA9D?Ap_eneivArwEyX5
zTX6j{tvBs2+kp(&ZaJVg_D9P<-i8FMhd=?=%XZoy=bH(aw;1?ax-++Y+4J!Q1k|AT
z;=!in4mWrm(&^xGO7G^DZ*N*|hx5&i0o(n}4!=+I6+ochA^(26&CM>)``Mn+l3Dg=
zsF)AP?Kt7x?q-MNU2Ch@t#5Ya`XNyFuh+lZ-)v8L|Lx7L^hH3R?$7Nw{+4<#Hudpp
zKo9-3eDibOu}fO=!9#4};cTfHY~r_Wn^<a>8LJ**u^rOKt^J7<S2#6ao!_U;(%kv}
zS6_KWSO6M@fmbk;?4X&ECfL&jbaJy-rw=@5^(@D<&UYUF+P8i0zt&o#6jtFro`bnS
zR0m2uKJNz%`?-jUTM=qbgS0hA%hoU-&sRJ_&BMw-aD{d%u^k~OcizEnZ6fV%Kj8n9
zXTk;BKw3c3)e^^wykmaom`4j%U-h0ske1K|V{|MSanu%tAPr#?1e37*Jo^#Bii-1o
zP@DvZ>rn;j`O~prTF2KsfH6nYI+(6h&vKU05|4(?O#7fl<68Wj?)Bp4Uhn`BL)RQN
zq`8+q`up#r4{ca9(p|c4ed7;uD5X($lqXJP+j}yxe4iPh#`~<VdE=k`a3r#Ip<d%%
zoc~Qv!QWG>fI*S1IcKuI(P9EkKP8RFF)LMYT;q+*;2zg_BO|!SHQvYs?yT`H4&crj
z@ACX@dLsV&v<`UUfahsEfV?b`ZHrJhiZ^0ErS;VUTP%%B^{15ZtQ}p;!bCuO*yC%?
z*P<GdraGdiRQ=cSRvuHDUa)h_)2)+=`QWz*c=xZQPMwHG^&n$OY(0cSo#Kpc!77%c
z-DUz}(e4u^mSi@b*znbX?aIDBXLA-`7wjR$SC#G9UwHS@W^o@aneC5ZpUl3e+_o)0
zp&kd?cgbx(zufk`Thv>yCAWP)x$R&2`+oM<e?!DQ+M4B*5Z0*m5_q?@X_r)_h*C-i
zDZKy}jHcZaJf%*M%AyIvv5;KU2@OU{^I~7Y$k7XM!Pc~U*5Y$HG!=>q8s$2YG2p@J
z4^1z?1)~=igkw58EDlXCzy&cCMiI;ypJr_DAxJO41rhcbH#_)D4H%}a#I)G-0-7Yp
zUo(ItJWJD$zT~7GRvTy;Xk?<B(e&gS^3Q4wH|aYw%#YpnKK8WRV;JEP3z%(Y_qA;1
z@A>&jWnw?BlI-qCAhR?By$H=f_Ml#CN@v7L)b0L?Of27<0R}R^{O7!H^|7g`5itw`
zNWwlo$$?C(Wb85RL0?h#QIvPUwxDNDY^&#Z305Df_gw-Rltz%kU@{29?K{C7$iP)1
z^A?9e5O`QutbvRStb(94SyaIs$Q;l@DF7B^kF4qz7gGZnVL(LFC9tja(36UZFR&`7
zRvUQC+JL;`_J!BRd~>VcW^EE0K|bZL___PKEN+2;FP>*Cp>F2EfuAG3o_&NkD$gPH
zEP9U7|GK8PpZCU4rhGcUNZsAboZ-4@kX@97$W>liZF9VLgUO&eehdbO0OTr}9^xBI
z*&(s74@i|v;rI<YjX1d#Hy&uQJ^`r?yhfWhY<0D#6LZ`9D@xDt4bai-35JzBthR$|
zPY?19fMu-p%463(@$(-$RE69s*2fOzpgiFVoA>zGvDWlB8Cq<<mN)BThjV<Y$L>N2
z3bn{}t|%xSWvYls2{KhQP!udPFW2C!EF~bR8rB_3SlHLR5EhmahSYfS{-O;dtdtsA
zlu*b)S!zBgqSdfc9+}u*_%+l1C~FiZtPNFQKE9~Lw!ai_BP>M)4C&`sjuoxT6}25p
zX0Zb*b}R`*32LGQh7wM#d7&g%YKBtdN$20ye6~^}i{qmNhLTb~es}%YA>TigylM&X
z$dX##pOzsXUo1uY{e&evem{M@qAJAfeJc{71eLO2C_&-JPUv7(^Dv({frU|=iyZ4i
z6faSoOaE~3V8zvFm8G{#3F-&m^Tq$<TOuK={?;HAL;h5p3Gn~e0Bw&Tl%3DE@O)wd
z6WtSZWpKtr37kqOhVBN$B&0)K59pLjD26dm;_#fXB>b4NVhP1ip%MfS_>&0nD1v;K
z{TyRLC#G=p=g5cF1vx%jkj0okWi~RdI)`HWlh7@YKZ%H+dgv00Vfq#4D?pMN>t2!%
zu^-&fC9L|bQDW)VWJ1;@0V+{rih)%aJ(?va&6#>9Zpa*HRJ^E&?^Jj^=^8G}Y%fQb
zYfz$up^$HA%Irf%U(E5);$)`$r-!8Y%W9Q0%*CvTH<Hv6>{dxnvfx$pAOwmYlkK9o
z%CKwDL_;?vJvcC&qRN^cWI8lM2{`+@KnVn=lTKK+)A4b>na`1i`x-key-Km1{ya?&
zV-v|*RjvC6mTmQy#&9d4c$4rmr-5FQtIsdFYB&7KsCr4R-cNG%8$Rhrf5tcb=msj$
zo6%eJ7`ZTFsB>~PCMh6PtJbA5A_6hUNR2&)L$g{ex(`S=6Bk?TmCdR$M#MHC0c_()
zC}hL-?srv-zGD+8^fth|y<oBEZ9KyMgdW(u;-smhDN&Qf$S-fLWlM~X3CsxjT(ZnY
zc=ULm!a1UEjYH56jIU?g*ZZ}UWp>3);{q8Q?U6kmBn1%T>tnHF!m7AsnKAMPbGd-0
zMo>e1Xz%GsO2YNZ8DrBVp?wA*s!yg%3ECwAa3Z5Uk^)FLSUYPZ$&*8Ra{`?-Y6EzN
zF!-wX3dPF#nujf2H%bC3T+eg<LnP^g_7GvKe~4z~4dk(aWPXUanI0l6T^=HCKkJ9+
zQr@5+n<06G<o@*TlO`4-?qt7F36urFoc$dEa)rOt<sIN&UFGi#u(sFc9b~RYoImpp
zCGH+zbtS3Q&R@oegujI>Lq)<$9wGgHJ(5=w3+ecXyNkaFf#R>qb{r6Cg_3t56!^L3
z9dN!Gdd$2-$=fI(H0GShcAUSYWnxnwuja4nuhlm3Es`3??G8BkgLD-mN6|K!0zu9O
zTn8(DJKRhuh=<5`q|N`Iy>|h&ZOzKUI=qSo5%VgOpve&k#JaVu^_c4wA`RRYc{C)P
z?jTSUhuckea{|5Zq5FDm(y0)%jG(lHf>J<=KuSmejfrJK0}%ydw1S~fFp`RhF%XPV
z2pR|ifqdV1{A2w8oNLax&e?n4b8pYCy1mytYs`PnH6P>ojc?p;lAxb;7~(7;-r(9t
zf9VFMl$L!R3wv(YGBu35zU9r>b#z?V!|NAuwCCO~K26P|F)~UFf-heAnbdpnM8%1Z
zRiD3p{)OkSAHGS3naNMP=6My#vQQ-`KSX}O?7PAOP|3OXu2`Uqhvmu%4_#XB!n3x4
zY<i34-4*W2-%)nuFMHYF6&Bb2%zyNSKlk^dxTXyw?!xL8YyhhGnnD8dSMdS%70M!X
zVT)i;a3fVBE=UN{2XMgxtUI#lyb+`eTLgVZ&k<eS>eR%F)ZZ?Mu6P^~3KIz=^PWSH
zF02cpi-zst@SYVH4V@Q)bYWfav~_Y4)_9t8q|PaVCl>put2?I&j}^s5b4d_z6BYr7
zW(#C=vt;UhTO&QYL1{%K1wmb%W$JxHc6HS$SLvxcVsYy5bo6|8;L2@riFouq8Aoc#
ze0z{&JL!31;8A<k#YOX9gbF2a?OYR7>g`1mW)zn^AqWu%@wAnCYJK#4hrs*F94N2n
zz%R<Th$QN8WO;NFwl=>g-y_Vc2eA$xkB-ktmb}1~jI^ElgKLXZ`kA{Fqs)P3Xw+fO
zWpP#?0WPTra>p{3z4q_COci?p8mW7EWi;(I6E>;)56>lX_3VFT;2AP&$@39UFuO{P
z=hJib;9KD)Mv3LNOH4@RRW&uZx>bp!_3dOkd>hu3axN3D4<6@q{!&9pu5w#bX*_4S
zJjFLc9uZZOsESm^a|DWRZ(7*Tc~JBvUw3!;x_P&V?#36s;~jA$@8}jK4@p15c$K%j
zdYJnDRdSK^W8PPs&ElNZ-sXrSdeby;pY`BV-uC`6K2Wh7=UXD+DYQ6<1j0Z=?uiv9
z;C?n>j%xrDw5E<E8f`0++PMM#f%7LJ8Q~I36BuK^)xBB9IqaMF?OT#Z&6wgPMJQ+L
z^h?J}vPs$grQcbgUtDU0<%rGy<rCoN=IdsY664s(r3vh^qMWwPM9zorX+kpyG{zxx
z_pyc1w55uMg4|=x3v|rbuqw?lZKd>Qn0;zmS2JfcwJ%T6ygY-y|Kjg{(F<P?`p}FM
zVmkUGx<%qGp`0XznauWtqhw!I!5<MQ9Jh*;7t*#yk{E&!U7(zP*pt$L^wxcvk_i5=
z;&!b$Wyl-pW2_j#A3ZO}t|4Y?=@cTgVD=5Q6KYuKWNSB#6(h31Ic__hQ+n8RL?l$d
zIsyw`o)a_V;P~7g4DuZ-rr-}36xd5-vxUy2$Ig<1Kb$3i8Y;O#4y-wN3jQFuJt82-
zn(!8TJQDJ(+sVYy!AWWeP(RN}9V_bZ(a`Kg(O3HQFj~P>ssjwNlGWXYVxC3|ri0u`
zix{2~>9w`Lco}4Sg`V4m@9gGV#QZUATg2{|?`*ERwbOkJb^A9y_fP#hyJ>YR`ea(&
z#x#MamuCv;LY;>VmZ=^qR93ejYE&TmVJkDc&#GG(;}K3M0(e~Ejc`I42Z2ihwGWM`
zO0-WxS=mCA@RhBg1HP<M%$F%q)qR#eN)0}u>ge^U-ZY(Bb@vQ-jr^zl->Cl7L*E4k
zY(Rf57_iG~qN5lvfWDGjg)|(l?k>5j0DX~)>QZXnc?z!AQ+xaR^VPrUw}0Xvj$THc
z2J5v7n+=O#+{nj~MYcXc`^wzaBDh6k4;{A?IPMh65`w(0E*NvLI~m)8OkW7nU3Ec&
zyCTPo{Ivo}Ly+#O3j(RZ)*>XhA*pHW5Tv{6g1dsEIqb-fULBhHvj}?T0MK3Q;EWaN
zuDT%b95#Y`FNC{k#YqiTG!H+hg6b#0<GUf{rOM}}ZB5iOuXEe(;ti-Q1Wveog%Ao(
zH3ny=RIm8sDb?Ha5%H!}xoxSR)H^VEIswzw(Z_6Y8`7np56CAZ@6YYDf735QoNblc
zmi~ymKcA1-AFz!YrwbeeBdimM_3!}Rdv*dgtIbdGbL!kSt`<Ngmn$WEekZ)H$5u-7
zmLH0t|L+g~hF|}<X;lA#fh<b~<EVb1tjINp2W$W=NTp=ZD5w}ln_O`KEJ&r~f;!s(
zD+1L|mPNeq_7(IYm68jh)W%s-&|VX${%Eis0P9mJl@)jF{id2bfmo|KG#@$_g!^SE
zGXNIbh60J9izJ3XOO^L@*fxWfC)Jngo<QJ-rg}MQvGDQuJH|Q}@8g|YS3q|0!qOWp
ziGr*V1e%|89v=J(NhO8ddWWn+)-7@$Ve_3L_i?^>jzedo+;<6*O;mblM7=zvJcrH4
znzm@q@TDs3j%q(todvUGKpD4+_a<sT+_!+13@KCg9mOs^_s#@DdhVSUjsOAzNlQcy
zKYIJa>$kq~xi@`LtfTm;xxVSVThy9?1x|l6aQd(P$&dVjzZp}SG!F6doCoWp6Ey<u
z!gTA%po|nZVK~#syoj8R9vK&*rqs_7gN2Z`m4w3D8l>$j*xa0sMrK`6DGIpBS>{P|
zMzx<ZqfIASNhFjHlrQ_#*2BLV_&OcoPI9lVHu{eE=_(^O;AO%s&o+#?pKOwv${0*i
z)qK^nc~aU9x3$gm!iL_d7mxF;Y&J~tB__1?>BWaiWiAN;{E@tmEnLeea{=5!-|UX^
z28(^WYxv1IP{+Aa;bPxz#=iZI|NOJAJ}~nC!|)TJJqf4;u}F97k!w`&lQFVl+Bb)v
zBu3704r0ux;<!T6&JfumkT^xASg7bL9yX-R7eVO?B6h9_N;bj(Er-JveEk$$p&h$f
zk)dK)5j#j+w_oiVej06ua=`)|2GZDa^>JuXb1pebYph8MJIm8~UgP;zxY$k&GXoLI
zFEr(JNkU9l87hwITEkeBY{<(Ag{|-T0_S967fLJ$lpNdwoe57Mf=jkJRSCg~ws3Jg
zQgF#vHJRr3E(FT&*}dz3`0Q}`_1$%sKi=dG=#WGuV(wm}MY2i^Hsb;4UZoP(&<^L6
zEM0y-v~?gNg*Ctk&+M5Co=)iVl@$jV;j>Bv)gnm3s1os`T6keriD34^cpOo(n4sSL
zYH{yOeeDj!=qqZ_hR51jL2XwmQ5lCta%*F9lB8ggT_u8OLlieExIJv6rbqnf-G-!Q
zz$_v>Lhi1z1^TS}njmhJbRcLKd$&;wT_%ZCB<c0hOL{_kNV{frf7a5EOe(6r-H<F*
zxj~?Nlk_GUYFQVm&okd4vzIhlC^ol}`4*v+q6QPq95%|xuji!UPz7(88r^6@I92p!
zNW9NkB^^dXwnu7c70pn_K~=9}Fdg<Gvwi5omvV&YUPHrN!-Ncdnlvk{LS)t^DAkD+
z9>94Sl25h{ytb)qA&NG-7o~O@dKk^2sFo(Rjx1l5^PK1&MGHXHF3J{%Dqw>^6`;y?
zI=--rq~n9Fq$=A?v?NGXCN0OextNvvXx&BPUqd{yh3M26ecjMsnZ9MaWwkjYcgFmm
zYr;#@n=_VYzHR36ZEB7v$2a{8AO6-f(N#yox{c8-T&nFBj6N)rK#%B4Z(l))(#E(T
zDz?;j5XATmb!kJ8kC+P{P>IEg00!>i$%`5ZL8;LA1a4Gtpue%=1`JJ&pj2pFaJAWq
z0tF<~2DQeD)L?#SKxjD0oXt*aLpg>ZA43-`L;(2Jpt$7|7lKlu@sZxJR-%z%7TB|2
z32KGLB#$6wf+57KxCdagmE==d4i3I0F>n|t&X%ltO_mK^62%_Q>O%eoh|%^^ij8qe
zV+p1thhgP4CpB^?(nLDZ0LfL~4bTj#MxC#LMJeh|=STwdTkqkrEh7|=tw+$i<)j}S
zl!{<T+s40{-nHx++YE_xTo8^)@50brVmG9kA9`0SV_qwAS|)urZfv5fJ?)pO+9lI=
zcbT?%x2QE&OQ!8+GHt)_xvzNJuLUSWGu>|Ty-Ks+ZdrUG(iX{Xu@#;Y&t2^>Lm201
zf`=YHlqTO-Jb+VL1>r-eMT-^dN|F_b4x1gCqG_f=kS5<(+<_oNQgZMmdgn-!?}A{0
zvVH}$$+w55$#=m6`W_^*+XE0%Ls&J_Rs@fr<d^t!i+=Iu9L40j<Y{%#V`GTB%`UUA
z7=Y5`yX4`xSIYf8Lc#Xn5>~n-X5ZM+PlpwdZ`BnNlkbw~z60WoaWdxJtI1DC&$lN6
zyRHL>IInq;bD+kziPpr%(!7RcpMve`I>_<ahnVjw{}5v_`$I9=^sRf{CXn}hF^-_J
z94#s0BSl+6rjyEXZ9E6Fc@e5i<G(P|4DT+<x{(YhhleCPy2Q*QoU2)w5gu0cz=@7^
z%|%3ck?(GVXQZZFM!Uc8@cHWp0yQDg$}0p?V!CL;S6du`=id6}D~I!xPVoUe4>3w}
zP(SMxWB=FxGcWw)cLZcyvvckUl+++hh{XOouu-+C!79J(Q?QrW5i`59)kckFIg_~@
z2&*G<E%uc**8gk1PHP!h#cX6|=^GBHeMSE}v~v6#rN$wbc%c{6c$I!_@HtJsat>-d
z(w9<V^HlqN^$i@qG9#h*bmuF7^izNTuUxmmi?_L`IRS!xMOsy+*>>m=7HqYBW%)`M
zJRMhxCpZCF4yRYr!0hOz77Xtg@YwFe_GF|XL8SyOSP4e(f}GJ2wRg=f?vZA!1tWL?
zdPxUt!A;u8D9~!f2wp&8g|S&uLkf=5_%RW@P;kq0#EM`JRYZ9RGGFPxdbc8T6a}}a
zIyL8*f)^~gXQ+noVUWBlf|qj1nN=k*SpMCU;e+cz`Rn6Me1;CSiRc!7P>F3(aq(|C
zxu{YX&)MW+`M=O;@hN}L&+$!s(!-Co(BR6IuIUDfryVjmSm1M*f`wiS3`*`56J;A+
z$aYG?J!uOJf`dwX%S4iN!c$DBw+Rs-kv>A1$HnI*dc_LW?cgu!#>^9OS1BnT_q`r}
zZt80Z7G8Y*i(k-);121be&}ES&>wt5aA8gb3mae#B#GpXh-M8vs)&hnXo7DAsql(m
zi7I-t*>qN>3&upcE#_)9ht6OWB%d|ShT)smh#Kce!|N+zOr?#k13!F=_@m)<K>#+$
z`wpB12VFOZuD~cjx4`fUbOT92=GfxU6&M9I5-n09>ay}*lBD7FgJa^St%-D~W(|`h
z3xzHT6QC3J(3yrS&>TI7ayzs$iW9SgU(}P#w<l)o4IMp$Q9yytF{-5RS~tLvCvy<Q
z+@|rLx;-5(shoibZ6k+54kDDV3R$@dzSIF!+XcI@m-7uzPLkkJlFuXWbtD-1_ND}*
zpi@!+m0oU?CDXSg<E}PuIi6aIl6O~>G&Q-n7cYr>@r$4Qm%BeY1^-7RyfI`dIlyWQ
zmJJx{c#K=oCxE(_7RbUVR`!b%Z<#58SkDj1=F6}%(s|HZv34(5Xk*B{$G~t2gyYb)
zd$A&plaq0`y&sb_5IDO#(CJWc1t#a_S7-MEkRoI|aA@F>`evIcCU*re$XthZgHp4H
zv#sI(n<KLibXkOIyLMT!dx7EJ`oqEWVUVu);T6^ujikWUa7`eQwbYm-^X<X#aYB)a
zpT#iW>Zi|k8YD1Rvu&;HiBd?7`^L(J!P2JkEs`{1eEBpx8Q?6`<~(FC;|3G1o>LgW
zEiDDfn=eQve<8ira1f+i`HD%nzW--@?oWMM6p13E$ai1200M!+l_C+)AP9vrc%Vj$
zV0IT34`C%OM_5j{a%Cblpd*l%MLxD(F%qs&X-9-g)Q$%*=eJ0VNVvk^+XG)A+7Uan
zg1m$NV-UnCz#e3f2068_9)oZ<X&)QMT+)VFeY`w0i)$GFd++|zcU-~Pf%K@t5ZR6}
zM2vMrAyV`SH00CNcRVAmVO8GWnG2m}7N1}DTmR6r?+Lx4<Pu)UF{%kvTYQc$(i}Px
z#J+Oixy|T1mN~vV;LOD93PE}lWyLa{7X#;8LFyG3EaQ1Wlt5<+^_f_adc_4}Jny07
zY`02~dc_4XV&3L)bQt~K2=c)xf|~O}eFikU?XTuD<bn!NrhBx)j9^ic_7$;Ze7uSz
z@M>Bc0%xw4XIyg9k)obbKgsBUa%twA1C^DV%H#@7(uzX}uSOfnW?qn=A6F*!<0|8I
zYE&vJnt_EHh?&QnW|2%}Xf%ljVv`|RO0(Q8HPBoYX79mcsIag7E5H6eFJ6!mcSk0I
zojPXiI06U}CUjbxMb1G{G;#ta+Qx%9W{nvPYfh4@!{r>aMq536s5T%=&Mmw)Z-QST
z$ZJ;2F>7gpN5tL>@p8;sn&5#V?njL|W{vp|XkO_hx^qf=ci5`3+ihhc*I3L7PBn=y
zx1>I=uTT}Uf-X~g@X;p9nOyan`Sz41d~|Yd>zEZvIp3dX!Ux8>z3g>=W@*CjNlvP7
z4LXC_O}G1@aM7~zL8Wp4Z2#ipld?LOudYW6?keIr^*974HQkzOcR$r4Vmu?I>fVsB
zDFQbYvBeq7noe3Q6T}u#-km~hpR@xL=bNkc?7*y00|;Ad)h_RS=yG|xW0UW2QSIpD
zNiV<T$kCtbAuuU)@VZ=HlQ%s%p_!MO{CsrijdQ}yyG09tcm6H91i<?Tzw#q~<aObI
zs3+5lq2Mn_%H@Q=NSasi2^|oR5kQo{lnb=l7M1TtFvn1!q2Z`Rl0&c9cT=sHV<-UE
zNL`9R1|u@tV5^Fu(6kHR2ZDf)DRj-llX}ED=2vQ4EV9v7ybr+~L!o&Wzkw@a1Y~`V
zlNPgt7i#hzc)a7AmdNqV=~D9?1Lv!i-jxTB+U^w$Vn@2z1h4UJ9n0jS#5Y5qC^^Pk
z(+pXMZzMfL$cyy{qNgbH%E&gzlG_n0UOgC6R<N6eA?uv8g7hAO@ZNotUZ*0tUU7ma
zV_e=*wIqAcEfb=t?ai74xZ0s-ODZqBt@A0xvmq23z9zt){6%;;P*rH3baBy&<a);$
z4d6OZhd2GA3m+rQL(ff7*vyX+HgE7T!fO5)@nhRM6^{|7us``@^hS592HdO#sBjrk
zMuXK<ut9j89IZLZd$EjJhe3;ktL9@p#d=>^Ldqt-eL0R<uM!(&3V3M?%2?HeXDxzG
z2Rtmt)a=8r|K8vBGm)Cr;)lBxx&^@ZdaVo|$k8G)rmnZIEH&$br#-bb-HOAOwV_Io
zZbcF7kRcVp4f2_dAl(WV1RV$K-1I-TX4~V?QS!k#cDUCRJR%Zr56$?dtjHv;7=%5i
zuhr*Bx55R{#LIqKSdQ+<qJ8ya7!wu2GERD6DtL{tN0D2Pjmc)3nvGwR8idhXfP+eR
zGI|VH!Ab|8G)C$*^s@jFiC6Y$hBeX^htjw5&6x(>?4<DXIrk*X4aw*+MnU#SMwW1Y
z0oh-cuF%|9g!_C?OSpg6Ap1(;2`;~<>{2l*>AXP<e;bTa<9+GFw}15aw_Qcv38`-*
zk|$a^LhTyxSxrFNSCz)2{qb3kYk*$GWevg5c!<0Xh|Q><fSqC03bs82L*rpZG!<#>
zdb?ujs1gi~#{|xXIuw(QHsA<o1ViIV(5K|1AP_K6Q5-rn9u5s)uh!Ss4y6jg(0J5*
zR<XzI9792eVA6P4vXt!Co8I+F8V^6G)a*fD(Ejd<5+REAC=}L22eWmf=$WFF$_G_+
zfep&4N!3u!g(ihMge^X+%U%+UP|k=-aVuO+B{9euQ9h6<BMR>ceMe~r=-5k&;XTp=
z$xx;PN)u!{VAB-&#H0r}-+elNk{-aNNSt_5zDk^UQmv_VVsbU*^0;sLbWI;yV8nL^
zBQ~8kk+g1&&|Ni>@wnG-J|Ss?57tvw+@#7}zC4H6|E)j$VITUMfDvmN$3quO>d>kH
z*Twi@4Ir%e(e{-Yau;MmNlLP?SWOa9(P~AI;4=wbzzD0Jd8|mq;DTnzCC5sFA-M1%
zm@}e=H%5XCExK(gjfUJ;++#?F(*Nna=xW8B5#4Q0JV&gEJg<gVacCNHUlA=oC;~Ia
z!JMu3&=soWaO|uoic!_-5yg@@--m?YR&#U6+X97G|L&acgX6+UTs%6`%o>XMnzIeL
zTIB|<qGsHnzSn)oZ#L_VmCj!kx1f>H<?p01$Y0p@FUI37M*IeiI44?z2Ppx4)2oas
zXZn_nLDlArn1w3XU%Cl%(W`OJSf2WQ=JKsIVo3BeAN1!x<zG&<C1GjwkjNQDOP>W$
zy@si^uUwNlMJnn#qDg_CK*%FmeB*sIO=^O(iD7pr93UBj+|GJ!;E6c2Xi{5of7m0)
zpx#4GLh%?OD4Ns{eL%TN1vdopv1VP)Q8cLu9#?StRB+AIS0yN#)C5mDkPn7t-&xON
ztf-pQB=@VerL>MDkExebP3n?}nV_A~U$XNV4{1#Tuxt|-2I^VN+ySv!yQWnB{09Mx
zjT9ZO<$h<>d}&Q7{h4H>pitYZfBJ0goFXKvh)}1h&fW+ZL_gmW%|oTA%aI@L9oXU>
z8F8A|_->8~2#Y}JacJSvk#U0^8Hl#h8xK{Ct9vlL4b6<A3a~Dh<4^1zxKuYU(bnBX
zTk~#FYu}b=>t>i_|MW+H`p<nyq)pXN??+o58~<5^IgyyX3Q#is1gRtiz`|;FE$m&4
zkb(V+u|!nXgOwx~4J|<jl=m4+Y}cdXeSxDT$sGhY2=$tC%+VHLpoXL0fJCVg%+b~f
zlR?Dm0RE&G5$0$M?g=VRwCBeyZEtmXs%Xo8Hck@E6z%n?qAetUA;6yl#Lr{KP+|TY
zw?Lzo{&fJapmsZFL+xM8wm!0qXjomKKUL%<LWT~6P)n)kX($z0%)i*))Q!K)16C3&
zCNOpCa;XCjXDeUHna&USns<KpFTMIzufn_<_CDN|?M3oX%YjF9g0u!O+ul(loim*%
z78(=~0KPgkI5VVt@TlTYCD2ZTyazU*Bv~!u?EK3*z>MfkKFtaEZb~K&%@xOynaLZu
zLPMeEUy+DKHMjO1k~ea-12uy{BjIAP9j9_Kk~ea70ymW843G(6?{g)Xy%8WdkyoML
zasZQM`yga*<O;*z=;26qp;>d@4F-lW-(YOo4F-I1Es=TLV0`J7+6UK9?+qTqOfC+{
z6FDF~GJENq$#q?-sa#hUMyDubW60!1!^sqsnf5>MwMhqrKy^Ubj>>Tlvw6A_v>Zs-
z_yXR076SGDb(`f@hOx!*Iiaj7WJ93dzb<4qv)%+L9atZw?)SR3K!0|YS~*}E+U`nJ
zz#8X@P3()PBQh;aisSqA52LGBS?vN?EJi*Mi_C|+>wd2Y_K}Ula%I83*Pw;bLbb{L
z#oOo|<J_)q0RuaVtGdox=%~DnZd*AdwjLyx?%{R*?%{R*?!3D<Li@-Ql>}5A@%iiL
zUwHod;hW^7<foONbT(DBx{@CvKVbG<VVY&mT^W`uCp>g%xr=#oyeC?@?%(-yyYhF~
zJ<-VtFZ;W~63sgvJpB5%NBTvP2VSBv9D<CiM!kTHD`?)8DnP)ps0fN6Dl>x9-2+6X
z<$@5*s5KNbz{tYadUGPhbp=MFZuJ$RV-?xTsdq?2RDyH?U2u;!T^t&|1QHwVq3Q6r
zAfU!Xt%2-iP4A;#kq(awCNP>;rtK>frs(jvAgV2jtUCbS)}Es{JVjE7t@*RTSlW}M
z5AKrS9%IG}k{COrg(N@tq{CBEBcnXnJrC9LEIzyxeY@cjdDcbUUJTUZF~a4HPbzRH
zC2VgMvA+vcH_SI+ZWnbH-zHRd75cma2ZbeG_^{_rX1Vd+Thl2Mw}{5_rJyWN7TfLh
znKSJhZSG(uTR%b(7so=JpW-ZFEBj3Jr`!#!zk2)iTi^Ia;fFo(@SH#U*Z<N_+>7Dt
zT8MJvYh|ft0v`eP>K1z-wGXeWOA`&OGzM4nhPdVeJm%%<Xp6(4Gg4|h7z~@_Uu;DX
zYmj7-qj)h#PfTS9rfMk%HmsyRgQ^1fp&9#xV1<t$(t^hK1e}DSz4Tx>bjC;EcsxfS
zF37~u96IA8FxrA`zZ6{Cq`x?{W*hwaVA{AWd4gqcFJz66z~EuzCCHY^a#H<s6lrE_
z0#nba^r*bR^Qo~6-dSprAa=q?Jo)9EVc4KE^6lB7EeiO1R&&7I-AY#XN15<=o@i%k
z&$GHgI154GV`y-1vavJ_+C{^CTPtrjE<75a@_9}=9%}q^o+a0P)V~POT=Fh5M@V=t
zxd@bmpBxe&?n->pa~~S`tLJ^VPVUe(W&y{p@~O$XxvKHMh-;gf8N`ZLA$||5E?h@p
z)B%>i2wbjUve=(sca-xIIK53`a657eN<x|T$5@O@=swtZnyIgVsJJL6BJ?TgbP#<-
z{}A9BNeck30e%pA&rBi^NVn3oqfiE{_xGy!bGZG7F`;X%uoUxLmKn>_2+drcqS@Rs
zPWzDe{j_iX;Q%EoXNH>!W6(fRx6D~vn~t%s3`*yMhZTC~sn~aj=u{1dAazj@ga$(1
zRY;I~^iT4*=!km&#lS=)f|$jwN-I{RCh`@FegU`fN|C`KNK@g0Fc4I&IP_ME+KBu@
zkfy=~4}f5czTB@iWDKYT`H;9E?4xl8qeQO;AXXGp;gU#UgES8+7>$<}eI-f8X)cMV
zlLHaRF5DS)Xp)p9n_VH86a=tb^Mqj!&1qIVQLv^OPa=cXn*|}sFF!haICV{MRBJq$
zj-KxiVA#0P@{5q?uZ})jt*auO35hOBk&1C3T2y^|Y3rx%<-`PiS_0>`xB*H6*W3f)
z<-$ntM2rM5WVI$wuRT;ZuI@2u@_Lg-5Y8pJygv`USF;tny%x8n*yk-jq}V_H-~PsL
zfBu6?4ORm(SL~h*g2#A1ua*;V$QscRN2YG$*ji5;o#95tyl88?2tg_iKlEsFD<|Mk
z)1^%r&TUl*xF<|)iDpqnQ1hWYDtstK-?;KA{}5hV{%YXs>L^t{3v4KfeO(E4vh+2+
zmhCx5sLy8%@2l~V-IlAvdc(XZT>lM*_lQ1^`<IfFS8$0m;@ZKl*9av9b8_;Eq=Zt9
zMcEeNPn{T*_{a}VDkh2I0G~Icd0LZ3&n1tWHJNwtP>`&<T{AtoSG&y$4u({I_{;Lq
zCk<4&eQKfVrvla7+hEPcviYgtW_l_}ic<v)*nX0y;*Dm7O0%t7C&U#PoQ>!gHv&$@
z_TK9d&7mhXx%t8ZnQE17AOep9OnZ3;K0oyiaCl17ss=9Ufa2$;{ilZaHE|7-l~t_~
z#nbBevYn2P^UY1ejB6Nxms+P3Bh&e}#mOejX;~5Rb4J6QUac1ONnD>e{%zN4Q6L2n
zqTF!SYOCMBr3asWzih|#L%kIyH0{4-!8M;hFHqjUcfs=GppRE6?kWeQZ@%`~ZL$%l
z^#WDD595waT<a3GVX18f+0z#QyG~g9`=bY|qOekJEor_;I1iU}J?A$iR~GCi9kpb;
zlzx}eZ+S@S46+pR{XrY3<Cm|hl=RIP<e5_VT_5%Zula&VDX7VAJx`D-vQP_$a(n3U
zY$W>)0}qcx1OX##OPf?k<`N)K28f@Y%O0*lZRj=o?1Bf-Ia}2kfpFy!yy5jptAGLp
z%pS7h3ZsY`!R&crP9$@%s1a|G3upv$=@*#<1j!TxI<6H=-W4fQh>{mUoJ00nkpE@I
zs{9({T*MPXWAvzZ#o>mW)x<TOdfFC27N;UC*>x*4S3`17tWA6Le0OjYkx3BAZF8QJ
z-j%xAO;%SuEyK`d2TQ+jfMibW>N;R}4xe|no2>hq!$o(`{=uRD?rh0|dJ2!*aOa*?
z`*-oQUiXBaR&BhI!A)@{;(qwC$u)rRW}i%dW!y(Hxb~{tR-iv``Jq7npFHz1f8ln9
z-x}N{GJjPDSL;qlqarb@$}t4RvGWXWw?b>P<Xi82`0ci{#Ox6S!=lIH9CHTugzu$@
zhR$KBGv=Idox$B6x0>(lIwP@)B_)`(O;4I5FWc>QZ7y8YtxMwIn&H47Q2y76<|>1W
z5mt6|<hWHar=#cFg9NWm5=KVLind9zx<6pq5*3c~Y;yLqRk&)#o6g{Ro<nu=L_3x#
z?nk8?+Wz4dG`Yvj_BR8BmJIF<W^nH_DeGa}4$UN}{FMp`6npx18Gifr=dR!J@P&uZ
zUq28drV(R7{2FG(eUEX7>3MMP9H7dLV~I>}O<3O6G%kJAH`7P`H~;xx`qfW}XiniA
z9?dzp1Eo|F?gG$5@^rCa_LUWgUC?sD5ClR<O<f4mez{<9tsGEsXjY{8DuRat$ZmP)
zZUx&&;~6V5nk#~<4WO|IRgOC!93O&==3Ed2nL6M8h*Q*vGX$xDTo7$W###lUtM(k}
zUbrB7!@-QnLjxJyULJ8TT=GabGDFTIAXFNg#<6QG5$L*<ItBx4+uvPbi8$&<5khE(
z>fwGE&6T5fJLOFVhU%pLk{^B26)3?Iz`^lZTcFfEXb?JX-9xyC%gG`k=Iz+JDlo%?
z(Vl+m@tbX#tZY3R!sIj|WV6Y07%QbLIrAHh<d~HOvMAEDb7M7oXeTTNv$YuxGpFWD
zE90-r#PY2{VruNccm8kh`KTbSQp@Jb)a)G6Gb6*+__`Ls0-_lhwpIrN8=L#R+t`Ff
zTLbDj#{yQAMfI-~^+J%!)DJy6@GO<xpBbHZmfSg@S4PI2<ydWe+8I-0<s?^opASAT
z?JT2h&;`o!HexlT<=Q~8iq-a*4WbAh=<;4vm+y|v9VXU?B=b#Fmv4{dLK!KZjEc*z
z`bBD2)!G1Wm40zkq!^7-lubRto#xR7qOXOx>M|szB+jCMCwSfHt|T>;C(b1LO7FG6
zY09^ZzLM~*qi4>x21aAx`98zwGOV+GLsd6pq4n|`8udy9RRK7M_g$i|=iV+s2yceB
zdEV?TqLF<iGiiL+Z~UH*{d3XDp;=;g7%XQDe`L!d3~FgRLZ#|rQLfe?eMtUV2!czo
z6{JP-6|Gf(wKNQuYF4Df;DQ)v%`OS72pG;-Ee+5gwm!hdA>G&t=2{vOn;2lg6~PJI
z3Rbl=A5cIx4-ISVtl-q-T3V@Q9Xej8`tnq@G>j7<{)lxvVHd8NLNihcF2)+!aY*jB
zXo_jqOh_eIDM{|ajm5y%F{Bc%DTdq0niw|I{vd(p`c*$++?d3EX!ks+YY{(PW`w!q
z;Rqa_{31|uwl`ALze~bh(WA53w0$<jGPoqZ);<zo0&VJ9I(oiENM~zZ6lv>Txl>^H
zzUBe#xboXko9s2uN&`cY#Iy;$N9$cvn|v&1Njw)&b*KkpG3o#Xvwi@~Kr_Fe>y8nT
z&JSGmXuCWfPZx&kWFOjzXv5HB6-EeaA7ZZ|_bmR+LRdFr?Oz!3W|*u}RwNWp4@K4D
zoX~$#;lzahGQoaiJG>4};|6Sq?IqQ$1_)HJA=!@od$6fXDK2on8Rv$MkL|jGEiqC^
z=MP*IVvK;T2^c>%sc?%E1)Ne+2EZxd>Y_v3+ew;DTw3B6E(RgnyK%-j3Ea_R#=G;D
zABuNh_vikNPuoTEP#0>=*9B0Jm&|;XX*fg1CY!HOW0Q5`sIAH7Yg~nAD9E@9=TqxS
zbX<j}Cl=)RXI1#5x>eQm<Ny!qtk7WciT{-UYrd9E)2FT?d!r_Y6>DB~;K0p+C^5!~
zwXoj5f=AcPNJmP(@bUHM^Z&_De&df!vZxfVet;Y$yZ>qSR}0pvn>Y|0uns_^*ou_1
zl^>kl|J`w`$OR0o<+SBWu!7Qc8>JnAU@xG^`cv6(=~ye<ZM9<7pBQrncUlC|g4P^5
z>rY-3lvFr&41RSZSh@e8L{YaIT`}OXuYXPD{<AV9C6xo(6I)PX1*L;iuX7RiTg;kl
z*UWdvDDm;jJCY9OqfctuqNr5or4(S&gqHtPk?hFYzc^ANd$aP@HL!Im^VD$UTJjGz
zO~)wu-vvx}vtYW%F2T&xdA$<MlG(dEe)@U0sCDZXT*b}cDn9PB{_F4h)*CbJfoY%U
z&)lsy;75VkM2gR_hV~p-DbTj^pwPavkWKrjvye^usI!nw`+m$>s7$*~?t!1O0vfe4
znGH%FH8^dONA|Jr1XQ8X_Sk8==~f!QDhH%C?I&r`7gvRYnUmyW8f-PP{Tx&>;)8a3
zASlUUO!KvD=I@L5&<N`37)*jf_7CnTICY8aCf{8jG76u&jKd|Ckzkj#C@M)q$u&u;
zx8#~^B-ov$j}}|K*y@LG1H~L_aIfqt+>d_wzxA=}u+<9A@HD2QO@br`C5#sD!9dRi
zjj?OEbE3wDjC4-au$>OJ9I4~o`d~FC2asq-n;&|#(}1?x1xFhVXse6hSf2rHwF?6I
z`NVAoVQ8HH%PnU5W&q~W*tPk#?@j<M9?RNaWM4I=MJ$UK3$xuzM>cvv))>zk9pU1S
zq|!vMXCLoo)q<@SBj!fScGNPo$3GoVTH^Bo`H<uT%0m+dso^3+h4C^90Gw}bL1zO1
z9-;WbJuWlBd&TkV(u`gn?-{?3O%R7^@kIbFQn}GKB`<t=X=X-lk9yA^?@LQBi|xES
z+i4nT;ubA&?sIRQOBcId-P2AGZz~^QEG5o|kk?;+{g?jy2TaX^L6Vd}0qC}YVb-*r
zHgbvcp*buBg7^y~Tg?EW?JR;iuno%OL`-`vNZVNi_glan5D;USHF<2R6{UZmOm-tT
z6pSp`-k9sI1gQqgirXCrwjsn`?+wRcUm?V%?JR;DGK!1f)^fukNZaXxFzSp+IWz6R
z_QdMY+CN|?2{JYW!XgQ(xk_?YOse+uK_U1q<4izDl(78RvM3eYNL60>!J`tP;^TF+
z0$>+UEc4@|Vbp$OsbzDHxt=2vYg=IIhSm+RZ{s#;tA$?FE8uq6jE_6N6)wK|^3#ae
zjlwLO4H#hMVcL<FsFq9*@|6u+XQKxPzBiKTNxlNF0a*yxJc16FRx&-x7<&V7vPfe7
zFhEK5(bKbh1-LV7B6vi>?`my0`eXUq)5ClP_>htYU_?8xQA~2gGYaz@zuhVwct|(L
z-sD38`}kF26AXTlNP-SApXdCC6ii6gZwiVegYT4?JDQ9r4=E(_LyAp*Nck~6q*%Zo
zQht0I9@3F%JS|}o;3E_4>@o&C+&eNPRqmm5&L{Uyw!=MCkZ;=mQs!2Wsb+>CGPhO?
zQNq2Nk1r8KaqkHA$?hSLKzPQJdpN<6Bm`3NbAY}9(kFfn_>B0Hhqu`qP6HmHUETo<
zNfp#dNQu(<%XT^-&NnkmaY9W6b=vZU%KJ;GRepa5_SsWD{^6>tem?}N{bf6j-wC5r
z`oX8Gu7Wy2px(dsrv=Lt%K<6|>Ed4N)he6#w%Y(n*?}DZ0C9ZV0r}*c9loXVL&pif
z?QiClJ8I5ypvpDBaXO~itJ7gD7NeYodqf#@6>@Zle&N%<?F&9BdVsW7$&(4*i3j%Y
zm`UHZ7K$A)`tSXLJ&JIMkhQi-w72YOP2X3HhRQt%8&r|&8kYDkf~BDnI8TL)#)@J@
z?9ixvE13-dTnEj6hoIOH7d)YvQS1^X&1(fd1jU5-k3r2}P2FyTJ;d0Qa}<Zj1<|7^
zAkgCub@fKDYN*^Hi7%3H7<-+mYUOQBJl9N6KmmZKu)X9GN%|E!9msenl52w~$L|ro
zhQ1_b^J?`!Ns5)XqaV@vgKLCW0*LC~(Z$M}B%3((B5m6>XIW2igVfJ6oPZ}$)M~n8
zH;_kBfnp)g*+&&ao2GquM?!DOKat_kK8)j5RcHw1s!O8+VIT4_dX8S};FZ^w<JUnB
z6B<!D43h~E7bj`t*5<NBu~MO8W9l8CqKgVw8yyF{n53{Z;mB!RWWpsQla3D)UYW27
zw5~XZ@pJU@xXuSdLwfI}DJWC!Lin*bcI}l3ZCmx;WKCPOb1pfSyUVf6yG2Wm<#uu`
z-}<|M;OD+4>Ju7gwX^PzM>MTS>I95&utimLm_k!(!+EprXV2ROGrZynkKjRQ51r!D
zXGRC}rmz&SWU$@Sr8vR9!}q9uOo~bojCNb`J)qNjQEASxfO{Bj5m!8H*S#>Cezk?K
z@L>Uql<;+n?x^P2IdOnVa7Si>80aFg)k>zs!82%TX6F$lSC~$af6pwVu2#?j;t~$0
zj7`bc<UqKD!3=`c);iQL;@}c0<EuGomYb64S#h`8?O)VM797FN;|NlnBqf<()Wrk2
zv`*r=AHR7zBYh}ZT5Pyy*9+I{Kzd!qq|QjfgN><*XzQM5_nl~%UB6AZS&I$79UK0^
zpZs0#`S}kn^BS~h<!OOZL?7c)qz!jL_+w@tcPmQ;+gFJ8D~th9^zaHe>T3l)f62#L
zMYN^{F&<u^-5{qScdSSo?uRZD)?iP;+*_|m8(su;TpW_nr?my~v7#itj6o7fqo<O_
zah7EkGe(=3;%-h3P;<)^HNW&N=eLavH3}@nIOAi+*HtU_p!p9TIb$N~EzX&gce%#*
zw`Q!*hTNzxq_X?f3H2(;x_~&DPYd(8<@w9pmsdv&ZbHKcK`t9>{7sVSnZ7!%5TL5$
zz?3B#Gu!bBecl)<#X1NY*)=Ja)=W?J6~^0<n2VCcht^NE2E}^XS77jBlRYF+*lAxB
ziI>aKF?UfiIlv3`=SE5D+tx%^4AMvZ?Wa8}Cd`_bt0b5RUlSEavYcUV6b5i<QZ7kK
zI@i`bfN4yB`<^s8dCkXtuu)C12OHIs^k8Gl9_(J}MIG0(4>si62b=%o2-Kkm8wb11
z2YX~<MlZJ`o>EFC^#(`?X-Z1QAel2dB0|zDk$vHCx+kiqpdOI%MXaY($t-DgPRXpM
z^_lI<RXqgti3*=21%tf5y!tsAhIg@SYW*cH2dXEGh*CX<?`~eMt*4-W`cCU9C}LCv
zB-B%?-;c6Jb;7b8KNxil)Q{o^qx^!RNczEA_z1X`Y{&l101kb;JQ;T%)umK5dF``Z
z(vhgRAn^y_ymz8w6W6+IVyP}OuW_+1(`MfvJy_*(mfA@1XWi{YR6VnZGAvgX9OB>M
zF3ZG>WnxBoNS@w_8Gro;KmVIIQ#1+Y(v#(914oGVIy!gg`+Mh340Xd8Uv=q2kUGEx
z)5MH}p+G{A%EJX?`dfGGaq`eha=|n)W7TW3q3`d47#pe7d>H>>vxwu+^!;5BgP%Dl
zl=L}Bku|@XE|Lqv>C=&wm^^B9>#<_h=8G{QB&27f?S8KgT9TxT<ZD75+FXw{hCnw5
z7dO@=Q_B+I-Ie4lXG-0me*RA5f;4AL1-F&suK(sy!dcjgirqw6Zcfx7k%s&qrBfS_
zMFy~p1?Ie@?`|-Chay~h$&s(a)lHTii0{YZRJA94B0EH*TP5%+PhceZG;jGf`9wU$
zZ~56zeb*;VD$lVgLRoo6Bjo_0S-P%>LKC4`s~fWN93?cXlxJys-1O%pX61=~0I)M7
z8bo5mNVM(pA-R*4C*}d4pAD3i=lEzK7C(xjLsCcSw*X#k^|2H`ToPDSj!))U?wip-
zmIH7}z%4Wm1~R3;W_5RtD<UtTy0;i%e7TxU>5ogX524S}B5iES$g~km(nH-O=cS*x
z@6r`)n~GUD5?<aM3Ae9Ozx`YP`_KID_ba(*eNVlftXpePL#~x99);zV7B}rHXrXv%
zkxw{Ey;OjkR)P(|P^l2yAj`(L8}MYzA7gGJ1Vg2+kp(kQ7wGbl=B@=prQ#fqTO5%|
z1dLJF!gH(`DiuvZJ4`%R!8OPY>lH(#Vnx8Dkz2%y$b)OXGFA+gO2GqMWeRpkE;cJB
zf0VzbQ;L`)$F5hBlfI++heokg63H2=U*+Lyzp>Fhtyg!q{-Pf37;OQGR?9V+|AhwI
zT&;8FMJvE5b0VqZlqzB;T258LR835?mF#cHO(Ahx4Toi?U6pE5BvhnoG}Bx5N0Jtu
zaFOak%J`zrHo(g2X}n1NRjIlO+?gib%jxLlDXAiC0y+M;o#TOTomthrOoL4=Kjakt
z&ae2f|MCLjEDWQpgSM=}poI#{?FQi3%I(l<nyLU~+lo`T-}DGiLxVBt=`6Qv#I4PW
zp}_z;fsZF14_rAbyV=2@WkZAE9Kq`$T}OLNzij={&|p-lMhUxzht>*)2IHZP5f<_U
z3{?q-q`|OcVQ&R7ZJU~?oI;SxDtjx|>~qI5TN;-VL%=mkUs*eK{BU`Ei{z@~nk4wz
z0PB;_b5eg&=OqvArkp}m%b<C6o!Y3{bjUk~!nz6_h{Bh7-%m8}TiBFKlfpur^~xPc
zW{PJb71Eleg*fYGh_l}F?w|V3pN)KvB99#?V>o2g-o1b3h_*?w3HFr*CoX8jzB0M2
z*Tll$#08^&=B5Uc0Z{<c&u|Cze`~_j9uG6^<<Q8Lizx)vyb;*PkD+OIK?6QuMSyzL
zVuv72y9<KWU%NUnugy~6Az1a#?7Ovf+MuPhZSqmDtM#QI!&U&aHe4XBNz?8}H>OKe
zI^lpSd47^)=;)GY+97SI)I@DIX_BmN4+gg)c7!C_V|wRF*UQ(04^DV9!cjn)G>4Sd
zr0?aDWe7JW#x;_+?O13ulvDclFMY_4e$fZ`5Cx%r$Q|dM+I*9{9EjSQAWDOx9JTQw
zO;KA*M$f3JDzIw{VnkiC9R+gazUzij;d)O8)GBt`pU+g<zvZ?^oODUI3>#)EfCZB8
zmjFDPAX2)hi;H3NQ2pWMJXD03rGyV*c<h(Qbhh4vb$jeY|Gec}<H<z-*Zrfv^YdR4
zieIg8FDtb=9uW*M*))}vT9NmGPZNfHR9TlC(;>$0&>A3u8zhMu!HDS~h#As$XpEX{
z1S6(H!e_I_G-~Rq)z+9K^vBSOMNEer64aw8HLzol->^!EMNG%cnRht=MW-zZv0}t@
zIP^-0Hi)W`xh2(#DW-$u_Q*Lblw8p;){;>rMHWVTF(8RruD0{X!BdqKSvK@oXz~mo
zwceUJXNYDEjp>l-Ggx3+Gbar}dcYeFn+I+FnAtN`p%c|t)uk_+_6hw>v-4?fdQ3ER
zQj`L5%$m8)XF;<;a|TvRP>J;pm1Y$#rj!qZwz^ZzF8RASKCydz*73aYS-<z}1Qmir
zdtoT_@<cvOfPI(iUVFxGOZU!Oen|KJ(@*}}zw?Jvhne_%t<#MZ6tZLNMF)|3>(^zs
z4#;qLh!g~IYwP(|UfmuoRMeCJ-`-HudYJ-@ruEiErtWp;FHHenqbXf%Y4Ogp(~SX7
z&`?l7s7M-&-A%I2q4E_AIOcQ|ZXR0avSQ_Q$HZP3fS!v=-Ro;wXB|ulfi%roita6v
zm{BaQG@MyfFzVkU`81bg6++7}9Y&E>l9O()DoLwH=td%=SDob8cT=UFBI92b$Zl`S
zlv%M=SH;-hlDlh?Yk9$QGP;PBSZzaB)KhS3Tidd^!Eqq2n=mcz;`-mE#QKz!SnZj<
zRG}`d<4f!Kdk3}cZ~1$F_JhCUH<VJpzAvp|M=uG-yue=XPynkef)>i$bikg2yhJ>J
zJ!olaBXi4!{pG+$gVG#neKhyfGs4-hm+8?*@Yb~MM`hS=gpFp>u+!kFoh0vC-bzj8
zL$rVt_5XYUH}E4wfG+BARrauDju&6j|GK;n^@2PGeucrd?;jN|nFo&!<K?;7m&X`0
z_JFgcXB#wpTR3fe2@sjK-mMVsx>dqByA34qIDpEheO)BNa7n;Uu#`w{5boA5mINO~
z64M$axxjpFPck{KS0^;6NuwHy(KbE77C{<93H#JNy*loXT7<^=Vwd{p66pESku1>W
zH$Lg@FA|7?OCGkM#}v1Dg-*0~&6F;_!Zaq*FT%lIoyd()`OS#iiZ=|RM%3S#vpC|H
zwJ(jN`uymi@-!b85TW)(l+e#5ne7)C<7wT-D)V0&^rWSi23zT+!B%OSbDBbbs`*!*
zTnPC~!;fc(30>4*8i-E6G!XKah97$`4f^f;rQyd5Uz)LYISG<e2rk`hIRxj^no<bp
zK0_8N?STHU3Ij9Mu7-9izLmh8BgVh?I<N)ejY<dX@%{1PiXfkKeaJJ#{@@R+at7LQ
z71?CjPWubpVcH)(S5-E7f<Cb6{sUQH#U`lifcfV%*>sZZWzf_X^nq2*WvX2bO<_!|
z`t@VG8u?O6&O|!Q(hbv&vjRHm_G~Ik`-2j&`uz~7Zn$j6_3MQ0Fzt_?v?`kpfqH*#
z$Nsorc@z0^NSB<=R<4$BqFpZOO0>%fcU4KQV-rk_WfMzvIoEz4i|wG7$|P4N=g%Lk
z!b2_Xa_@Zc%85bV_S`!ky!iYVzo488=-^6UJ-kj|@v2GG7VTbX==@4M0fjW9NS0$%
zf-#?d_FZAZtVI6h_WAPB>^Lk}PI#z^k-OM@dsbYuqw!wv80U6<%bQQ^vD97p%kJTV
zzK9i{d%G0l2QF`XS6yG(&wtXuZsn_LuX3$p)#_qPf96GZg@vHs`-8vzW4|eqk($+P
z3qfTZ2fQ$5#;W>7E;V2lt}zh6=dKF~Z6Qb+8ejp|9Vet$E9OFwA){G_XN!F;m<vHi
zjP1}G%bF~nwO}p;AsI=h4#ozf?pr}>7R&4cv)7?7f`XV!-~O1Y5VV6@7Qqf<L7PKY
zg&_3P0e{COH=U7p+0p1GR)wGgC_%+h15tHv%>ehIuoWpr$?K*|Im@XM-&|e#GmyRT
zoNCOox=6*B8uz5UsIEI9<(Q6ZMRh%i{S9OzuZL?jR!zs%TiWH!Z$lh`s($i2BnU`;
zhir%4zs;#xN%JqPlp{t$sj7+@xEn^R$E$ZOK)ss*>ixxE`M!^P<^xJ<@r;>1U~T}D
zC79>Tj=p3GG6o-E9$Nksud#tOYy>kP06;KM<S<o&$px(y%4Qm6usse<7zRjlniaE|
zK7njnAqMC+Lb9Mb$84q(UIT^8MlhRcbUJZh7lJ2X^;^MgrjbTb=pT|0J@83orh(T0
zMor?;{)Mc~^lnQsAx3UnBLYnZy)x5ii%|b$w?QLm{lgOmA83&suC^R~zwUQC<=X>t
zZ4z?Aoj^&qb!8+wW+#I{af>7l&l6pr?H!lwSdrXsb&$&7n$;bWo(CQs&9=QY^DRQ3
zg66ycktFaj-Sk;5iuw%aF(vxFWqv&GquzuwZu)47$V4XpW2hRcYhLQL=w8SH#p>5^
zXk;rVqWHNvm9$6gy_nZRz!9?r$$M!Vp%mhmjX7P)Y|I-BA8~C}*5S4>e2Dz>&<65f
zR-IoO+DFz$w!`}%NmI@`5fgaH&<n}0rsd@Fc$(rqd?7rUk!Yxb*5Q78MuQ$OMBF;h
zdzrC3qtuzpQ#7*$NIU<pe8YEtZ}grjd6^fajLHn{oY0`O8p!yL&suay3i9WS*?8p(
zaT?W<uV_FD8Oqobq}6aiJkXfz1FHco+B-C@h6^G}bY?|N#AyyKi77piXJ7#pK(b%S
zfS>9dsgis}{su|d18QwJaQh087_Ej2o)C9RZXI2(979yCC|1KIj~mER$6`KmLo7F`
z<y2V>VLkL4V=d7Q=YOlCu|}P!=%t!%j*glm6DLFI)PCAmVkp2Z?Wa}h{UvEXwWs*D
zl-RuGhm_cV`$Hf0ZSS5^Vi-Kfqz-Hu2qV@1v?Jnmmdb-Eh^%s~{WJu#5<|&Nv9Rdx
zu&P!FW+isO5OmWdD`Qm(!K}m%DAS3fx?h2$wb3M5iD4oN=qBM<LE|)vL^(-peeh>)
zmFaxfZQ*{`x+yEM9o#+~nky2ymIWtOQ*l+Q529YY*mmCKQZ4i{AVPO1E2W0;Fy;yw
zeDq~tZ0${w%qF}k35?Pvqn{`VLCvGd{&MHap7p0sFMY@7|6l*?4CiXYsReX}kVLLt
zyjm}zgIkAGp*`M;{h>v&UOFN+l^`E|RHG!*V0*Jc*4tfAIaGQHQ$3|BkA?<A55%Ff
zUIGP@7W&cXwo~Xr2-eOO`r)aKnVVKTLI`HP1lQYmbK#A(g0*wCJ?WCrjYdi5OHOJq
zRYI>|=gO7W;#@I?dkVQd>`{ICl-T=x<oo|CUmbm5dY=6Vu_&=M%6r*+Xq4Cr8bq`m
z3SG6Eq2)ij4j=&$CQ7KqJ#uZ$irKl^?>7qa)5>Cf=&Zz`6v<{LF(+_KwP03aB&vk|
zgl@u*Y7d>27>L%OyF`g0XV$EkmDuh8*r2&<y->1JVo2<<1($BvwoiP{WJI|@r!@)R
zaF#2_sM%L66w<P~BwF*36oMqe_&)J@c71_SV=@<#$i^XGS4Xnh#(>{RGmwyMXxC>e
zTXhi6=j<dxEH0(_iH{5yiJBt6(lvSoMioYAYaaqer8^YJ)+k^rLe%)ggXaI@o1ssX
zOrfp2j{X;o3ri>1&3A$+P-u702ITo`ai6)seSs0pNUlll&USzLH1mtU_CsIy|Al7O
znLiH9un9ntX@WvQ*=3D9mL%5gD+_yFFb0+#;et1UeDy=n2bKXSsuiDDk(#*(>hyS&
zhE6-n>4zXSvkTgEZd9efMAx1pHM0xuP(jgNDa-`b@>Q%z&Fq3@U>SOdz2&V;+@@xB
z!P3f$Gc;WvD~e_=l0LBvUbCa}%aTgXpqpu8SqEN>=1MA<+$GDzGN@akB+H3Whf_2A
z(PLuS_F!O-be{S4*ibiCd_WY{u9@$T5iU>?<bthazCq|=qg{X`5XbE;S3I=+q9c;4
zsEfgZtc2MQ7R1l<=Iw&&a?5o-@pQFf8A6fUKV8b~knICM$AUwjrftND<aYtYBp?IU
zJ%FIS=3n8Liygpga1nw-XO6}GEE?h7rvvga%0(k_$O`gQ^=#T7HKhtUD$v@zzqntq
zKh8HJXF0h1k{Xbp=_AdcmnUjC7;B!<qjT+mJ;%3Y2j(q5l>YqYhu`<3-x2^lwO6f&
zZj)*_&j$p-jcb>EK%nHv*A6#%y8$Uc?E_XW;0kOtQkuam<u<h_4}>LDLHt6WpPs7o
zy1Pk!!|St$j^WbAObq2Q=ArM<_$1YLG!~$xqdv#%q3?i3)I2GAq}v~pJ@mre3=h~C
z0^=Mj4;?HL$|JNpaGFtlY)x?4??%#uP)F!OggWgduRL^wF3hh;I+vCI<abYM&7xrV
zK^4~8+}@@nB+5r5DqR`-J?(DvND^b=w7*Qn-wsIcF<s@Q|M%|tf9Ktz*32v*y_*5)
zecd1b#eXnk^vo7XB3R%(0xY!~3cXrc$Yxt0EJ9182!j5)6{M+lL1e@=e~(I~b?U{6
zG}SH`)Vl-o7-*_p(1`rDGDBLeV5~@Q&IK_ATft%gjafw?1Zk>W5X2tz5;%Hh*JHJ%
zsdhmi7<qZ%PGICgduTD$E_nh!uIA@Da3P6VjbkT}9@jRO-UDd$G%cAV1Ehz5%xQYT
zt!u~?mz-s))k4omdZ}Oy;Lv~TnQ2<^{GW;q=eXp%M{{X#^Q!zj6u-p3QDQvXwV3G}
zG}G^Gqh~(jbN=MN_q`G4=xgV3j=hA?9<DsmN7KIZjB|=$QJw>I<Y$y8^hu*dhLz_4
zZ~36|1T)hp%Xm_rY+Ywu;L4^RJrl}v1O3zp4lB>?$>@_}#WTti@s3tOl4LX@CFMCp
zaV~LAx#q4s`yA(s@~oAo$2zH0uqe-0tnyqUpu3BJOc_n&@s<cko51IElA+OA#k?(f
zpx=z`&;*>n`;DLb$EJ<A7S<5~O&M{JAPRU2v4CNs!bnv{oY^`iEBEUOBMycV0F!WN
z81Xg|cswHxIFiB%P`%RRUYuhc0c}{(=VXm>BaTVu%)BB3f)Q`eu`=R-W9b}qVA<Kw
zR5=h$1mv^cz^khWjyTK8h@a4+gDV7<NW@Bt$a2Z2&xl9P^ZNE0iqA$sixIz%=3q>I
zO0}Sy>?VG?BA~^HFGl>mgU0a7f8<?%|21tS?#L501^{vczz5q5_{R?I3<58(uc|aA
zn-=#vo%#qCu)6oNp#<^L8+vaUlBOonH@rR~ajaM-7HWG$B^X8==U65dVnKy!#5qP9
zlRu_20uMALBdt)a7)Bg|>n+lPgh~Kwhn#FB7?C)-dC-C_X-t@KqO>c)WW@PvI!$;U
zJC+`^<fOsXbe{p6nV-|#U?xP{Bp_X#ZVbC7pW0+#Ni&9={nKp#CUoh!eXsCXmX@)X
zzGci_rioaKFuUBCdRNsZ9#=S#l(R{gpGv-^>d+l#d=m01-B+le%D%$PQP?*c{w7jF
zd7xB(H7C|O&5E@kH3Qbd7}pYtQ^YDuID9+d@Q;1Vv#)toSW*Q6xg|A75E`g#d?g@#
zMqFiIwHVF={wF3EgEL#49>ZZ@5g{qe9c?ovUaHBB6=_Lb@PtrQ$T%=SvWK{&C3Qjk
zRggKTwHTAaoW+V6!--NPB6S+b4x?ZiL0VE@5k{Qtl@#2vqdToQY4*a>Wg<|udSb1_
zns`%UHf&+blAQHOmsL4<e9Zdg1^KyIR5s_x#D0`cq_`GXCZY#;4AsC}v407&C&7M#
z-02A4h|7R6tY{ttAX3X$oqR2BUBbM4JU$$Ge{KgRuboYG>40BA{LpF{rw<S+rZMH?
z)7Q$!=XN^&Kx1O+L==Q&f|0Y_;g2&Lh=9LzHp&@#R$%0|`(g?c!Q@kB3g_LT#T4F-
zDg20k|Fb{oTce#pfl6N9HP{lUNai-0Z90Zm>>uk!yJcrLngQ9)$ZoiQOthQhUB{RP
z1nE47X@I8Ce>H3`dS4YmYuw@X6&wIjY-L3YrD5Q0#&!rwQ0Yse7f$C#qJawloeViO
zp2;GK9tmmH9h9sZ&749CWCAwICLKM4jQ7V4a{~eiHopBNNl(R(UYPlDAN#+Eu8K<@
zQOB2ndbc}}2lNsUt-1q-$^m(}Zl58$yj|ZxS05T8Dc_JiJlq2Nr~a7A5E=Sp-h{_1
z1KtG8Y0lcx+*SyD8I_pKtJ=h5j{(`gB>Y60xTb9aNdFSj$tP}EfIpM{CyuhL$g<Ic
zQ27(Go%{)TuS*AmUY^o&fK4<&yn>hw{=gslg@5$trx;ge>*~?=*sKgjyJNF102kr;
z=Gd&OM|)$lt_H&k!xlEtqF12OE;Zu{TE4X02Y1p6X`$M(t}d*+B$`4Dmh=@gu=uB@
z)e-h-y$`&9O&7ytB&6yjB>+Vql%S;gzzzPVTBQdw)TM@+YKL@;N)G`Ib@?&{p_+l<
zr{I5wR*rw8R015D9)q(kG<Ykt*Dh(m%k;2RdXrkC(N1xZXz5pw9{ZSI{*rfnW#};t
z0NV5mteU!#;Hd01yz&BB+`v9czWT#`tX2ADwMHHX=@)e7lVU2RHnfo8D~>i^QjfXd
zNZ+LnxphGdH#gRBFy^%_r&ao8yVf2nuza%5DV^h_(&2k4Z>cJQ#*a$h3=AcVw_85B
zBJjV>*J-Ugb(vhn_+O}+B?0r&Bw+3~A2TD}BWZPe-zL&MZ7zWbsrUQL5BjPfz90^s
zV2xS>rlWDNcQ%AOl6|WK7(IZHV!(W`Ia(o@eXD2?9iW%Wz7^abP!7Xoica)cG5c1d
zJXBR2yzMl}yvLAig)_y9*|$1@a=_qTP<W%h)%M6it)x8JOto`L>VcB|4qCOF=C9%4
z-tY=;miFjX91L<BMRH+Mc<WVakDjerE+%_<+Mg~&&UPg@<yU!2ah{LMgQYIodrKVb
ze&Z4c-$z(`dvWjp9}BVyo=7J>OWtJAe0(JZ&ENHVzvpBB&krgL{c0il&L)~Z#E0Ch
zdqf|Vvw1x5HAfMIgr=2$rl=YB_-5DokP#%i3JPu@BWX)`m9yDNWsdbCX-i42;W=j$
zkkQu9t)0y+XPL9s=S1;#`u8q%HXl5y+|37%sxJ5kGirYBYWm;vF6RR_(z%%Wy7(tY
zzsRJ&*xA0n#`nI9{|%v`Pn1fvKX*C(ucd(9;Q&!KFD1qyVa`HbHnp@ukyKW)?in;k
z+TbL==#~O@Ntjy@#9&S0ITXs3B$)y(l4|pDBY_fD_*0Th&+nD-w!xgPK`bIhGD)Ur
z=?V@B=XPREu#YQJDoLhl^;HBnofzKqU|#qbVfJEvjIfy>BW&Ja<bu`O$B6&rCFY^W
zh@&GP^D!D35y>LzlJ(71<jb<Y7)4OcF2KY~CiN0;4t>;=HQ!>SWkqyz;K<Ch0|eR~
zaus`vk(R)4lo62}*;!TbAyDrx9)C$wV*(~#tF%8xc2-&Mi4mC?X^B@X?T?Y2710j_
z>f_gG@@95JlQqXE2ke}a=v(&|cK7b%+cFc9RBxEaS=0~)NMhKi3-5I^4UioFRt8tb
zaqCHyRs2^b0~V}Tj2~ptzo_UU=)kWjxw7C8@~pzG=>`B_y<?o)wZPW6D}ie-`!R(z
z*sWZMauMTdGvJ<cSBB-vf<u><yDY;aS9qe33gctNWX50q;6L`ZUkQAh;z8Z#HFPLA
zBrv*Lk>VI2BCf1`)gr|=Ym6qUU~3^r)#fV#I%bgaso{|_Zip*xF-yBSG<{xQ5xh`9
zY16G5m@-MN=7NP$5KdKZ-V=RZ7X+8KC0M%+CPYz_+E?b$6~XNhooMubwjDDwm7o-g
zisXufgCdD?RVx`i+v{fzAn*_`@zh~3Kr2bB<7@8W9comtMM1BXq(?U(=`@b^6@HK;
zGm50%a9&JdI7z=~(oa)2x-DqwzizB_Wq;wM$-iHZQUo?Gx32V3A^!AyYm~d|tM>20
z9{W1N2Jl`a4~yYI@~~vMe5z+jezN#KLR}e_9-AooUtZp_$2ts4g&ohvXF<6;e7%^`
zfnH%5Q9PrgctN?BS<^CjnjVN)U)L1(WQ2FQ)YwWhyhp}+&uK)sr0M%xe#4Ky={J91
z$$V+1x)1I1DPtShxh89#ljes~(h$<w8aN>Vj^)hJ98M!g#2yk=&5F6C0epqFGzVR9
z)D?0`Bj%QE*S!^UNdp-)R(J_;>tH_XbIc_T4i{5&9fni3wSrvI0K=E!=6BmezW|Wo
zB0E%g#bP7>4?fNM*cC%%nT57H?v6EN4i-B@`^qphG^3#y-mIDLPE13?Z0z=;S0#;@
zh6Ze3eV((u0JWD<sL<`T0r)Iu!vvaGdA05Bz(?KZJluu5>z-&KFB{jxgCF^Q{;%;a
zWHW!y&qFftZ<I(N7k{_?@8SjAiWiW;|LMI(+Tkua<d=@}yXz=7g+JlM(Zs$KPFzYZ
z=tsnTMFUjsW<NEdZo$*-A$;90eA9=#CxBS$QTOr$8;p38@ShW%n@}`IqJU_gA9G#h
z3C6};(?jrx6-;el*kt&R!FywQ0^||M$;n`S2g6<m>p4$=;tL=QXJYj#PcSxWnjV6m
z<8gG-G(7~De3EI)Y6;Ik<%>1r2eqqpOZmmNHtb-0;^O(g_$EH(@A)~tiBEbmb+GMN
z0Drms?}8<L9Y;Z5g6Y2<EU7)iXSCnhV7toOi^42nk?%u2>95Gd@)XTxfT_-(_+LN&
z%`Z*|Io`jtflJ-^(b<hoK~BFvrwVckZmT;@49eOvkvBX6pbm0ICIBR72>ioqAEmrD
z9?J-ua*%@_Vx5!<HQH+o%0bRy-?<<tKAOuTsZKk9z_nltK$*YY(-zd|d8RsxB=D=k
z$N_zf;e?H37382BKu`z(W%W^G)!lI*+74K+9vUm(o`OW%f$*b;M9V=a?w%phIwXYK
z^UOEM8Y@sb?=a%AlDxCPNzK6c*jAN!sZyz-=c)h402KF8cwARQ=TRA}3b!&*B&QW+
zRB04GnK`Tqw-}jH=ON`eD~U(87v;h&+o!6+Ed=WQ<(Z28(O#7cx6Ff9h1-e3HKUkZ
zxMllPRk)p)&?1%Elvb@OwSal0mmeV$u5K#6(u_RL{FQkQI%}F)GcQ~s=b7t~KfT#3
z>%3dk8qd4BMLez*X!YYOEQb0IzyJSz<`0Ae0>m)D(w=42E$Ec(b}fvVM>L(OHMFm+
z)>;HL;sL(!u<{XVvLdGtP#d`*zUL@N2x7vx+O1fT2F?XhbL62x9Jz<9B4#TDY2S(<
zYLlq7VnxiwQTrBxbU=#WYD1TXb;N@WKnOwVAQwEYQ07#@we=f^AeEO37Ni?455qTV
zs`9JF0dYy>p26lR5g~>QwUR;@p*wnc8~Pm`)ICWW248c3I%4zzuF&bYMt^j3bUGj|
zc{*%~iGw5x*zL8HEUQae%9@f}!_3=Ptjgy51H>L35ZEPP;nh`1lKBRe)<s-*^XFW0
z(gC3{*1)TuwT<thf-7d6U-*Bzb1z-%U;AGb8&1-yV`88?+tAc*I1TYh1rCbzw2hny
zK3GS#e06<zTN`Wgj28DJ<JRuN{qP5}bw6&%8?ogl;>5)pna>q+<KD=;TeNs1^Ka25
zHQzt;(_j6Q|I$<&+vxD_9B_{g@2=wQ(~-<(sQC&GzK-^DxIH@MJ4f52Q@(RLWR%7r
zMMTex(il|fkTDvADjhP)V^Gz6$7g(3>5wOw@lBgoEVmlQrYNh1)L`_wacN;z_reJ8
zp3T=I-tBPjHgk-O@iMXFG8Pww2b3&_c&7=Tg(L+46>Pu!JTxlQpx@z?F72-;0xvby
zb2G+|7xXe?{J($7KmNY|BZ^+y)Z#@iYjHt4u5@L=e_unvNI@yOUnC^((aU6Bq~=5G
z4e>JoRfeDhY%ZvCxgm(bq^+O?1TLt=2M7o-%Cv1Il6b%bL5Ym~FAu$4^+*LIVDl9L
z3DGhdz@(!MVd!C%>KK!57T@R^h*~6IvlW5MHOT7`)3%#mtpS@!S|1!LbC{dg{u)t{
ze#ya3g@~7>IZTtP&vG)nQJvH;kEuoq9jM#Ny<8Nf>#>ME<dd#BM7*M_3{QIV#r$ux
zXz!qu8<L5CqeMcYn8Jg}D0C}efkf0^`ccU0Sfw@P1y6a@UdIvmTB<yxA~f*~;D&V@
zs8lhyp&^>l*lTHDO?c2YaVy)Axa`qZ$>iy@KLqMLtW*}t@sZ|TS9w@;{9KX;mKpDH
zI(m7c<3+N>mn%Gbj&Doh&0D_ptEuq*(ntQgU;0(i4WOFSGgPKW6UE;|i7cGQfsxkP
zCqy7%L<GRxD{jCJ24#|cLO|VhPUdd4A}4!QRLVXfD4<YELh=U#rh6n$l~1_GP&5%l
zQ*B$&%03|;0%p;;BGG&Gp|ej|xb0EIw*+4Nn93(C<FsKh8+@JhclssYtw3EP#k6j{
zt`9z2;T3)2nvU8cYdQT~!vR9K$R`nbWCbJJn99oxWpVUS&Xi|cmX~pL=zp72Xf{pd
zwZ7e=@`{e%+=9wW;Jfr5qmm>F(Fp@)IA~Ae|1IFV1$_73G0x=!{^vKp=N(h2n#ATf
zpK<8en!NNnKSR*HQS|!owy<Q`EQg`rkdv&ojoB<80hN<d6kriO`<=}){CdufLo|sh
zPmQ3c*&Kln1TPN-*QXvmP-T{Z=2MgjDos6{M+MJ60Bo<u77kAHYpPUD@iO+Sz0J;!
z3)H~#&COtCrnhEJq`|q=N*HQ90K3%JQsZxDF#_09T^B@7^);I96sYO#7kbpTzmnkj
z?jZ~WMJ-jnfK5`2(Z)Eis1*kfq9}kh(hd-)gA#eXa!>-CUW&p1xVR)Jd17!1qP}A~
z{?pN<XK&7J1x53g9}0@T?HB*eFPj_zf~2;#GEI#DIjuI#upnnMH39?)7O6E)jsQ6_
zXo3pFz$zAytGrUq0)ZNaQ3M4K>^rIia~8<T$H=chS+#;vw)f=DW~b0SB&~r9%qvc+
zpa?&1as-Gfu9vb8$Q6x;ApRG*k%fVB6tvgmel%7tT2m}<N;an<AEXzNM3CoG@0N0M
z2}JHL5P7`Z)`DO=4>G)@X8gUM{<lBruSI@Pq5fVF@#YoqUn-0Y5Uo+R5DcLJT^Oqp
z7@vi(A+b!<b0slTgibzeCYZ+H6IX%^M9QHR@D9NVwh%kVIcy;-9FaB?#T~8g*ujvR
zq$%`61DUQ73&%67DGWgdA})w>2*GnOuA7d$YSoVso#&G95R3}CTNzVaEGg<RB-bY)
zKf%FCRbQ{knz2iQCl1ts($ypY{#ufOh)X66nV<oa<fLs^D;z}@+WM40%v5co93W-C
z$olc0@_+G7eA2_;M&eOczOFlx+`zYgVeqBAk{o^EZ%cs!${|&t01H@o=7{&kaqf-D
zeByG+lTP`-`Bpf6!0@a3(gbW?3d*V6B9|x1Edr{)yxd|>^rex-V%G1@tk1heZFIJn
z^_wy4-@f{hpL%WN7xiYGH|x@cRoj?sIEuACt%N5e8IIblV_=I8fkBC`H?w)ztOHfu
znm6i*uvy}IunQPtpc^~L2-gKq8#n8q%TTO=eRbBXA7QVX6|-62Z81<<3@avfw$4m#
z*4G=G#JLB2tXZ-$>!3Z^E3xVh6~I<9n{|FKaEucj<W@4@oiOYCR7uV@>tbn(ZB}b*
zfbi=8hO4a{qV_7gwkCV1vZ%`p<HWNgFtBJj&ny?mj89``eIQ}DnDv*jS)Wmrq@8h9
zG2u0|CW$DD{g;2k2Y$n=3!73XmUv?U{aPQW1vZ#m@3jLJWcyCMun^RkhJs#&2|<=?
zYzrN?M<NuqSbDYB3P%WrI>in<Xl6MyI*hc$5Q3pj;h6&3g%yE$Ky^i%Z9*{ADLV&j
z05T4JYFCUX2|wmQ#v_~~8jY=H5i5o|#i7wKgmVO=^=4&*7eX+pQ!KgK03=7r)yW1W
zCP~79Y!kJl17Ty3TmwELrIjR^Zw`Vv?HvL##rl?#WWGBXyUZFH3sncCYgw*IlGW{5
z9Z68RgCy9lTFHEWfa(L0BiB?$$9m0tgO1%AoK%#=hu$yBcL=2_SP)7ALeo3Xe2aG7
z`XpImw2dKC-Tb2Z9?@)bO+F}%q|`wC%46^&P&jg)UGG7X%$-Z3Lrv#d1Hc2@S07!P
zc3slE2Xw2galtCdNkc|^pgRA2=}W(#W6nhK(RizMwm@-ow`yXE^ngCOx<@%4gyW8z
zNqadN`6KIsDu;M<c*|pf2JzlFXs}mqk}xbqvBKe)K!fPusc5!YU#f;vLZAwJWjn$?
zrR9#W8Qn<mA=3dNQ0*_<Y5$hB8%)$yeQk=hTbi}w_+;${yf;WJx6}aX2g`OGUxM7U
z$#=UXu3!7CaDKazxQ{J#iaTVc-NdD(#<&<#ZOcy>uciBGerwH*cR$U$MXi6aguFKs
z^8Rzb<1>Etdji_8)!`QD3NSpF9AUOF!NnrAz;{pw*cL+s4i<!413Y?*OU;lP%=Dvi
zh2RPl*kZI|Me2#NVquf)V2~R@x&TG6kV$si-W+Xu04^B3k6<NH4<HUrh3tYs`v}*x
zJxAJq7c3;+gX5$tNX==h7ZQ1Q>n!(U&$88G>1fl+hjZ3B+gX*l!$#zM@N%|t%0vH0
ziG<d7gX@2hK3;~SJ`IFc_M~2FOXtR!^OkSz0OQQh`tLsc*RP`*r=?L(+gYKMy^Q3$
zLrLtoA%!eFDf`OeOcyLM+z^6=I?M%+$B_wG)L}*Nfd9#%2cRz0VJ?WqsPm(;sKZ<k
zbo8uVA-}f~a9s6csKZ<kEm;~yAU?yO!TMKIhq)mBS~3pJS<ti9iV|lQNsRTwuOTSr
z&@>$xTHhtn0YH*0N^Ys-)0&goPGgCvoawf;C0##Zdy?xXUDP9uLX;~?N|h$Qb7B8`
zPKEjR{5vEQ|3-<#voMfYTiTN@Ljpck?MX|$?C$DirfMc^QfoAp;NfP1hv)yFSHIyk
zQ7_Y*(KEdJBWLolFBloz$_i+L*UbgyLn_REx-WRk6^WM-5P7mI^;Gga=e&pC%!b#v
zhhR@Jj;6+M)`KF!Jj9eSe$2o?^lW%ROr(<tF^F)~@S-;km>(KR_#5q^E5m!hKx2X6
z>@bU6Lm|6#@L;4~R<7h`yVrQ_xM2pox<G=*cga1zhgXE7?~%H$Bp=&Ehxx=TLN%lI
zH(^{xtY!Y{oH13)eH+M@o|*PIWWY=>v<Wv)n~+-Sk`IlRIw4gk`N4W~MvNgHcJ|m$
zn2TOIaK`d9G44!HEZ<rqhA=<zC;su9U;QDaRHlqTo`x}gAk>03=&pg@o1(-!?lJsJ
z1P7SzB!n@>w^61$x%@6T#&jo|)H&o1dlbGHnSdZyt0s2(P*M`Ylod}WDcKymO+(G5
zfI|P(#}!h@x+3a%k^zbpC4})qg9}%KqMfj=pQpq_l}JlvV3M<vNi3<F)Yd#=MhQX~
zA})7UB21DjmHBC6-iYEJv5S@dk|dL4E=jAU1`+nq^tc|5dc3+lpyHLl5th-~oqf$o
z?M%(7TJphlYAks40KrrK&Q<f^q*!0Tb4S>_aNpo#KREIo`UM^AIuJ~`e7}?f`BKbz
z&@boUpJo<xOmCM$j_Y$??DU9V;bw5a4QV0R_r{8wUu;OGXZ#9jzOBadpw5TUtR>S!
zezoT-E0521!`auhr1%SZ=daM{$I2jz)<?kr)spEkzdB-MfEsrcXSEm|=PA`j+q^1O
zQIxrD2vCw_Mc5^kgNg}W4B2bnF{w7X<f%i7SKdY-Y}((B0#~ew3E9*HaFw=B44#gj
z9`ma`NFt@ieOe(m(_AGfs`=56DAen#1B&q8(W`reUyOT11QgN`?V9->0ST;E9>s1e
z#rt{Af5|ZCIK5=pN-r6<+z&ecl0nE{GJbqyj??*<3{w4<jQ@D%rNmeLmyG{d#y9s~
zG7NW~`;v_eYsUOrR6Xk|B68=cir7{$G2Azkvuuu+l^wUJ72>{^#(xekhJ%|9$k#rX
zEx8?aN)8Lo)1^_Fyl7bP7U!GW8aceUD*Hm9K0deO_%cp7HaP?MlzvXPx$3Iv1|8qz
z^mDrIs$-fHb!#~E_g;s&z+DAChCsVRK7O~q>M~bMx4r5r&Ta_Q`*S;;@bRk4;OB04
z)m6X0Ykt3Mr~Prh`Tlo@tFG#o>ZlI$59W5-zwR>CB6$H%>(%nj*FL+Ww7>B8v4vo%
zc$wJ5wJw`jYPW`$=fqz3vDglPr1*!?gH>+i*zjLEpv6oT!DS?~&W@J+S{aJLtrwoZ
ze)uMg7+iri8zHldW#nZ$n~6)qe`|KOa<R+$K6HckWZqpFmMaVP=N{?O%Sh&QR~Qrh
z-FN-1cYVoZOf<&+o!k*1?oeG3_YN*u>pCQFgcFC)vwtUt@fj3#&a;0fUj%@>5%%xo
ziaayQ{++x<VEy2=h<7u<{+*mf02ar{zmw{lApcIzB9L=q<li|a8YlnGcW0dZJKvsh
z^6z|q0^$95<liZe_2AJu_ia7!#1?{-$B3U~;Wo?Vk&rueW!vbWY}?^*Wpj#ls>Dvd
z2YA18{8y2J<M&)n3*JUYNPS9l1f*q;>s}}%+?yv`h)@9R5z&lCN0>o`WC8mbSyF7`
zsPw>($5B;ubOJ<IA{i8X4d3Z*z#Cq#UDOtvVK^(3zVM+|8^T|z*sP9@(3a`Zk)bxz
zn(2YR0-uWxen)<Rt(^6m>4Cr69}hMXV9)$`BbgrftHbfgR5CN|8&XWxYbK3!Wtk+2
zj%*fFI?tq%t`6w-lq-)I5+9m1lTNw<qM1z^SQ7(+dPlGB(TWa~NFHr`ksp0l#1$_l
zB9#1MVzWeA{$k?ajJr3#aq==C`l(~C^Dia?tBO4z+xf-BQF1bxn-ec4L^4x9H`9xW
z<5e#vx6kqQkHlU?Z07Mf0BEvol0qS>>*PxJVkh{+cN#kZqFM!uh@C3mAHht0!rZQW
ze`<+{eGrS_`}o0#rmFpAJNCx~tCo{kE0)vHDlf-(I$ghB?6khy*ogs46)YaEcGd5f
za3`IxY{&24;e2!Kj8F>^Px`?SsD7|)$NmUT^Yv4Ju?JiC5lLca-$d+{oP~S$v4w}T
z1Y6id7+W^6)DGFP2(_@-j*$BjjEx>_iM{ef_2=IC;+2P!Z+q^Y`KyQ5>8olEdx@w@
zD6_;~oMSHoYc+=BQ2Tqhpf4f@eeUg2WFNS^@m+O&WxuE3t}yoc>~DMa%RfFKb&AdN
zdXypcm^C?1SIbx31$QgXO^0IMftaa<+|t)^!BJjY$<i6;+YU`Ra5iMBVs8eaq@!FA
zGmqenQcyU=;S<@xtRCfuMmvxa=z(jTFojA`5_G;IW<42!o;0IYf?|zK5N;5M`wCMo
zJh*yAsqFi)F_4Pf;FM%{QkI<W5YfFU4vhLY9-CP2>2=L%t1`s{Le9DD-glMY{iu93
zt3dvVo{xQq2?w%N^M85Oa<+|3Y*Hej<UAkde-~KrQ!?k)9^yz?R#U!n`fM3@=yME<
z1$g_z>$kq~xi@`LSYXXwF5?cZ>#lm3`l(aqTFnCMN9fg}A>Kw`rd(=l#Z|s1-xXt9
zeWlmF>^FFeE+LEhh|l_qAM=?p?oi*C)|MJ50ONor$m9>;k`inOXY`G=*uc`VR*Drt
zThyALY)b(vV;Y8&h&ITGWb5;W*JoQgYVVmeaC<gt@3So}-ME;JVW0_H1J=2+rN}-=
z$^a;g-jAtl>8KqSY)3iEF+*Ol){a~9?CFeHa>I7`(J>4fm3G>E6yG(i6F*(~f85~!
zg}-3*;F;i#=KC}09jIOI!|27#>X)7|31>mR%*Hy5a@z0GF(2oB1^I^6Y5VYwgrCo+
zaT7j+Fi%B5uS8QRzFqV2O!0A#NeWHBRX{A6!g_}s0kh{f7c-H9#6abAK*3Dp{pE2g
zY>$d_ixWn=5pA-0f4<6je|fcIe;G8A_D7p-Rm`0jz}(I;k;@Yiyo_J==k`9fK-*P9
z_GS~87C?Xq*sGBI=EowPE&ee`6QiLp@S^B*G#hoq`o#Jch=HF-v;1gtdy55{uwL9j
z&PMkYLss@34I|G7@N=x!jrZ`{6A-4i`r+;a(n7x!J|K_#N}i|ur+)fl{>HCGu&xc&
z?qFDZHP|-Rv^UDLqE_C%f~lp>DT44Yknx}9Svl;F3YY`shuNiAky^-C+@T&IJRayp
z!>}wGun?q!Q3N;ScZ5wu1s05$wICe~7X*%%^&oPcYz#3LD^f+dAb21dS(6;Yi##Dn
z2g3!?qreme5{g^&=r)HI2g4;v$RWWxidSgntxq!1R&nhWr;Q2Mh?)2}xHuTTCORHC
zMU1CO&|I@-zB{`;JSABZN7qzAT9dgHUlRj=*o!T{$m%gJIq5dg(yB2w*P$x2pvY2O
zgyax7XzdYF3InEE?f<3BR=QWNt$1a{oQ0|?gQ810v+Oy@Kc4+RN~Q*6SW`l$kejv`
z<UXf$WkeJ#+4%G?Sowt+KdJ&cIKaII&PIa|a=9a5kMD$)p;3J)tc+TJs*3jj)Icl0
zVn<-!Em|Cb`FtyvWEy_-6Tb0xeQr1c+U?Tz;~mTR%{}`i>gF(-8t)B5=NfG%>ct?I
zf;FzX$ONHa(+?0`tOdIcXgW+|El5Y8tXQUMZUm5Cttj{eTM^A@L<q3$qT_s=N>Jbl
ze&{W@wQ1zgbHN!tl^`8~a%fD-#5q!M)l6up=X4@%qVsX!1{lY-t-*!?yxV2T1L_1)
zS^(iCra$CkKbC=2gO-8gO-Qb`ur;%~+hiJl$ogdyIbgAG#gr~w9+xE$G!a$edFga7
zCG`8)M0!CZZkhg&USRahrElktA-2bTF|#i||HUt;q8=&Dz9jMXyj!%G+1oL*ulgS!
z{JwuN%&aCqJl?ic2;xZR%tA(At%K*uG~RZ>;LKWcI5lIx5X{AK@Sc)R1p(a(>`{%N
zWc@5*eAoc6NI`Ty^^)Z|p$}?N4WmJX+R#yHvt~I*5T9BV9agV7G|g-gL?;){k>z$^
z3>(3m&_@s53Wl449H5<l^(^CAY*YE5c5u>Uni2J`r))RPlJ*;@o)+7Q7doT)p!_k#
zI^}}JR-{~TZ|(wOlqz{Fb%uI}oV-S$w$7bU9K&#@Q?GHp8O_HGGR`m1d;;iA=P%nS
z?yS*#dU+xYMJhda^DOyjhOkRM+JRfCvFK(?2Cwvd^hbQ@Kls#-3;U=!0S`lU3-8_R
z=}4HW3X?*%m+|RHMuaR4+)Q{P7PNyQa*KTQnr4ynmVk5Z_5@~Cf{~9#4=3nl1Z4(p
z$=(E~$VWqP-LZoix^)fSgJ#9ZN8`{icL;1DxLx(;Ekr&VmuCwQzpe<H>}JK3kLIs&
z;(_jo=M$-MG)R4k2>C&6<17G~E;3XezD+x-CpbKjXdt7zgrPSdhCXgaH?>s`)(vTv
zmW=L8gdH;#I*|=nLe1L=HNW;fKmIfC9}H_6X0@Rv^0ee50f1|dQfn1U)uHAdQw2~G
z1P*(%VWReYs9Azn(1U6IHDb~nY9eB_z_3GQvRN^Qn!6Ghf?=c$UMuWa2@EmpZ!FY2
z?eJ?P%H27?NStF1HBT5=Bn4X_=4>!V2#P7SP4o@HDr?|;G-{P3DZzw=*n2RMNZuKl
z>SKMD^TSuSwraZS#BXJMxe-QqR>-Prde52|Ui&ADe7#?-tjoCyk87Y~KQ1?3OI^54
zZ;;y*^M0A}^{12>-!)JX$2DB$Js|Qxdm&#`dZcbS#GSD`4TYcXg*JtKDSzafe(VFU
z0!thIJv+&C3k4c*t3xvo!Ge^TC?)%<g}Q(n2#GQ>c&tFYT*EFhmLg3Ga&oU&F+vLf
z@i0(afhn66>rv;SF30UrF){1aIMk)vkS%O@SWko&_|-tV2-@TT4t~Y^#yLi4fdo<q
z{wf8VAf#%}F@+YqpeN-t+k+KKvw5*(1$8+h?va!uT9i4NuKL{-)CI;$+pfX-Wa-Yd
zW(9RwueF&PV~Tp0NO;n0N8}I<V1yKDACi;rPaGZRS^uJ0dRukqV}fF2XU@k7bs38J
zks)J3A5ZX7>uQFKiM<M+(*WBOzN29NuQ`=wlai?iXCRJhGlVj@HD%})Jgs;^QNI&k
zCpP&8r*fW547yxj+lw%vP?tDrzLS3A5ZQURXo;id-=a&9G55d!kG$V^PK~+2s2So|
z7)QZz5F_S}RUJo-23)yhhbRJ8hAU3=;6xE{yEUHCICPGqMnjYwM~y@%%CBncgBVHh
zzNi-NJ7a8(L+3c^h<+n2EAGfhlB35PvYl$u2Ix8l%+iz*yF>HnKv6S~h!YEN6z}s=
zvAd~?9fF+{g9F}ztLxww20N(d(Uo^Xh#AocE#k~*q-Q*5EdPYT&X@g(_j$t~jyj5h
zKD>@%5D>n#$|j8YHl$ms5O4_%gye>gs{t`nD>wk>6WpH_GwfhiNAW`&KoAvL025l=
zAC;C179M__LW7j6>Ggw$AGBrdInq@sE245E!On?r{N`6vX}RDY{esAi0rv;a-d3=J
z^8r;sf;g0B(4?R>99x9IKR$S6O`V?#Nl*%CZN?=h6``u7enX!8`zvFvjjO+bXkp3y
z++glUvOCU@BjCUE7DGEC_v7C#;6LxM<0~)t{FiUDo#~p1U~h>eZYPp>(=Y$RYd#^2
zvbxG`MdpM83(|n_`=`43yA644G(c$UCsiad)}&umWL6!4b;DdcRU{E3I5B6ta_4LC
z-(d^Rcd3dT!BWr&(kOc*al-eKSi}SbOkmFeLetH^J)*QjfMVD6*n6sqj9o}d?u?w>
zgOk~&Hs#ndS)g~ZdC;L2xJEcMafgatnyAZ>3O_fC%H|xI*pJfVX}Ja7@HD_1>`|T2
z)V;`J>0H0N0dn(h(PB(*$C$o*|Gxk9-BZRivTdmDv^FwTKc5MB1K@bAU^b?s*!;?v
z7I?$9k9*I?G~f*bxc8iv8^OKTX}N$mbU<~C8Pfu97!a(CY2*$;GuImOiW;XR4>2{@
zM$OGOyT!z*wOku?@Rba2$Qi#S#J#}~_vwN+EOvRZ%Mai7W=S8svKeKb{oQ}{dw);B
zY&7H0W}YLVjH#(@!nDdfAJ&9?AWw{89j#!>Sw&9($mTiTtIB25E_()689LZKwj!RM
zk)~&0m9nk~;*iph2K>Ht;e{aWauEd0Jz`hnbis1h=CX6<8Kps@QYg4?I?g8}Qkq7!
ziq*I<OkGgxC=s3s46)Wn1XxKHsQrUSU}yDE(hua!tvE`>-Rg4ww^>{^{X73VBoqHe
zsT7ua9@zgPjh-^|S3CF?0!Brny>&Rmt;w1BtJ99d-uV0=nUB0e^;qQ0{8h|F0o##w
zcBD0hZCr^1u8^T%trv-f_11C~NisdXm}|hX#gxQc3-v0KWO{tBFl?87C3rN}98lbx
zXL^3QCfSA{xsjQc=@-p^h%ggiXy%;U54ri6DKK42bxD7Suv7aG>F@&+yrYL`WT-)}
zD{;XbF%-#dkP?~%$f(8~Z3JW#lz^C7Mj&|Vs^n{$mg4}uJkSoje#ls+9h7;0wPw)O
zZSLKd?P$Z~0KMGC$*B}5$i)F6P#;i&wQ~L(pqJ^FIK`skFoZz8fBpM8&@WfvIpm^h
zV}(GyfA4}-U9mN0OUCt85H3uxa7Kpv_!a{!eDk%>%Df5JANM)i&xKLJ=B5i{Q|APh
zepMZP;hR<Yd2DPM(@RAK7eS}Kb#6W_iWIrot1~lF_8M6|%Mr-?ZC|ndlOH(M*f0v8
zsUrWeeg%1$47Y#W2yZ;H<ExY5KRvM39zojzbl98i0VZy9+46X`&GvhsRNFXRo+B6Z
zX8ZjL_Mr*ra~l1C9i+C6-U7qY#yMs{4#aeZ&>qYI3T6$#D)NtH!cYrD0#$$>=WMgY
zEX!2fhA=~ACeJ1{F&HKNqs(Tb9?MPPW8G)*zu~9EC;!g>#y3NsD7Ex9WK{?@+KL#D
z?6#F<km*>-l*o2i24S;Fn_>rC!#!QWf29#?Qdrla)Nx)63#B;N(%2@HsS^MGSN`hP
z{K`}^^n~VRC<j&+x0qpG*VwX3JgmVbDT3nh)`D3j2BCNdHqB<moDAI`Iwh&xtvdo_
z=rpKqgJ+*rqM>+jj@xx_#jFz1EI`N&8BGL8Q>~a)qRr-p4^E!1=FpW&1cp;UJQ&Pv
zod9u;l}f~5cMdNRrV^XNo+PtMJRH~z#sC0w!CS>Gy$!Y_`|r?3LP<cadYs<*?wlOI
zgjw)JL{-0BdK-Mr;|8*_ro;?ca#A6yLY)R1iL#@1lMkkbA6cksY@X`==iaj<Y$Z|M
z6NAyXmhRvkXts3$Kd0+t|2w3S<KHMXuA3JQ&&!y@W#oO*&Eg}wZhmYIPkXE<)Z<bT
zonLRe@lJ(#x2UyXN~Z2%Mdngp$Wt!qRQO;1we{!xwW+`;@I@V`R%N5mbSjLsG*n)|
zSPyyj0!Cx9>;*)Tb)bhldjX@p57`TNS_31%gPB?^7JIadJbMA9%-ZiFZ>NQfHEC4S
zLY|~cL+y9pUr3hT_|<&6>f8k5)7;(Y>5KL+ItrHV#v7a#a-Z~Vhm;FLBC@<Sz$>S3
zk^HJRXGCB08T=i*)V-Lo{1XOuSAX;m{{Hs~wXPY!wxR|K4UTo^0B6=5>H-24hb0bC
zNaHAICSgI4-0~w+trx)qD-je#pwYHJq>2PeD?;|n8r{x_sXR+rSJX-`2N<jf4N0Yo
zbG;l}XvRj6cizttr8$e5R-lCzV-|-_6{BaO60<@G+6d-~5r<tUyW|Od>+R3Z6(f{?
z(6TR;7|fomOO7`dUTN&3*)LJ09@6k{gfL0VKCSW80(6P8$&Kc^o~B-oxysO9(g|JL
z#tF=HedTZc@WVGnrb}C+T^Z`uB?N&-q4T4Tn;-~uRQ?e|VYS9@2eZaDKlBMCep+xw
z^O)-45TuXmf>@BF*`PJiMXP!y1nJ|t;2!#12E3pPjT2s>HA9ff&;?JM74v&2kL?af
z?$?4;hDC6-S8fhq?v0`;RupCEl3?ZlMUj-H`z(f{42$HdgI*O$oM&_J#Nxr5)9od5
zcf2{$K~<B67i`h_Njc_{0X3-Z*UL<0B|D&Kdy4o;Wb_n9$p^FQ1dVR4hw6BlR1fXZ
z^2)rKP(396J>~*x%d#Qck-LGas>jh_01G^?D8LGDZcfvq9QaJyUzl>!@lThf`N>L@
zd4xGs?~);Snh-7ZV7)w%irPIM$&o$v6Z&gOMZJWnsCl=jH8D#nN+!=g@!;Cu_{kss
z@!v5;nh}F*fw><oNR@fC`$Ml#o>NgVk9N22HKyfMRE(M3f(x+8g5^|H%x^{csIB1S
zR8)*_J)!Q>teB!zv>N#IR#?KC|F3R!!#UdURt&1C3q7;ri)8!88rXUkP5vSNZ;n9}
zLpC_jT}|BG3~9v+o$)#g&;5jb*4~Y<kIY6UM3PwVfFNlN-YU2Eu>}@<XAGR-`#;@z
zmQQ@&ul|AOCqoPHrAm+NaR)F?<L5HZ;tsKOv@*1iaTb-^PMuW*0l@*772M+}E4P6F
zb(RYPM9TS%aR0!<p;;08%8KYNh^8N$8U>XHwK{YTEkIpp+~Z&rY@?bSS{y)YffW&2
zbnx1nU!6k>%=P5-Eud4k`yP>c6<PocebRsd*x2^hRG|eb;>hjb;Kz=WymMSLTMw!V
zvh?)eL`qd(GeNHx=cMN-&^a>tJL+fwfHeH?^FZe=fAI#(-xZS3OGnXV(Z(pK$Pz7=
zvjo|DxkL+3?^LXLw`hqL<_C0_6t3@m&L_P8^c3ptmS%v|yzG@=;acz73g#~?FNy<&
znis5d>NkgASY8;`ojBN75mTsPSgIAn^1>nNRxnYpK$t>p;H+3Nl6nx_cI+7<<L<;1
zYA|C+u8yX>J#<)JoZ|-kxLk3M!i`1?ap<tT^b$~25kX9$ZdXi}m&uuf9vdAohKP$L
zljY@_VA_>6F(9QqcuMNwnjcty;c0?ZtG(u;RqYVukJp}<!5P^3_88zAYl2cyLoYwi
zNxM&5I&JeQl_I1zI~eg#67pu3eyfXjOVw>I>Q{hk*FH2|R@XNe7P;YX|H2Vi5NuBa
zg3TV^#SwVg9f8%vEm|Cb+i?W`<F|bId%pUEU-hbcuYERP)Gb3+B>A=*GzXtYu%R7S
z%@=4HIlTjMumP>$G32x%>i4usZ0+guS>?1LYH>=v57ZUgG%M_NP8)*t9>{RoLk!#;
zOB){G07zuLgRNDw9p{+ShUja?_gHa<PS$osIs%R%!*5~Q5P}`1OgHB!j(|&=DF%)m
z8J#+1Im^I`RcvhN18><u&v0tm!Irn}Jcz~}e7qLG_n@UkH>q3Aeq$+HlCRCCJ_X&$
znnu&a*cHaFS!wsQvb0Lv(~S4KI&F?@!y@C_26I>I=ShQ6Tl2UAE=46fq>CEK^e|sp
z3Wg7gdyMJm>1n<~&D(~(0>aZfdU~9%Y)Bo}-1nb*K;6pIcV+MyNTLj^K$~>*^gv(j
zahU`@Gx(gQC;Do4I<VcF&nc&K<lp|d&na4!9gbK%r|4I<=M)m|5Ax&r=agN~_MGx#
z>)*w5%3kPqB+$;Be9s)2czGy|PKJ>NXqHcNeq6_V=W?zjfTJIGBNfu9-x9il>|Uz>
z@`=jzUwOhxLKzLeo2q^bYSF5J76SGD@-)T%s23kWK+Fu+)%M=&Hha1K>G*t7^6}-#
zDf_cSITy@<4Wt=}{UK28FWaepEp{lDYx<eBKRGYQNHm>JuK^hi%ojBv<9rY4_p<@H
zs^4p;Z`?51j`P>P;JAM1SMI9cFI~mygk?MJFAdje|I<~s&eyNw1<L#PE*K71uAesb
zmHIo{v9YsnqQyCZq0!SUtlVB-;3hO>*~C)a7Dzzn?7ol1IvCvehtY#o<%-gMeEnt{
z;p&ZfO>=V*^lpVIxw7C3Z-4Ik9S>i4`26()Y3t*{tcP5`nKrp>pe3VsjB~q|dAnSP
zq_p>B*nBx8wjLx;`{DJAIGT^BeR!R}d+wbtUinWN*sXk3?Zp!nVxw3!4ZdCJPrK%M
z70I$tB^YfUv+oK$aF28QS1j(zuv|Iep-anMc-D4L^cMAxac);(2iAM5BavVBcZImS
zkNUb_`m6t00wHgyklizfqm3=T$;7;|lyy8>X34&?6rBt14}_CZ5VR{mi@}c$K{_)o
zc-WJ-kFWh<LrhyGNJ~=$k-<ae4J($}f3YH6nIgE_0IUo57zw)Gp=o<u@VM$Qs)B+T
zdexpI9T^u4=9oQv^yVCCja(4jv_J-M#lxNy_0^9N&)g;Vt0MprkUSl>0LwL!)UZVo
zfJ5;hPxzlv%;?>~B^O`QC9xC;b8#s_MPtml_7x?mh+Wc}a3OhIqej;pJ>MSOKC<z`
zz#=B;N#^?#s6X%x)E8;K52q=#2FRdkKJ(^=no3k)66B|1!%e4pU>lfa8``QG&R2X=
zfy1NdWqowWjjf04ly$tuSFx*nGB*xZRbDdto9lW@bIHv&mxSX`8KL2ReL*tV`x16&
zuwS2|)d=Dl%hLq;>o~DIMf37h*6T0(wEy&{1AV3E*;Cftit|^ggTd0>yyaOPATyyv
z<IqVf4ZlKhRNBBhMlk%`NK`{GD}%!hl?B!6Kmjy|&dPeX7Nj!t%VuSR31h{qtP4N^
zefE}b3Bjza52&NSL{a^(4N4Y*Sy>+tYO0+mL1ze7${H6%hZ{k$_D)g~1GXdX=#E3-
zp;!SX)6A8xIZFwuiV75iZujX?_1|kga{afg1?^vasH-)OQ2EF=k1#~AQA|ZU<*@W|
zWnw?mcka#&=(`2S@HF5U>{*>qNH2lD`{@7bwg2#2Kd7XQ^*mdBSIA0^yAAu<D(|b-
zcb#^~S+7SWnDyPwJEs^qq)A?&%XY0dSLr)+ct}~8D!EnOS>GL32)@mWwQR7f9OG0p
z-~DtVCna?gyu+T$iFn5C5mVaQ;)1AOl9osRijHJ<P7UBomy-je>PucZr}#O_(>Qqa
ze0PwF&_w7F$@tb93EJO&AFKM{nh{kGrpD^%liF4_;Dbl2<f%P+;J~rakxU-C>;QJm
zzPfg`i>$RL75ULsuVfHir}}bFpniP`b3xPTK01_RUb`DAirCK--}e-=JEE?j{V#8K
zGV93w$^}QTwpy|Gsd{mQ6T~$LV*nUeNUjf-C61?3^2si_2Y3>Z5Ile_lIiW5=}Esr
z1VRV`QbKr?dJk|i?N^vNM(81;tM$g95^;3F%lgre9UKsm1o2uM-^fHcm)yzlMXm{~
zdi&-|A}S;~=9rJ=X=A99boBJ7;}=Q&3a`)h(DSD-qp7@-R{(t4n&kXxt`btXA$dSK
zO0Lprv+emmNUkQy?S@w#zX-|2+F5zS>m_UJzvu|!0975Etrg__7tMRokT=gS8a6G)
zD^D(R=Otf%KE}f<Wi=tl`-{ep_RwppzIxI4Px+CrAAT3*-}ojz$y<XZ+82%gRE|0D
zqT!r|UbL~qeUk?#5oVRg?d7q-bjd^vLr6)SBatkM7&jHW-g{PauPJfNM=vt9Of=&e
zE&G$nvr7C!pi2D8cG@4znK|*#OnH^~pJ2{J9=l==Wg`8O#J6y3#_6<qa3qr_^4J~I
z%jx$c@y&e9$**6>7ceKjxt$KUzv?pcXou`{mH6-YvgQ4`o%TOobvg0h?XJ4&_jgo&
zd4F!F{c*ne{&z_HS5&PXRbt+s+i8EA{MgjT%QN-&HS6!2uYGox9E5xKu_c)EHLex-
z7_f<JT@v?LYKJz^$kSu7U7o&qfAnBg_*`=3C8HauUpk`2R24zbK%bGDz4~xsxxadr
zV{HB{pZM^HW>EE&Az+LxX-T7sD(|V5g=uLCNC00RsHRo%hoC%=E?7u<1}35KO}5>D
zp4`y;Wl5U(&-&2vh}w$C4Fb8yLxU%x6_g^L2?DRlz6D|-x*h|cJhUzd=54Hq+A*Bl
z7T6(ArwO96O*|$E_zsqlh+kbp9ApTINFv^EeoZdgKXU+ah9m}d$X@g$Q(X#nn>v-J
zdFaf|Nk`9j=Y-UWxDTZ2Q<o%3=Gy}=$4lHhbXw%AKF@^0fT}U-X9v`^+o%C6mQ4TE
zjieX2b&X<0@wFH`74|ZBzsT)Sj5{iD`&$k5#aodxqYKdSvikf&)u**Sf28hJIo#nI
zU#Yul)isRFX!-SgiS_N*Z++tzMQL`h`F5e|i_1K5i)eAJ2&*4q5z6g-+gKHx-?;^c
zU@DN$xkZ<BJp4C5{vZ6q-yBm?)GBz!%4~!XF9`$F<S5V$%$#}wXnCltM+0;$jnpNn
z9R{iP0=BG@(hC5Rv01V50>*}mR$jmubh7dS#-Nk67a)Y}^aA$dqd<2cZNaahB*tzx
zzozyA#^9J4x(C;I1do}ad$^5bfXvDZ(B23F-7W@Xs)>GY@&e5I5A{7RUck+J0UE!_
zu<-O=gKbX`ejeRb@zY4{)YMKW=1QqYa8?&L?~0y-p<@AN85*dVkm^hq522|)-_<Rm
zX*ZOXe#};W;ugIeJcLjBuD|m0*TF`hjA>4E=KP1?bw^Vm%rxd<8QWO!A4)1&XI3GP
z#R3e1b+qR(jgIt82+{=kidJ5M;2KP3ji4lweZ|81h-oLtJX9;vL+}*~>myEqeD#%}
z)U<rXj`WdCIszuu3erO;D>?%{eoT*<k{*H!qMW8Rd<<w~s=Ye2*6{7vR?|X)a|fz}
zR#IwOE~!NhNWzssOrO@gu}EE`@W)_%WOQ$_x~HEV&=hg}H4@%Av0YLEk=K?_b7W!{
zO7~gxapfdLU&sgmUBAf*Cyz}=VDH9acoQ=EDGSZ#-69&X4E$OQ@6Aw`ebpcO<NxYM
zO@-!|6+;w)<lVtQob$B}i~d;NJ;#2*d5>sct>18Hj+`g?f*6`3>E9eWhvqB^Sk&8}
zK%QK9<gvFp>2x<Rfjo!iqZ7z;XkO^{F{pFZOMFXZ$y510hzw0${b@Edyq*miA3>fI
z^o1M0KZ4wl&b}sS3zP{J*NSz$s?Ji+(m`THlm6WaS2ascnthr^HR+L@FBaBqy_)TF
z7?LP#d&a&LwFkL~!Y;=rJ%WLl%Q-#TyQcsqf=2in-`%tqu!QC>RcO9M>b(XmZP2ks
zAITXPe|5>_OG6p@w4ySRNApN}M4G$mEKffn@=A}?f7{=A_j{&!1J`S9WCHq>-9!i*
zl?{YRe@5!IMffErLEj)H`6$yk9R@%2DAPC{1{d6IPi$mD42;r0%x3jt=rFk8alO$}
z`3Y1F?XRZ8;DQ(sNMt-$1oX98Q6hDh+#xfryn)>bgBt2%OD^9fqvh!4h{nZ0sv)(4
z`dPajwlwWzAbZ{E4yWD80k3P`Kv#91NB8Z8H}EM5)$KuELiMLNRG)W?mQek6=z_lf
zSHJM1UziHjW8mEeO%+w-)`aRY_O>HkP!$a3Q2hX~7K{~we^k*G>pdK*@6qse<5hGW
zD2s%3FZj=)I+zNzgBU&8<e;g3Ocko{I~PQ$zY!G6>%lTW`)F^LDmpmKTge=%qbz|~
zUhD1Fz8n{*s-gqz#)0Lm%&)D#J4t3N9S9Q*b%!xi?GLI#bz@#ef&@(^HI~jA7W^0C
z?y-FtNWQM?BM5RX#U3I`?shft(`5Ls#{S7G^SVyKvS*N<ns@(dFe9KMcU%o<U5n&m
zG;>Li9LJoI1g~E6Ax-dP^iY6oJn-1)61iwzIQk`OA{a2?RCsP_sK^JDS3a0aKs=N2
z++@zF@Z8cok@uJ9C-#@YDRKTJ)~WFD6GS-8To%1N4#2*TP0-||5`B8bQKC=Z?(Z!n
zdY1PW5T7%_Br295VmZ(2vIPFTN`&(NPhayF{>g{Fy0o2|FNk=a$!Og|Em}eCGiZx7
z7fnIn%Ei3e8d#CK$X5h!iFT3i*I@E(1X-eYLG-$VlmmVW`Hfrp-U$4mX4hL<c38JP
z<8?X<ap(yA*$)C%6j^b-GPc4HjKCki`T%%<6e&*Vd2d#Xz#oU+9y@{E!(qYF^`TSX
z56R66&K6E`h+8=Y{y0mWsDOq8w8g0d5N9dwhhHHKKp^OU$17wGK$48K3@Fpq9M*!P
zgESdFLvx<__7IbRpH3#KjkRQ?HLLq`B=<8VIf$)Y^Z#e>ZD6fQlk%{|vDXG;C6*z0
z6Ip!3as-U7e9r0f8$p(2mc%9lBHwOI0DHg8?#!%*-M#Z=X1rR6l7I{*5HH3d4hVMn
zGckb(BobR}unD%6Sc&)%F*2J(AuLKT27{9Tv9Wf8^E_2=y;b#g-|jy5yZ6pFLoXWc
z>F-p(-F>>dex9m&D&8Q>>g4aven||0XeHwv!W_`jY=NWqu07r&<WrbOk}Kk<hIG@#
zyx6Y<nn2!6{PHWFMwXO*Nt48bq>P)`rMPo;?UQa&%}88&mPDNvpX;XE)^cl1edTZE
z>zin1XfqnwGb&q!lts2U)TW-@!+oT3v7CwSg|7nEGeiK>fI`hC4-#r9%v-X*FyxIB
zq)tunn&6dWuc`G~;Pss4wa8@M?6ssWv<)Ar==DetQoSB@a^blO`=cumFQ|9XX{%T0
zLTuX3#7hhNpV$=`_h;M@j*q@bsws5gzIBVD6Y0DSoAUEiuL-{vwPWo>o9h{sXL<o<
z9(Q0S6#l1@K&vlZfSKC?W?uOG|Ni5?)(MC})qw1}6))-*%C^m*bEK+f5z1F3B~k@D
z#@w)er`49W7aGI`X?jiYgpPGFX&`}PRYnq|<u$>*W@Aulcra#YUy+8_1Tnk`RurZW
z6mX<SPAk&xnjnfc6!Ji4B8RmlLF&XRXjK^}P}{YF^o&e!59%ZFIAG9AK}h-P-Yi=x
zwxyb_%f1oy?}iMhT0TGu12&3#+JDX0^{Dne|6W%{t?KNo1>R=-e6?d8O&Dtyyw;9w
zas7g=0C~kawz$LHTq6S&cHlFUcZkLX5JZ|y3KVLcLV97ci2L)2accx83$s|m38OY*
z6ax{j%=af|5?j;(5u7!fRXbm*t<;~V8b@pba5>*NmWT9Q<2b|iQd`^WF|mBB*cq<9
z^Z)+jUwf}BC9SeErDP?;UMLO1k+u++-a{2Up#Pal37lUf{J;xezAE9G2^L*L?bVT&
zBnk2XGeK=;B^J8sI1w6-ldnjnR0VNJX@7=NDw@Fs`G{3P^igY86_F4e_jG6~B@@Ji
z6|!gI;YXJi4jlw%=^A}eSMDW4-P9LfHOW9_Q==AC?y+ey#%*A8@lbow>LU8Icv05L
zCzbaqzV^+~X1w+Zc@*?I%O^F_mQ2Y=<fx|jaK!z&?P>yQ0ct6z8K}MF!$TnNpM7|$
zsmLTjwReu1(j<bb8S&U%%?KlTKrZ>UJ<j))ul(HRqO$vhjvxw{3TWr5pn1y=si1fK
z;{Wl%CtlwmMy7%^s=$*}D?pU|6)$7?s`y1!5S1N3L}24K+tM)Mf(}V=MH@<wF#>J9
zh9eSm$;#vc=&It9`HFn1>Y>5-Be2b}<7g}@3>S1f0`{X$uSa=jTLHr7tgcw6*P~OV
zt?O{a2p3bQ*MmSwm6{(qAV#>FTBZU5OE6TVlMILv(h9Xs1yc!B|AjRj&cpT8LKPOG
zv}-zIgrnC&6+SPmWV}65`*NyCKF@f6(8gNaQLr7izoxYE+s}Da^8`J!Kb6@h;fmrN
z0wdH4ekOGP_Ha_CIY`D^<OMbSl+N=kE1`<MIHUuPX)+kPVO6gN?ObI#XmpAli7<_&
zz2vJ))ZNJbDtIoq6CK-X<A%=XigFu6bL=lb3TUEeP!#0}3SOATf`V^zS<ujTo6CAc
zREYVgXi?Zgnk<TtH^mE<bXGMXf;qZ@)1RkW6l~%RI^S_EPyKCKjd{xtS&gsy?yvvL
zZ<sD~kXAqxB}|n$EMx&KTakJw){(G~1r!zfTA~aZ@#b6iTHNKJecLF$Ro8$K&I-F*
z5TDvR;S>T|N9`xgEw23@wUR;ne~$-P$&g?VA&iX2rwiihc9b&cO;1W4gcb4J#}<MQ
zbKvjew?x&$`MSBRcdl-hEOupdxwS+$BZ-ABK=tRuEo$xC(zSRqU5nrKpZ@3H{G!tZ
zxCigS3w)wA=ch5{g^9XaxTu1?5z2>^HX-bhA#XF&9=bp)>~Ys6i45LZHLJd&M=k;{
zaOf~I?Wk{Pa>yQ8(r**OUnZNa3e#8gxCKD(X$6XG$%%tCyIIl07Dkvg&3+gip<FsE
zSz)kateP|8OiY$DVZC6P1Btf4o+J?rlLRpn85)>n2WvrE^I9>J1R6E$NFOXP(I6+5
z2Zm^8r~#n~UV`*(#72HJo-oqgW|}P^vcF3o!eiBkFqKQ~!D)-}efIL12Y18fSJcg7
zd_zUT-Ld(7&cFDBpZWHw;b_;JKcR-r=+w0kuxvdsd;Wxv(Lv6tU6IDOu84VG$O9oM
zy2Jcdu~vR)$t;y=5uh8|N=X=pqiH#u3)1-7isjJEcVL`Gvm#NQD!5x|*&JL7rl6Sp
z@<C>SUK(Zn(jmzuQQy}}O8UTNTC7g+URXGAWPxL|COsoN`VmJJNt7a#c8PFw$@`Tx
zD`miOziG~M(x5Bg7^&|2ME7B6GDgvwP3PY!yRNH;0km#u{gVp8u9pw(xLOpn>2dbw
zj;i%zOxyJ~@h*w<7;^KW3=t?(P$^T6j9LN=A<*s+Lsm^AdC1F5c}m&!5LP}$9`Z6o
zPJpFMl?^A{tGnYP6ZV(N06#t<fRqB9Xg-iJ8@`-G;9+cPobUiR8Ncp6gxn|r++QQ)
zF3<mjN$FYr*-12gpC*=X!$s!tKkpkq;ZFx<G&Ox~5)B<lh;W#m-|c&OnwX|3-9qfQ
zM&yx_8nNsePm5L+<1sj`S%aIhG#=_8@niZ4a}>#Uo&-JAVb%#%#gchJ?yJqbc&G#F
z{8NWkXQpV@N9ymiqK7)<*vIe_5nOT1Sr&{*G=z1G1hHaw98aRv$!e$V5h_0~nUZKb
zM1T?t9=D`Mjgqr9qv{?n02ZY}eNsQD#FS=KsnLcg*H`{2s@r;0|GJ(;lxx10O+9=r
zT(U5y{Dt*dn9<m5z@grc1v8o|k}xx3uw=BS@Rl&=uEU(EThtnj1!KG!jPb)C_!Hm%
z6`qGI?+c1ju7@%1cPKZI`ulN=5upfYV_>k?isft>mUF`k%nb`Fyop)S8bXw~80YAQ
z7mnh3qouW|#U+PG0{YzW(u1r0PTi*M`f<aHI6|35*>k+<wOYC1#fs>279+XepsA-h
zbf{i|<YCRp&Oi<~C^~5+BgROK7Qq;?RSx3Hmm^i3<!69@a8kareTS0lR?^)fpsS#N
z)$>Ftbr0c;5OD3_0<JsJ?}UDNi7pXqZF)yfHwdnV939?A|LE}!fw&EDGJd54PBUNo
zq|-qw-Z~t*U20Pv+RU+j$5HeEinC#nlhvw_p)HE};jA1b_zDA@th%gq7zJ5lZ0n63
z<Iu4ocf|jV=MW&*0bitvv@~*xkq$%g5Sq225kspqXKX4oLiRKkVKX#3CmX5s;~}&{
zb~F`XPxMuzfhqNsBVmb7>UhC?&*KGiJH%pqyM0qiQ)+0&(jEdCONY_r1M#Nt@Vr)f
zuZvDSGk{%uk(=>F-tb@k_OE=O=R37NpdFxs9%S-=lc)tv!(#5NFd<XFU_cogpvkGa
z?J>I0*p=OY7qX`p<_0Y}<1DS#=7SMsW%R8dnx@WH93@|+rK^Id;}j48jYg#l*H;vs
zR0^0dmJMUbagLZeRz`ffplB--ME$H>%#dKJi5ll#6|AhRIl{d<%O1O#12k&J*e&N3
zoai@Xfn^!%_N@mcL#kU)|3rcKpMNv-iISnWcfj&4L(b2ugVG^8wJb`zD69$;bw~oi
z>SGB|6u&N<L=<|<NJ<F9>p0&omltV5=`R?;{I=3%u-O$4(=d_h<38Bz`~JpfeCNOU
z@lA(|*<}ku!GVe{3x@t1jQVK8&=`e|#@{gr4SXa0_&Z_*qXkPT1YNPm;fjK#7=ex!
zEJci9v|uS>1fvB@2_qP<SV|bdXvI<la2};%NeyV7=1rR?wy=IM0qZz^FqGqb=ySaJ
zG0h;wxb8^Cflcl67&5tbuvEqLAQ5<AeG9OQ^rew_MAKf+f9Npv3PWIR;J*C@043(i
zf`+3o@`%H^=DeANK#3)*l1e-S*K}oMgXJr(86NG6LkChG!O1Y<U5O5ZWO%kOb_enS
z@!3WrPY;L*5BCKa6PZS%B;JSiJj2s{QQkl?s-P!ot|&a-IM0rhKfv?Qo|+*WK#8Hu
z?t;Su6api$OtYq>Z0%P%fk6k*64oRV&`O5K`{KA+W56z9HIy#))(pxAGpU7e2-m*b
zu-z%#qe)j*9wtnIFS-8u{L~L7U>r7sF9Ju`8>-a22%K9VUIcDVya*79F9J7Xi&XO>
z;LwlsB8-i)ZxJ8VgpYI52z_0KcvAL%qFo4_FvysO{bjU#fOv#7CO|w5iA*OnF}^=I
zYw)DS{rT9${l)dHo@pnLRM>xW!3ffM1|X34myomWFX?@Un7aK1UdXT<E+-*g9pB(^
zI$NA?>@VvuN*-~gA0Gnk4*0$vf4kxQ_HaNMEg$#i3l<N^?Xds$VjFo1Fz<bvu7BHH
zzify7cNbl({@8XG+XNAAsRrZmx$XPo2aB6Z)M$aief_m;^G#1~gQmQ9A6s}hYutcM
z{ML07OKoF20~gyKLtMfB2b`L%-W)8|O4JGUH#N$>zhK{`R6MTsE--KrPXD^EHBVf%
zYo$?CTo2y-=-`C0>AP1?VUnS}b|!f+FHiJpuELeJWz<W$GFQ7&K1h1knIE(M5CeCG
zdB$qstDv2yaSZW?8Ir3D4*j&;rA#-}{dtS-^^S4Xt|dy)U3rUM^>;-GJbvmQ{m{<K
zEY<e+VhbJAgV>@w^pb$Vyu<!m{t-S->jcHv0!SW+dgxmqb=M{Vg9C>A<Nlx-O?VdB
zVaWN%8m(~bVCyRd_X`LfyX#>r4%@EB5E#5K4mPI$1Ho=zCP2II7o0DsE~yZLAeaQ(
zi%GEs3LUkahuskE?-L4tpx$(BF<q(^(st2Nf{PlQFHClnk<D}xQT~-A=ebnoP^q)-
z2%&C=hDG(SEX=T!+!gKPb6kBr!krXOnofa9BLfM3z*;HPFo~cLaDuU@<^BK+ma<z&
z*Co>_+ip7Hj;Gdi_V2-#y6d3%F6Zx)?XZ7CSa^1?qi~(L>%nwna=j+9ixNut=}5d(
ztuZjd@SX!B#IL(^<IcWLI{z3i{#H~}X1kDw-b7uG1vo0~>~@Ld3=A(%t1xqUibir1
z>d*Io&M*9fpL6yRC2F$5$x1uctyn_H!pW|o9n+kN336VWR9m2~n;8ze*uwKhjm+QG
z1fAy%6l$#?^=B0{p10%v&=aH|WrEK0wt>294o&@Of~8m+7t{6W+xX;H!AiEaLtRE%
z@n#g0>LafssGx!Ao(5U4f40BP*S*~-cU>7hw_L4gI;Z`MrmThiC<UJrrRSPQtFe@F
zL)CZyo6x7{>zMM~y8F4*DoWg8&Nnt5cq`D0m+yGnJK;|k#`C*r$(nbIT0Ong2i#13
zz~_C{zx5Zt*lnFQGgz!(T|aQIIUyA#{pikBchtLn7%Q0I5!fmKQwU;AnZmU$NLy!u
z=mrL)3F~=4f0Uw&E=XHf1<|`Cu>vepnLO%(v~?zkHY4^$5&vDwG!wuJ+ByL<OIv4x
zAg&@e6q}s@;frtWg0yumi2REPqC2W>@?xxDg0=MjxI3;}LD6efa?f@Hgdax?rR_;F
z4^bu2fiCrWXdELa7ptS{<w&exl4!5uXc(-y-;ot7OUAoXsy86XGj1j0?ZHGCWirR)
zc=DU&HPih8*R0&g6THXv(UATdJ5RKs6HFI?i^K5pn(+>)<H;)mZDa3>;w{>3ku2i1
zpV05o-b*n!cJu>EUFBwiG*U`j{PCD{T<9~X?e8-;4*DzdkBMfz{ELl{t!T9cT<6w6
z>UssIuID#3qgMASeCxi~+-k_y$FXfh1Y({;_#u5@G5l$ePe8S~ni2JX391w<H$asQ
zw)@D{j4f0S_%eAbQ&71ywuK7@ww&U<3BGno%6Pn`Og7cq!6w+-&-Zr9!+x%}GdH4|
zw|r}<8BzV=k9_h=-}Z4e+pP<=R_1z*znW|-r&c1WvULlMzo=h_o)HDU2dhR_Vo`@3
z4oKRm;Hn@}evVOv9icWQ&jv+Lp6jF*qm?<KsZBNQaRbwk1(TH_S|*_>-X9r2=DH3z
zQ?xQ5ozxOI1gQnv^RrA=rqsE^YT_yF>G^17poyX0spk(}ujN^A2D?3Igwrbf<NjCx
zLp%DUPF7V`6Nk3B_B?v|r_0bNj4bv*LtHP7ZhO|YxSB(Bor!-Mu6DCC>FH+V5sUf{
z$#e-t?ReLnB3|$>+*rlG?5`!h%a3}2;Vm%xxHE^<s(-hGGj)Lxb_#AB)^O0;XDvME
z7p1C$$ib=KWF8*$i~WiK5uc0g8jCm2Z+Oy?&OUN<Ev)()xJ4r=z?oeUmO|$!C4rc<
z=P5eYBzJJ9<i*9ri$dvR&l-~Hv`#L`Mh?E>Xi@1MJv`|b*h7CMFkAP$U4hJO&HWKh
zr>uEg_ty;m)CGJwo+sWj&=0nbu_Vdrns{fWd=*#TbIPTd-y~}h1sP-Wn)BW><iKMy
zJ~rF;3~BK2o?&a?J?mxZ<+<m-np@O=&v2-*_l(JT&F<GXlmArLt3@mRp5b`ceb2^n
z^^HHFsr29g_56V*SB~}y-gF0ykxWm3vuwM^fZQ}6(Msaq!DUDe6a@1A+z$I=z|21G
zznw^qOZqqLFSP;T_(=FBz`WzT9~?N@_Wi}b^368&uBr6!b08O<mP6`0<;pwc+Rw_B
zBO@J)1DGpM#TAm?4(Bi1;e?OOX#4dewT^^#JmKd0x7-f<OKm{d9|`f~fNrS~<MFv2
z_Gc-AZ|dV!9Z3DPZ1YV|?kHW<!M*#~lFs;2<BLs{E!12+mfA(<^F9{a#pyryM-P^q
z$(o3*n387zm~^d{stOt~b%osQ)#-)KSv|_pQ~k`}_1SM+PxVWLQMnyb7&K+xIl%X}
zY$4M*(pbTO+XRmwoPpX$2L=EX4flOTd2?$o0<s7qxJFA~b7-1yTM>;K+VHnKoO<U-
z3vPmFA=F_ofMnL~#mGZzEek006vK$Ydc_6wbCjpk&Jlf>V(mcfU1qD9;5>Vitc}?k
z^^(q<CQq{v{~Ln-b>UY!V~Gb5X_l(bm!T{Z8B>X4Tmee3QZttKv59U))oHtXNMO>#
zvxl&Zhck_rbjol-k-ExuceS$Q5+1i)Lb<~ex2Q!ZmR!Qk<P!eucm47|{~K;Q)!%4Q
z9@3U7pbzfQHVh2W72s?n5hRz;u}?3@ixI4Ldbd5W@<uSkR>(DA8icHfLYx*?4&g6Z
z3JS`DneQzo6QW<NXeiHi)1yP9?JPdm69MH}1Fg>&6JlT1P9ddF*M7Gt!{g0w19lVK
zIB?Uh3kMwlnf7WD%HxO(D!AH%CzQt#8Ds!@lJV{!Kfs6<Aqz01-qF+T!E|{+qtH@<
zEK<DmNpHZTx|zs(wDlTZJ~GrEm-O?oZio<ATG)9jbOQ_d`gsY0QSx=6SHDB9w=2tO
zZ+4D2y_8y_T<T(L43wOe;d<Cwqs*!Ar%;$RTB>|?Ir1w~PEfSv;sSVC$4FWDoLhvd
zXce>Ae4s9bj}F7<5B$i_zTtyDJ5WpftaPpvBBPsey%&s=gQ<W=3K+p!K>7+aGA4+*
zedHzO(1$g9rIH|ROck^mJD{e-fs-Iz026fXy}eWkBtg0WCWvY4m@P<14b^?^q3Hrt
z!4=AWRS*$(b7;B%CJ2McDP#ocB&VJZO&7of_aH#WRYPzmU~v)@7r-PvQ4SXXrZq@T
znpTZ^3xi-7B`yYycCLO<J30jDM%(I!kPTP5`UJ;nYguK9=Ksx+(G$#dc~|B{7#d;>
zVTiP)RyZJc(tsF{H!U6xJ0N~YP@&kGyaR$wFJ)_Mi_e@Zh(P$!YKw8c5h`YF@j0Zf
z;vt61;TswvZAtZ?pQ|p<@PwYGNsHyVbBc=@-r37Ev3wf|QiXlt3!nBQFM0RGa1`UY
zYD^St^T5$h-l0afRR5y#BzeCLN%h={C?~1jpwqQkF-9??Wl1TD8LLW)Q4IK1uP#c8
zQ4B7XK^_5l%wTQKF-I}o4w{{D1Okp;RZz@TkJluH3?67;$7+(|-5IY*icyTK+Fni4
zY*ianWG{afvu9JPR`s1_&8)w*#wMc~vtGW;vt`2}%^U^nI-Ant>@7<m^C}KxW<aH)
zeXr+)Q~Q3??|<JPd81=UY9)FO<m!0T5|bUW<jL=897t<-N8u_f9)g3^kXCPwC#}Lk
zqkk)y9LOW+tp%Tfm)81)(Sby31}5H!18HOFi^(hXsOH24L8;0}=NKJGc&s1+;ffnJ
z@#l+)4&-hnStkmjdA|`%4&+{cNO%WAdl8pBPpehsQwCbAin>~<xyF!Bt&-8*hv^^X
zyFoS9EIPRIkc=KJ`;Qhkw|*r0Iu`%&RqQ`5P|U(Y{rtP16?)CuI!>D<z?r@E_a@LX
z?(n!XOnuW|d((gLODz;**%&oG$WPP(cF4A9^1pnQpcsG?B(@<GgQ+u|{Ed<a7j!5F
zk)d-&TS=Y^x+P_RY@E^Ex-dVwpj%R`h$W@a4b9!XA#x7I@X#IW@z~sbU~^(RN4KQ7
zY7j$;wLc&aq~VDl+AS#rQM;>$MhA!{f?P0IQl6w#Orm3ZYds4=l5tGg#NQnTjpHoQ
zAyX<QO>)xa(oTv6QzKZS2;C?>lc>Yf?Ji1MpTQ$EcDFYAN=s__4?T@TB+ofqhLgI)
zSIr_k#uz))eJzU!Sd2`_iQo;N#fZho$egePtal=TX{Xl8c0fBCo@atVsZML6t+DNl
zK;QUT;0p>D&};4I4>0JgA-Do&h0Bqj#|p#llim@04X>Tn?SK30KlAtfii0;=4`52K
zR0oigmPjn=Zr329pq{YO5Dgzp(95^L!=MVq1^G*wAZEiLYLFGJTgwHh^i0rsareL;
z#5H$8Dm@b{jCE*dlfvbEj#PRk2wHc>LQp3dTB^mpz9N;L2_8_*ta@jSO6=yUsr0Jg
zq2s_%tcarOR#23lNumOceStgtkTSt1{g7IqQ%b^|y?2XjesgdI7)`R$_ioXt)k+e)
zv7;Zb2OxT$r;Q;kU$O8#-X6$lbcfij<nx1Myg$wfjMlRD(a@Zt6}eSX;GX298duF2
zORC!<H<6fD<+_j|K~92Z>b{m%4}wH`kl`q6j<%m8;|jj$c5|`XzX+@voLq75sN4CJ
z2Hg(bm?-H<x^bri!qGIAbTgpvlo(J_t3di8Z+J}%u!$|!{IMx6*`^6f{)U4@Es^VX
zDM=3{aN!+C$6ok$K4nLFYbH?jYlHUlmLCG`Kkygd|Ax=KduO*Ykb?WC^g5X@_m($D
zXV(cxLF%YQFfN#!-O4`-<=VQY(b;wS(e0)g>pZD{_$prQM#?u;B);VSGFO?Aufq{a
zlZL9RM5kz=v+ANpqEa-dru;=l!03QZ7m*eZh6$y%QLnJ9D(IEg$%I}?tr@)pp;)E*
zT7wTK=i9`Ei^t=W5sxPp$oFquRvC&I_vdpE_ZNS__s99B1See9)S4iABknJ5Lfv2V
zMBNgDU(<bWdQ!BEJ-hd@h2PRDX~}tVEt-z9-fiiXdCL#!mG6DSZ+`Vpws5rhlV#b!
zkOoe*HcI5PVS-M4hSJCJAewVn;o9sFs<vTy)GH-{g|Hl)w(W{huOJJ_YD&b$D^7XO
zv2oNZ$ZVoNo?aDZy{i9JP9I)X45BMT+8&JttstKvyXs1i21d0e;4LMMCV2pNuDGb+
zvn{L;er)k4tK@oDMkHatZu<j?KWUP6ur7)!N`f)08Sf5YbFK+0tIej<W<ryEM2)J-
ztqIIWG^gsxxn&eZt6I?o2GZKnjlK>F85{t*+rg#vED@rY4{@-quf(-OhR>9&{e>IX
zs=sAuZG%ZjYiNkc8}-lEwkq8F2s-YPU2Va~?;0Nub(RTrwhp+~EG^v%H`A@~2R`!T
z`#!;;qCHIQ?yZ(-)-B8^K6I#GVR_2>RLTI$R|Ow8L3HJ@ZyVt!8sPyIA&cvReE(e#
zUDzVHgFDa&QWKcq>A(g*t_Vugc17w46Fh=`T~pHFTWD9LX*a<Fd*fnO9a}HbInuP7
zASWj&O_5G{Pl7b<RS*sMxEKIHaJAKBmahoGNVkIgtTLKe4L0cc3rlK{lscaxi558W
z1h94p@O*QYV%qKKAf{z`C<`Ug!<Zx|4YpdpE6N}B%RZp4hB24txMh@2W_s;!^R;a1
z@^=~&nR&LqFw+Y~>J3t)((Ad_prrCF-&W)^Z~4~5un^~O{{3J7l|SP{Y=_GrLo?T|
z42~<MKc*g)vy5CYg%}PxN`nO#6!lmH56CY<nSrAO9H|;hxuB>=6RgB=>r-i4c0o~(
zc4)9;Xr~g1G9>bGK~aykBF;<>eS#WQjK&2;J(}QVR}}8{G}_F?5+I3ZP)%O=t9?aP
zk7da{GM6feNg|qQ2$HD`5~^0z;}K2Pnal$!H<m#H>}IPt6e;F%w7<Dv808RP&EBv`
zl_1A)*DJz|x2IBxpfVr&oMB`*&v<_jKGGnvk?qvKqIiP}Z#d4AG_>uS=??9W2+Vk%
zAdSpdG^y~ZkX3)rY$a1IZAiK$PIZZ1;k&7O`>SFGt(UEm?$m|PRsXtI;chD%S5Go=
zv%@nA@tRob%$40_I5lG#;HF+9wp4t3N|Gr}J~}8%qYb{N6XgQFq!Z>UqSWJlTPE3}
zV7<IX=Nf_~Uc0+^ZQd<vjp-7v-AugpjX(ZRKJi<eLr`-?2E~@lQB3VKD9UN`lssNz
zu!Cgm(UqlmXjucE3oV|l2zZg0B#H&VYNB3&3kq^m62kCTD2R;xW({ka4^4Y#D<0tj
z0o=uk;A?Hqk><_>_gz<}&;yTDbLWQ^%-#-d-UDj@+Czu5AHrFfdQI4Gd&L6ckl3-&
zjlv=TruH{Io|%-|KO8_=CV(;U)n3Xx@NVE21XL`NV3KKnb1hV{<T?gqNZl3E^~J;T
zD^IC?MBBP1yog=?jV4_JwW8!UE1zl`90|_s-|8n!xvwE!h~pkO(JMY)3ixXKnx8<5
z!CB+^Y{%p|U~dd9tH(AUgMZ!5`=|K*RWez6tmFz#Wq8UjP@Ta_7ub6|<ha{_2Ev^Q
zkNE{=z@WlK9&_oS%*7$$IllmO!fqj~i8lj}Z(cJz=oj!YIOvY=*s8E2grkQi{Q}g1
zbf~c=N^=xFaY^xL%H{=p%JKxA_8=3?@2&E*>Qjj!f1nxGCmlt8tz>x8G2~AOB=Gh~
zS68!U&_m!)0L(x$zuc3nQzUz@t^&p7=(u*kA#kWsK3K-=g)55p=(t7G8Lxf6#>e(N
z<2@<^c6jtv;jaj5#(Q)+by_{N+glpNB<H<n;N>&4<$=9t__y+Qft9a*8h+0pYrZ%?
zhWD(;D<}`Qyh)H8p8i+9y-e>J7P|HKY~c{}#0Mw=L38YZ1PAt|MD@ZU2yY++Qm-I~
zAW8prmg(PN-XBN-Bf-`#s6Q|aZd`b;n<mUnskf+wN;|?EHQ|+7%qlJ5tA;=DXTISJ
z{$c1I)&#O;6?6;ainV_Y^!19^m#+$JW`b}f$-@sThK5>+u1i*(elNXL6GRho>0j$O
z35_PySEOw)L6q@>DF7=1kps<b7Nlt~K{PIxMz=jH+p{1og9*aG5|jaa0|H?62>j4A
z-X^$P;g|x~!?$b&y<h-Im+q)zcY%qOJcX|)UTRnpkRCGtC>>DGAxL`FJsv)oI?XB^
zSNKWu<%EI(+??9DM^o2Idci=H+#Wy{(X1J7kN4T_*JLyfw`ZR9G)Bbg&#9w$b&5j2
zH;YMoS^lNRTCM+H%p}(@|6nA#W+H=C1tsqsCv%RB9PDzm_YRuH3b|N)A1f}`QZgE#
zr?#43Ye?P15T=L68nCLS_Dfwu$Ew;AQ<P2KX^E+DMfmvxN;_*#f|e}T%h8{wYF-x1
zUWW#$ykqBLRY|o=uDhq!37(k3m{`8WS(vo=)1UIo?|*+=uGMPaTK<oLY$P`iFJtZ=
zMw@`f5OAk^?{|E?Q2@2qeCb5@FeYSbaTTcTM!Sd6jD>nqDz-I9aGG<B+840^2ya9X
z6LK2CsC|K6kZ1ub0vO3E=q+zf+7}sGT@l$0jhuo`<?CYFcfZ||PY+9i#s~3G`XNKP
z7JNL+QI~qQ6N>tKYtnV7YZ}QcI+Dp85!Rg4l#Oz{qC2XpxIvC`Q<ODcZ<UxTEK`bQ
zYZBS_c-ud<EBiP8X-qwuTC~4QPWLJ1F5oN$^-g;oJm@ABp-_^mM|w)t6TNm$_nPW)
zf%5MT%Aa?OS`)KC`8NaQf6BYopa1o4rWHtPp?sJ?604CC-BQhlIOQ%qq-C`27d+?e
z*@DS%!3gDp8jjqm91#c7wgwjq02&Iq*FeJ%(xB(L2Yv_q><NsdQ$%og>U#KDfX@(q
zNLd5GJG9in`X(?mAe?Aj-g4d@Q9h1f%Yp&mL<$_-CUP<0SM7Dw(M*>gfd(IqbX;<~
zWer<8c7pPYXGBTlCX(cQ<J(wa4^g7w6}wgey~j5^aZAjz4><Wi9vvf?G5F9K+?Up5
zI(E_c3P$ACR&a3N<f~eZFDTmsOpbmv{JLmfIF^|eFx=NFw<_<%L}<}#U|US{-I?Zj
zw`eiVPrm~!CWV=`#?b@RtRyy>PvH77Kl1<nqrdNslzKB-(_ACysRsJd2JvW`NBhI0
zY2J;shbPmFw3z^YL*3!gG><liN7H;fb}S^M4M)F!vW^--o59_qO0G1}TUTiL3aD+$
zvX{B*Sdz3M&63G9mmDc1cc4K@l9Q%cjcsW+ujYC91OJu(Y2X>7;qosw!)^#LbZhCK
z^x&o1t*(3*tb>4mD{i>h=JRk%t;V>*HeZ`IWS(m8S>L(1;k@Nr%R$`mU;FHj{^&Wh
zArrMlT23n^L#6c9-~XufJj5BO1d3YELmUt`!7)!EPSkqnVFYH!5C^=fZB!7oo`*OX
z#yA>~Scn7cvXoEz#l(Q5hB%-N=><(m>!GNJ-L0%Gvd&RoGHE@iMbIvZBvon?x`;bj
zDuxg)QQdRR73QfnlEIbq2y2hzQme*OZBY%e$Q9mOGu|H$v2bZwJ455g8{{DtreS7{
zf1Vy!y?O=4sb5~n0Ht5Qs%S$>yj692(CrIt$U++e%;elYO6vWuc;;`u?MJ-_u%LqQ
z(mW*rf)-@qp;}0NN7^{578P8jY(2W-r23MApruvK;)2xsWeYwWXS+g6Q?ayy)GH=9
z%DP9jVuGWrd!8=>C^W{p=lLQC!Xp(R3e35sh|Dj>^F<QilUV?5^WC=aO1WUj*5hR1
zyGvCk5=W(iEl7H{9+K-Ve;@#GXoqQkppdP{(Z~2w#EY??XoN5YIy&B-QF=hBhO{+D
z_yK2Yb5X{qh^xM_Rl=bTPQNRE9~OOXF1qg!-E{H1qlk+QC#{BMhk04la9q0yWkiX$
zoF~$h5)wJc^k*yynV!g<#5VbJh8i%D9??4F{m;rAJ#rI^@CZ6uh*Hn9xT7SK@1=D5
z^H^dZ;8}R*0iNO4JwjI$@T}Ryz8g%4f9;R}e%;5v{O8`_t&8eg_Av~wV@rAg#r176
zAo+{6Q$QM&vu7E-`8pF~w>6#<cWa}!h*Uh9rRk)@qi((0=qw($?5dO$$ht76_=?G!
zhu2?f@VA8`)deGlfy9OuYjM6?d+6xR?+zP|kA*+oK^eFHbWATGqrvnGC5`#ikDa{v
z!-2So<TiGt9WqEJZypv@vmj`eM@lEBEaKfcp^yT%ktqvsE4GsH_8d2m6-h)DXC^mP
z?^*q_^$V<velCB9>y}fJ!*y{_W$Phgwemw0Bl`~Xl(*w9{;5^){>{)QN{{yoE;U#;
zSc3&s9o~4TYW%tfSJkZ0I$T3Hm-lMPbA%~qkJ+HQdAF!VtCkXkn<+u~Uw{11J@M^R
zB?$XH3CG1yw_r^!L2w{%pjIIoAtW2f{zB7l3}<cvlaGMj9Vsb9`l1jfxM0v2h`KON
zPeP@Yahbbd^btTspyk&Do?475`UpFeMHYiDv?|H?Mjrv4e}LM=p0nMlvC`2;K>4~3
z)W!VSK0e0CubeX)I7!JY_I!&5&1TKyBcL6sV1uYwOp=qa7mb`4Kew-q5m$43C4%Pr
zU2VvVMQ;h#V94d0OH9Xe1Iz{EfBubsir@3Ie>3!n5}{zh05ki$R0}>P)q>^8UrhC4
zs##|8@(Y*Gza8UAWFE;S#_;MalA7V~kM5<5K*2iG1fW}e%M+#C@V<}xAd~;*_*cK?
zV?OqEue%5GSy&2XVX$z%a56CMLn?YeI;dPz>sG!hAgu|`XCkTt8E=e<XurWYE*O8b
z5tkgkpCe7Ro#SZ@C|Ly2Td$$23({1(Am`I_#RI7VXf0ikrrHF37UWj?36h|Iv{kYW
zgGB00jk+%>;e3_!X^{Py_L+%jbJSW4bRHB|hOj2Xd0P_<0ZM#(1p8Ba<pR>0q>qC{
z%1t4HuqIt8lk{<r9D&gMNRpg0NgoG!SoeNTLEKDI>(t<;fQYCd_~GdB7GXNTNgNum
z8^NQ?w@Ap8ZA~yLp^HKuk7Gl9HOrw<uOb7<bOQ9>8xUEYlfnKlm5+W+`ImmzmwsW;
zgm@w4pR7#e+`<pp-6qJ?vwwK#wXHQSPw{q4@3nssST}&N0>A-b#x#M9FbktwKxlyw
zv(eCLj`)zp@<#FBYs~~uRv@}ze;~Z)u=^`Rf7l-gcbYr{fqZ=N&Fk^eunR;x?2m41
z_}PB`5J>yWwnNHFeNdOvpT`mA&UNwX-hFHVPIrWPb0KLkgc@(fu;e*Yds+2iuk01(
z%NS961tLp%=G~QN7Hu_gix$}8cFHsVmDAUM%IkwKG)P)I%0(;I)~HsGBV4qEEed@k
zvc?-_eZe$m*5N>rl&|1jiLJF2$GB(-TiA-DT(sf~*@}Xw5D)<2OmT7aD;!!3tqHD4
zl`n#9^AJN@1;rOCf`{#vSrzE=En3@~i&0;wO0LTcR{$Z}Txpj*-y(y(#}WpW?gam~
zc5EM8W}P2cl2`4ZbDliRoF~}D3^YF$ZXp`M_+MiqWix)y&)1dl{kX~)(17f^*b4no
zFKY3_33LDQj|xc|;qn__=;$jtS;&{cbxKd25?GcsPpFC&pbklX@>*rd@Fe5t=+);_
z0s_nan&DBtKzSG`OCgE!4@4As&G0OPzeqZj@Q7{<tFy-hR}>!R3wXC6N#rxyt@-HD
z4cAM+!;CzvUps0g;Q8|*-RujYLy!!Q^9737kiQg3AbjaO=Rc&NzOn39eMp6qhc{PU
zy84iUR43wM=MwYW)B`wYo!&z_M!&N-h<wJc?I3pW`4XGY_Cf;F>MwURJ;7fl-A^QQ
zfDhTF@mUaPcfk2okCgO5hv49LyYuLSwyTTo6!$+|Y}55aARS+}{rI53p?0VZU)||q
zn@Uh1koV`d?~flWZe|DjzW!R~Z{NqaTR7O=7B&zRI{0=Aj%3^1;#*R>ps|tP_BU}J
zb*Zh~2ir`=)vrFjo&W2veA}=7<=0nmNDH$J9I9nn9Vqmrf)CNS#^B|v0uEI{P|B;|
z9t9AhpIwk<!~_dxF)R4MbJqycikP5}{Mq6TG=hA-O%SM+A|J@p6x_uRO&el@KJsS+
zoTyomCd32_xf{-LwJzlrz9KD%2?9HViNUjs&XNM9xL~T_+u?yz$xf^i>{pQF<85og
zv8+>W3oB%h<dbibyVZ`FF4hn3dgYa=g6{zDQ#?G(yzI?JOBH-4%ny=U4@ef8>TvXQ
ze~y?+B-aju+}=n5hwMD-7$eMjEAUKMGu|P5&CC?47eQmG&{U9&x9GT$oE+;MK?L61
z%Q$HZW1DO=66iS9bMQ5Vw8qX8r>Bz^@S$)dn&cV&fVr&w8W;5j%wg?{cToP$t#)aR
zw=O!I8dFyoKvMb8Ce%=>sJmnGksEv!9-4FHGDDwuX8rmXJlfVv1WlZ#PO@@uoO7Zb
z6A4En*}17Pk*Lp$c8;Zoi6(EuM=BUr*$#FFKv1-E0H)5e^Tc+dodfO!Lm&Nw#?A>e
z<i{7o7B-XldDD|(2JH#Gk1hO~6-a6}0ouW*cny^*e%$}})%!Pk8G`-{EnyLwVAxLx
z1CJM4qZ<{Zo#U@rw(ty;Ik!ikG%XpBn=jlv%g2Lnm1Tf<9(+YtkDy|M_a+J-rHDO2
zH(%gFJ9eDff_McBqFykq=*kg-;PpWggn<nv+%$r&8zH#vh&hNL^=(?w%@;1_bU=+D
z)GY!^(mEu;V7_=YTQwj@uph&82FXd)sQM%{QL4@fVLXC(Di8hlvxdazF6YANS81=P
zTZd%yXqj>ij}HF#)~e=Q-60~+(<EhXOnCdnL<j8yqnSC{fCVOXCssA@5dC0;!Ki?9
zw;BcaXN|AyQNGEP-EhKUEyM9;JLo5zZ&Y_M!)H~N3xwgz>Ca={HV4=PD8H@-TTj5Q
z3o<?*c133W#mjfR?VT6TJ^kWUHDyeV&q-bo?luiNaf=pYeEuyuhq&PKd-mV-litdu
zRW%j}dUe?bWZ*2QM;tw`=pPWVh6QB}m|R*?Td|DJ8SeF^B{e~>C7c=$P<f9Anu2Lb
zeMMs_T3UGRM<I+FZ_ne}!mBp;>L5$(HVwIa5a|?Jm47jgR?7u26QE05bFcBASI^`j
z$Xiup*x!iWLp_1UjhG|jyHGmh2a2l!2*4t$*5a!L4;EV@;b^g&5R6swcgo7zMszUh
z$;Fw9=)@&)7l#quIi&%TDF`kGy<x}q=&)R;pR4GV3H#M^F%p0{!s<G2`PMwLOZQX1
z?!ixdu5;3Oq!MAIy+e1%$23ri2T2HY0P&#<mF>JTE;C_R9wbpv=0O7%Ll&?F+B`_Y
zPrqK12Ni-FK(NiBJxGFJhe2=x3~{bWBj`a=I5f_YEZyN8Cj*uG(yESV7y`0li7&Fh
zuvKQ{Ymw0-oLk}(SFI=89Njl55wwSC4f}iV{^{ZvqXj%bFp*y6A^~8~9dN)CO&g+(
zgx>VR89qDVU2CUXBRoQg8ji;&BOXtjfSR;`szNm6uRg35qMf)upM$u+n0((K=Nq#u
zjJ6UOB)TE)&zRcJpQ^&Q^vAK_1of33SEHy0Tlg(276W0l++H_yxvD1h%A%gRdPUX2
z)bc}m<wO7bS3LZMR<HEHm$_X1Wik%!5Ww*SE~v|BD9vemB0vj4q>Edq#Ptf`iZS+o
z*DHWZ#z_5LuVBR$Xq5$18Q{y0;L9jozCR>5^e9_C6KHnXE;+=O@3{l4sXsY_@awbX
ztBd0fR?t^vjFT#_lF5!b$#qZIX^8Ghk8!(hlpZ64HKzLpJgG*x5jz1c7PJ!$T+|M&
zI)XYoOC2@CBdLy3kz(t*$+jz?wki|a>nyMZ*m44!;5we=35vG&(~;OAcv1rGUsvOu
z4c45e@urp^(s<wUT|e{ek4*>3))~L0Uqk1k_-+MmwgB`{JL3n~>tYn<o$=`F*0idx
zDE6_iIC;Z62<2NrYCJnMdc8?|&zZ531O<}oD~iQ5UZ~Y-C#Cc#ChA>`n8qSlo70)I
zYun?*YTAm{o6cT(b>jTcVo>cQy*C|vx|*{KlGJ!6>AmUbX4fLOASrbM<>+<L%Mb~n
zKFxNXtgjMha}8da<~F7v8HGiqat>+8o@Bf~uveh=0wzzgh<tx0{ZiGpMI&3a5$1md
zC0mk;wHoeB<*Y}T(KWAv#cwSevDbc>{3P0l*?w!It1G|`@2XY33*`yl@L-&@Gu~a_
zo+k`x^Ih-La#-X14y{6(1l4x|)&JpJ6v*Vw8G2W$)5|OQ_yx#c^zHxd;Rh#+V3gFH
zajy+BEv|FQA~N{20C~fiNiq10jx0if?S?gqh<jIE8Aa|Zx+4pk9`p%A6(O&S!Ro5s
zT+kg^3Zk|`bXEtVyynpE$U+dN2|z9iC-5PcZA>bn`tItR-)JCFM^z-g^N*EZ=qJNd
z94%N_0_2+tknh91!@a$(LpT+q_Mo+;ujTIgT8cuNQ0}c$z0h%7l{!6-cKk;_`rRM?
zv}wvN%ie9eQd8(&vcPo3^#v~lOA3*cL!D+zUX=n9<N>8?7+nGA3CW>ObbK+fn~pD5
zM2$)7BIm$ltpzi%U$kIX1}3Ky+H_<^?4VZGFD3;h2ynzE?l3g04aZ_&f<DvY#>2j6
z=NwrvLNVn4AlW#o^(1MQ!pnZ_MA?Pu-y~urtu7dLn;%Fk9V{@x6lE!-+yN2lg+=l1
z0D@vjh9(F=i#^GBdvNn~v^d&3N_k{B&v<{(TuWXRtO@@ypXa1Mpl-lz1tz*WxIWC4
zkbmId;qswhw0Lf*qpfUz-8UHeH0duafyqsBF_ysOe4n8_=@SNN@fqfqliYa4&%9f-
z_zd%J(K!`AKlR-1*&m(;GtFn%l2|HR_-K`o?JceVK(N*oNJdF1DnQ}2A)QBFG1?@M
zU9y0c#YSMtXauv*;9%wo7XC_~0Y#b>%p4`{FMS0#$5kIkjXndg03BdP?&@qe8=ryP
z8QOfYUKN_>V2dM|c{p^KdcfFxg<)sSbtj);bfQWEGsj1&q}v0qS&lw9QYGD=E&8R@
zXLz)cDr(}_#h{nJ1MJOe@P|4jbOqGxGzQx&y8UgwmQCIFPLl8jX10G9F!QM!<!?R<
z%zV|Om!J6QANiIKc^Y1|N?W-(nnH?t+{u+Oy}1pSlA;((Aw@mmRLEP*yK3}V)Z@;C
zyzSW8o)Tv<DK(lHim~5lUMTAE@w8Bk{V=KWs<hB#q(n6obdGC0exce;dmu!}l$L)P
z%M^PeE@l~ZT@m@5aLl2kjeOnwzj$zdZoY0dDG@N#0sXdgL7bPEAMJubb|&OBk&eNy
z8=Agg`OyP*XA#p31xgp*(36RPto8O;!1%M@`{I8+BkexdQ{9jgoqi>HsaiD?HQcC6
z8#UZ3=&2q6A8Ds9I5gUei5jl<w(WtJO`u!w(ABToavpF}!wKC2-x|xIh#Cz@hDQ_y
zdn2>|UKO6ID$^?pqD*(oUt{-(Dzwp;DtB&8l<sw>p6Wn})RJ~TuUH*$Adq9v!qY!l
z((Y92-SLxu;D@Ii*Dxz}yyXZCMl22|)Q;}dMr}p44%nRWH3h*1&<IAg4t{Qh&-bf+
z50X!gtB_bSVh7;fu9zHGOj;M{9tA_7Tg|F9I<B>E7!{o0SIB}<tylVTWNMm`;|%}-
zC_@>M0H-vTV$yL{%@dk;MW0o_Fnut!C;L>Et;(^LRA1T9+Mz~A%BBhoIjy?<4OSWz
zRaYyF%!x&CzBsQp=)7LLg?AoW+ynG)-#z^|e*VMX^ApoR>Xxq`(ado@79#b{u~jBf
zk6{49L01HqOp6mnJ?7ID209|69s}!vlDQxq*c+1?^_Y)g7#Ncp^;jKoz%dN%#Y8<;
z85O`W(7Tu>`Dzmm03f%Qo!ryHBO}$8O;QuOF}kOiKZ@)u9a)TZ>a7{!kz<FTK_vk$
zG>SP_g~DoxXU-gY3wy{Eyz)Rp@!;pys?bfLS1MoKo2{8u9p1EiTJ-Z{mwYu{y|||`
z@F=m<++^~#48pUg@s<YRmE|XZfmcAWzxN|w`l)Yq2USa)3|TD&PxHr{jt(QGVz(7X
zXN*#@o8aNNVpWZFSRQi~=Ud)P#cqNn@dd%okk#@PYFDY)tKir;P%3s49GM0>TW4h_
zQgzLl%|+&H+TX|5hg@C6w^Uuq*jU62V5r?@Ut?q+M{)ajBa(Oq9%Z&9GH7f{9oDx@
zBE#0lI3AQWG3WF(sm1rpkzdDa)rD&Ge1Nk&ytk!j<}E)2aQ^xyeaZj$L02@&RBN4-
zg}0V)B|S)V+oEJ!OXHl<mOhFJ!k1w&7aAmw_>CkV?t*+ysvr<fsVhIC<P1?J66Y>R
zMPq`99GN)Bir~d+4^2g5f~5fot%oRQYY$CDV}ju8Ll6qpg^q9fd+E?rG$wf5?9?aq
zVV~tIs4jYtCI~FDjOJV&Z1{w812ctFB~M7=h!3~gg7%ofwJkYYcbUIM#iO75d29df
zrgPS&?(FZ7+OzNM?~siD8>N;EP6X=ETKO3ASd|C$wp7@><%d+**MI08UlN+Z)u%IG
zsd#vxC>^QPiDA}grA`cjM=Nz=5X@3$C>*K8?X?KTAb1BK3GL++><Uw8IsM`**~OrP
za8+<b^zSsGU<`s$`h0alB?ZBw`IMN29?z%5YNC<KpGjp$r64LIeNc5*dJU26(Ctjv
zr~el7)#YDqY6gyLF8^ej&i?v0L!T%$uJM*;@W-JUyu5C=rSax1KdABkr;q)HH#zL5
z-k&*bx&<x<3_n1K23H>MHt-_&IpwR=HAu3GBm5wGcPkJ%H-a7=l6!PpC`Ca4+OFu)
zVPdt|11QXg_UJIudO}6ED|&Q@L+e~M1R`+7kr_@G<Iy4bRZggqp@)SGF&jvdAT^%-
zJZPXGp&S`ta9NF@1OX-q=NGg@l*AwtAc<*7f&Ho^TH1>wGX1S2VLy|^2n%R<t_ez!
z_B16cZIYU>qq{0pA@S&wT2wUO!!~)M|5~(Y=-5_0Ipi=_CG6Tv7eOAme3%E+nSQjt
zzMg-w@9eLC<Dc|YGq=VFGS@QyNFq6gz<efS2;6dGAS6B~hJ0jh451ozsv&Y?B&s8@
zDW?;bZO`<gq%je(qDlum(BXn1koOnI#)DpQUwl)39*=>AL+!dT@D%yQwZXRX!c1uE
zA$9tPKJg2G+drP9a;|Jb(3cvqmLoRm)Y*h~2NqE$b&=Gmu?Za!Hn$oms#A~-(JzE1
zN6(kWz<%hYPK|cxxIeHvEFC(kQ>Pv34n1D#s7`BWd%~!J_RvY4t}vcc722k21(P~O
zjXsk{P<<Ub)0&e^>a+ylkld_#KagZmOi7|~?WF2-ePU)NU3gNb2NcVTj}54^XPP97
zVrx2=ksy<C&Dn}q^_1sEblc-~(P*kc3uA_E7#ZY4^J5<xD`ZM*>vH(Ybfx`uP3fQP
zJNxV3_$T|${`xomNe`NJuVt(UvLY=UC>+s26-kjw(7j3_>ADa3DsY#D9Uzc(mqaI3
zSy9&|nl*4LlG&>cvylSEctA<V`ToKj<j1EjKSy_h5fZd+VSngyJi+1ke6{282b8U=
za{YN+_sVF>JJY>O8~a_iu}_)D)_yH*>^IZK{ujRaqn~~0K7gW87E%>#>WSSE6N$wT
zA2*!K12a>;Dn5V-)=57c9o)j(^Z`uJ0rSn8y=!SjDuya}!2jgXV0LK*=>wP`hD3v)
z6oB~=1X~&@m9GkjWP)hsMx_M<i_Hdwy!p@(2S?48j=npg<hQ-*h=XHgZDYqd5SB_8
zBR+r~d%xxYK?#3Ax!6h)L^4T~qp{76fl`NfEv|WER#+|C75xux_~et?=X2|4&7Rud
zW>ML+@9gi8jQ<;@fFW7XV+Pp%qI7J*kj^vwS_@wN0PzJwwd^$s1<P|h5ta0Up2hIq
zo#CB#ix$IsJH6ol$>00nH~*L$UX8xY@YYyt(=oo2IZCA8PVpVF5EDFs3{um@JLxq^
zf-(5sZD1ZXrY5IvUNHvW9x8)evc>dL@Qne>!uB#Wa481g;OJ!b20;b#%k7FW_@)If
zg1bWt62{<rj}e5(rZZ4JmTAZ32Z7B`!FSExoG?ncT`~vX`%cFIp0>vx-jRauJ?LXJ
zpx>YYzxgRs@Qv|yI^6FOrZ}mwUP;I+=1?tiQ@x{7GqFswxc1krtbfv@+(tRLo@$P#
z&8!c^(+ie)UOcY#u5Q*}Q7m&Y>vw0?iw2zVycV;5J7)dg|FYlw_CM}sU41Mw>lWOB
zM-2%z7IzJV$-{MJ8%*p-QJ@l=+KQ*uhRiK+6b8cN6i=_%3vosC8{_HyW`*pjfbWK>
zmxHzObhjb0%*^AlfbT9C<LTpiQ^I5*;A8PL#>0c!PLOiU0dB53#nYfrgWXpL02cPF
zD4AAIZBQSl_V2vtA{gBWQ@f~P*0u4<?5h2BtLmTZJNxV3_$T|${`xmVpC~mRAur8U
zH{V>PGe?BRIlRCi3XqhhO(dDo8O4y;P@oANzC_RSb@+`lsTCbJaf=o+JO37)!=(1B
z-}2Kx|C4TJJ?isf6JV(~&L8e0@4-HXFcNjldgv95qDRjxYDE&97Jk1*6L2Hw(KF6*
zjFH@<=Ltq~kDdVufOr&!8?Di(+tGeGKSz(Ak&D}|F(?v(2UM6Nb)7CIM9(<MQ>REc
z+Ozv(><L?!BLJ;FkouLp>0m~0*Nis@=eCifgAcv*g!^fR=$UJmo|}D#+0SXsNhqAg
zP>iI;u?=&&n*YYco0YA*x{=jIhCpza@lPr}L>}QX{z;`V{oRgV{=sG+9xekTkbHM}
zi0o_JZM?X0iJ%{!2znt_fAF3c-u1E?hj%~y-uKj*#@Gm7>Ad61XRjW3e93{ww=ouZ
z>J}}g{dP?I-}<ia{E>~DcC8j}+Zue3(*O?=9dK(I@M*`OG({|#sFkGpudso8%f7_3
zS&*jPR@`CQ5~5Qum9D`D(5y()Zh|OoBd0rB+|e@89-5}z1ThFyYj-imq{n(f(_RIQ
zEdUK%qZ6NwhZU3IICPHyaF)qb`{Hr2m?<?#4d5)_n8_M2nenTEufxJahEmVNC`VlQ
zazC&3OZKRzI35+_eTJ8X!7t3S+<Qv%$f15ou>VlMq?78x4hY9m!IL1cc?aA|M`T-C
zr*r3Ow#B;V4zk1f0x$9l#=s$*UntlbFE~@;2frLTcQtQ}HulgGgD@Ds?gpOR8h#|E
z8R54?aoYLiT;)ZW2)dTIc)n)7apO7f7A?ke{w+EuF8;t5?!Whc@wR5Y8LjbjQ#Zg)
z6OHF6J54m6s6$47G;Jcrm}rPro`Vt>mo0|mY7_UHj#=eoj-&CcEL)`c23VW~qw(}`
zcYyCE8qZO_8^*<Uj%;^P<2gik6MF(j=x%12*=m{GvIP=unoBi+GxND>pkJxplq+rR
zKr(khpl=X?{;kGM`~G)-+8_G%sQ_WW->`X&UV1zC^DS?VI_}tEQWBB6-GJX8b=;`m
z9|MGa2W~3?;6M;NuH(>yr-J<vO;N`=(IT#;jU=LubE3r#Ba0fX8FgGGTHLWRrV$kE
z&$Qvrm=@vNF~yb?vHE~EPYH8qmE-|zkUdH1Hn3O=RZWG(wrhyG&eNN!9?$D5akbh@
z*i{8uWggC$%}U|;pDRND6u;+Z|7PeDB|@+=pg<e08V<1@k3FRQBslx1l09d}V6Qs`
z{TSy23y<8LB5XQ-{_IXUr!!D_;yhU4`&xsQ`|Py=Ud|E0Zv|`5y}4<+@{TOw$m5IU
z&AUZQIC4AT$nX8gH@^Iywqf7G5z86DUcv-1R|YJv%|p>tSHV31G7;Qk!iAV3PZ@cU
zD|Xlky(0$)<0WqdW10@lotU`66xf#MK;z~s#x&gy6)P&(f%>x%jA=SFplYcn5@5Yi
z#3@a;IkLz_vTr>mxtOLyVK09k=qKdXG!pW2Oli8)>Y&GlBx`%q)r2Bf{-S%Ocsi^%
z$N@HzF-^BWaAZ7B6LXH+-#n%1N-%`;<UIOz&3JnXgA6rpN3<=R=PdKDR(}kgtR_}1
zdRwp4*xYLN%ZGVA{VEL9vV3Uw0%|GWT<+t%#A`VM*4;AT2rO-;k58NFRK}=>OV02!
zXqikWP52qD5hLOI@hi5^e1a=rEm~>I5kZzjW;oK^VH9erqSIKZ)ViRxPMY0?=B~#z
z$2YtRD$N}PL3gKJ2IZ@Q2btgrTy$Cq*@@Cft#eesCI|`u7B~vc9m@rhAQi9)g7z3*
z94`i==h{P40h=InPpO22xzLt?@)gdJ3b+cguu+N(!AH;@I+Y`0-mTIKpU`9owVF;+
z6tJy%Tz9DF#O0jOQ3(~8C8>Z-au1~r1x)YW_*(2VCzYjYrlKe>uTYq(q`U}1w==m^
zqcG<vF+KJxp&pTV;Q!vbLY`rXlOBgSsXVX~`s>>2ao+Mn>hZ(>?EAm=@4WtXue<lA
zC%uZP=bs*^NW?#7RyDdSsvgZhb-LjV=$abA=m2>|)9C<$(Y#{vPj!5zdSA+$>Aqj`
zPb-TsMntxP(LZ$-Vfd-Jf^AZrG+tFxOeH#^ibNIhDB6ST6jh=#D^xvMO1Ny5fot)g
z^-P+h`z9quQI^w1B(#A3MuIrxM@99={BF~E49F!x6yhysd8`*PiOhZpkbE4|5zx{1
zf7E<3&NmT=z_mOFA`*Df&qk8R#@~V0D}*%A%g9|b3bAxBc%EqS&c$EKyKt^vS-O$#
zx*KWAGj4VB!tQZ1>>f}2KmXhh{HCW$^nK~sHziCYT7p-wu|^4h(Lu!&Jd`nhZjF+C
z+aKAN3&G8SoxUN!&t=l!#Nq@g*tZSRD~({XZyWS2DGYJ!ony3b@C9^~Gb*4;s>IJR
z;xF*!m5F-@*a*t%i6b~Wv{1Pt#bUmgguk#lTGASO<bB$!Y48^-X~t=^E=6cEhJ672
zA=YF;H9EK3qsP0m+XK|$PsF3Qb>D&Ei$zWyxy2#bAy7$c&hi1&=w1;{WH&%f_gwtN
zjs1+Yi!NQN#>&Pmxd;|ew0~j97X0N^jK3_7z~Trz|L$im7<sNQSV>+#Lb2Q1e(z<<
zJXcE4Uw-lF%a@*i`S}+vAIQJ!zR&r-&pjLWefRU1@4?DtXKaPycKOcM&0o!_dq1Zx
z>@R%kxBtux=44|VAytQfQtmOhsUdT6ArW~cn3IidWQsYtvW;MrhGKDkG42SEIoa4o
zrkImaDWW*wVa-GgFgsr{I09I5*MSR^=-vTCH(JSnG(3T161$)f=k37t*-CmM2ERE;
z*hCT+uDE76db&H6ZRGJ}PCm=ZQ#k(T;k$o|-}AG7<Db-L8D<-?7v28CtS`M%k58}E
z6gA|val`WdT%qWndG=jTe`&D?fAXc5sua^Izw?8C;|o6caTPaI0J2T1ILF}>%fn$!
z28ChrhL~1?B4f@Nf|cjEV4qD|1;ac@wIE4@!o}=@F|D#gav6uF{#o}X9L2N>c!o<7
z1O)Cac|%OAU|8c`SKRK3p0Y#F4=x@)K(>OfvikK8F4wXpuXFjQ*)zW%JggP5_}FA=
zaiCqv)AHlFnc6v;$8`{;1K?=t#{TBC(?wDE%*q+Jv;B~T+^TF|oOUP>)W-t7v|>bp
zB+ZRUBAEnR$aot=pxR8I#GNL20!5eP{V`XdM}8?trb!}u#@cW68m$rSOEejd9v<Hd
zl!g;X$HTS)33Yp(L^H#hjJYX!WJyR!kIjFGF4IGVt@a_hd@%PRf}}k}{MbB1SlS*U
ze!P>1=xwDIpeDQOQ*@t`-}bSwM;e79aLxBM0MRU_ORY~Jy2t2=&<Vt6DDM!FYvs5I
z#d+t__DlI)*dN_NR9#M8&1WMXKO^581mSViA6Wi3Y4jch^8VZo$U7CCZ*I^CbqK#-
zhs#M*5H^H|!s&FIi!LJPo5KaLuW<elXm^MQ?6w!w>9rH9TVIIpSg#)fd4F#E33vMo
zyeeV;?xIWA4}rXYzWxs98}EO&yXX$-{P_dL3-0}3@pRVmcp3D6Uw^H-iEq0jreb0y
zGdscXZHJ;O+wAZyZsh?6jNkS*aUON4PVR$kreca`o`3E+3D0WiW#(K%y!y6OL5stt
z<m!U`Kv0*yWc;W9r!nQd-Z8G)RYFJGl_BLlX^pOj%)Qa0q2<*r)gw_SPgF1wKjI6Q
zFTDK1<@0ZsE0Rl-hU+S6KO1**LAemQfZ2D25%`?DGAvh5c<84M!M#QLh@EHM74BMM
zdkgfj>#O~)(1rayKmV>j{7Jv7y0BU&YpDS%{zp{GVL@n)*mS+lWX7B(2+k4qbwQA`
zx5Wu4U%{&pZB<JDQ5Xc0FbaY^vu$M%wO#~61ILzZVN}8T6;>4eWz7U|o~48cP1N*t
zlb|RnTXBn427$d-+ul4n>MXmMGC@jalcPi^uSlI^f~b~a`6ve0VVp>NXh8(3B=YX!
z&|snoU9@zPk{U3{14cfnJ9VTZ-AK}qnB);|l(=XhNiF5D<tzL`;-Z-(8qsmVbkVk$
zq|&Y#Z_jSKQIr9V;~<ocN1t@O)G_Z@8)@j>G-=d0UH57;1iH`F4H~*v&k?I`*xfi`
zrmxz+OJ3k9`h@5Ba55d)U7Er1AAw^nyw~s{WH^Xb6C{gsl@EZT%JOo!(Md3`y8-Kq
z82#T>W}4QfdJ+Yjw#RG=kmkPCl_>VB?mKF`uJ#({0Mbh@`4taS-zf=xg?@Yta|R#y
zvd{bIXWyKMFlP9p34)RCV5Zr*$rvG;AT1s+t9IOMSrW|7&A#D}9)i!n-EDS?Cb*#t
zngk)viivR^nq|rP(9uH(GjL@>S2E|(LqMg378&nX>yniBLnja6usI;-LK_XVr{_yB
z0JrNt96Bv=KsOAbxO8v<aZIwZp6?J_wq9BC5bDfYWEo0DVmQxqd$b4y=P9GUo1;e$
z4#m*$8O7^3VGwYXob5{l#IHCIKylRgmx|?CgB**K5&6<&<vIAB?t3TfG^V86zwjp(
zOy`j>9iR>oIlv|$p5RY}mmB^>_;zUqRa9f~CoF{@fDVT_u0~B9Gs(W_uut$>u0eR;
zkNt07{E1$SsP?5r97b(*L>xwKbuw6ECOF!wQ41XXiBSWb{0V>|LQf8Spy4@q%bSDB
zd180yGz|xM00oiJRBA7hS@a#ItRj*p;sAd3BsghPYsj5Gh<OV}G+5jilvfL?@-Z?m
z|1yt}ZH~pABh$;OwKmhXVLRbrM|c~K54gwzJTE>|fVX?ue*{!1mi?{F>?Yi87<GPj
zv3_}kuLzNrcsqmpOT0Z;XZKpRkALtdU;5B*^JX-VuL0gQ`>0!}IKvQbLA#IJQ|ZSq
zUjg)`eKbM9x0r5;==gZt6>QoCX&+7Su-`I7MTI%$ZYbpIg0zoS5XEeW=ddD5@D!PL
zLE1+XEE6`#1_vSn7^$Oug>$5RG(kAv0K;+U6RJzJwBA>weXN3;UFp@Or4+O0f{eGT
z;Ay9nN=MYQXkonzO1y27V5;M>S)+GkjUJ-tASp!?as#k-1teB0Su<Xa7EPGs8Xds8
zChPl~HRIhWw6wf(QdKmP@%F%l(DI7Y5eP~vneLBvUGQh$2yl|lGu<GZuQU}L_uzME
z*Nk@vl<O?nK-=|>@I*Y%Nq<9KhSdQ7It{#f4c>Nqh2P<#(d7ujg^gVgR@w&KIq**^
zFw`#KGX6=W3mbdch|LAY#x7r79!meA@6XdlsgF4!?O!-04STEBU<9Y6H||>oiFlHq
zA#7?d1md2O^s?=UI_7hO<H+|XBU5r}AdvSL-?%pPDaao7N0CJ;5`aM7Up!&oALpBj
zBv53M+6xhN=l#V&uKP;^VYnQGrJ)o6zZPHMvz?Oi%Af0$%*Zhi)-{bXu{=d%j)Cdf
zANXT`Z}kQTU-dk%HU{WrYV}Q2H&9C?5rFDOFSCXXuI!?=IqaF0r7_rpFFU>O3ci-z
zfROG<a)+`NsPI-W>YDvwkG>^p5SW=(*F;rwIKT*~;AYdFV>AZG6&?c>TyubP(%jJ)
zoKEW_4vn`2GbT!9nrdn$NcjNS)c~DEK^i4tEVG(}WHJV5!xEA^6y5bMCm93K`zQk;
zXLI(}jCbd_1M!CZmf$sNFGxDK>^yfIN~!ADI?U}p-jF(WeVZ8|RMABpT>hoZr(k^?
z_pSRs-1X2_QID!j?*vXIo=+F5{6+3uw#f^zv~8WI{YTTYARrBIJn&Qex`wWj>>KIX
z!`<oTrK#)gn!1YeIn%z~RSunY^|c%@KJz<%`Coo81*q155d~Kz&hgA1t&l;Bd+-cN
zP=LJaw#~aHK(%9cGb5+n2Bk`@9rYE(X)Fa-An8M!xRyutio&Qd?9i}(q@yFM*=NiZ
zH?pjF0%wUh6D(v>XTlFH{-PCyZ6L~XM3%CLyv77ACP#C^HUx)Kr1TN|Bs;zA9R^;j
z$79Eyw7s;7!TOaHW+_NlL%Q(}2eYHqmR?4?E9*oN7eo1zALSCkkZ(Pl8~L;=%Mw@q
zKT40sISbYIdByaa!1u66qB^T_e!Qr@7fXA0mbR#x#nJ|<VYXJ+`t#ITJqJBMr+xZ6
z{^{c{eDBm)4Olz2OrahLJ#e|+MWI=<Bwhmz0@N`}>lIE2#<R)ErBI#>?Ya^{nr&)|
zrNQ<t4PZzlmLS^&qou6{LEuv?(5wqaOA7!|LsDFI35Z=VT3Rm$LcManm|6By&Ey#C
zh=!J(TD@q;8uJyu;^*dT*}TIr)xy$&Fm=gXo<}pUmGo{rrSB>j&rn8(FcrV<D>svB
zE`(TKrZW{kA;i!5%rE%^pXK3!b~{^>a_N1>d|GQM-XXIj&V2c*<daPBgoX@&RHSr0
zl$K%_WOz^obp$LEPndJxtjO@d1X1W%atrY4p%4<E0>c9nL?Z<F77!jFOz52>l~xr*
z#b^-(+Ssf}rDcM^i7}>#D?%N%f>c^22!<qPUwCN7GWi?@LNrN-=8#3pS2L;JRN3@9
zCqxrf4aE<t1gD_6puwzdH8!md>AN{PagL0hVCGpc1=s!sG_*tqHy9n<M`g>k#_E-4
zctXdptstf;?h3|PS|KV0cxaIv>{e+rNq2RNUh7EP&;IRqeee6+l&Vd(Y+SL>m?5zN
zE=2!-VAO0v+V&kddXa4!noX6Fwv~=)IH6hrDWt2BHuPr##Ug-)=?jt)b0<6@ZLqXr
z&%l1TZ)23`|CjO+uDAw3#w44s82x`t)h)wox7$s2>vQR1=_Liyw%zTt*uz&$tq`Mw
zb5pbA$mHBfqg(B&THi;)<kaeI`z4V2h2e5;moJeW)8h_h!meweeLr5@7}W?(1)N_`
z=WESls6UXrV=RhnI}l*HQ~qCD_Eu{~wA+oOeE^=iFWwY9Fa?)b6(qqQ4=BN7-M>dt
zA@u~|gdvdY2gKR;{e@21FPIersR|SY5qW><wXOSWyNqx0J*`v(z~#aVj?e<XE=g95
z-x#$L<mEh<-?+RVi(L+&&(fl(eW60b@LRp5;OBn*BOmyoDO}D;3{VBq8prV3Vr-Og
z6HMW9m2zMLmqSGh3j*1qIDyNpx0u63mtbXOoo*bXU9R*1`_-n$O%Uy}vjdzqr#4j<
zaXBXkKvFHY6qG8Nawag`Mh>vsVtPsR2_~(r8oQxlK>el}E3bAl$GL9Z+>TaSrWoJq
z&aV&`fEArsu)74gPr<UE#V0kI0=ssQdrf0{`#9Zq{>&Sn{UujoB^U?%Mh8T<P^ngj
zkf6#}i?7R938%vj2mqi-NYZ{RFR2SUP6y{@jR|y;`QD#OcHRXYr=t&dWMKeSL;_r6
z1{ZXk4lAO>K&VTA?-vi>1s$j3p+U9ELmxUWO-15@j?>|)*NjpqxDk5PB<MIDYqY_=
zE`liQ)+oeR3^*N*4SICxN=79CNb2*kCAcU%QWc|c9zhjm?p}u`J!q+BREjF7S+&oN
z(whUp&5=>1i~8pBp=Go~eY2kAA+p)|$u#lBK<Z+NFK#ft;2<khd!HXclxO+e2x6|%
zo40(6N-;U{NB`32Kl7p^D!Py%D)t8YWYDR}6m>EvI0w|(DQiGGMx}S!t^uS#p`dcD
zpcO6{mEI0%PXS6W!lEY_m7Wj$1bKuyMZRKGddQQqv>Jy7<8(VmA}YN)oO6EyM^>Yu
zlG3x;oEYX=O1u2fS?O&y+C(xrnZjptB8Qz7>7<WGrJ!1)$<p!*(H-dnH++{~iIZxk
zx%mr~2AEPlv<mHRrg1Jx?^UeymU5=MD`zTtYQiqHhGR)*+{{?0cWu95{bQ~1z5U7n
zBq_AZ2K41fzvpDS8(%b+Z&9-$4Kd(VMDpRv%7D=l0yn-OGhUOY3C0)I3ruIE72Wtk
za3y_B6zo=rvyz}2UpBz+H<EGzT@#0GC&6HRDT#RzQbT}q=+zJqrPjBB2S;i|&ywR|
zn>9Tg#F`-GMofmf1RycJH3@3jnm1XOP|dd**()#`{W6kA)ctY*VGh8+*CKd(HeFV}
z9IjFr0C1LR5U=8C5Q|yAYqP#oDZDa6o_^=w`QzVr;XT8}0<=Klnx({o4}ut}i7wr6
z5K;rbpa_CbK+`70YinX^Op+i@#%Yh%l<I<R)&ad8HW~pRHY+sdX6P-()VpKH;2W^t
zT4uN>*w4*6&T+dTt+EIL^~@`}S%;uBNK+6Dk2$Q>Dur^!=u(zBC!Dt^#yTB3m~|Rh
zBzJi1J!&pm%pn1Zr4tyE+tsE8PzCI^qay>QZVDp$9+)5*Xp;oJ1;?xm|3qopW1X=H
zF3KLxD@;bVrXL;WnXl;jv43(Es-^Gy2<2aTO~d-{L*2#l4JU87S5f|HthPc$Y<{%+
z8JGKh^@cAz^obWUUSrXgOe}*q_?Cwn59u2YH6nbs!zSP0T4QlvNgMAB16}-vyY?Hd
z8o6lPU<(}Z^gA%D^4?8%H*MeYmEZKQIvk*hQp4gc`R`Q}8>G<0)RwPG0@4IW%OGQQ
zBj_=J)x&Q<F+L+`m|9<veuJ$D8Yz+<vR?8~7^+;58pQ;UUPZC$O<54#Qrd$tZ-!J>
z?E1y9#OBb{I=12o-@~`Tp)nAvErU!|6k}zO;y2VKb*9Ep9pt2yRbzN7RTLJC>mjT>
z@e1>5!uIzn^Jw4m?~siD8zsh#>C!6jDz2hfjOpDO)1qh=Y&}$s-d(Hkul|RB>+P3r
zOm(86Ve4hSA&O8=2n1nPFw+->`viK+SH+l`AYx4IDZr3o6bNQP8q+F>;7y8jz<E`0
zYhRJZv<e<cVkn0`vfM8nn#R-w5rHBEg?T=nXe_fJjj0J9LB%1_`f+2^j{VRyrY1-n
zK|yN-%nEP2AdP7i#F-Uz8^mSJp~aY*<X#yUfrGOJx|JlhUM11*3@3t!IVz}<<ZQF6
zv7;xqb-Q#9JS_D*;8L#UZp8i-J8KE+$7Ph!Qps~4jR2r~zNJoqYgh7==XgS^FFktm
zL!=uYS2XVyEm7?JTXYUb$2<R--Jki08(#H-`cdqOjo*klH{G_4Ia4pd`oM}Q2o8+7
ziBaq*zgCQ5NBOl<6uaFKCTCo{?lE@7C>C%s^Xb5qkvCRcx%htYB4QMa0nN-7Bjf}?
zub96J#wd1_u`5Nfqm*5#M-S|mU>cEF8@PTGYip^u@r7AwE^^j|yxC7v`>*EkfC>6t
zc6{P^H4)g2nSF_3tIb!#-lo6RRk*syjk_0@Ao2_wx~;n#qgQk*>Tx}muICfwny;Hp
zN{;h*EsU8r$e1adn0xr9OGn@X>0w)j4BZhzLhs|X%!&E6-|(R?{!^X<S2NJ}0_s@f
zyT-CncYbwCfAj)ILGR=Rz`BclMc+@!8N1btUI2zR=nw^Dz<b<Z(F-UOA?PlwJI&Mk
zirEVo;~hv|0NBB<CLYLMfDW!0W*$ghz$l8Jyns;{Uvl8)FO1R|C=0UrAh(L+tHGaa
z5mJ`{!~-fH{fqK1mJ2^OgC2c{8}%`)zHR_%IFeEz1>WNn0i0nFX9|#M`kbErjdaz7
z1Fp}jb3^(XGZe!9;NRKD$x@QvzB=E{nF?_-6=yUgPKAk?3do43d^um@kS|Bu<q>5n
zBq7+{14Ti2$3amLzFmeKF8;^je_WZNIR}OK!ms?Ve&_{9A+)s0;D6m>eS3$}J7Vy=
zeXqXVYw%DIuIXoz?#W!hRAlabZ4d+yj%sv*^=pjVAXr}x1}MO5@GuHtgG_?L{|1=5
zApMVej;McE!R-nJKY2y^A126YL((P-(@D1?9hwTt1P`n25i6p!eru?{ADX(!1cA@P
z?I2X&?RyM@;(t_0aP4WLV1sl;bL>!qhwDCVJIVMTw_WoCT^k3G7+NiGQwraUz?*Pe
zcY38vvU&!&`rWLu(H7WW&=)LCZRdFv>Z4x46m)I;zdZ5_{vWcsj~N%xyj!&3|F?tx
z|Lm9j#2er5mRFN8W_c|OjPZdS-vrBh+%#F>GCon@eg+kwv86%9e7?dtTh@R<O^J7;
z$AxbLloq9D%LQZNJ>=8(1mUktydPIx8FmQLi#AOj6YqPZ)73<y30<4O{Lr+#^{O!}
zSTpqC^3J($t$we9$L$&y1Ivq|_Vyf8;+=I1+Ny?*@m`8PCf+eugVr2opIpPubxY)L
zM@Pc}sX1u(kEG@ZztGLtXf=EuRO|LA%g~%KSgrZcfDJu>6`Pl>GL~b`%I-E$I@HGv
z1kc-xH6LqEyt?S_J*6YA0zY1FXmJy5)6S=Xd=g!T;I)Z1L7<1|JRDGh*>J$#1Vfpo
zQte_dct=2bR`8DV-G}3oAn=@lhzbzZ)6t*DxWeya^IDXB<yl_L^y6!$=iQ>kOy7=~
z{^Ae+)bE}_*|%k17gFF`+QM8Kp3?N&6DU$BxIdIJlnzzLG`$y_qjRcVF{bHvD-Mi;
zhk#jBh?Mi8BS3#Rfk8_IS4UQ}rSkO%&>s#fomYub8ikR|&oKh@Xrt3nnFv;U7Zboc
zpaCQ@WJ(<vbT6fzhE^%@3&3>p_@<MbZBj#++wfS0Z@Pj&j~Y`oltYv*Y8vmDvTr2j
zU5d?L#l_}x*{jN1IAN<>LqPVbr+)T7`^FEplzkR!RB)<}){u-=2F4P~S4V3cx*nNB
zLiud81}0~4rh9_g63SOcYoI5$rH6<ppO4n)WKLdMF`|5Etud4jE@5-%i1PVp4GisQ
z51mlHI$C3UWJ6IpbVB)@!h(E&NpiLlQw8ItyP~>kUW*@8BI_^xx0qE6DsM=S$=A*Q
zo8#!uk<pXMu${ql1M$G3!X5_|HV+f(mF><|Ve^(BQei**ga6XcJne9a>cX~A!o8+}
z7QN_)jg~b;KP-4n{T99Ghwb-k<p7}91cj5_tLTRTsZcUe)ISvJPj{~d{jlAUBgylM
z!+zKS41|r~uphSF!WYad=0b_;hoO4lOg}7Xsi1#yzk#VQ`TMXfKWtQz3JobRU1o8_
zDvSc|pF2fG@UCtHs&S*-$;<fAQv7CS^In>w^YV*NU%vGG%g?`XxfCVx`Cl!3dpE<k
z_f?<wfwz9b?|9woP=w>ixP=Rbe(&~2V()-3*Qn?dFSmTv>j^~RzoaJ6CZ~?G3#Qb>
zq2r3I9>4^>X2q16umH|LF~8~ojKd=}Z2;bNe5jq{QCkzBpgP#tMlhu&RvkHka51YD
z2a={0Q)*&!;yGePv>T45CJr1s$m9SrGVP&rY64!1Bph})w0aKy`$$nhy_^lA9!X6A
z!D-ttb7}(LYia`SNKUmpS_q<+*iE@^&q7mX#|(qBztzy+U~0m2>Od&6q$Xa)sfoFv
zNO`HQfu?-)YyQ%IJEL=})@*mX(J3w~;IRS;?*JDxPI(GN>bMsWW3^pTITYDY;gWx3
z+e|KQrYqOEFi^a0;1EL*%pPDBmUM3Q8nR+2g3w0i8lZEl2ZYB^1hl5micpU`P^Pwr
z&Y{SWT2Ul-JtREUY}Z*cJHSLxxhVuoHQPRH=D-mUjbscIecBAhFF=vzHRJ8^X){}}
zv?R%Et6Wuj-mooUQH`%u_qL&v?sEnPq&wVk2xZ#0{fqjorE_cP+?pB`w#-<?jMeMq
z1C}7^W`d-5eg7{$^G7^LD##{cALqSBMUyr=fol}*hMEr*T$LcHY+;t0k&<rF5h2#Y
z1!-nX5HnagIu*H8%+Szi!3901!afV|c+;;yN~NT6UC?tXILAs#x>=Ll1t!h~J*R@k
z59Earet>JV1M6rb=s6Xft7VW80`*q(oC=yhwrh@&11so8>c!KcLrw)Ji5@WJ4+SlX
z`U`%Np>mp3Xa~@n%2^U<&6guyK-tm9I)T5vzouur_~Ua{3jH5syz|i~ZF^&`o0PN~
zUoY{h7T%(ztB_FpUY!8&pEZKAsb9_=*{aeQvXs|8Shp62Ecrq7#POZ~6L%YL%3g@c
z&uiGyRzI)#;%gfkrlg(g{HE;k{7*zakC@{*mmHI6>Tlir(f{~6T%``@c%0aAYCL8Q
ztiaBsiog>s(Q_Z2qZtFMV~v*v=4j>|&$<jo01Ttxj~_Y)R-*$qV_;Qdo1r<HF|hK`
zW?+tH3amV|85*QX;IEwX*dR@e+?2V))?^BtO(;HgCl~=yzJB4U85S)y>;PiZE|PJr
zWV}6fs2)e*^+K?Ce}J^H7K(L7K>pI2lWJO(UrD%MHi>urvX6R+?lW!4zpf1BlkRtJ
z-b(j+C1yk6rt^P5(#iOc%2kor8BZ+r23|uuq0#Gr(hlOO_nw>@c#US%IN_TEug|HE
zWix8bM6q5M=xaDWAC*uaj2&V(Dtcd2eK4DC&uNa8dEz%t23_8s+tStZmLJN;|L))T
zwZHpz*VTB3@!VKGUbo;kZO}SM9~3n88}%5=SC%7?-EdnlVn+p!mTz`JDucQr#)QHT
zgb#W^FP*&NF31~df+$@i-91XoF^N-MHW#F>HbHb)XyX0|HX+4=Tu^cZ<s6SI=of02
z;|?_c&7mbnU>CDNM+5}{abYeHJy2hfy4ns63Pe5h2_rk(Lu-zpED6RWodQ~T71NTM
zBPfz5&?U+bbUGR-z8_q31a>``YNYKLXtHR3A<@-#o`@g{V<On26r!{>XY19V4~q*-
zeJQH0Fc9kJTPSFHJoM?}``)1Mi^_oTvV$D}zwRDk8o2}Zf+0e8pYIu$r~0<E?Y!lO
zwC%Tk(jWZBFM55=$f^f#8Cl(8_ch;m=1&LeGCiDy;P%93C<tzlB9LrnSp#a>!3GF|
zO<a@-+Gf;@7ifTro;Sb_z1yt8OAc)d6a$`bE{=4t0V{5fm}f>M+O2vW&<-}B=X{S$
ztmyAUN3SoHTRPal6}L(jcRI=3mn7(516r!VKBpPk1B^_wVgMT;iMel*a=@I@LwoE1
zHprTV`Sq|WoofC|2C!j`IoAg^=)oueOK5*{(YE$m?oo4wD~Im_>!G>mux7kHE{QBg
zPcq)0{aSQA)<o*Ocl3CJz~h5F2am2cDgB({<Jfr~@jv{YY?R{<o9~b`UzsHGA<S@N
zO+0wLqsM!+UmZ&?;a;eG!qF!!joTCV-qogf0>$i&nuJdMb=`=0l=3eT+z9py{=E78
zDmcMDuR=p8jC^xxNo_yG+~F>||J)MW)<fTj#~nRnhH}|M&Mq}va@@rEE;U;0Qp+1$
z>T~#Fl=H<~_Q+p@p$p;oe0JgqbK8CJPC|&V|B(-A*dGFEf7$l^C1MGi^7GV|I|LXV
zrzM`tiC5l=+cGlqmLD=Qo40L$?(ce+fF{Vz$XE>uh_RTCol*8v8;wyeuO^|aQH+eO
zi2Fl^Zz=nM%g$Tmf@0;Z$5}bU;nIUWTh+1)ic4PvE4v8lgP7h;D~d~RD~{C%iA!%Q
zZgJVvb?9R#txkSuK6G|5dz9cxkboEgLqNp$*EMj}>e81bQT|IdR4Q87j^-rQr8mjV
zp-_SMI+7=qqUxSLtf88tuNW(cVH&RfgJ>sLQ4i16f^ortMP=nVGJYPE4tO)}4P#Ke
zr1F;-#zF;hUXQXObdERE*$8;E(2t$3@XEV!TMBR9@<R&m{(HastN!YI^2N#C&W&Oi
z?CsQ7-?2~rl4T2Ehz$~&?CrEcLKD56P>2d7z-cZxiyu1T%}7In90__1j#dw!jd(Lc
z73F<IQBtoeNvv!^e6fro6R?B3jIz&EP7fZY0YMKQrWDx&%qa+3=fT6`v_)0nDq*%3
zQN8%UN)5SM{U@U!d@$gdJ;XoW^x(Z>7z~RmVH_>&fyg*r7X7hlLIN&-aYQE?Ob8@9
z<H-n;0hhlxtvBqzh2&<-2PCf<3Z5<ybSUEpxHL;EURX0c#uq!xx|DcohXSo$5fu%$
zUC|EXED=WXspI0}#Q7D`a+@SV3A{SAvmpKKVg2DrzQA-yjTCmk{PWv3@436o&mA`7
zbBE3N++p(upF6D9Mg#mO%d-wWcbC_H?%q}mV+HqvffP_bILP3nz|9B_cH<yx$lyC5
z%)48V{!t?eoNr{{WJHnLWj0iTF`^Kq=oYabU_?%lPC|n4`*nO>!*bpB0xzNeeu!}J
zu*V(5<N`~;BfZ_+yN?}kL+bIn{RKQm-@ofFx|F1VKt7<nUA{jeph#KT?Jl}>{athY
zvK@|(^NmTG?r_nih^*re6fd~<gT>2H%9R>X>918c@$HrnlM;g7$G2PHD3u>{8x-8V
z``D_&KmfUE!rYYSxURgF+hUukV)&WopL;G>pV>G^ECDj=`tnr4=bnC1DvGX<o4q<c
zlsT(MIcCj2<M85#f4^s9RFzsLrYKgxX&pf&)C6x}RT>o>^#8bX64XC#@(A%n(EhA}
zD$WUr7qf?u%aOe^Qf5A9GZAz@OP>_ULjtAO@EE<A-SG(g4sQ&qi+Wts(Tk}SOGBI&
zIcq*fdJ(Pj1nWh3cyUiQq~^F5>jgcR>h-iyU2vSP#JZ~MbNRT}4C9ewfJfAoe`BDx
zbZnewhi`{W%DlL$#ZtSX>&NxQpM2>h*L6ul4(nd(yy_&5FFzFMeauImdge{8A{8Pt
zWXn)$f-9Oap5nhaZdlzjoM)kmv=#AQ7q5FI(|h1`m#>=iNhuSBXE2cLpar)T%Q+%_
zGC-6;6={NCrsLos_!gl1Q-|LVO%+)MSCS`ZUIMX!L<TNM6={MfqT&R1;#J0r=YlDH
z0-X!)9`S4TrTHvK()8MzNV61HzCEIz=HNMfvR(ru<I%SVbha^o32VmWRxKAgK05nG
zRjwKv#)ts%fNI<FcdCV*OU3`1m^EnX5*p|s_i?(8B?|Pw_^K-YSu0<TCJJhJ0NiZJ
zRo`H)njI}jo1BlEl?U7v<;|+odCL!}(>MHgU-T<)cHGQgL^?IPB}FbokWhb)Di<i0
zRNL(@ECde#5=0PjgVxoQHA<quRzy`FW?I9C1qpetUfZ*sI0Yn+p)92Iy;n@{>J)*>
zdUF&w3@BGY>;2HKP8qTsISLdjt|c3tF2>a<F9r^__}Q44s?M&j=;{<JB6%xH5{kSa
z9WEHuDWj>9k;M>F6j$aSGGwD!pkFGK;7OBsD4k_cr;tRB86GDK+My;}$#{3l=vPQ?
z(JR+Vy0ycaV4fB11{siJ@96RVR27ZZztSofu07r$+(_*w+@owj!xodAM0uzaRa4H-
z2b)Td`c(g|=Nv~7Kh(T7x}v}@%lYkJQcWI=0IKjs=;7g|YBq*UhW{JSVVrTbAy7ql
zy^JeKV1<k?aj-*b7{VrB-;fQFfh5<}>Qm+Mz3Tfrns>qDUOr3xBWQ<=-Wv9|U&HJp
zMa4`Q-qtcMjdeHESohcd{PCsV>r7vo-m_rM^6Utd3ReigB`tj_U%_dh{W8H?3xIM2
zwu2#Xa6y_c6WkjG2v|xuMJx%@dYRy^GJ9Z`wy=T5%LETb>~Vr&94$krydDSy+ZE|u
z*oyD~3a!T$Q%=%3&N7F=z{F%nN_E_1qQlZ0YRYaXmg$*1(@*VR1Tw3O2+zX>TBBgl
z(;MPF1+*HlNFpUidL*Iq0-I#{2xs?N*M;&s9*>Vl+@IUQ!Za3|aacm<#UjQ11?;To
zK6^AGI?o=Cgw8`CAD`Rd_(aLWW<tsD<HtgB$nkfnjw(+kDsaQM0NnHD41|Y<$DZ>E
z#k<(X`Bc}-(C{lBroQ_^d;Z+BCv|-I5!%C4%Ds0#fB7CbMkUpQtp|ZHp5Iv(D1Y_h
z<vZT?PT%jv=du0t+u!Lsi?el4#cYWIDV_{-Jzur^wO{z;kF9m!!?~W(`V!j4niR4k
z4=+J0UzNz)1Op3ZpF8|^b3LP(8Bu@MdN`Jt5j|&ucfv-_a7-*!{h&sWbp_>9?0Z37
zi2;cZ97@E;O9}tn<^>b}fHiow>dxatTi#+(tc`Dd37%2>&%g0c@%wrO{&n+-5+U}q
zx5obBX^NS#iE5OP8M`R$0;DoJjuQ1;ph1CEq)c$G3h?63vZg!q{$G@FZPIxPrhuGg
z)Q;;S2?7Q5ZxJQI!1|t~*zO`(=%%se5hM!jnjv?20ZsxSO|qsi&9R_a7vZlI9_Wib
zigrp?aMhcCE0(<Mc)?~{U`fm$?#}Idtv9!RkjF>rU&^QWoT3iJfNy_JAw2S&;#+%8
z`LTIUv7kMt{CFqN=}1M4`Ym2yvDC<)S0k?#F#h{#!Ao#~QL&O-&|XE$`fe*)P#J;>
zmT<xc){%r0*3uEL$dPS#l2J;6mpSZzV&O^LU%cqBKev5<{9thtO$8BT(qGF8Ro?Vu
zujU0U)3xgjUqb)YO)RwqR$luP?qjhnbeaFd=)scDUW;9-3*^~cy5m*TS3z@Tu8^C(
zI=!+vt4BF{N>Bd2U)^3hilC5=p$NM5$__TIBM-J<Qf(5NG%a?H$F=aRBJ+uqZkze^
z90iJ<(Cq-r0>SkW)CxI8O2c9+0-a}O27)W(d>cV}N+viuDmCONaO|;JsUb&!+Kt;9
zY+CIXKd9xJ>Lpewn?^p^(a<<LvdII)|E?>ehtEk_t8uYM-u^Dl3{Q~|f;AUTC?UGi
zHLIvI*Q~eG%uwD9*Gcn|vI~5F<+$n_hbZRVq6NO6k0PBjQT%H@>z$t);QMkj5Zv%>
z)hz(p7zidkfBW5*9o8vR9n;UF1bQ+1?8O1=V=w0)lh30}dv18)g^e-ox#6YvHo~;$
zh8I_D#Q`W!z(DnU)oyrkX#6PBT75u%95z4wJi+i{NrZ;dS^;Jb{GyE{UCsKFYZoE8
z_7J1ClJVxCS)0TlQXJ6Z`4g>bYo0*Dq5(3hi+d^mbbD4uWH#V)>@k_8z2Opc+nVSG
zEu{(g5n4JzAnblc82$>+iRTIazV_z~t?JlgjF3=*ZaexoBVoQrBrxF7nG<e)PbqA-
zH4kVS)n94X^?rFl(M@uc<xtF<Nsh1_&dLO-?{R)%gzV=4l~qMNG$$$*5m)~zdQ0w}
zA?|nANoB2*-V#Su*t=t_2u^m@y{vQQ;ShJBA7bbe4-k4t&E2xUNMuOUqsMpb7=h;;
zybvmvkdr|N37Xu)4(PH^Nt}rm6E>~KfD}auNJWMNP6Xs_1kM(d<Tgpf8BVx~KoKRT
zrhT+sAgYYVZzFhtlxq?SKUfNA<>&DTRvKE$Q!MQ*_%&<DiZA0PSY4<wYQUO;#^FL?
zNh6iz&-Kw}EKjGwH0s3it$)Fw^b7vPul_q<F<Ce#-49wg*RvAYHi9&^6wWa+OjaT(
z-`Rz8m4$YLu@%jVQT1N0aIUpF*)~e!Jn-A8!Z{2ERc*RC^~=nd!K^y+u;#pRXy^@y
z0h_A{K`CEf4h844w&57{{7a_7xx<mBMr<RdAhwe6?jUY2wsE^Ew1xKA1L#e+r#o)7
z7!Sn$z4Oez%U0{9F;S&(m4!9a4FbQS{7Q(-`$v!7%MOeVKm*_x;575K->M~vHlY{_
ztA{fxmP=TbC|0cjA!FC()pQKY-ipB(iPM*!%JU4KrZU`q@D=tlJ4XHB&$}xdu*B(i
z9jAvR`yiCk+R>%V?q<sDe(lSj{pnx)xLQh}g**n3Tfy0}lK5=aB#}==akO?O2&zjm
zq@()iv@dYBl?ExLoC)q>X<?Mn>IBA51cGTr+By>ig)b}o;A^9rT>)}mk*3ZBfqR!G
zB2ay52a^lZ(wQIvWEA$n(s>7y3(^-cK}2-qw7{XeBe><_InFYP9-2JNtOD3fJw!Lk
zDuBgP>ku|W^AL$EiqRB%$?Y>5h}_!J$d2dw86}sW@?zI@N_%%)q17P$l<<P6>Z_zq
z1cD!e@o%}dv6K&(<Q@rr>Dr+0qlu346;tR$GO8rN5zTa<0JDAA1j(q9xZ~n0qI`h2
zTx`xBoQG_r7H`cYF~gn%81Qhc!Q|IoQFww;k|56;`t{LU_ehTs^5(H#6Pxid!e-~S
zCgueB9g|P?&-S<ZS~k^@%8wBikB<>~DB@$p?MHcxMpE&tUX1K<tZqz!bXyJC=I+^d
zl~S>SwS>r5wmo6cl3URANRclDa;VSku>a%5K-~fn3M_;`9>_qtoE~xj<l!vjpdq&)
za*)brCH4=$zij*O*DD1$m)t^-5=UN0ZjtB)!B&wknA?7Q0cLztA1^~L?(45rH}Nf)
zGprhx-=d7bHj$_gs!ix8s<5(ARN=B|OZC6)Xqns}R)P&XjRaZL-1|)>>H7=zt0r-s
zz(i`xh;AUIQ}?w(fU9<uI!ms?U0Hv5@7+(oH`ZD{{a!DZ9IwOVes(x4((YEjO4XY@
zQStigug0yHU$}h!?Q&9bY3zfMOS&>XSZTqiT)^zRLf^JRjlEyYODBfq>Io11wA@9y
zcl3wVTQu*kaMw}@%X^}wu&?^NLj3L1KlO*6_$6n!RP4m4QVNm+3`k}eqStdrdiD$k
zMT7_Z>BNE{2;ZR1X+(gh^q@#Wg;bacsEhaxa460$VwPlA*zFzPiSP~%s61H*xV&xZ
zBceMXa3(=9XEt>Jnew3{{#HjEVP;dASLuh2c@KbY#LBp$gaqkgQf>-$EoEvX_Q=Rm
z@#yR)2a&K20|C1~T)TLdvm9=9Ts)gmJ)Zst^M7;~%U@gqa&i~QDnZmy-l-QYUpN0x
z1(MgDoTcn4J(8njYN7?6mco$7sxV{<&7eZP7WqkeRoq<60!yg$KJ?c=?Nh#gNje}4
zi@7#$d2>{Hg{^l<IwX|~<s6L?SeKWi!=HLi>eOBTtNlQ}+6;>Q)h|r*yHO!;sM?Eb
zXQ@s1m%l(dgb0gF$4k=T29pk$E)qN*)BvE>pJ~N1U8LPa1vw$7>+!&!Z7{BkKqQdT
z015$&H=V_B#)FxhJ|B)CYE)N3iTb=H+cUgx4eLrQQTH8<?yipD=5*5CQYGQkEn<k2
zZ2BGDq7dTgM|j`$XP&r48rD7D&5qyp)4%=e{_#ImGZfnGWYJpbeR|@sK9D<c^90E9
zF-w-AgZEPjKBwJP;|!Qmvr+^{+b3xl?a(0RVZlAThbek))FCJ-(5h{pJS)7RiBa>i
zE~X^Hz=4s>Y!Uyu$&yd;tAVd?RK^d@BaI~u?jJ8=%@iKw)VJOMmD<PeKp%kOgi}fm
zAmEI$9e};2%O9m6ciZNV^95ZJ(gP^k>FzznHvfcEO6_7i|BO@8gY~PC-^TF3`{D<j
zZ;Q$!eTDjnKvYt63Fyie#rC11u{UO7d5Xs68N9syuYB_F`SZ@)sOQ=0fLCxNEQ)|w
zXT!Eray@4CL&V0E#pvu<xxc2Tw)=vbYzQyq73J2OpyE_Ol{68RG#{S|JM>O7Yh=OQ
z^+&A&A9TqhsN9J^Ap=*?qOu6HVA8l&4n8`MR^K;Mrzbw=NCY6M%5I}{n%bjLB*zER
zMimV@Uu$?p4RBg>QlY93Ua+Dt=yg!BRt>3pSz(g3yXrnygGjnpujREiyxvW_tN8>L
zKG-(G2FyH-bmB;AjB6sK#L|#dsICdZczL|MxCcX7BmX+$ma}?#deyjK9Z4xBsXh&=
zvtNyh9HOgUru@dMdBxz@^OyQ_*S)H!P5B~h2yZ;X2K>4Q4;vti!r<4CZvOY4dEeju
z$c?pF?lzchN==H1A|<b`m>0FZqv=TK8qou0Ui3OA9k}3VF7R8(iXcmZYltY#S9CO;
zJ&8i-i#FbYUQ9>Rap=wlwxZJ>x-6~ez!C&epD#s~Coq(2-HadFV@n*mTbBWXK)|&=
z!UY4GPSR`8r<bCX9#L<oW}Rxr^iB`_LdT93F)Vc1lcO_TPw4bur|cFb43tFshE_d<
z^NhFWCK^1e7b+iAi*AbRTmA-bx38PazueILzMFEH<*XVK-c~0sy1BZ=H>#UC&-_{=
zVaroK;kx!<{X2pHc-#f0K^Yjv0!-YZHo92qNN=W&^vf@P=o8i+p=p_1TSr=3BthI<
z%v`CbgK;NHQ?}rmfv=u$4rbu8`9+XbtHYz2se%XmPmDY0lUqT00aXx<5K=98#E`7E
zgq2=^34(eNHV_U791QlPrbE*UFu~)F<0l!>tdXvk=%aj9(n}_YP8OYzi_yb-Wd(9U
zdI41swS-ccikVualg9<c3oyyUc2oQX^osPV4;i6VN%%Bs+reF=-cMLFR7oO{FLR^m
z1%U7<UHD`)Mcb%$&9m>iy_U>~es-H340;TvMC{BaLPwYU(@mM`ykbDE22w9Anj|q*
zD6^AfS_nxr$nqEje%5$hBX)>@PcH_8WyANOBatyzI3RXN`#)+7Cajiz{w%vZr%Y1G
zxICod%j)%Qj3`k9T&FzgocA(9m2=+fxBGiD;3pbLdnG0eM9+rLSYFVr@>)$S-`XFd
zTmQme|G<CoL9a&|Mz?N$bW<JfIK=xW0k2^<R|D61bW<&g#zdwb`&vY|&}`NzsTm-g
zF?6fW4Bu^6h~hGA6w$3i2LWnhl!ccrzkpdqbPHA399RfWq&2zs<C3%VoG1lXc#grF
zlJoB#bLN?I)SGbT`rD4T7ZkZc+enxgv`r6F!h@ei)Cq=?cZlk#rykEF!F^=)i1Uqv
zUWBO5sUmNa4fJk;dXt&!uOSfVkA40>{0Cq9`kJJJYQfuR0KIPE`r(4XV?uWjCV?tS
zUcNH-Pj=&6ibCss+)BF$z+x8^_pb;ZcAPPRcNy<|sg!g<asNyZ-VM|P4&5El&cT=7
z1;zcdLvy?aSHz<!yc5SDDDGboJgxT}Z-kcs)8!O=_7!QW%a-tPLDxYL%_YVC(=~9_
z;{KHtD|;4(pBDB=Us2sZlf)bhEQ#lHx3)Rtbx8q%?BM8%(m~|MEj~5ZEzhV)?!g=)
z&L`%ym*UHCbaBqh(UF*7>kE#~Gj7+6w`X@k6AvX%kZgZ-afs~bnC8UE>im&bh3X_6
zJ>H-aL&*5dGAAZT#yh01o1CY(zUiKeH)Tghg|9Y~pFo+`-b=jselri~h?koQG7p(I
z>yO7Q<DoWU6`bN*caZgv!>!WlaJN%v?}%li1-*<e+^vkZ;sh(BRE2@-tL?Qxug*C@
zK3Fvp%LNk)DF-XFK!TNOW`nQ>C?yimYm`#f5Xerr_$<}yWiyUE$xu$r0dLSwlAQPn
zmXEe|iSb;uGH-fPCaK!n!O5wSClbFU^x^q7sH`{P=U(59RxLBJ{E!>{#;3me(|_C(
z5yNiuVS9pSiVH_MV{d3^EEMs<rfckz+(b=86!(&iiYz^$#jwFu?s-Nx+UJ#a`;F>i
z7o>`_6@6YQs`gcFx?pspE1}U2CHAVfTrehyYqA9VOueg?N79n79iSI#Y?vUnU-D_z
zuVAdi2H8m-F<eS?`wmcT`}?FMah=|T+PHq=nUAckiQk-)5}9~z<A+(=RUBH?X{Aw;
zzIgaoBQ2hC%)>55S{x~h-EsC$Rh5<xEx|ELLFJpvH0EgzQsKv-^nyafFaZ`Kb%)+4
z3V}>6y%~D}NCJgCxfg)uO+psep(!fMPbj>eK3!4^cSlh%?-sQ-X-O^IOlskO_>LF<
z=dX3Ut~gtpS||ewSvSDwB*XS8wQxdoDXE41iIt)W-_NN9SRoM{=<t`=QsGF}k?72U
zBZAS~;IHtQVex?-gJ4xYv_vkpBIpq{zS{tlZv-W_EP|D>3UnL2`BxIRm>|v!7X#lI
zQ#jjmOsR#E|9~X?nbFk3{<uN-Cu^dDAf4r;wN@iJU4Eq|b0hJR*uiKp-7v~0U317@
z>ng8My_Mzi?eEg6cPp)WAy*LIVq<PJqdf!6f0SIoVwCUBC|@t%vKZx?G0LyoeC5ad
zMli|}wf6zv4N5YIy6!fG-`UWJlJ75&;t_Rcfd2^30$gk$#fT^#_6NXj2(}Mk`)vg?
z;CtYldaSqsXH~Oe#1ql{iO`uS;TC7v2u3&&G{mS`5W!6u#N!te0bk5tRuUmlR{>JZ
zShE;q15(%YK)B*=Q@DeD#RT~7w`=8hM%O*c81j+{@CATLhGqCcd*fmbYf8Sq932&U
z%3+CJ`k-e7d;#LJ9vtB8eqET0!<y;#oG^n(CAWb3<9SZH1hnjGsAs~X(G}1gV+nTo
zm#aE6hw;$3q@T~Nc5#|pV1Ji#?57@&lE3nZFL8Moarl@uX3o1sOI&_CBOX5bt^fLe
z^uEcmm9gQH8E+qHqoj>7khsi(V8hd?Bwh`nd&`?6-i}1?QK|XYtgg>kcEsD^OBBJ?
z3B__*Fyif_^(_%^AFXeRc>73w%PfOgW8(Tj?cQs;)DACnYMcZHJl^<;+7UQewWJ)b
z6>!{;R_xX0$zxQrbSN56ATx_!QkGB~fIKZJ+o3<Gp=DrgOv(~UiaBH%W!v{>ElbQ2
zB6odOsh?(J{Bly3@II;lP}y>R9&jEg+QY49MBr4|_PS4)-&VJ?obI2z^E1Eudp^F_
zqIiP}uUof}(0>)s>yPr%P%qiVoW{uTsh6tY7#BYEk_lE|agYk1<#Z-FPK6&w&x}*y
z$D89^Gk{E0A(z833rVYCM@Oj&!#tFVu2&7G+ARGk+7cwVR<=xrRr|O4$!Jz<M1UOz
zGKlzB3qbhsVO{7d{(4CD!w~+XQN&aZ)^KDNXEeZP)%`Ji8ItjTqh!b=TJT|i`7_hz
zmY?~eX3ar{hoW~{$Z$ibEvw{uqlG-=$JMlOkfhahNug|D9j4WQ2FdVvUjV$*m=@Je
z{l_6Z-xsJG(_X**x+8d<&oeyW7rXtMS$ibxK=h|YOn!7JmA3OlyEJ<dC<ztXtSJQ;
zCW%t~LXm`$u=eP2ZqEgxcujPpIg#|&={yns_^*W1ROaOU5wnw8$#{=g0w75PAR`5A
z30D;F5x!=UAHVz&zkOPB{!0VOUxV-KOM`zaFO72(*GCs$4!kt@S9@vrvAi_=cva*+
z^wL1yUK%nLKdf|2>J8zaZt$fUAuI0H6G?qg@H9~1lj?y;jvIX$Gz)jB%v4Hvf@>h#
z?iyfB4>7Ll+Mr&DIE{A!Kp-DbeD3NCkQ_I<MkN1Dt`P+C{@FDGSudX5aD0&erZQg$
z<o(6*uE%fcslajzu3leG$MVL@;X53D4h*}+oL%1m+`il73(Bb6yhE%X*lsx;*Z0R9
zUkt|$2ZTUApoAjz{I~myZET(2Vz_R)ehB3K^Yw3K&Tu&X?qZu<O9<rsB_65A7g}I{
z9Q5(BPW1bkB^Y$XL05u~7;a=F9L8^N+{NG@#!}ljaf6HP*jHo(SCqi1U2(AF=2cGH
z%2jK;An+vM>{YW<1&yS4O0F(AL_fu)Yg?plER@7AUOe~0i_gC2*%zG!xc-R#Ytyfu
zb61At>ViXeg}W@2#DOT9G0PWx#`k^M4?32jhTCc!bPF+>QoTT5oi;2<gykq-!C!Ny
zfxH6;jzokY2wJo&(godTfFR1U#eF`apTAwvU4}TXnO!r@p{dg9Iqpv^hG6-?25wV6
zM|bK#PXIp=P6!0Iph;*1T~9GGMahB)V%$}`qPuhu#3T`1jI79^T<PbLbc&s%SBBFO
zOOlfgkVXe9^}*e_$z|OB@7d*X2Yk?HS8rvwPC<_g*QR{%`od<>!Ig((^k}mOPcI!n
zk3$Dgd4yd#Cx*YMz$1s&oE}N)WqXY$mZxZ3o*L}SKk!)}`ait`$n{MO?2lXa=)+^h
zl)sX5R6$bmx&|XWGcp<2H5mMlI@Iv-9r!ys$JaF&uKNFH?_GdxOSiHxtbhvMXd)P|
z1muvqUJUKl^?n!w%DqscEs%35A{D)dzE9hGd-q9q(}7f~NFqM5Vn9vB5+e#p3@Jpw
zsHiEem=u~AF<``3h+-L{m{h9N0wd9U-+26EjDOBO*IZ}sv-@=4b*uXBHP0IJpL5N}
zcz)v>lVf~y)@3(2#y4kOrpNf^n=?JeH{TsJvNIqjLH)=W-+X(f$M}YYK@2oG#y3n1
zOwHsN->@-wI5tZyu%i?(puI;H)y)<qC&u_nI@X@k=cdQ_mV0zuqt%SLDd6N3s}K)+
zP8`4l?isq1OdmG>yntNIfrsL?bgtj6tgLQ-eM*pi=@B=%%<Nw*`xJ4x%iJ8357SsJ
z9v?*GZ(MhgG+jolrCsDH))TaMiWLXZJTm1aIFsnL?vR7aTXzTb$pJ)YXC()4zBxE$
za-amqCuRUkA}R%!e6d@+hwh@J5-`ITSJylC5k7XCxU_f?B6uv};W%X^1GbhdY_Z7G
zFn2fc<+?Q;Gk4$jp0EC*-w<^AT8W;(KW#0^7{D1`J=;nRuV5!p1rUO~>@H}fO5&e(
zHu^OLsZN_<Yj}kU?0apgO<j-^VinwT^eSnrNM-7REem@Dfl9^pD4l*2^tvm0PABnC
z=$v8}l+de{Dabf<#XqglL8?e8PCQ}GDM<?7iKCi;T2?sM9?HL$q`C9cG@vuGJsPRh
zHS^s8+X^A$%&UR)rC&4O9*mb`cNqh(6;i?7dFK0r4qlsxhn(@?7v&pdnQELTC(ZP0
zmOBI(HX<dQ=K)3XB6%{biHN0|kofnL<|9Pimb7^){3`z^mZlXyY|947sTDeRnu_ja
zocgwL^Td`2hj>;fgt&g`|6VxtpXaFiGH_4xeo^g~?JyI=;&rkb4^dj-i`KG;a}9yL
zwI>1-+Ke2S+!xxnWEwwKY4YdYqTZO^*Dd04E$yfuVPO1ie^9)NVbYR2*eEppnD>3@
z#p^t$u1uAa?>v`{jWmmH&Lo57;(?0!60f{?{o(h$@cxg)Ix=sL@%PXDp+E42Zw~@C
zMQ6A}64<E;o@$yr7o6m&rp0qXV4|6wLqD~Qc;(A&Um>EW!E?dg6rnZkT@#!lw5GXp
z!AU}E26s(xiqD$yw+l|wSu?hCN$^FGPvizVYdRz@IZbCxhr}gsLuXAh))IeePaVF{
z4F?b@HIN?Hy*YWT{pIz)Fx5gz6ak)c5TyWbfb$Zf>$Jm#gDnEQhiqtml9<h&=Nq)E
z2UP=8J;M{A^7Yr{#PSr)o&Z(kd%p4$KI#8BnW6+&jI^R4zFKJj5m}oQ@iGEq;DlTz
zIAMB_5>=#UjE;)~M<qgVx$d$%sv=#m4PV?LHmGSJZ6tL;c4i7o0}v-1Xbq(s=U5Y9
z##FsS;<Xpd`7dZlws_!nuQ%*rO)H)Z9aNd5ibzs1W6CE70^x`^?KSarTKL@fAg|h#
zWq0)0q(p*88B-0EHUEeCaS!1DWY_~Rl*^Kt5y)lA4E3rBh|mHv5VTd5hhb=C{sEhs
z&>m#XMhlwv7mFJE_loXJHZ}P4fY>HQ2}JBU2v!N^)6b!SY?-8oxLClmo*xs~Gk-!8
z3>3|!N%Q`iH0Rx-URhsag1c$b{JCHMNiV&(M-_NX;Kl@5I?@xvJZ%<WYs;#?*5tzA
zf$w;p!NXXC-s<F<4^oOutP#EIoO5BvsKNXkcbk=(C(QX86mp8e+ktIa?3j*bNOr8w
z{5<UT+WolOpkuQ9>SxyAsi6gbkQ*~~^q&H=kQ+Mxdog%#W`j4^vwkvVP0#vw9zOe@
z{EAbG#u~SvXwbUftA*lOZ^2il8VOE9c{lS6m=l%YtY{_+!CBEv)`7F4nJ5FF)E~|N
z)EC;Q%5=jV<|g8=di#g!q)vQ2be1V>I>c+d<E_nk)sX|oDaa>Eq!jwlSw3E6jzoBS
zXy3}dD-$c(ca?swa5mw)7D)4eYFH9i;p_I@UhvHeq<N49kTg~7f7@;^oNtCC(|0fV
za{(UY-z&MQc6>=lwJq_So2&fpcV#Ss7AI&WZ6qy(@6(Zf`g-NFKl^k4{0~KyRTWeZ
zi##4=aDfbqAa8EL{e@mZd<LW;02vHqzyaO~M#K(5FoZ)8Wjx@NdL&`!6~H1_OA_2t
z5a7dZ#n3BQadkj93`?EM4ePe$97C@F#3+bFuDITlR<Q_1#Lg8loR2GloxwPw^zzWZ
z3s4a!MhW2+VUa74uGbai@v{Ap!acBh3CZ<_HOX>piD;YTf-F)ZxgLNwg;v-lLD->n
z&an|#sg;ZHO_YOmO;lw2qvzX`Bo~9*BX74K9WXNFaCn|8-qG$C<r{=4t|UsP<jzRE
zY!O^e>F4w{@$k@Jmbx)3%=IeeThy9+!8A&~>nfS=(Qa|j4rE-`L;dZutcf~;1_JUH
zHt)qj`<i}#vVyC|0i8FUhSz@wvz8kM`v<oocM1RTL-^nBRAf_sPDQS|t2#74<b*6e
zHi8362I#^nETxTRa|D|f*&4k(t<QtJ!9-<yAIJ<Vz!Z$da;dGL6y0u23tzG|_noad
zb&D?9ny163@fW`OkAC@Ap03~u`MyjVwnV+vTMR+%)kKgpl0$Nw=sH($t(brnPgZaN
zf0En^dgrw=5{ITO^+WGhd(c_ILhjI%t-#k1q_fim_iOkW6kHr_;z0<CS8TM@X#3^l
z2$@~XVV|YSoGZB7{hFSej4nodNfp#Av@Z#_5yz&aj0G)AinG(s5_<@wY=^O28n?z-
zinHUAkW()Y*fQf1OOg_%*wMi=0{Sxi@-4bs6rPtP^X<XB0lHdalCxZc7NV)qJg+LO
zb{-Ca?woO5_AmC;<8z$@H}C#;%*^|D{&!3!{*6+TVd$WUB1Mtp2lO-^gBda?h?dAz
z`ovQtC)>qvzIDeOKk+C{d(O|T+RSh>Xqa5LoLIiMVN7EE!+-emzU<|oG}QC-Y`L8^
z(W6I6FoPbKFD`ugcyaR^j(~#t1#0!g`~XCRY*LspKY)}9qOsP95<A_N^>45bp_tn%
ztgL@qfP(qM-6$;0miw<ht`8WUOeM5I5ZN6%>t94}z^IDgcB^UixI9JwA_7OGL&?Jm
z7pl|L89{?|p&cBvU+V8ZPXl(UFv20#WwljrLgTqU^1mv2ZV(ijD?a&({x`lE`$Vbf
zDs`7hLu`Da?A+(RkUJ|K550=KYo?<vR70xltf^awP0A#DqD@Q3!ywcX09K29Bq0$B
zT&wae^1&viwVWUM*c)&{C0**&^WAE+@y`H8Lh9*SjZ2;SZtB#(`!~J!ooN8(IK%(p
zhypbO8gw^sDh@2KQ9xVdV_VToL*81HkBT5&>n6BiR}M@NrV$TTWSZ9ncZ)R(Qm8_p
zUA$kBme&Qht0UTXxZ)o9k?zp6ye_y$N?%j00A^{b)xN@yVdT>UHyfTKv$tDv3Y8y2
z%j<#|Yk---w5JOU4G?=8D~jcHNl<E$`iPPkU0ft**;6%lk!%#xL|dd^oNHEPciGj0
z2-~>1eZ$S8|GmjL`uF@hCKLZgiRs`$5MEJ~Qb1P@9_aj~SYQxsz~wB=Fc9c>fG-)Q
z=$4SJLGW_!d|;d}p5w+Wgu>HVrdR{x_&IWU!jwMY08D#^&o!lU&A`0n$AX8~zwhh+
z@^3qpyfN>XIhi$5Db?rA!2@6}d_^IMv5Z;)4>vkz2lg9;87jCps7(k;UDFZ{Xcwd)
z^G3wn)I;asf%DN3W#G_&<n-s5g9i)}GX%|QO{R~MK*`CQoj30(=VBGi!2@Q!1KcAi
znav=<RDuVL{bb^Z$(z-Hv9%^|_LwO~Ww%@Gy5IqIodUndTuVu`?3Q9S%I{If(33Yi
z6pKWGVoZB~cf@D3dqBgYYeMCA;m}D{t6DG`yLH?0H>tKGN~~!(l{y#M&)tjGm5m!3
z^eCMF3oRO-^7s54-;8~t#B?Ad)6|nPx}<LSawl^m1JaZAkXJgiFJIh-BCynr!Unbv
zFy<`1*I@pVp_mRTn4vnQykn32)6&fImLJp1-}(N3`-eXzG_#g*dkg_+7U^c8?2gVJ
z_3)znOU>-n-{WCL#v}%nYvxwqkA)yLa}(4|2n4~^R7<)U^^Zb622Vgk@z4v7CN3-T
zHu{QKkWJ9^LQ$XZ1bGKt(12E0aldB5x~!NB)EG#NsZmgl&{FJAFM`y}z9O!P>Us=M
z=j@9jD4N+N56F6{V~hEaunJX@X`Lpyz)%bEY}ZVHb&}M~E=h$fIvjMBAQ#P&cdJEJ
z1+G<Foux_V=)1d;QQbUQ{l;}}oeGzxC}wSvr!KS>S#^r%6}nRVtJoAp8;<J}HbvR9
z{It~LyyeH#<Inqbzw9&rVyH*evwhY(Ij$gP>U_Q8xPtV}{85Z>?F5VC3KtmfG(B9v
zjyl2WxK0#2i{m;~@Jt2{IQNM<W_DaB>zLVb-A~mqtK&LV$SjWQbS1Mmu9KC_a(5;x
znfdliS2FYcnXY8!8+4mWrYhsxJHw{b-^2yYtlGO2frk7^(+OBKYdWF&Pi{0ky>rsz
zVDYKr%8{-wZEOPurK(FwC6Nh<IOwP3L?BSTT6un&E~aN%kX%s4r95$hkV%lY$w}rr
zoV;QoYrePi{tU;O`r6BjCoIR9YJdCpy!Q4V4)m<@Gq`Fuwg!-sq4$%D7!^LlVAxll
zR&{0_Vq8UV2l5)x*dfUK+*U+%jur2cqX@%I;VQz4A7D1RLsPZ;p^r=C7(@_Zzvd+3
z93`!4KL)g@0BCT<J+m0hNrWID3tw@+*yuoXwn?c$3qeh*+LEALg`W>+`mkAZ7He5j
zRC`+!cu@6cM+U@6PQoR(i#7QY@s8~l`~EZ~C*hL2^>(KzYEbj_zg%(>E{SPx#4HPb
z)f2_~6L7-?J?oNt(8J1&Jkk>Ozlg7<O9I4lwDa5(zgmBLzC*y{@+$FGVlrPZnQu{W
zDIs?<kj(c8XTicat~{_z^(xJd4<s$2)*+3KS64eS+DR&>SZ-%k#xf$hVozj$6OFw}
z8~G8#4EqN+bNlO*fRXR6_Zj4C`4<lwkXK-vGkgpWA}$bGQ12D!54iM-rIPJ1El&qR
zYp>f0Q*bFHzk!A1dAF#y)|W!^-4v3)`8^-_m%i|Ar$#?1go_Q&WEQ8f((rjeLcHfp
zA-G1U99StN1nKeERw5x@u$Q{7$Y99@qlJ2eGlT}!1$TSYX=o<5=x0`Q9*2%xA5;>W
zVZ`=<g@heQ<;O&>kGjelT<A;ng0!Z7XpFD5iU~4!YW3sLDc1)_934C2@$A;f%yq{W
zkH^<+joz41({)>06~-l7r#Fza<{$XcPulXZd~G((<2IWHbCn$X`shgqI*Fz3(|BrY
zWhzbk_-b!&aUPAj9Ur5Gd(8OvM;Mcde>3B4M!SWF&3NMiM*@3+QBGDd93AD9p6V-<
zAbpe*_UUhLdaNNiHOeVH*C$3fr3V|MoK|E{=6I-)QBLW}zCyP!Fo`GzBJaIX_s<_0
z{Py%{U$q7|Qup+~NWi<cBgR8*F=2sgZrz!WHO08QBz$9x5P<W^&b|KV0ylF>G}o!y
zj{!~QqNg?UJvu;8;+-8plJz|2zXX_x<oS~J65!vwfH-^!@Z}f;vLDcN^Gm=fOvWP3
zkAs&$M>DDa`E*`_snJZ5xQ*P0R=HBLWRQE1bU@kx$&bQ$1A6D;0?Kx{fP>La=dw57
zcC-`DH_uYyXs42_S@UI2*&*4E^Y1E(803W4AuflGYD(7+qnFBZI=d<DfYC<9g@!=C
zLk7SMMmrtvd9)KoW97bej&=eJD@JQ=r~=dVlkK>EGWjYt_3>(T1if0miP20+OJXz=
z5@kDBWb5n3CdOj5O)P~WQIV*6$f;L{{11}{D~YEzajDJhiB3EQ63vV>LFb}8CD#@l
z@@eA6W9iFirZfboB30YPZWl4GHe-i5cV%3zEjV^pxXWcUQ@Sf`3wiL5KK;{Q|0yk|
zP>7i02OLug5>(Bi!gpqx5Xl1j%Co3Ia&6yBUI>)h8B-ir7+u;4N*2`w;nc8yk41bA
zbE+#+^Rz=Rh$Un8Yb9u;a%f4?*^0Z>f<6saY-9y-j*_GEbHr2+SrO!}j48^BlA?3L
z<w{vlk6;Yw;jWUQGr@gBv91>eG>AiM7PUz(jRjzJuv))M&Uby_>pMKPxjy3m=Lo^G
zdXMTrj7N`KW)B~)1?l8|z4yOiEeMS|LgAOkr#b%o9GSXe0!+C&@V`vt5^7;r?y3#R
zIWCWE-zhg#6V7`1;UTa29OGeHAgJpl>7)9Z$1UJ``ZR4A9M?6|lZ>Npz|z1q;dS?q
zlO~+)=ty!ae42i-QY-k)$1h6H@)ckv8hWw|%Zd@Y2!DHen6J=hsZ&^hb++!!v}Ssm
zul4|zD|BTEV0izF(&KyuoFV`?aECB5f$@I&?eiZ}xX9!Fd3#79VGk)h=Zs3hraz?o
zm>yE>;twf5J_`@&luzEZ`>-B3U61UYc=Y!apG=(A<dbzytUCSSld_<BP66@O6J2n=
z0`!8~yjnkaes#OFGF|#iH3SbH>OHxrGNL72j)4=82{WYtdtzK`KcAK3V+*&D%p=VQ
z<O>!~@E|6T@u%s8PsIc{U+9qrO2<r6jDOAhQ|+bWW1ee1z6`vH)6s4)cfvWd20OP+
ze2ZDKdsw!^dv&4>M#Z*Gh9@-6sFm>B;bvY6u|wLtIN-rw|KOz;zUuWWk14Q`zaq1K
z`>L?#(?J$ykmk>F>$R7!Uwl7ei-pD(bY*4A>5UvB$<@0!;CVX1vvODHfd8Yv{ouR*
z!fAvWh@0qFgX(YBw=MAPb4Y$_t=L#u*}j73K)0m{IxqscK+8mjARTZQJZKvk5A7-I
z5Tw)Mf|!;^#4D@$04j*v5Q6ksTrg8|41SDgRO>{*Aa}rVu_8JW(4i&?D*XySh8{~>
z5x+qS^l1IEqJJE^Ak>cF{}vArZX10t=DgaH1)+wSu_lQ<H1nAxGeWJgpKSr3)_i7?
z%y(yd1ZAxJpe0B~`lILD11C;n4+01WlKK9?@k5UYyfso5DUiUAe$rc0&)@wt4=Osw
zM$Kq?JYkMncId-#mruH=F=p6?HoNIc-brp-x0;KTPlKPkQ|UG-k=o!qp76gQ9PAs!
zK@46T0D)K~1KcAA;KiTSMy4)dSbTPL7Z>LQnimcrAZlrUnTeM?hEpCRI!`jwJ3YqY
zF%8nj^2RsFTHhegx3{?%Bb^txnvv(j#ZH^Jv>3z`X}{t|Ow7=;hdq);^N6=*EYEzA
zYljodQ?#GQu>14>{2%y^sMc!fd;*`gVL|}<$ZG6Raa0|)1s(}ZvJ-q7%C?jEwB25N
zJupnqbWE%$nH5KLA@hPT574+3k~Q6-B^q_XC9bhVxSKtK;(Cq}jJhCatg7I0fT)sK
z)C9LnZ@56;n(Ed#M+rq;5J+9MDQH^jFHgaz9nq2uHHeo7%`O8;Nf+3fA-O^ItNS?x
zpLRHc+(eQ9VD#;;dBLZ_P$`MWVcoAeQwcwMY$GOAV=}QL+KW2N{>j5-R9i>1unT#B
zoU%B+By@87c>5ZyF9l#$`xvX*KV1Wy)jsBuS*T{y%2O3y$+zh3yRzB9sGK5u*FUxy
z;D^i%$M@oqz(idVX_j|O^DVw6tgQA&tyk8$8qcHVTU=6|93Gt`b-OjwGk%5ICVK_o
z4mDn_v}St9uMRur0!4B)7-lJTTifxf5j`K!O4>h=Qnz)<R%1m^{{XTmfuT#nYw-3X
z^mBH<NX(B*2Dotv*k~`A@6jH<qH2tz^MBbQ&}w3md`+Z(nP;O7TUw)pOU`?7kRi%1
z4mSH2XDrXeXU_kV$8$WhWM8p!zkQ5HJRafr<cs>>_$EI2QvTxLocFP+pN_vcI5T`3
zd&egp1MbXJ21<GzlY1aV-|QZU!H!{vgQ_k{b0q@-0a*C51KjZD4<N@tgAy4G%;YWp
z%pheW?s4poj7#=sm;x#pFr;Ma@x>L6{o#LQe}*Ze;?JDO013iA{Tw8)a*<gRJn8x&
zLsL#?P}M~SsHFTL(C?71-)19emv;S-;MtU_HU#Sa5;U~mk5VeCxN-ei)h)kY3bE<_
z$#(qUjbyCS{-|n~3?c;T@g=Zp$Cm_IEQbUjq+7~Kua<A3DxCBsa!ld?Hun&lYeLto
zNQ|X6d9vn1EVgC7-icZtP9ChddsFqtOI7%il)_-j_K0|b?xupW4bJ3a!zr5BRgz1Q
zxEtpD;=7XcdzO+?uU>!4!_PmJl!BEf;%mIgF{eEyr)Y`-vxH9~3moQ}O44HwFJ6)!
z@ZtN8&773lP7TM*NvX-<nAB4iXFfMM8#5=R(AWtiD$LpTxF2+tmZTIyI~{ljRXO-I
zB`MW<#hJC!P|TA(C=8aQ)D9EE<>-hUYsT=TV@1b8+zjzWvO|^rb!{%ux;awZO}!V7
zL0XAU7jif1F~D;t9i%{%COoMwe}^N1JonT8MK0*lS$<wFN~vL*D<Gj*v;D{gr57D6
z9JspMWZml~_C?_{Y<scZZ^m5Ew|(mK|NVEz1R;HAJs0Gf1@FF*ukQAky~(<Jyxduz
z2Kg%5;xuFn!E~9Q1A?7IOC(=CSkqnzR((3bO;q&h6g5%Sr||M_W)Uj-^kx#Fn&gLD
zi6jj4DKg^(TGZ4%(UyK8(N)0C21qVJ&Qv7l>%OK0L#;&)T=mc_)#r-N|LU&iCcz`>
zi%-6y|BY|PK2a)xYAoH7y5L|w>SP~LfGOCKj8}>skU}YRq_9*M4mgi)9^z^N?eP}U
zVSo5{{e$1|dEpZ(xZjb2x`kAM4<W)U$Q}gfF#F2#*)G^-3xVzjFKZQ~4s*d)T|2BL
z<5pIr4s*dR@J*U@LbDLw`XWdj=7QijqMk)w0euLH0<*7hj?`f;2=&SCBMK4?R#&7B
z3&Az>T@YMt2LwX&30*K|`=Gw3HPSe=_=H1Az{>`b%)&IuHU$X3zrW<-6S`z;k~)HS
z4++t7g;E<0=AX2QJy4<b=<_wGI(;`(6RD2oX;GB|OTX(m|64Vw=sf!;r|qBHVeBjV
z-!c8EOHc9=Wo6orX_LxgR;GL+voe)ZV`N_FewKcWp6x=mQ>vu{o3Wf$GIx?5P6w3j
zw7;mUIR4p{NStp@l_AG`7I}uai*Y)Vo^RgcL)KNF#38gN|3vkU!F#UWRj|yszbVDR
zdCQL#2jBU5|LH&dRpAgSX493aAvldYo(a?uV5<}d4OV>E?v!nw^p^U%1`3qQw5^DC
zFDXi}fS|e}1gT7$Ai>e1(cqQ#f_!vc5M8FMB0}};mgMq;PKgz%OkEJ_*@mhekLKNm
zpd<qA$6#Qs4gvrph0U>Z!IQd20MkG$zg|)=2=Hx|H<=&w^<9Y)(a+U;>t1=+1pZfd
zY5iZr?yu2swOh2EjIYYSV>0n?lt^CNNSJyV)qake)VxassZ!1epG}nL`97OHpHEB8
z%v*j;%{=@izxUUCS8(L0zH&9=Zh>)YqfVhyrHflYHPZyqwW=IBQW&rPL-E;;Z0dmG
z!h}h*k5<9rv!UE7ye<bcME71`_SwMIq9Gm1gw`)&XVv*^F=0{%AC(`IeKsT0L3M>@
zraQ;%vthKQ*7n&GFz~M!clz}KAv?!|&|d_AzgtrBcrFP@8POb&TuS|-oMrLZK-<eQ
zGS`&cV3o{wXODhn^Hwp>q(6GTJ?Q>L-!0b!@r@=L;$n&+Zs&=)pQ_!LXjtyo%r^)V
z9&KRf=3p&CY0d0a?@$+4(*pLN!O`<AQv1(>APAG6zzbES9k4dtwie;}b0bHzw5-(1
z!a1M$WQIcbdh)!c?q8i#i|{!jwdcEm!;`C|^wp+)MZm%`uphqXS<W3$WVd5RgEL#4
zOXLR&E>CNJQI?kN@Fv;Vkjv6+lqgo?M6<JiFw%0>YQU4mTY0|Ku=nF!t8pXTo7E?j
zpnwX$89Odrse&A@ZtZw^tM#y2<D|PE4+_R^d|x>mZH1K@S=)EAK6W!)UMHfo+Sw~F
zUVr#~FTDRF0jQVW&O08QGVd1kmiNMUb2of9fA>%Rxo`c$Ke6?uhCN}SOSkaet(HIr
zq6$f?rYJA_s$`rX2rfWaX9&Wd(yVX@hIvF(zTGR?9N6|~pr9&3FuWI>0w8FxqgWC3
zyIwGiBUW6lmBMYiCna@VF>E7|AO}QSToI#IdciP_{4uD$(!)a2;eeATycZmLec;rA
z&2xM3_Q888KPF|IAh|-Ttw@6UTPud~vy=CNvqYjvGx6xn9Z2SzgJ~_mq{Gtyfgiea
zyQ7Eq0=AvyQtOqV??H=fCz)>#xDOQ*3Re$KR3};P&tXAKD1Q5rM1!4VzCq}X<aK8Q
zl%q$}Z_jrKkv6?7Aw)62Zj#Km2y?XUDsAxN`lIK2v`0?|j*jyL$!~v;#P--B_#kPC
z2$Z~>&YkY&$=H}~jQR=lJtCF2Qg7?ZtUZ~7VlNMr|I<azt$65OaET-swyn}EV2ptB
z)#Lrm^K=H$w40*-FUpb~g)H&#1-~}H<M*BoBFF-`Isu@EqjD?H2E=@L22$E?PhTYE
z8BZ|z0nb+(2#)6g{sc;zJR`o+Ep7MicS%R0zaI~=g{#>hUEL-wE%jLgbj>aL+VbbR
zQ8SiLIlje-<$D)^on7DeZU5}m(;ylrtb$D%P>S<vwxTAKoy64JXSe7JoQsjGjf|tA
zZfrkhY8nkyqYL8Ex_!|X&=`8`zUB^nQVNZM9aF_@U%_K2Aet@6;-qJuy>DuiTymDW
z4b^q0AeyGhA8&8gda4$!0%9Av4QpJpX1&eNp*zPuQ6gn0hmqz5L<9XgZ9wE5kAx&W
zozSNj5RH-aQlI{w@Be|{^wCc|6%Js61M+3`$$cW0+aGPHa#za6$WYJ~ZwO|8v(bxS
z-n*e~La?}-pz4$KC|Xpk{V4>qw;AC8B8=YO%g!cRN6_NJAG3reD(;@nQEWdZ2sPmt
zc7oZ}1X&4b&gp6{43rq>Si*tbVx?VQd$4{EoaZP&GXi57UEFLSbGn14WF{YM#5LkP
zBQ4y=YN-GXNpzA)p^A%FM;AJ}+#XEikrX9Hzzu&<zCQ=hoJuH!k!{u+oql`1K?ihM
z%P+!^pCR>UzC*BhAZTGY0I1dAJaahEdUy8t>4V>1?-79xT$2w<cRfou0D1=CMJc)2
z3?3vY@U{oUd14qn4c5#d8$hcJ+2E%waly*bXW2aU)bAq1P@{#yzb={M4EvY6!UX7Y
zZV|(3U+)}AFvdPUW)b7x%r<(A;n`T<76@cXs%nxnx$cAB-9A=8$^M)y=&fUgj*kUN
zQ>dnN!s6{Gh=FW}F+=YUVxx#v*lbj6<B8@VMUXaAsh6kK?yxDZjQ4}g@b6jo_tee_
z|9$`wxMX2Jc>RG#_nCz~Hw3$6Vecjj`~BbcH~)vf|C5^Ag(@T*EXOYE7E+;3CxVRV
zrH}o+<XWdm_sg^WnCWBB&A2(}RTCQsYB7{K+8i4Bu*0L3)-bj4DKE&+@lAY^13mWU
z+hOZ%YrLIqyX_5n7<L40b++^c`$va8IR>32pTz#5bA(R3y-9GJaCH-TFp+_~C&(Jw
zdKa_*`pX}EO(%*>G$6hq#n*YasL#A!%>Lb&{ons3pYg8e`r_-&&@t4oddyLj_XsXA
z=osMqgT8<W=ONgjW9Z22!TAx*1J&ZtF(eh%J=iLOd92u=V`vLNh+Ys>5z7Pgj>aPN
z1(5a-96Fs~gz{KX=db}fhUjA+bPRmRGofP`$=3gxRD8u*Ht5(^NOsE4N!b?u9*2&h
zDWU&8DciDS-O-?9Xx|u|XTCiF9Rq-Eux7qLWMNk5*aqze-PII$x8^3u@afPoFc$S_
zL1ABU$$*Y+muPIOw^F#dTr!|za#OS9Q^{h8d!e3%bJu#6G(3+aG}a2M9?-cMW8Lcl
z9V2_pW(EI%?|vj5Zd+h|OF_qG<U~@<GZFC@yqA;p_m-DA`k((b|I5GfWdS(WYMBR%
zUVw{v%PYracv($z^e%|xD*GD1Fo40rnkWxJs--5_s0cP>KVwZi1gVu=@UX;0Us<uu
z0}VlWa*T>#w_YmX7$?hQF{|p0D3VsgR}69k?4UXN5X?2t#xQ_}`~mG{u6YK-016YD
zDUKBtz-}iAjw#Jk19@!Yv65u2d2YcDjyyG3A~#zbZ<-{T|FuovjGeXs-4~koPm;MJ
z9kc}~=?^6H?Frff0H6kv`Tk(QfYTN%ET8U2KdHA>{aWVP*~dKw)h{jnY)on+JZjIl
zx{lN963iZd`riwi<?}@EoCC&r^Rii9veEaKjW&IBB6H9i$4gjxH(}|&`z>Gl_D?z4
zg^miZ_zj3Qt$Wb|gMtZW5DBtshJ0f|WR80#gUB5Afa2N5fh-5nW)4fiUdf@-Z0uq9
zlOvSA3mpSFR1l`Q6U<?$P1VGTfb#Z%ZWNgDtHFvS&H&I&MEcecGIunw0<Fg$3(W!q
zz#tB@Z&->3Sl~n#ItFwLDi@gBt~u<aiElYwHRrePEf;IrCyh0tIv1}RhB-d<E5|qS
zNsl((y5qLo|6W*$o(HPo?f_K}k$DFE^+_?Orvjuw(fH}dU!U`fe(=3lG0sbERUd!F
zkO07e6u<9Ib{Bs&aOwd6Sf>fF?;IKhftn20x;g#|>LO4pD_$lJo#U?t`~;0IlR_n;
z%kftu``I3aq@xJt_$y$a(6<U~i9_f3E1^D@9nsJ%1*N*F%|DVMz4E@>7e1fYH%|v4
zv-OA$;-$DRzDt--P(nM##m^C6%c;#dLg*|?C)}s2C;v+rZQ^6PLKh9%Vfl1yj@Ahg
zS3W~?G589QCbh>KGhs<Gg{fD^<qBvoCTlTDPOV~+OkpaBm@y<v)4J&7?IL+4Ez@us
ze4^H^LUNX=F!c%#C5O$C+fSy_m4{A}n|3l+@IXAE-2io5pJNR;?C5~T5uU~nT__jQ
zn)4q!umyVn+?bH%UV~(Km0KxBImF`*&weO;@eDM^vGQYwb1IJ=w{P><O%NWVF%>ff
z0%AmKfz(D!H5K?=u0(dUC!|d&n503&N5$)+8d>`%(Bc3GEFF-}VLqT_I|9ViGc+S)
zErh$mNSyZ<-52}geDjPfg2>Lngmo-3Jwyx$t`q#vGLtJ^aA3j;VX=(eP3JG$k)9ol
z>_QVr3E58&HiK}uFf^Y#vI{K2&`WXtm^BO5;dK9$vp717Wjmd}=()HZ+-&_X50x$3
zA!;BzPFfL!$LQT*AES@K1y?poF}{f>6~n*6HQ{35l1~4i&$6%H9jv$-jm@^T&w36Q
zCj<~&Q`}9^X>U)-wFSqTfVp&QA=V8WSyl4MH|^Rwh`FowHM2dq9TN5G=>C21631!9
zch4lXZY36K7rUKxTy3Tg=G>KWxwhcgrR6S{F}P_q8tWE6{l`D~qp#gv-2(MiI44rK
zz+kFs^mAf7D3njsEl|s42QipDmmJtvSImAhCQxy(E<N$(Qi0y#7iGU0Z4WwF7ahc1
zrd@jrY&R5#_sSUsUuQ6iD*MgoEZ`IkIz@}&bgQKLq?{uRd|NQ^4V<D<x7e>y+^187
z2I4_2BsqjQ=z;xll*A<9?g~k@yZs<IT#|r58iWam<Y-xMflES;1b{-}Y@=f0Vk&B>
zRbs@+gd}P^{bkP%Aut0g9g;JYJn81C*X|D9%^3UUy~zjNE0?A1UnY_DDuX(;hX(c!
zzLV!i;t<!{As%18?f%af^uOKL-KNDFt_%%!hda;z0ciOUE?Z@ugSjXsTzs75g7i(k
z@WM~bWtHbFjC{w2LMOBMJOXda2b5Pn_V0U!1s9)uj#E9uIA1i(w;>VqLC^6S1t<|N
zj9#ARMqm>jq`D#72SDGYR~*&#^zE*ivq~tEO_|pg!=e@YpG6A|-=(T?scO9V(g(#w
zZ9a>-FUT)64NxAYp~u4=Y)XTE%==DyjW-Wyor8zOC<qmEUwWijf-WxIk5ByK|Lj}O
zflYw^zq4B@<AKhtJ!!w`ehl+_#r>Gd@8z=mWPUHZAHZxXK?Se_>>9igHZhq9%xSyU
zQ@&-1q5IWIH$AuYo^r%r)`F0Xb#_0X>x`RRdBv&&klhbV#6ro14!}sxu(XOppR%R`
z$&q|zaX%&#mN_2<%(FMDj~zYVpWCD?)vgbn3bDib<t<<nG~ebqq)g<sdk^x}HQLzI
z(Q+!OCX#9z=~hW3hwqR06PF>N7udvwN^O?)LOQ1;n#O%Vn%$W`AVuE@ACSkjq=<gZ
zPQqKj!T1xu_~(6Zf=wu+tfTpjUlta0L%I{>zX5Pp%%go}un8AD9F8n%p!xTJe56?U
z5Tt_<f++onAjsJi%^!j^U@mBsVpvg`0pK@;ARP=Bv~lF%1O*tPKQ!|jE=XcDLGxn*
zCfOLuigYks5Cf#Z?E=evzzA8b55=MBU^Kz)ifBuu_m6v|8tRHt@N&rmvM|E3fFO_;
z5)MWzDFrW=+#kX1&4V9NQBr>?NiyzqN#t|L+<>F+HcZ2$-}A(IgJg7vCSFbRSuELI
z=$@7zW8&8XxJ?gXcHMh!!2gb|9RD_+BY-2<as)EVBtm9nWH7oUh1`<NNg;cmRDcIJ
zJqf%Es*#&76sycJOc$cWL5&<iM?}V`y!6@&@m4zFArTk4<l+ZE8?Xs`mTw5%Zp;JB
zH8Jy+?@bKjug72f<$vcNM~}0D#aumlPl*l1K*<@sM}40P<1ZIPCQ@^-KqN2+i&r(^
zXH<_((5Iywpixzsg&@^q6FegSDK%{1yj3%YAl0J_wy`P)_TO}erh0V2Xm(nI;H4AH
zs4}E0jo5j+S@egddh`_$@R@?zte7k<zgpB|lUyH2Yl9bX4K#W$Sx{wdR0|Mo{RJw`
zPBE*c%}TJt5si@V&K{X`ZA`)m4_cV=?TINU8=)3Wzvziot}4By#YDLz??b&sqHrzR
zzj)?#77<D)$N1#R)c?je@yWmQzwu3c(%(=aYp!eizsS$_W1=Kqn{_Xr%dE<J-HH6>
zz3R@}=6BJdTtEoO?-pYt4bAxnODNxTzm^MPQ!Y>BX9Y13W#Adgk@Zu5P0!W6mmKW<
z<zP)=ow!B4{kkB_?*>_Z{Ug8qC;ZYLS?<tDy*2R0cPMT$puvX$gIQP^SVi!8svx+b
zd+N3@GEjU|+|c0zKmj%~xDjsX@Bwg+`?UhB(F!-<p$i`X=ZIcxDcGV6deAbg{Z81-
z@BwJzcSbwB#IYrw3Bm9Ic<7Z9lI=mYrh!igCLaJxE*S41@Y%0?hGmir9{`ddn})q6
zi!*R}-NBO&z)QI!!#C;B4#592>`H6qyMyer`T)pRcLZ-IEjulv*g`eSH(=29%hS+K
zl^bgZ4tpD)R2spQeV8*x_ts1&Zqi3mr5C1?^Gd&yOeeRTa&}Wi?ABqGy+dc3C5BaB
z{Jp>LkN?q_a;6L29999!tBD#Fr0$KEmedd)1R=iagMm<~5L{tq5B0#Ejf|X}+ZZYp
zcs;}+f^0z(?O<$Us8k3}O>GR7%IPgKWu1ASk)e&u8rapk)zC)OCq~{x4JZF_y-f4c
zZa+J%(__SoG==8zhW*2bRK>;~^2vq#Z}+uq>WPmBCnFl{GW7P;>>sIzMl@2fFcMh@
z;1!!sH1yUU;S(x`aZD)=%!qyHE&CgCV)@>&rUv`9fA(wM@#jw_hE^yOOLhh$3^j>+
zg9h90(R|Om`N*WTtif7e;@DiYa0<!s;+!=j<Ich<gc@mmi5rZt=yEPugB>v;Qk*Ev
zMH{3?vIaY#b<zZfbJ4N}izY%)_VhWa<P_#6!p&yLmlIAQBzJ4T3!vhUW8=p7j5e(Z
zO{~eLl_2v{5~oWH!OgVB#Pi3EsoIJnIyF?NqtbeTJdf(Ao5pH%TFsT6|Lwj`M|m2B
z^D%X5Y83ZF^XvW>xt@zd`0O}@2|->em^V6vmt4;!*YmM~xBEMv^sSG7OPF;n9C={U
zDz1l>PFz7b*9L0ZS2vc!cd$BQTSJg$-B&zd)GBJYu>UI=GX+}|f;8(*a1Wj$@hC7!
zpf5G&a`@3b3Rq*K8;BKY*4v70MD$8J7R#Y&)?HAiMY1@96iux&8>g+$X+D6tK&m*6
zj4cjb%Hi8KOd2$E{gR~|9@C^ZTVp2hHBaVcdK0YMR%t;bquWvEZYJ6dYx{?=u)5D!
zc1FG-jLkm0ngW)*;K-t~{2ZA$4@#$o+mSd1_37NlriM5dS@;~Lnga^br9g~C8c_6k
z2R=P@2j(D;=zHA|b>DSbvdS}wx)+#v?5~}fQJ_Zs{KO2>8i%0XjS7D3kAnMI1#$^o
z;&cRenc^5J0VNQ8dW)U8-#hhY1R+v@u?P7?5Hg5yE=KwOjPkr&)W?aJ0?geMVBYqr
ze{S`GD8MNFuNPp9445?w)HGm10m86qfPLjgS@`Lpx-{UWQY1;9V6gNn2+Hehf+TxI
z9E*V``xV0YDkw(T1!0R}@UWuB+C+7=6~!pKAkveHD8ndG_ya{yjIs%WoJetEhYdV~
zPEd@p32qmlaFuWu!)~;i6o+Q4?U%<mfY2wnz&KB=c<`_AtJNsmlE%o(X7>Z8y4RCb
zqwHt7+3E!XM$M+o#KENi<3|Uh4@ac~A+uYP)2RG#(YP+z7y&Ur6eEGM<ZMHqB3~=Q
z&`hd5HZ$*-ifnCFAcH8<Le}plGE>vl@`C&vraC_9B4bl3^%OufA4k5%0L$1_K5^Y-
z^gYV<zn5tHd3N%h(|I>petEWt=4W^nlG?Nv>V#L(n=Ga_zwb9b{2RMaw~?`o>>0WY
zp_n9M0o^lcy-G<niZk>KeRA=7X>%-fMUKLty0tA-NPtnJj$r1pFN{ZO6DuO1ktz-#
zZ_twU(2?4tlWG-`9pGfWV5ByoBsE#en)A|BUYuj3HgT@&17}J@5RJ^LmqRd8oBUA%
z%9YymaK>*GXK?Jzir8vO?yZqImK1gC7YOBW#UlgbGaOS^ZVtjtt9SSFr_WQOO@p-$
zs=Fdn$uImr)m}F%P~CSsr#3xS$=2lo3$_pEMpmIkdBRD7;z7ax-is@!X-g>33oQ6N
zx*Kgziy)BiYm1toK+}sZVG=?#50ivz4THenI`e)1g<t!-zWv?30`(-4zRf7mwAv60
zD_z|s6ex<;p2<PgWiU!1uImZT%21%WHZ(HNAQWh>4WTOCV}V0~vas&8A@pnX(AiL+
zD9^Oo(8%C}P@p)+NrK5xpt&|QMld;E8yX|63<Zj#x7rZ2vyzC}eWSOW?@rW)&L^!@
zeR!!2U1~#j=&jxoEOd5lXs$nM@6QwXqo4I@U-#>OK@S%4@ZX)InA(e6P4Ah-3k%Pz
z4dXq5zYNE0wFJdZbu&Yq!WG7(SH$4R6RhDBcY>i#Dd=-%F>JSAG1MuY>o#Wg$nLo0
zT%YvcI!~=bA-4FjeQ@V3yd(K!zS=X&^3p2I4;~(`GNc|3^AZt4(CkTSBWJ6-d^maz
z3ay_g_bOkq+r6%mY;!)Ics@Z3*GHCpb{&r9S=n`*FHIi()vNV`=b2qEw0=mJ*X%sE
zBb`kX8(VsDqJscAW;P_=)1=h%G{-3v<5(p7+86&{U-3;*%~RF~*Br(Hf#7dNz%d!L
zK&v1xThK#LbGYCn*99Fh7o4Q9;Pc^vNQPOQhBThSr{mDn93hCJ8-ql0a%m(Rg47%?
zh_oVtNEGRC4_B%=LQozB<E7ey<O?Ap1vhM$g^CG5c}h%hl4e65pmyv@o(-weI4Ra7
z&juYaUvq+IW4<P&iqn`eihW7R85UbLUS`9J{cetFn~Wy~^+4k^7OrdkZ>Mu*)4%h-
zV>0n?luD7TN3j0)63IRzyx{gcpYVPzH9j3UIfq3F3==b0lrB6JMw0cc6J7lWzvDZ9
z_~RpIqVG#@s)@ryu9SS?Yj9Lnqm@nd4wD~+;{j$Bz?v$UO*OLQMoWdREKOY4tBOEB
zn`*%KNu9;|*@5`|VlT6)hP_1Fw3uqN9d&})R38^>^nXEcizD{um`(K&PJ*lmxC|3O
z<<QwwAJ%}$=!yrcoyCtSrWy%v&0}+XTJLyB(%E*z&y{vH_zCE3uinu~1FRPHZh8lF
ztueq&7{mCa(r<JUxeGNe(3=z76i)!X@#p&?M|vRwH<Iibp@l$iZ1;1`@{HxB1~+f{
zvB+ilU7zyyZwhs&_!Cbd8yW?Sa)v_$RSe5k1-eId=z@usXUz;n5u`e7f(?fVlpT~;
z5u`e7f(?g=I#|CV)u9VU_IkZ0n{Zi?>d*zj&Z}&~2ShNMa<#9ZiKz}<(84h2O{jKN
zhapIH*aQ~`&WxZS#!HCa3_($cF1bgn1iJ!g;|9YPx|3AMgJB!@N{mR!%>aL?kOxOW
zHf$qoO#gcn@?esIZQLyn{Y96;ik~N@I#R*o=tqps=+86XAC&z_3k^w3bkdeM|LwEY
zwnj3Rp2oOD-R?pt1|a&yO<fE6?I42k_{RUL*f?%dzI!~T&O_zLCtuP3#y4Z1C^a2H
z^(h+xIOdcOpU#vr8Xy=!>&r6EQF{{~ybA*T4v2VL@eGqCfLM$i4-t$dNA<KvPY7Z&
z9UrQ-j9xfFL#)F~+RxF9R3w>z=iK8RJvYf@kMGk`)$^7gQ`KMh6aMbc{%N21aUTat
z<Wy{e(u#r-bkb@`hYR!opaECU)iT!*%;{+~$}=TIK@52nr4@ov?Zfl4-NP9qTw=Mv
z7&v-`AxKr=nQ`F6$<u=sS9|tt6~UaI4#EL6tM&c#IXxYaj^$!NVZfKc553!i$6lOf
zAi~ubj&r1{cERoXz_b+s7)YLV=P0VWNdmnl&<0@h1{boxC5$-^IWzFM_1X4H58&f{
zaD@i&k5uhLVtz??na=(C2tZrCmZGZtJoj+0#TVZp1R4CIa(_^^lsI6AHs=1a3+0Qi
z2~s^=92OeDw$NWrdFxyfn0}5g#ChWAgWsNS5x8@=DhbbEaGv=dq5905N$d+xqyBao
zIns^}+aoYX>|(%4`|80-<3n|<rr>77qu&RTPq**N{)my#C2vPRD{DL+gZYiwq4+nm
zNS?zpbV@3D5Z#uG0P6c@14E6HEmBV`4`TXrH2O+(cCrW{UMf`w2-E{|J1km1JqWXl
z;0LA?hCtb0w$uJXq@RwD;XK8n>O7sOy2y6yFL6iOl*`jB63i*J<JlI;p6PQf(gnAF
zf4Kd5x2U)37u^2c;P&77SO1H@`Xf<(Q$(ug^mGd@001@uBt5jbH1?HwMlOipM|<P|
z!5pB?C5Sax`W`Gl(j&J=X-`vDv7!V?4xU$9AfVEhD|lzLXdx&;tgi^$DDKGt{6Xry
zg`fnnj);dpqyYcTieYhCkvg&c7<Xg93Syk}v7!XAevZO02&-!WXIW8$Sd)ZN2FCy;
z5g7NsMuS+Bw6TkbDd6b!-31+PAFU7cIMAL*3YL0wNiq1*S4UD?f?8!K)Qw5<q|H}D
zX?a537{Tp_XHcnU)l?7SOI_y~Oc=Ud@Bdz4`)_5~{>AFwpVc=_dcrZgSpE6M)^kSI
zeCHQ@=C}Ryu==pp$a{I}TDO2KUT@eVPHuIQtTP<2ugvN<!3~I9)B-IKs8&H*eHTPK
z3Xm~eam5c+kXGLXcdH$H(I7~SOeaXI?}EnGOoIxN;ZBfN-v#kCa}rDnFKNunkD=9X
zf;cileF&~)VqOuX)ptSUqjeG;ni(y#Z(q%epe2|LgBdwnHI|jJOD$yvzSzGExVE@r
z{x4$I_>{lr=lCW*&5_YFt#n-;3fte@uz|N3moIQ}QhQ4grnogJB-UbF+5z<-+?Pnw
z3UIIPkVD!*oBX*zzT39R<9y+k#Scb^UP5Zg$fWa^?TCT3$QwyoCM#RR#zR~!WEjp5
ziR~dhp?NPbm-(9a&S5U|Zqda!&c8+H7{|~4ly`pPm-kq9H;$UEz<Y<bMYVb0*jK}2
zUzK73GGK^CC3Z$@5IX{4PeL$?1rXeUE0;9@Fkr6LLWN)?qiYY5O;HKKC>CHvN3Q^B
zGT9mgZZ+az7($|-zB@-6M_ZpT7^MhCmYY#&`Z2R3NHrRfITn+n*38ny*O4}sFS98M
z12y>SHkK?O;-+=Zw04WDW7hq`Rhey9S77Yh8DV=$ceGS4lC6;KWGi~2ei+x1K9(v)
z-k(oO+P@pbO50n~$66wc^sQ{C{c*mzAWGYN4yBAjpTgG3)oJNpSVCCN^KG3yx98fr
zx!93;%lCSk{oCLB^<Ve5zAAK#RyJM7Se+A3Pn*;<goH?9$IuBFg<*_SBS;TPyE%+u
zximJ2#hT<6cz^9+&{~pqb7X{c*}@CnE`a&aq>_FGK}oy0U?Y;k1SVB)v0}lFOkph~
z?dInQ)P_=14WJe$bqrr!*Dvu|iExviF*JF8={vom`e-aOrOS_FAo7Cz+$}m=W5s@4
zWg7W#Q8Ra~W)z?%<CCd{{BeG$n2~egYqtB-QbF^UA5%fU@(Vxt*MCKVuSt@)&-`zJ
z2!opg&5q{bK2M)>%bdn8-pgU*4R(<%ubKa6pRs4R*rP*Q66J8Ax|Dj!{G)h*U(FN=
zh#xw^lKDR%r6ITgK<5U<gp&E+!4VX!0evjRFT|BBng3}PpmKL^W&ygDPE}<%;jXE`
zAo1TT%BECB^Vybh>Ws_!<T;h~X|l5Q<mG(NU0l-s#Ok8yF>)6dwjQqwp3vlDs`i`S
z@#D{ZZlqh)ZFFzXV=IH7Aqc~yl*x$lNLo=wYtRcd2+M3ejQ=)(Ur{@uYf=Hgb};ky
z{Lm5Bjqr=-h!Ry@js#_L6vr;{-<Q58;AcxzjKLPFf@`B+jYH>%5_ly*nz-U>&tWbp
zqEyCGKSz$lQ@3!rX2rM&Cioc{F?I|6*-uUd-dr`+FLygi!Sq|^#!<y}m*`nN$n4y#
zgs1VkYc!g}Rhp9;J{Ag3n6P_|H<2p6-HcA0FJ6qu&0<C;-k8WOp8x=hAx`11T%L$m
z?{}2KrEqzFg-g>%XIg;^T<LD$O3(kHPxzVt(aE4?1BCLdpk;U9&<K)(-gnTlL%^V3
z{&vSPstJpumehQH5St=#qNUJ<L60LGki3bZdkzbzBN0R=O&^Qopk=qj+-eb&4#Rrr
z61414D^*LnI}AZc5*+)%(aZu#W{}r5jV{iz1TFA2lurS~uEX#q2QAh~Ds2_k0GZY-
zF(rn2ODicLU`F}plJ_0nsM*~NhhUr0H`>q~=iDUgAx@ITaBcMz46)4E$o^qa2$tRA
z|4wI;`V8`A)GITh$>)*LugT_j8Fc!;tvm}pJxP=5`vE>ZT-`nDC`$k>c7Ty#5bP6m
z*ywsEtk0l$J2#<iZ_SD2$3mQ+^?iTpQ-3%T+G-^SA<mniXf|03%OMU(n$g<G$OY(7
z^jegFB!@Wr1rVv!w`ksA`$iF@Vs0VMVU5BcR|KuHHU!#Nh}TMpgBC;5SwRv&&c0SC
z*(6^xLc6C_u?y`q#@8JBC&?L4b0{yLCC8Brv4?5Qp?VCF_|#{K;+y!S3yz0GBPpLt
zYxvzyWq1pf@cz|*`p>=nJKolM3RQLX`SW1B2ZfV1j=5)|J%zRf!?DIlV!EPK7o9&?
ze4WO44}wf<tu`ZLB|LM<_Kop=g@HBQipe&iSIimjL3!6Zj#9$26%*tAa^U1;S2P&!
z!JcK+!*-6v6?H!8Bbcsw!QzTWt{Zx+|JfyferUOFa!+@^ySSoAup8t3fXCh#ME&jr
z<2@#W)-_K$nChQBdR(yckI<_viYb)fqXv6;G^R;ynQPCbKYnx#IH0WIM=-tGHeV7T
zVCcX2G+SFfepIvR*wj`1bP5kX&gpz6d|c}Ed|#ozQ^BNYiM3YPcw+?cXPZ~NY2x*R
z&1LZ13f#dZQ6wXg8lsamrWp2*M0&)p@VpY>f{tATJOjz}j9(!U%9=Z@I(Xsww?^jW
z_|Z`t!V@c!=rHb%E|5Q$1Pn*n!w-8wvzDMx5>id_2=9aENw83_SEQA$Nop{RTo*i>
zUa?4*x=UK5i8WF58c61QgtwM`%~%t>H}!QuOSRw#^mV^NCYCM@B{|kN$C~qAoa_4H
zV6%U5uG@=)FGni-@}xq@UmSkSFAny~FAg{F;Kji*xvP|$>BYf0loyBFH;s^Z-_2Di
z^k||-G?JJrBrywUr|<bh&n3Liw8QSaCe5|9VaERG0p&9oSyKp<kV&>9WLj^p_$;)n
z>w0x14s@ekfI!`!+i8C^$!1j5dULfdD5o_ITMF4^JNCx~E6W|O*15>F!OtmZf;Ej*
zT5hwt+T^a@_5NxDz+U`*2=qJT18z1~8)%{S`!~z0O+k4<pzhD@IRDM=YLf?NZPr(t
z^7|oB_vd!nALpCz|7Lr&Db%JLUZDJg2Nx_ar;k_5dh6Bl&3C_Rll0|-huFfy*&<+U
z;##*&EVaqJrVp{$HbcH1P9CfTxF2}&Lm!f%nb#kD;T6d{#?(xP#_sDsXo4S_Dc2T!
z?Ufg<Uw!el7hk@9Bu7GTw2-)6e~?~F+W<0naEzOF{ZQVORB#Vodf}^HziNlX)}z;7
z{^)B8dVT4|>-^n|*ZDi{=Rav=xAs-pizh0DggD~M*Dt^J^7V`Fm)|2l?V9J+B-=to
zP=1K~fZ2D28HG7_Wn8YE@Ytp0E<9_yC)@xSc-CFvuExp7$A%l&_04`)2tWM(|M&0y
zz;F49vtt*S``&NKiQ299zm9{1lHb7CCO|^H6QA4$%$eaxErlHohH&NB<$z-&w?fK4
zjfV2btmN1|m$(5JvSJoYdJ4Q9$f=0OvfZ+BU3{<{yX=wo5v~o6+3Dk}61#w7P*dKR
z)`bss$r8J4LFFfF9?>7&U-A;WY+*9h{Y2OA!2Qg32il)K$1u}KFI5+;y51c8pe)Nq
z?^S+!cD-?bgaL<6<!X4I`34>LTQaAJlQ0Mcg%8EtPgqfx1To~`7v)=YIARPB*F+!l
z(48;$h>d1)GdJsV3j-SA)X5L(OGc|!3*k~6mYcL%bQj)d@jdBz>T#aR#2sB4eyDwj
zsXqEc;Dg$Sj76wn;w1W1A(7$FC@og<ha?n9{se*p4E;(wfH#X7)aC$6^iX#w9!Kx-
zg7>O8Fyi6m{i_3`9&$RqIF$MLoyUhA^6|-%k{viWC2*+I{wG*cB!~-tOfOG(Ou~s`
zFT{g~*aFE|bCJ4D><a~XenQQ|y=HIc%@;4WeB8UDiM$0$>aYHhm;TggOuXtSINc;M
zkYu_8bS<G~Fmk}rsh)AOz_kFSi`x`}Vw4<jj<TwT(mK<u2#QfML6{^ZZ(!!%Vs8Da
z!^DG@kvy~@HC%w!Q1yKi6r<#aUM)GK8ZQ7EaG~zYp+)1F;C8uXc2M3FkmhE=lVNn|
zpoQdv^o_p_Ccdrck+Lr7$$Q(n0lpd9B|gnbM^$NPC4YW#l{sl4H=15B@g<6tY*f;T
z-85(MRYE6;L(=M)53z~X8&yJYc})Cg{k?a6_xF5K_{H-2c@@*t2g2zs5|hxq;E@?j
zj2F+#-r56F@RFd!hXE03Q9;WsL6CH4fO0IacWui7ND}p9XcqXM<fASML)<RcEWnV$
z>0`}tPZGQ$SX2<$@^Fr<B<|^-6~Ur{kZHuBDTpL$5uC4rkRVP<2wMX+G@8PTcV9k<
zf<b0_P)Ec+IY8pkNG%b^!}oKP%^aCHDN0YdNeX1O#|LR^P=t#|Ji-X9af9B(D=^&>
zo+N&*@{%SKrg4KwwuS|keHA+##!lk~Uvp1>ay}R&J}$S>YaS*EBc}6AklsG@=1x=g
zCP`3(nlaF-*GB_uCKGyvURm;7;^=EU>gDM3A0i}6(?f)<{vkrLbtDfQ_bVPECVRW_
zN&F{!h_JNVw7knxeTXKqy#qRf3&|~2UNUR~xk;9+^Zh%9MEdf@PKvLemSc80XM0JB
zShBqkD94xWI6kw}Iapx!ovOP9I}oV*b35&i?0nAlGW%Y#y(hBW$WB9@N3g@}dkK~x
zP>(;jVEJ^k1K5L=hkCWzCca&JdUp%F6X+j3y-T+Nsr7KcA2QMI!azf5-aP^x+MVz~
zYFfF(AuWy9Qh=9CZ%z=m1nni$+Y;h8C5hjfncjc>@BNLh`7MFVQ)UtOXpLv&IU`46
zVg`Ptm}UD4ku5#iCb-^i;rg;fyfL>j1gX4S5JZDYS$x<m5HyP(5|SwbWatsNAV$%$
z!4!wyV+eUCNcHZ5M;zHubQtX22~uyl;C6*E1sW@3_(CU0&C&$v;fNq0HN7CyJ}$UJ
z`qlu`K<1SdXL&8+JkUw>&|4kYm2JOSnVviV@BaebicAnLUn_HhpBv;5$?%Cwnk+5Z
zCURO3Zt#WaDNO7AGCdUwJCW(L*CPsdqlrW&1%J(}uQn-`pZ>^S|C0ab{inJ(0y>BC
zlf@qmM3xI20i8Rbr=TmZNSJN-HImy13<xl{4vbQvb5^i{?*?9tzGRZC8v&gI)j#5y
zQZb=Y^5RB<&atvp1trY3a}4O*VQF;yo)5M#(gb%7okKQK0CBmXRmxB3+-KxY&vR{K
zcVkHnBYjOEl%<-6*(C!6P$@r6x3lNFGttg|QhBPLEWo(|`lo8o9Js5tZLps~;iYM0
zPh)lwV#ItLYZB6EHg6l(-lU-i^FH)^8vH!Aa{L=5rt$|52kKGAon(F51wzR1fxRaw
zV03erQ0GKSu&?XDxj(BrQtLo*e4yOU8;^47KEM9JBadZnSy%b;KlT+b&zQ}PncGZi
z!r3vPvbGQ`%muWqJe&JqXvPqvwspZB5YGbr17g<Z;f5exKo?~FTnaGAeVP&tK|a_n
zxCe+(d__)UQY9LK)V3~oSn@YO<Doum<ELEkq|Rxtt12JUH@dM3%&xq>`8AK%Sw86w
zeR3&MOCyP;HzYUt@G_gbASN}*aU;!Htp<ykjYI{#){J4B;Yblz<d1E0ksgdXQWVXO
z)Potzi{`LL|HSfRe%ep}lArmf-~N+ZIYdY0d5eQ?Awkpzey#ws7T3nUGR@(F`wb`%
zsbaQ(>L{`%1SRujqo?umBFv)TTKb@vrPHr0c=Q$F#@J*~O#IZ~F$AeOT#&FDiCaOt
zPqxOgq9ncS&^vIBN$D2AWow#<73rt>iaYQUOH4R6?OUD_TX74_6SSL7`|f|WJV3tW
z7SXrFqhsT~#ZPm|Hop{26E<Wh&Qkm|muwV}oJ2J^I{maJiAy6o6J>L2A5LrL+k<)l
z%iH)x_=)vmp46_Y7RNj~*Rb-pwL(}PU%$LHvPRd2>a`CU^^$dYvjLq_pCc2yP&&DF
zBX#Oa$L)FOA8G1{{J6l!lKK^FP^wg?7aIxb^zCkr?iAH*ufPf2IZ(|PLXP_*<d}Dh
zdh>Q6<hUC`j>Z4|X`lH@Pi^Hcg8SpvRfXW<)MUk-M<E+w6NR02$30V9(P}MW@af?L
z{i=2?1aokKd<qTvmXj3+f;qSV^1{NE1!w)yMTix1aDlv(W~_I>%PQ_S1nDB!7XI$k
zJHaR<>tqW-x(F@^G%cIX@MDfhD0PPx7r`aX^y<t&MV7^q;v%>t#tzf%U<d?YM_Kcv
zk*48N3)!(WH@jFqa3glPIp3m2{HXw4{S^Ff7~!!`lu7`yk^J?g_50ar{a##*Z)*Fw
zxwYq&>kq&0BhfDO%8S^3;r$<pz94CXd7xrm7#@)tcJ55n08HJSxJ4J^`*bE1JXrtY
z|M2ywqv*}J+4zELv9~Ua0NrHln(^HcZCov2&g=o-%utc$9Nu>)Sd8z6P1G<#d$eC@
z3C9kGKt3DaHfo3!3e6#eU^c#CrI%={?hajyuUlz^-`1TID`w-1q@o$$4Tm+?UtNsv
z5i^`6p^352HeoQ9EXKE4ehvZdT?C2GZAUiJ=+QYGqQB;4tZ|rr3=!<FrNHrg%`pAw
zC$ExEZu-@D_X$w_*FG-qY_8dT??OoqfP6!Yoqfphxb8K^h^fLTyx51lmpcIdw>y<?
zvvUM2LI<yzAj%ggWM3lmyN}S%Z&|S?`-GJlMBz_ALHZT{?3ew_Whh|9+&a3(@#1NW
z1Ae-|M*^HHrBcPwvR+4aT_7c(se2qP6>wWI^|lxTaI8oL+ys>i1<;B$!sPDIRKPBX
zEG8Q+3G7)=uND|M6|f5)fa`%EdRvz0`V@5;hn7ON{g?*z-9iEPf>gl1;t?fwiB!<S
z={0m+14cp=uuGyo#0DHf&_USPoun4B?ck{M1EVgIY-y}NM+@052^_t`+Sgz%ERs*8
zELA5}zF<{lH>NW6YfuL2zBz!hf4Mu*y6Z*%+bP6uv(t~R7yU2vBkHsn;i~#F7pD0%
zW}r_$W(JYgIrW!Y)Bbj2*--~ai(NY5LBk>;zL9c7VH-5Zsj!Xi80l?QeEx%X38PB-
z!Ll9ui=j@Na(M#iBi)fHgPGoCWH8gW^D~(C@;oiwIdAzs03ZnHuYc<Yzu|?*9BCTQ
zomWfhftZ!edr}HoT580;>WWLd^$M+a&`Ut**~G{Y%+-s-67;Clbht^zKN^C(-hOB(
z4yr_CP(Y)u)#eb)#U(WRX;T9mJ5<w!V9G&*%Jl&9DC$nIUG>G7TwFpO%{mQ{=TZ+Y
zR?NjE>kz?;8(aX<#vxdWOBeyB&MTU%jZD=gXX!c74AckmK~>gV0At%N)Qc`)<#F4{
zuo^Fqs{#ZePdmPAu8~D&%fxwbmFZN_g&6%j=#Q2kV~`geoK~X&E2`*ItL8q$7VJj@
z{v+UlHa*`zv?uvo9e1hHT&grLzVtyb!_Yn%NsNAk?rdI8L1PTN2e^av4(P|c?<7qR
zDSSK;2f@hHS(2_bwTxuxgvDk?E^oP@t^J&j`>Ef49ky1Db|0r~F~Ue<fW!VsqL2~;
zlsJV=r4py?$Ru6`N8%JXSg6+Y;YadqJo14niPTB&+2=ULNJ2n0bKEeuQO>c%DX@nE
z<NyN*9fu;A;}pVYVU!V6jP&5=I0aY>5(?Z9eWdoL=P=0AW}2Wiz5m%IPT9dB@HoZB
zq?ki>dWN)GO{>tLBT~1Q7Dd?K$JIs;EM!<h`DB*(%v4P_$@gWJFBO{e0vE|8roe-*
zX*0`=<DSUy&h!2UiJ6Pxy+6Y{?-tQ=6>#A_-6FNN!p^22v+;Zj7~Z%2>VL3HwD{V0
z;X$r-VW0?rd>-v72#GxdrX3j;<htNw1B)15Yvh=0U=hRX9UoI;NW}1(;N%z*F}x-?
zIfg_GuOE7942c+C7o40zB8Jx`QErh`B061$jKF1Hi6X?2x<T?qBW%0Krwwm2aqWYe
z&1!1p->%n%ZbJWUR)SAOJq!-xO!5Ieob{yeB<vr?M}SZu|JRxPZj+J;wCGN-|3%90
zVtJnl%d3z44N;1BjtngC+>(gB3@4WFtp-DlU-)1D!JqVvr$dbc2dvWHKk`K_-gyl*
zgpv>u#K}-&%@rlo7*J{DPy?7Pb|y;%0R(qFbPhEv^@!RDX1w<2m_rS)dn<t$0)%M_
z9TbBFGmi8h`wz>nE}_PLkB%9MNfxM1R6$AWnN>&XWV4W(G^r)~lPsYI;vlD%#1-h*
zWLC!41Qi)uff(p)*jboP^JJ<}HPJ9A2?drS5*1!s#8Oq|h*~<O#EM$9jhs`w!&W_4
zha;HoOGV`FD<bD-1m}6Z1NC@MUN4W^n4&H7<d0Ns{u!p5xNoD1w#-x8caod<8H0|^
zyG6Z;x!|_%2DkmmANrSn>jx5YLEMQxFexqginekuxtm^tdb}VPz;6tOXM(6gFb5`+
z5ljwDVtNg1kV2?p#S)lI@iphbWRkBr2PTtr%{efcW^2xYNpKq@wc1}uHPaTtgya|t
zvY2U;oMu55C(0yIcDywUax&l}E%<K9MJn5A`Qo<Z$9X}1?tFuEYEO~s_|k(9=tN;J
z!-)8T?U3!1V&v<bHu=)Fj3Wwx>1`Km18@~l2m~$j?g<Kk^TL*a*?;;`(f9w-f9)52
z+^ML@_}9mSoe~u_{MSgiMAWUJn<hh(5*0bOF%S%(S;{`YB6U4DXxzp~u?#S3B`Rv%
z#%TNPBa>2qY23!Rd$sm+GTfjxP!*FIA$vZ^xonWm1*zK1rU2>ko`|un`@$oPAW|>f
z#^(^3NU)rg5OaV{2|Y{P#+S(BQWE*tz#_ck8{hH2eSJh8irw+Z!;m~c@g0%HBkpgr
zoF<i6lDm(BXhwmVDa;Nug}!2oWeBe81;tfy3W)uNfB*mlS1ap9u{Eq|#a9e$;s$hw
z-Jxj;T`-V@Sg}VE(iAp9M-qaV&@j_+Xht3`i2fX9?FI!yhvqZPbdx+TiPt7s!y3EP
z>m!keOEz>O`%8LsVj-1i@I)dXdw8N2n(bN!J`pFXabf%*#lo6o;1kg!TtSp4y#Te>
z!=$4c>p()Z=p;o9eea6ylS?#7_|1S<ymYMGVaJM1arbBTuBN!#dMBzYPaLMqTfWb%
zv3T=`w(tBa-~P5n_@m*J>t+kCo@<))aPKpXQ(b$>`3EJ>RI);EhMC4e%(&f}ZnkU8
z&X-)S-Zf&z11v-LyS1OivqGrei1h+Hr?m;DHFH)dh#5hwTXRw~HEtcvih&G$W(Wfp
z5<MffYK=$rc1&i4KqYBx?EEHqnyB$nrE0`xssLgAQUl`l8IhbAf@VXeisZO^De3tJ
zk2FTV7MZG0Yh9!7pnrB!;`2>2(s&1!YJqu-J>$}FV~_k5yeJk@0rOa{hJ%XpJ=!mp
zU_532$l}QUk)m00t_o`|m01Jnnl9Hp@4dl<iA;cn&HlZ?;ED9!U~A;P!M`>yrF(B;
zs)c$pc#ZTeh$lEcxk#os#RB=g!J*1~!|mI=Hxn~1l%*;4S6wC=OWrgH2`>|k83(og
z5)3T9Of(KhvJJ%WzJfpk(Vc9C;wIO648|(W+@;m2b>lM8_?4><z5L1tU;p4MF+{js
z#JJk@u7_89cvr^d+Ja+ug}YoP8ZQ(D!Oj~-E?1xW%O0GDo$c4ehq7aN)wNr6!y^wM
z0}(XY$cVRGV2R%T)sk$;2`kl2Zqw<ugq?w5xf7Jg#Y#4Z#ah|g0XqY<tFBlJEEst#
zKW1cTTq&@uSLlF&YXjIBiI~ed7TDQgLwp)rVb+6ltgtgQ2q~pJ$VO_-#v_;Za~3Fg
z)34&BkM7{56Cdm|*4@8GU|A&R`Bm_$)S0or?pA5=5a?Xpelx<(`f^ZHFqcMrGS=U9
zBmM<;_VV>3X~F=O1xDurJA2_3L0_?0_u9+XFTNj_MJ|ePrb!8}S?(+&J`_#1g8Q1x
z(7Gqvt{>lG{V<>L#jEE}kE2>|$jQQiKsy_UYO`jnAI7#&(*P5Ug!c=;!^LETAkDN3
zV!#0N0K$U<3I>|M5M=Sk1(7{7s1&$3)mDZe&9n<14-4%t#Yh4&ikB5>rd`lxYr&|Z
zz@qj%R-~D3f;ciPC9N;pYwL<M(=NEfI8HICn08}^(pNlbC>wk#kP}fKQR|sA4`w7E
z=PHSsPtesw4xuH*xT^oxeSN1gdZve9g_z35UB*!@F}?*sa}1sYjZTGbaXW%)tqZmo
zTimpSns}>?qx#xk{N7*vXFoBj9^$(8-SOe+A1*9j+xN04lw*gt0E-vO0UkLpC98VQ
zrwjX*g%K!r6h5y<p(63os0ktDtX?c_w0~ul!`Fj=D@_0UG!<v8&7&pen?AH)1u8)f
zuK*v|!RWxnUIURK*2y4&luRM<)piYLIJLDHb=^xQ(Bah)@hDK^!ul{Ea}ch7?-AH;
z2`i`lMg))Sd<kJ?41i0bgLbEq%bkq`N#~iu;wu~D4(_BCiJm0muR$w+QF^wo4l8NL
zqU3NAwy07+`hEu@J)S2>sJsESt%(q&HCbH&!3o!f)_!#)z@_{mA<1cL!Yyz~Ksh?e
za*vj4@OFp?aqRBVEXP2tYI_T$Fya4}8SPk|uzxRa0e0{wn;)H^Gv0zh&B9lIqu+w}
zc_ck}4UBayRU~ANM7E_}f*zBPS9$gtV9^96CF9FyD^tXAJB%-<M8nx@!!uVHth~(o
zL!j(0+i8DHiAI{K?T<0nd~M_S7$aTx=XTm3=ey7QV+wY`eV>?GEyc35TtCDbKWB0X
zwGM+$=f7o!Kb^mfl1|r8w&Q@C)zv0X?Z)`%4ItI=`yo(%zig-dH&+{G2*Cl1m+isx
zo8{G}{QeEKT|Pdy)Bc#Uo$vo<eYK(Y-==<Vr~~u<f<9~BR$t(e$E#5U>d5Z4iHYYM
z;N}r99o~yU+W2;}kIfCf#jV_fhKAn`H*p?qDO6I@W`V>Hs|Ath9xp2l6Wv8__Uhy5
z@!{%Oj_KfU`7giok9_4Rmu~CnU|{4p8=~moq*(DVcsdw7s(tb{***w@uut;FTL9&|
z6_e@1<FaD-i0ACMCZMAnI;Vph;Sok=EqcM64vxI>TITYV6_ee24w0*l&H&#k$zxgR
zZZ;~JJx=<=d<z=01)2gn!IBQ%Ejf=*3=gJkbiYP{EP4dk#WtLIL(oY&%abNbj0JqE
z=A_-=uDM)u)vTC4h(N;`l25*0LHV`XxqVBv>MVWz)VT?9`KgMa^yM!iHT_F3CADn>
zWwK@u9(*KpdiQ=p_2tx?qA#~3?kL@afiJga9RzCv6Fa!E>4AnX#{szF%k2i_VbzyI
zBc5h=KuI|`dU~X<h>Fs}1>mlOqo-&3iZvC<?`)TF(fUU<J=9m2SjOgdJk*;tc>>DQ
zUwk=hn1||Kl3Ji%rQ*vWaRXjw_2mFe$&&LPYIsZbP{TuNpF9S^zgaW_x#oGD^H5{I
z{7`c<!J@E!d8oO42E4<=7XRD5Ro$kA9a9hxkU(btMQLx7{T%e2iANR&8{RV<n7o5n
zwt!FoWReZ`z+pr4*}Xb!INxn@IOwnyhh^P4EV3OAM@KOX_l&P$^Izak@X-waW8L{5
zYw^g^4saj~Co=?!!y?;p{DH$Jkc@P|r|q!ed^0CA9k$}|!UHSkFWYhc;?KsWK3>f&
z(yP@r@h!YTr)YkNZ{ghe=DXho7puj1>TtQR`Cl%~P4Oe!%IX&S=4=nC_*KnO8+u4Z
zZuaWguL``waP=%l|LgN!`R*5f#mBdlniBXskk|)RV2TL!=8T!c8dh`Q$n?zNJ!mGy
zUSXWZVCn}Sdp|UZ86{1yUwd1GeFd}1d)NfQaxXRrNx#8(5b6;Z#5fZ5mUbKPr-)(k
z6;B!gD#hefJn76~*TDX_Q@!a38SGb~eii6<E12Jn0JlI$zmCgg=I~jZ_cc8rj(3aN
z-u*7gRQZ$k5L-(=lS}Z?L&ZQCiU0HK*tT9Em<knN1b-cAU{NcwODlEyK2#L`&YW1D
zqM4JBdgU+t!0-4^{^Tb$y`q83AXUGg;DX9=-6R)Oj_YRkQNszonYk(123&#(;-=&T
zi#IRnRQR|9-lpUOW5D5)(>8Sqs3DR)B(k|bzG<m%*E4PJP&oOkk*|{~x>v-$$4<R!
zg3>QRLPJc!X{-)C0wmVTJUP4dU}k?@?0P=p9Qj_M*@NuLbO%;od(1(?Admwkv4j(7
ziW{t%p5H5-ZN*?_xwmOp5z5!3{1)x_8ltR+p;Qj!Fb@mSCOt;L%W7i!Ogn}!BU>^F
zUgE}M^9~;)td?yWH*17b?b>Yt>^D9~4^fOL145{fi!+T{Y;i^jT*~QQ#LBCZ?v+q8
zr3+;{xvPD+1eIUB-xCu_G`tG$pV3nZ7bKsXkI$zi9Ul#c#}sZb-M!1<22zyu2Xj02
zm+DPyBHLZF&-gi$!5D%eq{q|w@6U^#2H_IY?<L)PBHgXwRU9ALbwnd6`jLEYx_+`9
zKNu-n<X_VMNFSHzRWrU3y~=j%j|)~Vr#xOBMLa}oi;Lej@$ezEYw2QqyT+K?mOaL|
zxRstRW?Wb|^HNBwr_EydTNE;|{!pDT>i+gj1r+0QZNVXON|(N%)iWY(_Fc*0+tghd
zmz%sR+~tB+Pj`iG?05aO-}8g7|CCd1>~VtoG`RsRiW9dz&V8EQSiIUS%}c?3FlHe+
z0X}w#x#S`DX?9~L9pmc89(2&<5$%XQDj>VDh~PCc$7qRVpB_$5nwKYFtJcdQ20b}x
zm_CYW;g+8zQA5gi&vI!z_1_8)o|y%zBh|&R)k+TT+qlQ<b#X#Mt_AVoi+Y)y2$9DD
zXv+?CxYh9~e}9vMJx8XlcmfcT0SsFV=E6e<S7Wt=W1BB(=g*?zWBmtX-gJ79k;W(E
z2A@ym=twsTYo;d|rGW#RTJeCQtzh6yr$_mO-<_W2D_}$wWP*B^5q~6aIz7zryB9Xd
z3*`pH2c?K04xYyc`#su8<<VP8i+BA+>2bc=1Ivm;6CUR!2C<auIsYNOv3$TsL7q5B
zv=TyjNZ~U3L&}foA;m8Kkn-cR@Q_a7H9CJ9?(c~Se==4t9557t&U1kK@`K<fk^?B^
zhU5U=b@@RY{&fCu+u#wVd_Q$zIzH+P*<<YDiQb=9|2QwlH#RTV13chBpXC7Kd<))0
z@DurfUBq<P<p**2<N6UVQUWm46Uq;k?Qp>RYK#<Xn#ZkRo3@GQ3SgA*xPcA9{4;mJ
z30<LacD%-5GkM$(wW{GO&vy039qVC6e_U?T)#EM~2RylY4`$z$amRXiSH|Tg@5;pi
zXPZvCD|EpB^LKo~zwv25F&uDVPx1Pc6Wwe#t1VJtC`RwroSsZGuQV&j7mDBFq$}=#
zA25c~;0H}m+!hnuqktt2&K^Fg7Kvg-@mgGPj}LNa3^M5tEl!IGl6YC%FiubAiidQL
z;<NaQn7AN^J|2&b=FzY4W5fY>!4+vj=vo0EWzJ0#6pzIPkJ~-Yk%CK`QW`%-v!o^o
zM2Jbs@2?lK5Z!=M*?L<r8Lj`_h3LkHtT9!?nqRkOzB~KPii92TR6*FGbY<y0^X)-~
zb}ttbv?0dmmL&82*&U7sj6s9&;5_pU+QLDV0^;!i$Vq>m<qk<Z56%-K>EtPjTX}{D
zI3z+<zt2OH0$X>Fuljq<+q=ov(-kXd#33UZ*W_euO~uwktQQz{FVHtPNA>akX3mmR
zK?_W<VWgxWmeH7+ZcX0BpcSUer^21T-+bzA-ASBp$%i7JToTZdFiifNY==7|^~BiJ
z%i~@K_|TFD0Btj{&Z*o8VF_@y<TziRF{4`bL?j09w%lm%@QLMVC;M{amwe}Ue8cyg
zqHPBABrE@P+=fiYDZE9J*U_O2AWMk%rSD16EwjO_)<{AJ4URRoPQfjk>X|Gds{r6^
zonVS>rxQ9Uw8gONC8u691V1s%24gj)o^^7V4SFFo`?3R$v^&RKOvBG!DX$YcA_nvf
zxtR9c9?2nrZy-fxO_eEBPpHV}(2YXnkFa`!5oYD+CzYxyCCB4=_9xf08XPaQtLnx|
z*cAFoKF!I?6g3)3ac9%N8X=P`sK>;2b5@R%q4Y50la=RXn9X_0UvgBTJTWsMM{L*x
zgMNU;q_XDEtu>l7=!Vwie6s`+<H!m|U#%|P4B$LXdSRcwuup$%uup&4fBVP2==ETq
zR-lsyeg=DD1Vz$h;0?E{gNA92u+qS<2_^(12elzW3_)6S7qrH}aRei^gbOyzJ17pf
z+H?^s(xNv(^sGn*9CH|0{wRm0MR!49C(-7CFby=2maL8y8Th#%7GjGh1Q%#Z=~krM
z=YkmV$##zo;Fz1iIf_MZlKUe_xkYk^wd+ZWMR!RkI@)O*e2HkXm!w5^N#ZN@hc6M?
z^^)|rT+#-b<2<)p;|8~{5b}zucF6;xIAMPTi8v>kr;B;gOK8T~k^2Sp0uQ0t{IJz6
z)$V~;8091c%)Yt-+gF=qS5uGB%RaoS%cs2%dg)%felLVxx);8Q13r<@&;zKNy?F@y
z$}*$b5kD;po<RT~IsSm*$^a5t$&$YwL}*?9TIdKOe~SR-M8cm>Qy6IiR^aY7ygVbs
z0sv7!cmObDJMF(E!YA#I0P-9FjWox^a(a0@;3A&ri6-ILlYJuQq0f+Go|>>6_cItL
z`73r}`LU4dM}GLX{@D9N0c&jL3fLkws47Gs64!uQzfY*;+|Cwnt_mK|*&{Emt$|lO
zr*>v%-hyI!1vS{Mm=il_9#mvF@??W#QO@f$b%{LLqR$oO%$rfg@ZsAMOjz=bDegw^
zl7Z4uFy++GB3LtTXxow;oy||Jl2XsL9NiHir{)KR*``}lLMoHQB}WCHAtLDH`YWW3
zW}f#0ctF%YrVDlSyC>DE>aa|d>v}a5Zl$WpicIy~Ad#huj_K9Vhw(|J??s=w{xrRs
zV=$-}q*$AJf<gemFeR`=e<XdXh{Lo4g1Ca9lMGMYq3FY|fXW0|sfS6yFzw$J5VhbM
z$A|hpFM)>h&GkS663@}c5_Bbf%6GOQ;6B#oj(z5jeaYL-m_NUT8`i0ltZn!B9v&hp
zmLuhhtZgTiXwIYW7aKU(aQlp#vgoIxZIN+TRk9s2|7UHB0gEKoz=|7u>V8btwuwf|
zJ<c#4S=;V*V7rtRdA8k(McZz{f}>b{NcNh()Hwhb-^i6jH!3mS>35&hjMQ_Yq}m5n
zRF;FX#!%IOH4ml#mbIe&%P@1KUwDdN4rl*#FZ1Uo^x<Xx{CWPZ4&-U{5)$_`eMsU^
zXy@}ggzQy0;Q&6de>rdYG5_+jKIb#O_wSs7RsuZ+G6ivAP7win%Kj7)@R6i_Oxd3x
z0zT}Qq|RlvU_VHQi^emm1o8z!?J&15a|Q}@ET`-b+nqV0BaFeK@tk}?iel0XjA*9h
z!b|_la~Mxg0!BnJ(2RXqp``4g@z{9J^g6U;w`57#BUb>PBas9*Mv*+J@kI075A%Z`
zst>sV&-9?1AI}Y-vv1nec6gfICrV5)8g>B7#{7T>hCQm~Nx6afVTAESG=l>dw~d2y
zA&`c;W~xCY=7-&8(4Z#HX<IXzrKA*I*G!LeVtzn9Y5*=x&-4}G#4MG;LBu0F`0ZlG
z_2~!W7RHrP5*!gWh0SyCU-}-&G5C2NR?vs?s4j#VtemHKyDo_}$@hZCe}Upvx$^TK
zYCtW$zVsBCAJlS#^`XxQ#X}8b=lwj?7v_hEO#mIdFh8W&_H3%I3-f~mU0To85Hm<8
z%>C(T?wQ04a+eE|Ghqg6VzgcCb`ib3ofmHGY7g(qxLjLstig@DTw1BpT_KY5i+=Y1
z`Za$vker(L_I$F}chEY|h7FeA_BLtHzA_}I3xe|uvrj1qAE7T;m4Y51JdzaH!AI^(
zgr%UjS)!r@w*$@EmL`rv)8F?)Z^6a}uY=VpPpgI?og)_nJX~9;;8GuJ<?p1^(R@$!
zb2}_c%_?M{b;LoP_*$g(2nGbCu>Hf+N3b-iv<DB5SGhEUfCTIqId|H%M!;EKX6`A}
zu1YVpIMXTh*~iv>u6D&*SUw(~jJ!X$!ynMxZlq4(kx$poq*#>p$N6ULA8T<1`zO6g
z`S^6DV}H8Ku_b|C$`nAikt*<9aGyQ4VS+A@l$T!ks@JdFBw*vw>o0%wwHH48!K=lC
zSFS(&zK=l5+oXwCUc~kb@Bc_hhy^e)Ut&Z`CQ{5m4bi5!W;t<-C|Zhz_k<csW=}uj
z!EF9ad6<SqlRNNINZ+|lFlqa~^y2mFajCHtSNVZ_=hwG>HRl#B{Z9H4qqk@&qpP0#
z6W{oQ-;^q%60i20Hpua?#~0dY$uPFY_yuIzx`u(^ta+9WWa>=|$XOGcQKH-w;Ikje
zx`tuudH`q1SUDQ?xLyFFQCCdiJy<}|+e=f2r3XQKitf>VY+b`FC|E*W!+F^46x=`8
zx`tspr41sJnwE@}v6?btwcqx?rev(5ZFtjXtZD)V&cc%EM69_33s3jMa{>mr2Na7%
z63CcAVna$Oo~&z3LP-Dh_fcwGmW06DYg9s8aGzWG#xBxHe?V=rry%UFw>LZOWX7<_
z4wy%(JKk&mK<9%rXXTV568lkd8t{5yZ@CqFi~1J<UJ){v^IZl{uQg3ww0w@WianZZ
z?&dAun>z*@Kl-8n^RK-cs#*uFd$3_+*O4?Q2Q6ZV?Ph8HM)s8j8!m_tf{A+w!jsn*
zHw38*nxGB~py1Z}%R*3G$PHUYK})q;kpmblM61={&{WmF;sHen)E{|{UL}ncsj6KN
zy$w1~VTT{3#m^8F@6ivvS}J;Qw}dj5ie?CkV`+j$nYG2Cw}@j{`V2u))qZTy6cg!3
zNg=JOk_4}~<Q`U0a=W|5%Irj1Q}AAPbh2AXz8KR6IZ2|fNki&uVmbx;7LYH7ebg{3
zt(k8R`UFWFfb+y1*PziQ?_L3?*lMQsRaHF(dQoNWb&Y#U0Q*Zl0(H4S2cHG#pgp@c
z_}I7BzVntJ)4rSQzw-b6NRZ0vLQkxH!RLz7l4ut=*PSLPuQ%FYr5pq`WX!Jqdh3i=
z+XBi6)cU&O0#oFwZpqqr4`n1s>)m2Y?v$d0vi5}-=GrkQ1cvcmTV?HgfS)WLHO_$p
z?#hZ;`=V5gc>`Q=;S9O)V~X|#%1<%Tuug+xi=*tn8`BZkCrwQW)OtDiZ2i}~xrq<%
z=&9Nog|ReUS7aY=2h-}38ok#Pm1{r$3y#(<=LFv#fWMqH;=`DeHocRIEYl>yy3Y~`
zC1J`k34>ogARm}~KzV=~f`kC+%vg6eKv1$`aeM*<3*R{qf93eHo$^v*rQ7M;5un8$
z=4Vgq{m@7M;A?*`w4SEZT<dvG7<idG?pPt{L{-n)UuruTyjc32erOO6=p-wWB<R~8
zf;quG*@#jS+*6Gx)OvpC$)*uLv@ST^Gr}aWOHTKU(C2i?r_wV*wKdd$MVSQ|legzL
zrDq?a$}dZG|EEItf@(GwZ#m-XU6kIlp!Donep*Uz-tuFv-iQCY-~4AEol<&HtV7pU
zU#z2%@K+VoYt~%0M*JrZihTuLM(gT=2p^F%g(m~w2Vo-Js1VFb59L8l9u`5g;Z?z`
z^gs*EW(oLPIJA11v0_$wN2D9YiQ28VgUVx8dfUZ;L@rybxZ*s(bdD#zt)Y5RZE(6t
zP33%?Wzj+M)%6=a!p|M55nZ#mD*a_}KT1D?__EpE>AK$GE=~Jm5-fy2(nqmSb<+(S
z5*oz*(l8h95&gk)ig@h*$TdtB70>Da&>$dAhc+)&0mb<{Kc#06{j;ZOKJ^p+n~(qe
zp!iTX(A_JKaE{0pX}SzUw)*5&P8uP*4}1ZG%JrVSlqC);K_v(*Oc2!UonTJs0R?Pa
zlW38s!Ie%b@pD9OP+PsWfGqcUwH#EUqfR}$Ep%Lejyb5@az2>Eb?67~4_$&vw5xdl
zh`_e~>Jn6LmmB6CHThRB<VoeFD#$v*hMKJW%Uq9%a=NZf#Oj<S*;D}w6Kx~2%a2nU
z`6>9{v6bWBC^cQ05Ve)mf@rB6wedO3YOL2ou(S86hY;4)zFu^)BD)&Al!CcUCoJ0$
z<{`|ijc@4dEqYs!xOsoc2#4a5v~O(6<%!aS&^(>5$DS}uyr)um!R;AX%njp%QbZY+
z%DL}{KFGIKise!@Z~F>jp`j8gWZ_vuGt9e1z1R8Ro^BD3Yal-Th%4SM^NCx;9iDYG
z!|(p(yN90=B{H@9j%LuUCDNHoGIFzp7!I-&%<U^Hk+~oX>Tq_M9`d1<AsC)J^a3Wd
zN-Ygzc7fm{_8<hqbB7>$kzuQ(<DWETMKC;f#H=9UgM|j-Vj(ua2!`j5AA?Dzy5fFq
zOxSVg@Z53e<$|q0>{TEkc|CNbD<BA>EQv0TXnKT&E{7I->emN^lj!(>Jw2}3iO@+#
zx&o-M<p%ALA_<+@OHx<*n(!a==-}2ylVV<Twv84mnFuj-dug_|j1yXG!b9!q%#xPd
zRR0@xG(P!v{x`mfPyU_%jc?+Up86ruGSg<d3myo;n}z`P?mohWbKLz~o7vmaXGnMx
zehIU~1v7bK{*0t=!|DsNC50P8nRULIwrBYU&3fw^*1Y9=6GH^SKlt7M&U5F~u-1ce
zb_qA2q_pz9*?_sUI^HGR0H227j##Vii(NU~K<gh%Q*_V?aIPy#plXHmJ*Ew7zG8uH
z@J_IV8;2v(!qB5Aw;}GRE9P(ma@xm;V8Td0%#_0@lyCzm2q|`9rq%%EP{IvMi=#57
zV**MzR%=*$8M?(9mh&$8!DlNy(HT@F6CYgDs8)G%)v!FB>+XvGz0|OthZernus-_2
zR~ybY!?LLL{{0`={q3Ldi6`l{+3N{k?||7Nnr^T*aB~W63#d_>cXPm~d)0a&NUi6B
z!B+t{Qp@KdNUhfd8{IaVH8gh;g4B90cmTdrCg_Z@IaBMoAYr=D%(R4pg@xvc6{+<?
z@IZ<*3a$-c6@t`yE*Ml98~CuIM?+AwUXyHG88PEr8F_Fa72;|HJ&7!1X^ES(CY?fG
zGuSc!me9malB7*)l8r6{*Sx<w+GA`-54sGPvHq$n#I>EL(`D>eKIkxhQNBUP#nvdi
z_shZMltQ?lr#cz%#=&}LZ8Yf@<y*7`M~Rp^)I{0kTkfT>>G+x;Mj}fIZYJ7+tK>;5
zLd&35;fX1{&4>05n^EiH2FU;FA<rfH(7inICHBt#$>~9i%e(!m$NO;%MmX9Oo%F4H
z;K60Ii;QwfDX{6$E{d!06xh4pwPt+i&-_Dd!Gt;C>_Z4c6`${U+j{4E-uIM!xg^L)
z60EB8#4YNhmrH`|(Tya*=d}BL?SJ)eecO+nDmbD3%=r?)XQOsq>m<rRYrzR-+kpV%
zCLni?0CCe<a6<A41!Ndo<di(X=}?Rd;j{pT9Q>+%yp|(CO#fh2owY77kaU4vjsUj@
zaNVdN2xB|J906ilnl{w}dTj;$IL90TA|=PMYKYeMJ0tlD!4d%;;Z#Ze9caf6n`pj}
zU&#Y9Xj<(;S*-uvGJ3=%0c29BJ7UElg1yA&m?Q_!fzfmypP%IqsA0Em-DXmKlAF(Q
zbCVtd*#ih8{df!u9(FiB>0#e!s8HWjdm%vNd!4L)M@TE4bIPdkolO}v%mdk)ncqGD
zA7Xl?G0-D@yX!Y+^w-e7x?VY9UnrX6#QU-@7Z+gmExNb>^P95IaREO4bN}*heD|Hz
z$R-O_R25#>0ft3++tDmwEv5Dq@&dU=Hd)c4zVH<Riy>1MV`#yml|ognkxiDq=mPkP
zlcg`Z04@mIOGu9N+>))n9J<uVFnx@~Uy#JC7`Pu*GS|p3fD0u-O2X|z_+BM*jcgAf
zi%B{|UR+A)_-*HT2h}vO$h7Loh6mfHT4`&^y|Fbnta@5x%n{Hy{^>S_=`kj2YPc^#
zSGYiS`QicGAq6rS`r!ebAKBXzeXd!b;Wg0C_=|mF`Q9WkyZk4A<)8V?pVg~Vhw$lH
zBhRq|wGRWO-46Zo5`@@SmR)wigepE*H)jZjI)zWQTyX+6i%Unt`h{SqQ^Z*axRiYe
zcsk99hG3{utcVdEiYi_UECPwf5Daw+L1ez6(gc2N;b91dI>oPU!!ke=-yb^EDOWW3
zF-VFA3`t3yLK6L85=a6^F+g2QcG*q^ap8d@t$}^sAY<QMa*3#15}+`4&=MuxH=E3g
zlafm7D2-Ip#CWbGnXv|{LxExL)MO5+ngV_T8C`!YC#om7zfMQCf66DrV<R^@QGvVQ
z4xSy{!A*#+JHj2DT7FDN{`~*_)!+NoL6fE_K-ZC$5M<5YDsbrK>I*Wt#uF8|Qv+|O
z7~{t)aHj^|dIb&vurR&El+-VM&v*q6=_dsx+wX+J&*h!t(kpPs6`^CLyfa>bLo%rs
zyrBX&!d8D%1<r{W;w<aHTePG|&I?ZLpz5h4Ggd8|Cak9kYd3t7u)f@%n+fZYN23w*
zHKPKDDVBpuVZK9Af!jL<KK=H5i=qN|z)syQ8n3_s1xSotnuk2glBn*zRN#Q^fvFb{
z9C43(;+G2CK@#TyVt?yY;O6@2_KH4%pZ=@f`%{0$&-uv>1)}M6w?Up<CmWd-G*;+n
zkyqWmGC$n~XIDPp?h|2wS_&HG`W30;d`0}Sn@c1qO^WwoqC`Rs=POQ@NT}eN;8cmE
zR6bCZ5i9~K3gm%<v9dLAc}nF26hNBx0ME{_p24(-AAGu=!L)}<;)mZ<&%n`h<pW^^
zKoty*FcrB694hA18F|)AL?)En6V{i1(MgT1ZuQuZDE(%bBoW^|d-baD2ZfR>!p|x;
zFDs(5<J0!^ybuW3hv{DJh%`<I0DZfU;EGebjeuWr)c}d`(ieG|yO+x(GIvkW5K<;d
zuQlo->06db%H|A3dMT4Q!Re)yh@b+ZUtW9p`o;GHKPkNH>=4q1t=4ok?AT(Q_f~Et
z`fGpl_b>l`<n`1D4gH12wl|HH-!J#Hha}Mh{b;c>N&?_U4pU`yl+PB#o4x5O{sLR|
ziS|SEGU$)Z{z7;lh}?P)Bq<i;CWWUQc`$SbYn)?eWie|z$JG`nOeV~hOQ06A(X3c`
zn|ldC^d9vqR)1ltm!M$fkpR58nV=vgCc6o$zcAHKQ2Yh-MBUs^ptW!FEP(ycJ^^rN
z4N)Tb1{q}|d=O$;%H^ExgQ(S?L7^%}UCsY!3Q`x!9*O;nLn~3WarZ=*0lk%qjKerx
z*#CxC5uf}!{~O<oeWKKKI<o6((k`X!jnJvh-bmku1e1CWDb%f}_)1YEwK1VTxO7Vk
zP9mSMJU^|ym@Ysj#ah9eDx_Qxs6SZV`?kL@rzX&bTpovNK17NGiHzipq>upaNBVYG
zCsjy8X)_@?|L8vbi8yY6(wO1hNIAze)rsZDQkCEGJ@5XyUvP^1C6#l?s7wVI6%Ym@
zt+W6wu63Ugl9*i1!Dvg3{$M7$8Q{4(jzrg<Xm#jbj4Gd==%5i8bnk94C^_-=`#EA7
z9W4$7!H8OGQzz9AUqyLERTXsQ5Ba8v_{-h?A>Qr$)yUWB2=@X9^ORFx@VHELIIlZY
z^h78bGMyzTm_&e2S_nQ>g?M7kVQ<9~XpX=1@4fB!{QZE|>O$@?wwF!WDp3e-K9+rD
z2__e`L^cJ7h0d%w;3hJI0Y4Bk==wS*9mZI(kwIY?OsBMHPnuvOg96W#Vo~E9v*rjg
zC{%5$=FlRCuNZ}3<i-0H`INXI!LQQcv|pmzr8~z`2ySTIu@N}65Ii*lrxb!Ghu|b!
z4bJx#Q*cyO-pC}QUk9Kj>qhmn&UDT~&BiA^h>^<h2=))|%8mEd<WspxO~)}PkveKz
zsrugwvgUbox!n11aeq@E!UqK7PV1;4?q>JIxSO-p?WLpU#&!+lR&<EV<a8ED&2@I|
zmY->|?hEn!R?icC%g^|AU+{d?m2|v=Q_lF56tsbGR4Zc2EhyCl+i72!*>^!Bh=Jf*
zx;p>@4M8cM+k_Tyav#J}q8o(+Ws9KH&V7{24)7-F0s*ms!76M(i&D7xp#hiDtPX4&
zGAs;g;?OkvE(jt8b{CVDa5oquBZYH6^m@%cA|hH3WNAp}cy46z2xDPV81Tra!l>PP
zG}eR?mPkI$F<!pfz%V{~yz~6WqwAq@#875@Kj#Q>Qf40e7^9iTGGgcoy*|8@z&dXh
z1`dv!qv#L4=vOx30+OhK^$&)kKTLAB*xMi^OwRzKB;6j=)1?2}CABt*PNL<)K`Qzc
z>wbdGaY>y4f?U?x#{;JGOb_rCB4hMz@!>$X8GOQe^z;N@#q+jZkqbUap7|JI;BkJ8
zu$jq#uxWHa`A)_iJMS^VYW-uxe{v6N>@mU^<R^WMCI%amf^(PV+>)YmlH;exS<rO=
zEmXh`AW*YOQqOIHo_0p3Y!>J#&LQPt_6m<LVgabD^#gH}@R+3I^XbUhFm6Zs3dyok
zTV!_boNSuZD${Z@M<`AQpbOT$)9FaM3`6aq*f*;O4>4GIod+Qz`9{qjJ3yeEzih_=
z*PAPZbLoJ~D^a#+ci_YS;9U@?`*S<(zq`U~mG+lG#rgP*_R{rR*KFb%=bN*M>+RLL
zWD_A!_vdyTe<j$0_BeE7nUF8U&XHUMrUl7G417Plm&MHE)f&k(-(2Hcl!*~;E%@zl
zGcSb!&S|rFPg65<nJQ>nw+Xs)7Fo+8H+yxuKXX>ka)g!t_5b)ge)&I%Y=R~<J)6)9
zF|AmHK}vnGC<7uulh5HG<88I_^f(@3x#7TA2re8yX9t6(m)Fb$S=E3OApN%>psO_?
zd7fOb)oa!pGyqjWd2n2?kv}0v(6_*5xJn~`LV;&HReoy48gP9GTJuJ@N@ITl2^20=
zJ;_pjLh9GbI>^xUzq^#58lVSd9W$D7DNFeYJW@uUyTJ^na`ZC|oSQcqFfmu^(Y$rC
z3GOc0--Hgl^yxf>Y=S?qeKz5ak_)zcD9A!V8mjlwtNVsc=bd+p`byd*xo|gh9WQ>u
zOW*!I;RR?Y)h8EhY7AUPFlzOYR0__X!wd+;mK-tI3w9<2QVK%x2;YY8!fDlUibXJm
zW^iiJkj1<P@*Co<w=4nN1$qH(Ma+wkZma{!mo<j7#t97|IT?&yu=p`Rxr)E*YhcBi
zTv%wj0-$`drj>J~C+LTU@dtIiW+4dQFM>IAW*eFUOwl!KEVZ@>!(0YAz9u>_(49xG
zd~D`!3Z3zL_MEK290)pr%h6BTb~WC^`MAll4>6{)6B=>vB8FuIt0%DwsHh%b9AKIc
z+I)~Mf{sl}OjigleP!o`&U5%|6Obdl$_TwA>}~~vx>@3#30#N0AQy}N`pX}EP5B$1
zHHP|mx9DQgpAP%RE1&<4AN=|=V5OHK&gcb$`K3iSUlhF>@H-^;a2ewKf*I(cm!NjK
zW4&)iS<QNefbuKdCj$(Uukl9lb)4fcT>4P%aES8=KNn`bHV*-La-dAlM{vyxDW4d@
zHE;RezA@+eE5G5JKm2*2o3*a)(UxwZ4uZ-n5}$~TRv_&Yhu*$|mI~}AM|dF2Vk84L
z4H6F`?g&BVT-%DyCy0ujRl-7$E}9D_!XxQEFDo+Ia>2ur)YJ?**2qG3hi1;z1radn
z(C8zQvl=?ap&4y8LB*%CS~r|<Lf!0wiN#3z9m_c;1Q#clutLa_+<<YhJIRFL;=mRO
z9wABJrI%#1<wr-J7qOtkWr$3B$#Qp&8^zHcK=@x7oKD7Nof6F@MHCcOhpr?5)6X>+
z8|qm5mth52zZl2W%XfnkBMXe7FXIzWIsX^m#3wyk<wd&WTU#9qWkhJdD68Emdh4yn
zi}Z0<|M;7KTPRc2%6$PVMjLLH)BV=bKQ){Op9@&QU5kl?iefQ?0QH;;SUcop1V96^
z0m*GHU>!DF@*<&iYjYf|m<w1t)YycZ2-Ne0<dp8{T);w~)f$Ip|J2@S;^Q2vqw515
z2ZbRdq==`s{ZLT#iH@7KF(}27rGV8&I<hUGC(bPetTxk;=Q}8M<?`Zjvm+rLJDAYt
z(Eak#KSfZAN@9;%XgjHPRpZ@{quZR}$2OX=s$$!ENp)>jto$4*H9pOesnRJkHd5`n
zIJ$S}=su(?>tNYa4cBv1VfOx=n+lt;JbhPx^G_^4=H~v3n;(1OZv`8Z`cA!@i>f_<
zip;}fylU?mW=G13?C^(2Xe*1G>yGmQx~lj0iks_>GwR}t-iga@ZX@DGI-bg;_?p?x
zWoMJP;y}-l<)H{>Hy6|A%q!fG<2wsJv3ioXT6I@Ahq`??aI-n8WsZz0wb}mPWw3QY
zfe8^*0#(U&fTGk|H)m2zaza7fYOr-e7+nhJ&sw_OLen$#^re7)HwE-h{5gN=NB`$2
zpsP*pqYy>{(xpoezjxfTpF0~yw?BfPbry^c;|n3EV=Ssa+znXYV*<Mh4#!yJC<Kjh
z%Cn8Z7Xz<7M<H98JVmGdNbkLdD>({*5<^;wf(Hf}Rj`XfjFEfGK8Ieius(t502wMq
z4C@Z^X4vx<*`WPVa#?`Jfgds-{iI25&bXReOVo7#Iu{(5?6`3t=&H}g?DmO7&83Kb
zhedSc#8bKfRdZZjpJ+k+a@_<d@rl^3pD=c~blu%w*WJ8Z)W=^JyM8xz{ri98Z~tjC
z*c_dm=_H%ugaeRX_vbkCQ;I)#$mTeK%+Gcm2K8o7q1g2)Poda#WB`TuW2?b#$yXM;
zepq8@{EgQ7&h5JOl(`46N7t9+RnbK#thxoppKlMS@Iiqfp3251irOL%PWlRJ%<q-W
zF+7TEI1#ed$LSiAd&i+|nXI0%(Yo8lOH$>LrEdH`9wYH5U6PA}Za?JG4)~W)ZH$gB
zfZ<BVlWeEpUg`xA-1EIn!TlM@#S5F`^{X$w_TtOek0g_U5(jdfANnA*k$pTSE&_@5
z8^P3t&GEwK_~G}x@cxfHYT!$GbO`PE6~FT*|C|3~<N?NW-p36X=>^S>e*Hf2oO9k_
z+(F}+fYkSc@lPe^y*i+k4F(DGA6YvriRY5@J|H_Tf+(eFFSaF)P`1oD@55?KqA&t6
zz^T}+m~-ApLGaKJTpl?fvm83-yxBA$XgW-a!8Fe*SfCwPQV)$C`X3|yf}Ju*%9^B5
z4V7be&<;$k)}OOo_dh3*^8ii*XT^T_4pY$UX(n<Wz(p1Z>kQazI59gN{iGMr<p$o$
z&<^iP9kKtHkym#}%yZw+j!P2Y{*nNf<UaYMU%U>B`v6<7UwQX@{Yq(%n!onSi`Tum
zCjImrcBJJ(jQXd4@}K#Oy;1k%zTO(NY$>ZTi1ar5!ECWG>c9|UL>t0k2m-yM`EXkU
zCOC{bJp1LEt?$TPgTzn!&qFYbIw=vhIv#tB!!L|F&an+j8{x+bqYhGrDSo^#>i97}
z%zBI-FN`{V%p^M=Ax7;&9@ikCz|Tg3fpjrQ7sSs_MxCIfJz$z}j}Wf2kFH7vUXz#d
zsAT{)`}Nm68Fik;f}MuIOs!GuFKgbBQP&9ibV*+2#%PeoB5sxLnP6^$X<8KDQ!MYD
zHD>0dw$8L8LE|%YE?x3>*(HBV)1D&POWpq2tlOWuMVI{k(`l3Yg|GkV|LOOgMh|;_
ze{-TuZna@s0H-f(2I$zFK;9!2jv$kQm>*h-%@sX-STUYgQ1F1=U$TM5YJ<LajAw=2
zLNkbg**qn!jqjf22H_6-O2E7$RByS?t&Q(4xW~$B2{Bo!7R0+Yxdqz%#bhqQ*3<o%
zlHbR0hND&jFzarB^v(JG<8g(F5A=P;Bx&0HG>JaYT>KFit3P^1RqPHcLJeWs7eE;F
zlKJ+4G7flY9vvMugI`3~s~uf=mU*5&)78G3UkMOnd~JARXVy^*eLj#+_Z#yX^f2c(
zdFWnV7!c3H{%P1oGUk`WzgaoJeT4omczQ1ca<?Lo>j==W`POQi`}RNn&fokgp{q40
z=<&oh<b-W=09lo!T;DdgA_)iE=GN0~a~fqJx4K@ifg`2)^2h|Xk1chu^#KIvP?$w9
z!Z1+wp%g1nBecyClvD&G41?feL#2+~Dp)Ri!3e`}=siZ%ir`kp;FT343`2GkU+aov
zEp8EpVad$~oiaQ&e^+;sDGb9|?)N=UtKJ)i$R)SBTaua$dKFn{Lc!8$-cfKxC8PT3
zR5P3?CW`0(s*bd+QU<jMOTK!%ySWUSSLNr>gYik%uRv&5izC_63%=?O@l_8Anc^%G
z(g1<DbPfwPYlPkcdID$r#9hVq=A2l*4+of~`q6*#;UE8@Ac(#}JsuY7Poi+b>CWj5
ztm<*HMip5q^eKaI2zr7<N*HS0tr%G<_9;&kv$A?b!}HC>tgId}aRx25JoI`$=pD)G
z5w<`Z;lSf)tWcq$ih4w8O7-YwMQ-YHc}nRCZaZ^sqMA8BtxD3BwIkp~KG2n=<eF)`
zDj8X-<#YT0pS^bh)-KJ;!X}X*Fo=LL1T^utG#G79`0f`BWt<)=qqZjgGo@n8{PbKJ
zIx}<nPj}Y<0V1UV3)IArWJn1jD$$4)W1>+LFF}I^Qj%B|6GD+_f)tdZToNQ$5G&8K
zF7I0F-TQps{{BAa^xr*usOEh8|JHu@-rwGPU7z)=9TS33kH^hs(DI$KRMhva@HJ8*
z%eN;<j+Dsq{Xvu9i4s}9L8nV(`3@cMKXLeRmK?}YuRY(QHbf1-62}Nt$$XDqYuQb;
zZn)dJ9sCu#ZJxI?HOoIf&NLSGFM~E{{r9KG0oThJH!^N){4a2&(jbzWkAYBuFO?7~
zd|*@iiPATv9l%<QM?LMpXRPkPj5YMjyEh9QP(Ukbe~>5_65ul<#dIuK+8-p+rC&yQ
zfP*zzw&VC@S%v}$66o8Uef<!<+n{K^HzPBb<mQsxiys1qCmfYG_tey{`Hp|}v)&dZ
zInB9vNzRiqEfxfa^ngP-XNDpjw;3Wob7BanT;-tzIWyg-0%gs4A#g!*Yz7j1$cbqg
z$@4<B-v)r4MubhAO<9qq)Gua#T(a1OOw)mZSrw!ubwSWugCdiHs6+P2D;iQ4#Iy`_
zvr-VjW1rwFdAlw6zXd&mw);cvyFVMZsXaV}ca?xh#m0MNB4SFSu&`!od&<aZ^UJ$M
zWphf<7W+~9bo2b`YMBZ8!BDU)0}8~aOSTac5YXfi#t30HMk*v@3V~uwWjl-+Qa*(`
zgjKkcBN!xQ6#MG{({y|oXFM;-m@`9=P8d7j`!MD>-#k%)6Fo1P=%BO^Z8`mUg2G>X
zk;{##J@02iszJmy8`JwUrqgawAN9^nF1OR<a`+?P@D(qeGp23Kz!3qh255HIkmbw9
zbaL}*HhqJE;n|qJjx!))y7mScfzIkyV=6U58q=+f$Bdt+7}Hys0ddyswwz=6puu3o
zwz_>TRjN{(VZlegxU{4c7BoIRk>!OYqN~$jClSWNax6g>-l95%qa;HnWI@T04Qfv?
z-6af#K(TzX9hOgr9i;=xY@mEVJ}miw^6)fM*T>x~-dtii5oi|A0fzZZ+%7fLc6>3l
z>2m1wrp^)s<?AO1W2dS0+44@uHr)D9$7#1{w!G7C(Iv>zAN&7)-G8<`XL;WUVJvz(
z0C<t06aGPGCvzB!TsYw;j7|?6r%>YE9LBa<A&?au`A`Il<wfyR0U%JXcN?4zg2XUj
zgRh$1?PSDel26k<^wI163_QRKoky>!z0BsSOY;u!oKV^&%?p8Q-^76n`_f}O5DMR`
zeZ~3aG%xMzC6TQZypw&DpC=+yfIU&ZIV=HO7Fstku8Pb;iaUKfz0NpIG0(Phx?-Mf
z=M5>4S6_a<>xQMhf8qUTf#vgMjj_$Umd=aTT~S*v?y)Q5-~0aW-u<^>J9XTI!+~u0
z1VR8Ghzuj51zr2fqSg>xD0CB}h&Ec!4MBRtO%TaqWOkuUz<;3S+z_PFYl6z>ihS5=
zWk{qDWFF52cZ((YT(KfrG8GjQg0!73Xg!&z4}%?B0Y)K6+v$Q)??%&QzanjC6GZc-
z)@S!<caW-UtSC{dOQQdjvjbVhULH04Pm-*6H_7E;B@9x>)zSF2lO#1`lf+mBg&1KN
zV*M#6#kU%M4lB7dz4~25x?+XZ<c?NxRX$H7uzwjwy`4dyZ26xk#`U+Zk97f;obf=n
zj|WONJiW`X;bmyi1nyKSPJhsEP`mTo!OEmCrETxlZMf;8Gq-4tf2X@ym&CuH``(}U
z@jv%5Z+Xjuw?7-`|Gtb1{y_FYqGMsTVn=?71as#3WV1vr<DP7mkif;dDlny&crcDB
z9&)nNhMSiTTrJ>JG>=ICc6{Sq`^A2(HV+*joP<#>Cda?q<3UGe0J26d;Ubvh-;;eB
zCG(7aj++`aCfVC&>C`kXErg9Gg)qF6Q_5<R$KX;=i<zB^xR<4(pQ<A61WQfb?(gx1
zW`MBUulm3z{^@_zcO|$AQw%6FE!rl;=J17$61T4`3~@npdZWz?xDia1pi7LmJ_M=4
zTyP7LGEs^#+aUz0!dx)g%{K?k60Iu+suRhZ<%(Si$jpJ>tUolv5ML4eEu3h9b6hWt
zQ#B4v71jhLRKSXWm#}@ITntrM6Wjv@!$a@Z-WL`tiVAbdEhd-?m=-GBdbpBgz;3xV
zAULun8W|Mbk|Y_1_?nnvL|R@PeRHrLyCg{i<B|aVk{eH8<A{dp1xZQCB@rZ&>_*nK
z=DD<HzCVET=+XBp4&mt5EH?<<Xp&<^Bu3Ks^mCq7->POUR6?&=XzHOBSJ{yUbyfS5
zVk8wEuMGBakjjp?nCZC~_gp*a$7oa^GY|1^6iwW79!2?IL{A-5Mxie`1Ih<973?&N
zmnwX~#+*jRN)oVTK*88rfu=y(B9c$o8mYM@psIK*u|I&V85^_$8m3@_R(w|S35$Oc
z`wNyQ&YuxY$-tfg+TsVxP#>kC_Y5f5YSW94a0$OIH5U`2WUH;4gasX;;hwDZ;#{lr
zASF802G|Sw1UBH?f74I?r#~327l2BzEDhBz*$&x)5?LLH?nha=VFy)^V<)L)YzqLt
zosQ2w>~@B>3qd{<F1SYx1uKI80@I-t=@)_$zWSl}_#lT~$_#7%`uY_P&Bw%7MCTej
zY^-=hZcE_~At<RRTM=MH_Iwe|wg7Fm+|RZm9|d0#=`?iEis0I25XFj;in0~=iw!Oo
z8uPHh`0#E;O+~pReihNehs}DWdN7uhM7<vz*)O(PbIqmkbSBAsb1-ZUWh+SHiAIxf
zy&fr!`<lD;maTUDiAVz4N#@%FUNo||VNKvq)mo&Zm-};Ea9R<6&6QEYB*}b(&<&03
zo1EvKoMYuq3MRqN6U`j_m3W>=K=zXP7NISgtt`wxF0I=+9X;P8{IfFB9Duy2ztUt2
zBsyBvdg4h}8(@*dgr*CXA2mg~_SA?%rC%rB6gNly7n*YJzhLW6GK*-?C}vHzqY{T7
zESn`*X-K<SdgA^Id;ZUuf`O%(A*1&P8J%{E=EU9IB<{ZP!GHcAucG*-X)yPAJSnzs
z!xTqIJaXa=jN|I@AZi-Wp@<vo`8b5nFluP4Sj*H`m_Iuh92r_vKlDiusyN2JBDx^N
znZO@2X|ZAkuI#|nqTw<4ReC&%_{O#(9!xT)B96v1uv3sxj2&Z1O(3J)Y?8Cqw1q-9
z@rEQbI}*u7pd_FAh^+fMtu<yS)fSJ(Z&Ox|qjgc6)x4n61?P!wK{iCpxRq=kr2`{J
zeYGRwByI&!TV&nYi-})%6;T@!d5CZ?57X@ZnT=<ohko$1k&aEfMYHj|8{_$wpZUlC
z#lILSW4#%@@eI`GInuFHTw>XHo~)x~<9V`<mW}7hI$AcKlS#);7Tg$$+LMc1E#Nma
zp3Io{b+l6TVn{7!7VN0o-X5!dG|Ro;uQ~e+Yc7t1?oKUhxYzK8Cml;R-7>(}H)n#?
z!dr9ZBo>4zcK_zk`_?@Q_aMxpfYoarMGs6po@trvBXqQ2Ue>80U5qIYD+B~7;6-A$
z1iZ4H0$xF)r2S!~3ldjmhGdUGUhT*OOJ_>jA9?9Z^2mMT3tis`xRE7BRym<)l4mS=
z;P&mEBVnheeV@!0vh??k@BH81`l%5FAzh1fw<8dBi?lZjgfvhpaQBVF%f7O-ZxdXz
zaZJ*1QY}LoBm}9)T@XkFh0q^XYgV?3AaAw{1|b<6xI01WaTml$Wn=InM4%I-9(Td*
z3W1lR0FS7I)kE`EH$lfk96_4a3sR4}AX3~~bwrx@sJKh}>O6rWm5k^yeo&n;)M4@6
zZR@WoI&O-Lp7}UK%NzndWM%HIq5GEyIrfmwLC(_~<V?FobC7d4LC&{*-aqx{|8W>c
zEv|Zy<1h&f=iNz76qA9sN@@}?iHy83MqUDFhSL>j9DT+8?m((H2uf9p1+rL?#?b{E
zmIGt|04b@0G>%Qs4LGP_2IolQ=z@TfYWRS>9uV+#MH)vJ1Y@4I5bRNJ)6$%M1($%v
z(FG4n0&EzNtO2|4RxG#}&PtG4BXC0l@QwsIzGe(Kz-bIHEG(b6B+&cB+HkzWs>7Su
z<V2SQ<PmNI&r@mM%8y=fF`$D}-vw9H;hSzL=Shp3f}0HXZ(l{mQDYD*7TSiAda6}+
z1dDB*r2<ts&xP974Ko+xEXF?ZWQXiBIdbXAVg%8W0*St5T_G;v`J-j;q8_*b0tPUS
zdquzqn@yZQ5iplTK0R3u-`y0s2oc@oj)1)bvm@~IIs(&f(d-D^jU(_6{;yx3X}h)I
z!lPtw1q0@kvv+}3S#E)AWngJvS(NO8Ky_-V5Z1|PyF-wUKoitHJt!#j>nUw_2+|R7
z!Q-9`ZSWWnsv7fX2+|R7K@i-MI~S2W<`VW@byOxUi1`BOy5q%Q)<S=1Isz^T18frn
z_rP{`S1rJsEmI0eB7jd0pd)BI814Sh3XXC~K#h^@gd}jKa2d)EDUN_2{IFaD4~)yf
z+yo=^v9IuxOSaP`0f{0+mAZD({gh{owwS=j0X)_18|EGAmy<}~CI{B9d#nB2rg!^o
zdY7ANdzLRX(^Hd()0Xc|43mgI_%{|G_wS!C1|5NPB<^9e9FRX2B!z=a5sO%8VfjtG
z)TJ1NS6ZBVh;gdHjYx`vwlVY2r(2+MF^G)@&^&Qysz)!~<YLg^Vp6`C!)%=!6P)1P
zIo4tjc)KP3z{MzbG!9*gK^W4fOyJQrkt9<!9P=ZLg&d7o!?`kyliKwlHq+Q^iCR>5
zno6wX(2y+{%Xyw0`!kTtw`aRV9~o6HLDCu``gu-PxhV>IEhV0jsOci*OJP;)HZDOn
zuICu(YO_K~M4qIm&b-zxfqRINQgtW2*0>ajpd9o+L32uvv^~~$rI@EJKc<*}@h|?|
zzxnA;F{ppLMCnB8MavbEXw=|_iG->bzt!>br;zpHx4PgdV7>UQE_e!8FMg{Do`%&E
zs${2(zo%gJRLm}U8dlHO$tCwEVf8edE@=}~N$Rm2bSX#z%Oy2oz#sr{3QZf@SLf?h
zss+cWkeiOYUZvKoJ8GgeW!w+{-1+cN*UVu*uJPRzogaBDN)NMFxH+xvK4-6PF?$6h
zUwY%Ait+1u86N#t$ONM9{sd05zQ&j8<{1V*!{9$U#t<C8_dojbZ|I9$p4-y2BUoH@
zfE@W~ITV0_k$q*kEf++am=i(m+1OSDqsWC7VeqxDZOQSVRWOQN%p0wcfTFe9fLp2)
zj3O6D(s9-{Q#?!QzAJ~OkKpHsn2ZhT6m*`fxR@w%Vdx2ZsU?B|qMs(UtQbWu(B>i5
zF+ofh=mb-di*r;kSWk|<LI$pvq>tcdxx;iTU30-fN%i28+j7b6aYHYPzYuy4isacq
zi$*St<V}-1!?vHZ=dxCBXEj<HpJ~u6xqS#O$*{!nsb4w1iBEd8$yk&*xAipSw(MD+
z&Gge=f?A%rMSU1L4>-7;0SCYRyZ+9*{@HUmR?rCFoDt1A){`00lEh1+wg-t$nrY7<
zf)(cG{3XqF6Fil^OvxCqE0auw`NIuT#$8S_=UBHe_$IhE`Vl)AoRgem-J{=Jvz(}s
z4RV*#b!1sirk)LO?|tvFWC7eC;g!HlvsI3)&@~BN0rxA&YUXs)@oP;t(_x7NCMu>+
z&8PLr=|+zmQNx%U&eNWk;zy=f;wds=w<&!(-kweM(_pIYML1&z294ztlBu*Et|!hc
z-<uhhq5k^%g^&N{bJ<S7$jOp{NCtp$Ey#HCt5TStMvs}%6kG$t*5xLtB0Zh92j>z3
zl-r~*0iBY-=#OBrstGz};%kS-naQC+<Y5F+aWN&^x!PKlesdhKh~#W1hq8*|14|Uj
z^)(}_ReH;d0{v!^f*I^2OSTi$GEsa7u-vPPjI-1#y|1|hl@wJZI>$`qC&`@cw03LK
zjX0t)N#@%V)F7Y}(JEn*6cmLY{czOTwTCS_s{3nC$tl#nmP>(A90}^|CA)kyi*=+h
z*>xl{la#*d>`HbOHsCDTNQe&9ey^PMNVf?VR4Zh}SGIBUwDEI>08dm)yh?*pLeUB1
zNP-OxI*Pl7CARqR(Lri~;qrrL@48`0%1Y^kQ6js9xC-P`XwXXgqhYHI#e+a?xDx-U
zmE?r!D)nCpABi)JAn}?s01~gjL^2KG#8(TZ9_GaBOV^KH)r=rb+Y9ffG8ArkA(?Lv
zr?g22v;6a4{OIY8=u8h@Xlmz(?sg)&Px+>w{Gb0+xGS0g86;j0!dXuUAV$cQ+@88C
zo&-GZ*BI_bcjdU;_Zixfc!iPEnk#O9pI0o2*VO^N#xPv~#t%}JIq`}TrDbTBYX&wY
zO_~$0G3;LXfQukCR=XIbW}_bfE!C}<6R*IxD5D8}p21a@#485G8l^vGv!719BBFx}
z#PqNO745tbPL{+g%BLK-0Le9e%kDG<HRBhAP?p^Vlw8xTDp#Jm3z#6@&;^1ddcGC>
zn2w(B4;c1<dXn=bsIyx$-=G6-q`Fl|6!*7WAohNqI}9|^)Ht~021n1gD2m9NH9ITP
z6<y@ms9$g%+>=7pKCTVVAqgR#)up$QQI>zWzBCW5d!eFiA6`kkoxKy)ol2a~b<Icj
zP2A0VNUPv6n|hhNlU)_M*10#jgWHgq5-(2jZTR-r9gvM_z5(j2uZ@&b+NG0kf|iU+
zd=t7GtSiB}!&CI&IYMwiiImQtFMK|KZm08?yiHt={5<a4kPik==H=dty%1+i;~>bL
z%f|OtHlB8i`b5F(z1)uX@|%C{fA#0y9{Fg!8NK&n-TQ2Pmb61M5}o%VyaVuF;Kmpq
zfZbl$-0Z!y`totHHXMHl7VEg7=RofTj!|#(vT=<1GAgsZVD?^M>y;1th<QxCo0Cl=
zE>-KXN07Ysu2}Y7q8N<%Nxfk4UZNPh*%Inpe#q1tiMqNwW5*ryGo2)%W%hd^e<fZ4
zJPq*14QxG=EiM@aW==FLYo4|BYJ{VCf)+E(;^S4`h^`6U>x`kFY<%yee?q;1YS!J1
z_vRh&C4gE{pl?<VUe~fvmPlt`vT`uvn0udgK=QkoMTzO_4#g~XEUZj<Tr`chOPL_0
za#_j*=etkm&(6zB+!7ha7*<|?o@Um`ShKx9vpzL<Z7<9juc0?d%w7MLU;VpY`j&H3
zWP*$ms!CJRQI*Q&y&$99?JbiTf>fur1;mJxQEr(@uGK55Qx^;}N`PGZG8fgU3%1eV
zXcHJTJmm6TBct4*a0o?J0LVZ$zq&UhedQeojf@iJM6=;>G2#n4qg5lL#7wsO^PE*v
z)Ft{xo2u%eFiB_4WRyuQ!3Bl|32*(Qd5G%MMMgPQY1zy41WN1MKlE>Z%TEP#P#1E3
z3|9!~VI_e*o38*&@80vQw4$eK$!^xF5Q@@@iB|N;s$fxC&fv6NbG~vF%t~v!_lZ_(
z)CW7kth8dH)tW<G>WW!uwTV`kal<TI(Qa93wSiV!X7D;e@d5pZ1n&f^f<PL;i|CJC
zFbO-<yaW)4gIm$b7V!+0uW6hlL@%&Qx1MI{`?B#rkVHdUy`V|THR;d9nV<eOoWJbX
zsZP$tEBhBi`agc{B>B4F{d5e>Uf?Zyfl#;UZAak>zwRy-uIeUT#@!RRjP|OWQIB&b
zXgc%4tq;JQc8hwqd(H&iPA2FpKkMrs{43!FXbg07CI~~}`YcP%H5^+e2>AEDQj#-4
zCtDJ7CMXg-_ufE&&S&<#v+RQb*(Gt3S+Y>|Ssw`~f#6amsMY)qGu*>m%;jKzv2-^P
zS+vAVghG?R{<`Q`xkZe7_y4kOZ%2Fr88zCIvoG@i%*(Pr3S4bP74ZZU&y?hb=Az1*
z5EAla8LZiq-i|4K*Dw3#w|~#MEZ|A(eh#QlvYC{C`V>h?a<Mu3^_D^YCn5PcpgxJn
z&jIymK)w`<JzscRfczB28X~jnfma)Orkv3XX*0l&x952rZ_A*D4d#kN9Dash&err6
zttp~d;ZM<r5>YIEU0}%_ehJfyy36IZc3Mm{2wU$yY52R}^C`dPQG^S6o`a;}=E!-D
z!q_xm87evsRZL_TG2I^6vp#*6bsPX%I@0R6#q4Ks#qB3TPAuy<;NQv4hqfau*f$B4
zq#>|W+I9pg+&*`flZMCbQjzjt@q?FNlWaxDtyUTZpp|IR7g>sqLqD>R)o$6#+^txX
zhU*=9dNpZSm%Kb}r%v}W(R(P>hv<&1h*U6V?FJ%E$z6=c3QZNC^1=Bzz8U*O31~R4
z(%Rq5>@jP&H?4-7AzJqb(VAw2=EU6e-05=h;N|Ntc+Ur}UU>fHn_>?3CJ<BbEC1J@
z`|=M&G;u>>4%In@g9EDs15q+hB{7G}z5vW&nFmPpl9<B?W*8@6xzIsY(L!ozBbDXM
z9$+%;51k=ehb24kB#k!2S!akAhGk;_rU(*mT`z`~)(?%L<JvNe4j^^{m#e0wb;0do
zWn3Y9WDh&R0?}&2zrk8NphzhYEx@UO7Kcp+Iemw~KWmdUNC-HUZub?nFs|oPcxI_D
zt)@+}w^L;F@HLHh!Yr>j+%bU<q@0$)2Ye1QCaRx|1-oAQu6llX*-ogV9)u->r}6>$
ztmFfVm)7!TgF#!Dg0OJD+jPMMVG$!4eQOLI((yYgMy=qs(~(All*SX}_~oI6y&IQS
z#HNN8)0Xdz1{K~v{~6!$o?jXrHRGWLT1t$E7Bs58+nz&<KwFK}#&c+a7Etz$3c_L0
z`}aAth|JA;IS|aDMPzQaD@HX?PZ6x-&>~|d1{ae<i<W0WAF%cH#)>(#Xn7WBDKxj#
zV5Ni>k!acAe0xd4@a+iC99p6U6yZG%qi`eyW9=BmA>W;?GuGk*;<fHP^X&o3));FM
z+V!{+g&A5xC>U!uK#-L+Uz=i9EgiWb-9C>aQ@Km28FVijb8H(qk>pSZ`RW>swh|*v
zQP&YnQF@pp${|#*dgq~pe8aP@mN!0>v%J@_$+tJ@YU#4MTvyvGc&V<QgQPh~`skoP
z{A=IyoxlFio(+<gOO)@~OmeP|1-`$eBjKbfw}3|yT_1~~7Z3xW2^XfO1Rxo`5Cbqr
z+Ea3n1nwuJKio?4u&kJaBz~<OrtClI4xNJ}WDY_3#Au2q(Fx`t33*VPy}3uDN+(!?
zB=(Qs_hIPs#GNF~TB-dSrX%&=YvdD_tL>f*p6+rf`9-(r=*nX<dbAq#bgd)VlFrG<
zTTDhiWI+X^^*EH^-M|Yzm=Kn*O5*SFrIvKU@(g7rE<YCa{N6A7yw5pbP&pN&WWPEn
z<Ul>t!?lZBz2=lYyvpF}8N?_#>IojV^?uO5k)xjAaa&^sUva;c_Q>OjlaWV`dQJu&
zIqEqbcjTh+iMZozj6jVe`al!_I!sg%3^mw5lc?bIf=V3a8a3qDVkaEWLQlYkS;*Jj
z|I5m;opvV^o0K>m4a_?17IoOuRWhDqg*jIE=!g~m(Yt=z|B%M1s5$DP3owTynn5;j
z1rC+Yie*c?Un~y5{6Y|IN_{_1wzMZZsIsL6behaH1Vd~Fuz+l74@*w}<DtQE*eB0&
zei7WJHWhc#bC$mAo!Qdb6lCBGFy^nO&MF5hMk6X~4SKT9aIi}Ak^nKbgWlBA@3cQI
z;eiOqfe}lW3uKDiJqLtumJ7rP=0VOf-yJl?lO~D%PCN9kb!+C^gN`v&uXvu|e!mc6
zp>}v!&T+>;zqcm&W{34(dpMcyGw=~qcnqJdJB-ueOtJq{WN!2kJl^rYXpx`CsoefJ
z6?^ajE4!U>Dz@IGQPAuo%s#?LhmY`wH*fz9zcuoSOtEd>?cGqig_$M?%=Mz726H(v
zhRD^~S4b1m3b`OAdT7rtNr)9o5rQ;AE{HL_96pT18n_=6rx1el5nPZQ3W8cZ?u0D8
z9GX6Y3!+R)%3x&w5b`RPB37i2&;)hvG3*~0AJpLqLHY<TNJ?R>2$Q;4Tfxu;&xX!w
z#cb|aGlcx0R_x}PV_|{hQ*X4I5ezx|C&xrs$lQ+g7?Z?8I6n0&$2Vi2D4j5fZU+4?
z%;DlH^T44@x-9%H;sK>{5{mo44F@;^^|r#dqQ(Eka*d=8r#8xVf;#lp7g&qa1U2GN
z=6Y4(dm-UXp@9PdO(}oLb~--Jw_rO^KfHwRMoZIiI+X?vKNdJ`FHic}^LeSco0{~S
zw)|Mq??--O_xNi9>Ht_2{8|r1bPFJ+6(=Ua%|A3Z-@bA+W6am^b#)^7a3Y}6Z?zSl
zi0fR7?r~^QGj?d>6@V%{$8~<(6Uj^_?csbwQ8TvUevN6jq6#;Ftcm9yhi1~xFXpfS
zeYXmdFM%$82+H&1k{AYo*9Mvk?C2!Gs*-%vnk15@a_}RHCxbN^in!!%vC$C|goh8-
z6eD04bX+XKSjsEkf%&38x>y0fkFXYUMIiqo$!R@LF#|5Cb3J%P2hQoLlH~?r%rCA8
zs$~btwO&#Tf&cKh68+&pOj^(LGX1W~K7ra?$LklbX~=~xkw`(ul(Py!@!N->5WQI%
zJm)IC4{7;_>%CKl;+wJk%@nxmrya%1oGU;b3{7EW83x7-b+QGZGcTN)B>%$WlW=2v
zzUmGLlAHDflLE-P!j_P{3ywhApU+a>U(yh<|I^HOPKs4N?iW{p?9IK@7|gNH{lz}h
zZc%Sg=l0c`pybzpl1~Smf8ZmZ^W3L}Q*u*&^mW5uo~&((JL{dH8_tH&{OACvS@Wab
z5DVJPK1*2gqdxTt`BC!=JT=qjM}6wmNVQa*Tt_Rmu~#GI(wZOjxmRQ0&w{@^js7h8
z(Kh#L4E>q&qbG{6lidO}q?RX5g;TY-x04@@0PiVnyX_;i!x=C;>>NU<u;K{G5Cml_
z-n$J_=ng9`)Z=M?Vd~EN)1S>=fTY@E|BjiQZc7PCSA0zJ{_@zw{t`mQ@#)oE;)Muj
zXgD>B^$!YB@lmwTcKZM&)%0E?U7Eg~juDx&qu1|ygb655@ywHHw`led?xrQ}YyOK5
z{>FENhoJe7K06AKCAtJ)eK9i&gGEkcM^PD-lmOy<YozjoqNOb)`wDs`urDDlMhJw4
zD@3~`>6x>mfh<~p9b1E4T>}{tiHd#2J*$GuWiC<1?F4gn)DBG$<=!T0#5v~d=x((K
z-m0K2UGS`#4Ab@KI?W1u{ODS-MG|$Yt$g%&YTLo1A-5v_$+M!5*tZ?gtSx?x?|Cx$
zzYvN~`FnnjZ^k}RVhAq^Mhf+y?AN*i-{=m-ZQShjW{@-2w2t1yE95>nzl9v}XgBE|
z3-O?Q%^e;aX}Cug-q-{D=GaUam&9}(6qe<|z%aY+!H|9|m)xSXE&#e<CrxWgTF1_l
ztl>%{wj|KDKEBey;%maXG2_Ry&JsUDmYn_&U6+RlTm3_HJ^3MmWKYn^f3k-NOAj9+
z{^K1!MDOX+Eb$aQR8IErH<W@s`8$0Id(hqoipi3rhd_03xE&rzpQgE(WBs+JY2Z<2
z2NC`lC^FLpqi9^uUxK9Opmp7noKlx5-`A37$;&bOn*6=>>S|ra+U>8X^&`y$fqsYl
z`>i)ue0lkL;8B0@+#17Pih~J(x_@;r*Sjm|+qD1sYF(}$0(Jj-{cD`>BJaPwT9@`x
z2-N+>$85i!Ozn%uK_9Q?pvqLf(gCzSJhNYKkcr;GK|NlrkFmKvaPzQ7?vUROH*p?q
z<+a=g-z>$7_r3VS3o_=ejj(&)`#<>nm&m9)!l3=_dC&yC5&Z_a$*a@lnzDM9W7Fra
z`SKtC{11NoTiyZ}o^O|RkHTE@+0iZBqYZu;x)eK%iq>?uePxvp7epsIenAQXNu<Th
z5aiS2f?L*bX*+hyg%RS1AYBR<+;1_eL{?nw2Z!eK<bq(ZL7kPB11G78_E?b*jte5S
zujDv5G)HeCnHhp~DO?bY+brvBPzYKW#eN9#8F0bfVukKe3NDT`Z)HU>nN3o?6iCAF
zQH*vhDJIh;F$HBMmxG*~L9LQxzBw%H;5XkqLl;N^R7sLa2VWD^J)C!o$qz`949+v(
zp2LD91zd9tKwf`E`TiW>BuH%st*J(qosOPw&>oM5UJ<P8;5_pk!n|_KXOQ1>&(Uo4
z_pG;w?LRzE-u_-P-y=N0${K*SoWbJ(%MriQ?zjf#K#smcOHe(!m?Zx_tqTcsQ%n3{
zMe?k>pdMd9*XX3lzrkJ5?{4UK)h{!8&Hlx`f(&8P6*ohNK))DqAKbZcsCzpv*6npK
zZ-5nF)Bef*S{qjzd+o=o{o$u}-l^*_{|i;!Rb#ZelFZy757L)UkccUEMRFC%kZSHC
z><SV!d3Fp(yp>wInwG!<$aWYa+R<#+V5eZG(+NYM+O_J}z-*V1a&QDLvg`Q4vYFSP
z$IaE-pIs-F08@uw7wX9Q(z;FTD=*iRb^9#BB8gEP+PdY{7rydJNX@mL`>XYs5{n;y
z^cKyToV&^7e9}k$nZJ}~e`w)t2tYArj7tD0hM~4n9{e-fb=!Idz=DGW_j`cd)v|`5
zWO5wf0ILqOj__c)Vk8U&7=pCwZN&v(BD9u=&5_LHMUY0l3GOh?O$Ev7T?HkR({K=L
z%?-h|Fv5vAG)=m%2#Zdy6d?=p3j?qSO}a~h<&e$2$Z5a<QbQd}YNo=k8?$=7Esry4
z%jHO|$JYb|5m*+kxyPv4UXnW2CC%Yvo@5BU5geIIYK*}jQ<59`=#w3au*yDzsTt#r
zR+azm|CyuUPz!f2uHib!SA#qRvk+m#<C98{f&R=>7^J<f;aK=-_}^G>>=UJi2`%`<
z{NKA5GZy~2yQuZdh>tfRVYz9Z*$epaOCNkyP5p;ny#8?G2gF9I#wmAT%k8}UBDe&f
zfA0rE;_5QQ$MTQ<t-tb5#uQjhL-+L@3=AYT9foF!?yr)R?$GWqz>+FK;fHKirA#AU
zfK^|R=VIXpxkCKy1#>+IxjoD9BV>n#Eh~x_U@M|2O*`ViAc$l{6%;SP4-Kv>139vX
zt_tRQ4wwTmr3<+V#%X;iNxT4C5#U>13@Sv8I55=##0xM<5G&ejcnnYMuO`<kp1}k#
zFD9*xgVEQVY=_m{-Hf@=?{8oL?yQ~~)i**D+DtKc`xJu-WRc!tBt`@v;-P!V6yKDC
z22+ODx|+8%8uvXhv-z~;`>>Lk=-==wKJl;q{!eI`=$qhg8)O-wx=^MZG|Ece!{A*=
zg&GAqX1J>U2*enFM%$V`!xg5|D9~FeI##4I^c69akOg@35swUer80EE-EOI9cQB=|
zd&Ukb!zKtWbxDXKwLRc-r!sWGU|&ZEbAQzp{?_Q%H><(8NKuBqX5?)bsESIUV_zY-
zEbupE>XA@K_yY37!Edf)ns#?!PK?tX?cRg)%(n;M8>u^*tu<@xN1v#QA7NyZ=u_3A
zk31~$P0O>Q+TT8Tk>5o;9D4ldF|w-+KkS=pPqt!j2$jP$b&ZN`hiQkj_YL!7#<hC{
z<bOL$Ae;W3{~eQwf1}i?Rw>*>+I;&}uTa6Dg{Hf)X9NtJ?>{&s({p|my(j3d!%eJ`
z=|R83ST5dfthquHTQ8ZO^ebbMVGsUc*kPU?b)XNBsMqsc+u->)Pf6(e73~f*;__G`
z>(M>HlF)Za6oxqU9_0k!Q3sMNy13*H8BsW8a8Hm?>0ez<!0MxZwOg|irjmnKQgXAt
zCWjG7y@><m`<EimYP^CrAW<{VQvf+kPwU}WxXbrwho2sIljn&dRe$aI9$|p0_2F;P
ziC-kAy=Xut<QEN_)`BAM3O2o<(OxwCTW&_droU+Xm|ir18vI4$$NZu}(qA-w>|Qj5
zNZ1M7(~I^VxU9@WAgM2Z;ezU4sXCX+f>sK0Wq|%_s!Sjq4_g-{c9s&DacT_4lFY9=
z;2~|Lj5SK?xf6wufr0^rKs}(Sg4iD=`dvCcAp@n9a;8MjLVAWM5He8O8X-`RFUp`D
zzpJclPzlM1i_Pk4Qz|Q)uClV(Tx|-(;dr$v5C;g9<I8sZew*FZCPN%H>#I$HI6$E8
zFE3v^|IOiQlQA8e?bW7SKLqOj_4+qB-+cc!`>RbUMM0qMFDf#Q&*v#F2bCm9dnxFl
z{#tDl-)>n3U84NCeZX(G%eMLUXSYfJJ$Q(%CJe*`H(i*U^*~#>Eq<WlsWu=)i>hxx
zLNvi)6{#RACa<265U21MS&n|{r+w=mdG!yapj|S@ed->OCX4dCcLX}*Os)m(mT=zf
znKq{&lGuIzT-<$6i|;u98*l%9zdH6SO3?0tz)7HfjTJWw^C~UN1LMHQ)3eP0!zpax
z05pc$n-G+s-B;A!QO)u9>2p4?z9JwCFox7PyM@6&VnsfgP4EB%tAZPw7#@NOGPGm2
z@uQgUZM`H(lFyZ|iN~BBp-_*o_x%r4Qumgv5Jk%Hz9#ug>R$62kVFZgua}h6{Q>S0
z(hyKK(&O8$S?<qah1<d(3Ff}u@w0}OzMOb=>VIYf+svzbu_HiLHH6KSx*>Hx(*LTM
zd#KfH>VfaMx6>`E{mq&FnJ4=-fet&+95w-!xVKDy(beNQ3u<3~#V^cKQ(6Bapu)fU
z9G?i%rW}wW`OpsbTQjU+^0nD4>jlA1B_Arv+Ea}H8)<NI1~I<{_b&wDlL6q~r(#7}
z+lqT=a}8qP@tY3Tub|aMS(_lV3nr~Vy`YWXhPZg{QaQ(L3m`CbxyzxUtf7a}#k@v6
zlr+?c5{k%FKDpBIzunhqEh{RT2D*Pr{+UsvxSqgw7uQp^!`IYoQ}aY6r4+6wHqlJy
zz9!no#FE<s{SaI2TY`1V<^-idhw$l5bpHJ9AN!4eKSnqz5XT)z#})?U774N*&YBQh
z@b2MDQF-l_pcEEo#@2vhpic4?ciMA<)C%fJy&xSF7sO}~Dh6a1;LfRg6f06EH9-&(
zE249S-r(-g)JZPbia7+H_AAnXbU~0R5mZ4WEohUv75TimAkikGVBy4C=dgW+t1ggR
z)Ve@p!mEMX*B@J+N?&ukT+(qCa4s9C;1;Ge8T~ZLHOQD`&5d(1C&_$w;^-Tu)$*EW
zm8>c{%c)R3Hhr0t;@Uu&>w=+B4=y`;_AgH{oa2O(CxfaY3!<GSDGMTL$&>}r)|0dY
z$ek|HU8-=>{&0&*o<Y=AI-qQa?i)Z>(aKTMHj*LC`%CGfDNCdU3mX&(L`vF1@`ibT
zzUXm$P3X4e^yl&L8_G7l?a;3Hb$58Q8TLcjLKs~vm%D)W0-W*D26d$w@;O63KRT!l
zKlxk!#FsrEW?vKbZuTvGpQ@4Tm9cL{W?z(qRnU<2xOpJ6Xaf`6qt+(%9Au>jJit^0
ze(0!1qRyxOK^$7rn(feDja;0jKW>#nU^J}LCRUV0r>z);L-;6t<wG)>F4*dZBeS4u
zeY4dKvFn9(!<%fa7ceSYqMB*1TzK803u9EsD;2{!#dxyCV<P|CE!zA6|Gu60m|&Am
z#lVq-$~<b|GFz_|@UXRfNn4yVj~ZA45_i0*5#-gEpTB<P#aCZ^69Owu(VcOJdK}D5
zrFA=0T0i)&z5OdbHEb>5^od4Tw?HF|W?(Hs?8*Mi1ekq=7?Q7X6GX?LHbXEh?F4CS
zT@W!Tvw2AEBgGFpR#v2~bwT9btOfua0m8x}NL%ZIhQ@&N4-(D(&<wF%&^R`cNZj~n
zF#8G@LtEPfab$$p5L|OMb3HU|tqbl}2hBJh6r+>Q@oebY3=uzQ=s9d_jPERnZRLL&
zQ8TN9E(7kB{~I%P`FnnTQW-t-I%&+qRIlp$UqnuLoAL9tetICDz{#7#ROS#;)P^t(
zB*SS3L`S7MFL7Mmp@eyT@k7GKd_X=Sd4F!FFtH=XMCf-}n2hty)pb@6uzsKu1csB2
zudtl3l}!4j(~+OY!_<c`tO&X<4@B+xJ)?Q&Ky-Rz+i?<!A*3dxW~H7p?H0|(ar!N~
zglF={e$HS1mwsg!M@`&#*MV-~y<3q1hxKgCe^$d|Uzu@q!6O(U)Hos}RVx~Tk$*<|
z3?+Xxx63s-9AQsFFfvlG%g2qj>SN&dKrr&pI7gt{w1Tz9vAY!`{|sEpYD4}Z+PpQ9
z4&~61f2OwCSc?F9`Va#b!N@;Da1WAZSrJ)xHQ;eEDgVr?L3vGlf(_BJdC9Y8RBd3&
z0J|xrQPa;g7R`pQGkW=}*nK?i)Z<Pq^7kuu2bN7e*~yl44nl7+2)*x_opVa}zEir1
z`e(G8W45GsV@bdIE&t62elXA_Y5@k8bo%t`6%8aq27=48rO1_BSW=&n2RJ=?>Z@R}
zq$|hWWA=ceOTtQ3OS%L)oYsE7woo_(vnAcGkEA7nC4~h%Wl2#^A`=t>R~YGP_LOhX
z)`ulMF?hRL(o>VSQzaMH`4;A6tHp}`y)a`y+NF*22p6@c$o)36`}iIylE*Bs$E+ji
z-?@SB_8a&vk74cQxHN{H8h=e&zPB30)4laqe8xX`dw`#Hp}W_3P<YlrG@{6|#zPV7
zW<^#so@!-6l~>k2WWNgHo2>BwDh6E%6&W&Xeb|y?2QapgG6jMlIsqEy=GXxk7mXb-
zO{@<xipDzt9Vh@B#8?K=i<2xG57Z)xa)G)vhSek)*cHS=%Oz)`L4$8NMXjEuH0jxn
zx2i>{`jXP@G^pyRu`FQQPj;67H#yvJ3NzKeHmzF^<rHSNWi!4fuY6qt8CR$MU!(;4
z44-7|Qig0su3Ugz5o`+B3ojl$V^8$cr%u1*TfXeGzAI8OS~Ti00l<)v5Q#m{YylyL
zEXwE^6M)&l%w9lnNjioqm@xt9G$g?ypn#xcti68kdMDMXt^dr)al=%nP4LvTVaYmM
z1^?u*;fx75IcvCJ0@l!E)VnxYYdVRar(gomlSBA6CCOY}e;-k&emTv7M0+RP*iMq_
z)Ft5uv)LPKBG3J#I0MyCX<}DWl~VzJX_qmWLFmu;WQy^z)mnCa^CYySDacn+31y0w
zjAKwbaW##o$Zqf=ix8)aATqckRZZZsmYzE8X$X2o*^V*;iV%gi8EC?bsul#}<(@`>
z4KXb&>2wH`s*7x=<KujDPbI;Imw*HN$&-9vGvFY-=)w_!U$cSx2rNvSFi&8byj-8!
z!+)thofAX%ml&FMi+UG-#vj}c{@^nneA<hzM3|&4if-3+3)PR6(>rd59E-H;E?AmL
z6=!c>Ay(n*?t&PeFs(-vL>^A>i21}~-(p4Dbzc!p14`+EGLX+>(9TQ?lUxww0Y`~`
z4nnj{iy14@uDc+{hAIs-Ap8I(Rzccz7sNzU<*Gy@Q`aYH5Pz3!^GRTW!QVjk-Z-|{
z^(MJoku(A^8tOOw!Ap-Qrlhig7m6A68}$Ivn)&V=wjk!R+?i29B*}bxP}tH4@qj59
zim-Ia%Su55e?iUk&9xx?-cc1~P_Tb_1M~xoxD4|suiGExb-5Q{&+esOz|<twwB>sX
z#w67bKl2SA`D2lmS5xTPw>j>fL<ifvk>T4{R^WEQ2){u3(uZHk*#hWmL#`FZX+xH#
z5>@H+U=l>FtsN>3oujJ5Va;3(36-#T7l!1h3WRlFz=a@c%>z1`993buj;f$7C<0Xh
z#-VdmW&LN2YxbCllOv$lpsQ4Ib=e;Y7IIV((Zk>?igjPhrY?N1w6i062@m5804t$T
z$7k~d0I<{}n&NImHWG$;WHUjj5b@<<`(5cQdza2EKc=%j{)>Ol&-%ybJjN}!+TfIs
zEJW&g#mOo@iFdRgP+U`;<(@|GF>XMk2RCPrDI&ciNS$T7;d{7!a%ebCnlg$Nv&Tr{
z6U!|j!Q44x)LCuC!&3dGBRt3I4OyeQ(~qn$c$LcSu$7u)x$5jOBLAbTatAc9416{|
zihgX2H8IICwvNmyg0zmIV4;Eg>n<Ln(TmczTv+xkej!P$`_cEC6^UBKw=~m}B(ui|
zwN4g8f-K47Rh?x)hx(deSBEL#kF?txF=ARX-yqD#(K++S0}_k<n&l3GIZdj<=--v6
zDE#WPHh_v+eatjb&qkr?zjr((s=?he^)?z6&5rA+Nl-C>nZg)cqsAIr7uN#xbZnf7
zZ7Ah{EPCVr>+)SA71j=PtG%W<ebnpBZvF{TwwL6KbY4p2%cwX@f=${%GfG!{m4?#Q
zS*~e+(2f=kuyf;7q<J>&j|S6{uLG%B&6kP8(vIKp3Mi(yp@gwXeQ<F}z+R3sntFf|
zo?vIF-Cfa~S$@nAeD)8#`h(vdB>`pY@CvJQcffli4Jz>(7(XCIyde1yL*Q&6Z5Sx>
z*u9`=nkFbz&q!n<@YOPBtSE-S1RDnmR$lijik|TmbqY8%(rRTWl~_^Kj0v{z9n?u3
z+j3<r*@6K|GX00`ffQJ%u*QmF2yDeI5-M<qiBdsPwiA?+fb9ppy0VFnNN*^fC6?6G
zfvt)BhSg$WG8E8~B<Y&?njk8rdY1}oN7#K<ZK|d*)Cq=>>h>9)ClR`?3;>;i%I@`3
z<U^Z!gu!)+L}a&XPr+Oc;QO4_Gq<ChaIzf00(2YiBxAbMt1PYm?OXD!B;n~(yX~<*
zqv{5lbS?(mUol|XE$Xe&TnxCSV!)Sw@Ed>U$2MZ~z~#_r=oXBJ_FI6)2Q3Kd>DyP9
zj%tFM!GR#|Do~>EenOBI-35`j(V<5m4YzD_2vQBWAo@4x=5uH#QrX>#wCFAvBR0`6
zsrZ6ekrv$rgOg|_;Lmc7^zK}6w?}r3ehhs8jYVQbT67mg=1m!Qkc_fSSO_M}6g1^(
zfmj8B5J9vWuq8=`cD|;0GDw<%N`4@jZw}%gbZNq(g9Y;Rh)u+_3J2I>WNLqRL{<CI
z_luqKwCzAPt-)$K&vJhbj3;?TOAfrMcU%BOz9#6J$OVOH9)x!N4U%!gE{Vn(oyg6j
z4<z#~LJm?v6L5Qs79yQzzDFR>*4bHTw;9|caogL`m!#~Ld(@8tJ?kOmz|y@Vb%2)U
zEd@=3ejf-5-F}nCn8}IK+*k^^WKu+qF?*i^F<It0W>8vqj?av;MhI7CSJRWYB*xQO
z*Xe|1G;}&)WiAd(hir!v1R`xXGHHK|cP@lYXGU%gAsPu&!3m_)ju*$6_PAf_8BL8b
zr!C)GbrwkeyLWue$DgM#KC(p0Wa9z#$}UeNF{b6`UQY_+#R^)MSua>SRkxA#%Bd`H
z<Y}BYHl~p3uI14d0LaRUJ500at~w_h5f<uzW)$%m-j=MS#+d&5EDoB#w7!linUjsc
z?vhZCi9uG=yEP@ov^B95=4McGkLq|YnePsoC>0ZcB&!WRj-N;@l3zNE2T2K#Q~(K2
z4>PFA#@1hfNW1<>lk|7W%bf{rMKTAks_O43A=m}Y=`_a@fqE+Yl62f#(lJ)z$tT7g
zk97SnFv5Koza$8g?(6YvnlTJz2N&Re+36%qjN@ONP!yVhv_GuVCB{Y^nb7_E^R$E@
z)1z-H3gf@~rEgojFDgT-^}U+y9#znVZWeIDs*gx@;DU%6Nbbq-fdH2(Si=Vr*FziZ
zmV1<pt6*_Yu^?0t!-vDb>CE9nBOr~bC3aSZCGvCJ?pIV<bm7rd(H%PbrbyZ803|}H
zdJjIkrn}9G`BJR7!fcgp#S%U=!p=RW?e)h_Ef??)8eQnf!KE_PuE&EHxDcSIuRmpK
zxxig&WTglKYxr<hv8r>MN3dW3i|Q!*>y2ySLXWjv=sFnu8ahlqWi8Cl-Pf|I``$|#
z-cyGo6_&Z_;!R5zdiTEZr@#Ae{hz6)S1bCiZmqsgZ_(HnM`poM-MZiwu{~57p+1;b
zC9l4H1)WEA>w>8z1HA2>Al0o4LWRIDMJ@ufJB`LUR;0RZf~clQ(gLUf8;>1=^o^S!
zS}l-Y;EHGm=?+bG>w-s+XNVT%IjGYaD^lGyLB&=PJS6m1@OVQ|)U8V%ju>`D#SELk
z$zWBIzOhTfaOuH!i$jOkI;$F+x+))3H%1v+w`&<i|1G<l_AkSk)%u0kphF#AV^X$!
z=QU`ag#E)n$xha^p?$eraxh2q;oQ@ES%-$0<m6!TJ#8Hp4-qq={(gBjz#ing$_7kZ
zek>dCmLL1$|N7qxxTJb5uJPQ5x&8d~$@FV>Fi&P*i-Rc`b@B2RtYJf$*y(o;<`L9?
zmM2}?_@NNY4(9$;>NPu<r!%iabodW>I`f+I(<d^olQf<xq^^csG~htn=q_2^g&yOa
zy-;1oU=V63J{4tW8+Sad!=q{ci>P{5dQXGWv#0*9l-{)E$CTbDeBICdsqc@XoK{GO
zFdG}aj!>A%zydgtB%6UlkTE0ln^+f_QdR_MKCA)@H*n!tMsk<c6{+-GFou-_fQZnx
ztVpHT1c6{ttjh*<yiPE~Y_>=#Dl7%)8F-jwMM;4=dIre^qzH&#kv5eicvkP|>z)|P
znu<}?a&wDH=#RDwwT=I$yWbaGS)2@ypZZrl>P(7e9t(8IXbtuL1KdZ0mwYRk^mDmy
zWiP{}YG%fu%ovo9j^Ps@`PiTSzrW!;s+1Le7J$y7N{>68ZVI*;B1V#hu=WDCi{6I-
zR4KC>MKGJYj4EY<wg_f(XXUIZs8SojcNSF&jEZD6&Z0`&tUeUVdXuabKfCNUtPj;W
zf_ci-6jUkv1)J6f=Ayxx8C4qN`p{re?fhh`tVXnp2-IZX44QBY%)en5M~!s%wQTD0
z_d2`JjkEtnG%%w|FKZf*!f;A~@Lf%2S}K6EnSK+_t(fLX^%2z!6}=s(=u2-|eD}*?
zrZv31ITZ&UxxVUIYP}6Ay4iywspi9Stv99O7KZ1r$Qp^W@l@PuZ?KaP98bkz?BTRj
z+!95D?i@!`aXV!1`XcIhDh^~1g9`3=DsH<n?xwh!QtORW+!_#_a+YU}FYV^2;cW)B
zeBegx^2STW&GiSiCWB=u0bJ0db_qk&-P9lK(Vk=E55M%mSCtR?L(hNlhp%3E{$)|#
z*mx9Qy?p%z@A&{`SJ{^@zliPU-}`}(khxY5RLqwck$M5suO`pMn0AY1<9j!3-rw=p
z|G8iC-BAbAf|?s&FGKG)M|Na`<OAIWeGNQU{&(mV05lbq{}tN-Yh^oE{!d~FXng(9
zXl~cOLR9_-<#!t2CU^jGtQPcF10Kj+`NwETZG%Ta-^{tK0lS|o|M;3c<A4aD2;V~l
zO67ljI4H#;c$508u9bfTzNo<|w@F=cl1WX$Wx$-maB8A?5T&Xi9#~a3y8c(iUbk#>
zpJh&g>^vFcGO()v@lqhmSF{DPPuF<Hsn{laMb8-W-bxVnzyCAe{$GDhgclm?4T@es
zT?(`usjERjsT93XCjxE=o((7`5MGolS1x)%C9o+9f-7lDDuN{oh+qL~h6zXx6{;)d
zEFea?vn2|>2}j^qJHcG^I-nU$xkFYfLP^V^bI}W|+2GBFI)ZxVEc+rz4d?Z?J)Ry(
z7asS3sdj=T3uq*=tfL{h*GmfS-z#pbtwObch9Y@KI`~;#sQI;F$GEDnKz{1>4QjDV
z-07NQ^?qH&K~A=H0e!YBSpVA{xZ9-E3~hp8Z}qbK2rUxhQhJMpOBTSVOBt7@C6wm&
z@aaD7t&iiIc8hw0GUxnmC+GL8e)U&=!}D)#?cv&u<za{SW}T#f6{GCUX4+9rim=6?
zBTimt6P(NyyN4}?3B`)2JHd>V_BAoe?a;3qS1elGNobF%AP8SH=V!kh0vR>Rev%lE
zs}2D;So@AaEzP;)9dN}Sx5nFp_Aa<Y^|vg|c%YkM42K54G4@+=n(RE0XW|q@w(adW
zbhz9fX%F{@Xt&xEDkR;Ko#a_JgQi%lv-$>)&|6YA9Y+1=x_x>)G8)PDzV-<0@uNmY
z%a1pps_~V(9QmMutbR1aR^y+>(bawd*3!odYyad(?MK(%)>n?V&ntG$iN|REmk*wJ
zqI#RI5Mr}s00wjkuD$*?i7RT7c!WXCNy)`-_>hP%>XIY`lv|HRslEqQI_~Y(U!kLu
zGc|!*#$(k#_Gl=Ce`{+V_VCul5niG;-JPfONjJ$2SfoXAaa{ISgr4bGQ+ZD5TqAp;
z)c{)rzY;j<L-L3g1bIDiJ=QOgB-3Mmb=)w!k1N71gZ^7-SNHSWp)F07!(nMHU1`mH
zk1+6>5e?QvOPA&(l4QO|K}B^~4Z3Pq`5vL2SbzEBYHbOlSX0{A{XEgq%e#qR34QKb
z*GZD)9%<yo^IY5f4wt;}MZT^tGBzCu&|YNx+f6U>HC|bt5fLvk|Mi9!8D9-wWd5VQ
zcsN$yJjQ>@ubsS*@mAvN{zc|Lwe?0`WE^koMSjoCS(mjC07OE6y}jaXib2<FKEsKl
zX$}5@JmX=#x>^^`JP7nVWH)~e{(?iQAgp&+>w>a_K;56)5hiSwSDVa4yFOfP3LgRl
z%KoyQ_Q(0=3dUw}wJ8;h4WTLNf@M4Q#}8IcXLGfIeQJ}@H@mA1!s7V-Hhi=5ayZ|8
z-eG;UDSQ$ezKnH;e84Tv_n7zJUv2Sy91sF!f7y=n->$B<dB(@)c(pCp4}rQrx6}SO
z-+ceK%d0Kk)^vRSK<WIqgC8s}r;k@_an@hUH{bs3HfhiY53z-Z)5A1w;<s*_SZbR`
zemult+a0nS=+t^Q29rE^c=BK+NA<oJUwA?0jkYq$``-V-=fC6%zb*bR4JB=YUMRUi
zZu07kMVg^Kv3izc0_+=q|BwEhpFGz~4QCSRbvV2*!(FlWUGL<5vN8=uS1xp5=Ow+=
zW-V+D*grn{zTzHqJd!Cp*Gs)!uE3d#`;4wav%Rq*b&IbUR7`6!6VW>iLB2#Tn5dW*
zgT`lS7Z(Kfk0ivTO`<zlR}^2{CGmWNTL_ATY{lxC#<5F%eUJ1TesZk2!En5OO}<;c
z=6*?5VM?Oer&i+OaOJy$Dv7lgB3C##I$s%I6AT{eAh1nb&3-!1e1CSU1qnLXM-H>z
zpJxF;EChZ?$pvXL)9*gXM2kzB2q1NPn9ftzH7JhgmHL0WPqWbAJrcX=U;AGbbGvL?
zH{|s6+wt*v@|{~_+qi%-7wQoIdp8V|azXlEp!d3oPw;Fp!6|7|p@>b|l#CQveZvvI
zr~nU_6q&BDS7h8M_FjC}d_X=<q5k21Ryrk6_+G+rLCW21zDcK4+=nxiyZFKK>FCcB
z_F|RZd!+U7>ryE!;e3(=vTky^)syh++6xp~dUP=LAnjKyz_@LpPWM;$%<_H8iYDMM
z{*q_E@Y&u3cnw6)8lDpRlEnm|8o)6LNXXk)P}relAPCeh6aq^d2ji{{!O$^FJludv
zQ*$7&;1IvEVyGAhBCLTRyahymS__C3L&IRmF=7xAg!*D5cv&$_0M2o3ijWMp{l&zi
z06`4WLx_qe9jOs$;j&_w0GuOi31URLa6$}T1d|ECJGc}`IV9JJP`V|P3BX*^BU+<m
z&Gm*#xULx{0Ft1tlk)ZvZ1_;ZRWjcl4BTPtC21ihdy?d>DB%c0JVa8pml@{lUpyem
z+E~6Ci<KhAiBGPr{cn5|pZq)j8{fnyJ!l<Z>Z;fN;>T7M4AnbxX<VlIg(oX4f#ly>
zz4^^I!1VKf!B4&X)}Pgq*IJtP<hA2b+PwNL;3%5d2$%`qR~JNTj4%^`YcT4x7Zelg
zQ$#o5)eylAJ2YvcVnu3GUvalvQ4lDc<&cSj+SCQXBt&{|9D2PPj6|h2bwOm(bb<~>
z?HH9%99rzIonxCZ0hiu<#SoNm-2}m0M{ZMqYjBF{P=}ysQ$IH5mSPB-NMe*}ciEy%
zU2>1yp{Q~cl&N>i52Tm~Kl*N``93_Q=6a{2=eq+3LOJv>Ho}0lNiyG_!y2Z9dKXcp
z1syJVR`065eDv76R30@an5ioJ7K0>Q&V~t5<%yE>e{VYYQJE#dEVHGoH1}L~Dkbkn
zkFCkw4_aJg=A>_Ml0(_XCs)b-H@+GBM5$Exc75!BnOjaz`4#N7;U*4iYqRp-8<OcU
zzd~w}`Bj-wWo)iVGCk*4#wbQV1PNBLok=o1=vT;UC{x%LUcun`O;7q22L2Im2G<(t
zT?JXhnv$=!%`3Dr+Y_`0!lQeBC13552!Ivgu>}QK|D;R4+9hq4Awg^c@hI<v<g1$`
zNE*b$faKsE7vJ9{aqSS`linus*jLPK=X->y3Vb7l>Ui*;Nxs_Gv`CzMJR6&knAXhq
z=m@V${2S=Y4vaYMJwvM0kt6Lr!@t?vg+yull)1y?iItZEvi_d&<8?x=$9sllJoNOP
z@_U9I^Lxf@aG_MZhxhD6>KD0uFxf^Z2qIZ{kty~ZFw=IqV#HH)<|FkdxzQ9mcDYi`
zEvExYDmL%Wrz-C+Pgz5V3{uZX2bS3JT<RI;n`39Do=fT#x#W6$(FN`JQv3)92$^GK
zuH$l$C_a;k?sCP*bZ<)Ry`iN^*Du@YfRbBI2b5H7`u&i6uKSCAZ0C>6a!oyNFH1cm
zRg6@5I{u!i=zM%?#C-muA>;VWOvmNu<K?mYL(SW_O?->Iw#M$;2mBUkYu`j-ShCV;
z=#dykQrm?Q=xdI<#r>KQZ!05XjkEO45|+1o>usfdhYP;?^7GfPy!h&ixxtRPg6sFw
zAF}lFHKFxwUr#OHwCf9bS3%_q`oCR6h5vu(#p@3T`X5^X{C{wwYe07MGpT#=M1^l4
zj`-5`ORv6k{o;G&dgRjDCEdtOu(*;78MYO)AEK=vN%ouuAO2$J<l2H`KP{K$S=&9)
zTQoezO}p|s+OE7Z{dL<R-*|V0Ii^Qf-}i;PKF8!yk!Ev{-b08ZF%0tPgFdy$Vo(`u
zwdG(pGEE_JOemtCnx;T2;fDtHM8U3{e9-sOQ60MA7UM)EG#MLw5IH6u8d)3qK^Q<i
zs2<Qj_=;#rG;Y@gRF61z_7xl^YQiR{Sst#4%kBhIj)}(x>5d+I*g}|cOwecA#(?6%
z*vy5<G2!N{q}PIF2H+yf(WiM<@T<g4YH>;XpwYxd8GGFYPLq9jrKR}Cf(I2s9o;^J
zwEV+0viJ7k6+Opxq8^;Ia~)g0NuQ?0nLF-pvEv?%3h6}`C@wk-o9#w0h<ZcRaErMf
z&!W?s`nz@}i~c$!>A&cke#T$;gP}k*{BZ?p!O%02R@xBcUvnHeaS>@HYS2zF=Phh7
zr#5%>k!%X)2!$j>i-{@@F|CJA@%Cw=*c8gaAv{48o1$%SVgn*YZAW~k7C<99Ou@F-
zFoeLH)ACBVThdA=d0k6d$$oN4>|i2Jw`TG-5#gUAcFlL^1hFfU7y0&}f}pIs9AVmD
zdQOO-$g%*@wkDvq^^Q+g->M@$4OQTdD^sBM*OUJz)TgRq3wtSN|KMc-4|zqo>X982
zH9$lW52YerhfRCoyL=jxH8~zY{BN}SI?pG#ta^Jl^9k%FzbjomZTYc+>hJsd-QRgz
z=xPNbx~?`@B2!s(Bn6gEMkFXB`^pNcE{Hdm$wZ>fH)unvf>Z`Bc>DPEJ?5hb{u0Av
zY#3RbBXxB<^by0dsa-fsMzu=_Qdhem%#EUS082MNI|Qk#n;_=X=%E3C>3<&4)h>yK
zH!Wx!V60RJ#*(6|T@r4w7Ag*?DrgEdNm5t4q;=CtmTCa`6->=g5&=Oy&$D{9X+0$L
z<MT3oKA}!k-+AV~<r8~VXPVO;-0dj`x2aJ3kY(kc2xYMk;X>c&5ofp9m8t#3Z#~yj
z8AYuhJV$6wN#~t`(%elw)t=|OQl`_EA5*5E{OULVvL6m*s#@8Vsp;s~)A2pYYLFe@
zlOA$*d;z_K9-}|I<OKWbQWnQ|wbeoooxk4u%Z~3J;7L)YyTx%pe^4CXld}|x<BNgY
zHxE;&_0&_-6qMP;Dz7I!`QrE*9O(vEJ_jbJUHN>2PPp=CRk><KbB$Yl)@wyxn;oyr
zdcBC%B3%c~0`j31W?M@Tu`w!hsps4qhB380MJD#6^r@2Uj8eP{l%lD;TZ1LqdUvJx
zr!7CG_}}rt&wnM2ozX044@=yk-A5sR#}x{{8PC?i68Grhq8APPBC*d2_@)G{x<2uX
zYd``ixPlAX2}a7e!V-=A)YgClA1PzN5;@&VYW!zli5Te%Sd4%Ohvyh6W7HziK8ACo
z*Wl#pap*`H<IsB|a=0SKYz-iUs54l?3s(cM0Dd1xV!9dfH|6(9DPu^oWm9~8xvnhv
z#A;HMit07fk-9O`W)=D;?UbwMwRY}l1l6avjZe{4$DxDn;`-lt0>g6vv|NNbzf0P;
z)Gl)uK<snNk7>N${RhAP)o%(i8daUWtGn9+>8JR;A+{)I5sep`_`RjI!n*BHjb~d7
z$%xn{A`qaN&fm<g6yj`xfS73A*eLH<F?&*QU^zb>Nl|cK^;zTW>SC@TXgCGFhc=e(
z(Am|+7<NTCV`|s{e^gvuOn=ow0~n~;k~qie>Y|xRGomQ|X+bMVve@rG5ZG!|<3aIR
z=Sq?+IbO8Bif%-M&809ux>KUk_(2s*=QkFes2kaLjQ!k^Y*_&ne!aA0sBCi?T_t)}
zz_({w>gU*4Q@~LI!%N^8h2=GH%-zsZ+v9zyQ+OjrBM=%l13m)oQR{Q#K#7KXF|b8@
zgo-jB-?sr@mVk}`ZPE5UiGO*@m@%i_qTbBR6g9U)QS+Dn^dG%`?&BKDU-^POI5GAh
z)ZEsbqkvEXhC{oD>?=?48{1j*Osy9KUNlMZn;^z4uq%`hWba3c6=|yd&_K{zC;=)u
zb>~BnrrHJDV1_Lgw9LI84Cg2*emiu`Wx(7D4JBelN%8wR;%nGdMiPMONF?EcXXArr
z1Jz(vDu&0TVn|N^C<{Z_nr2J6sQ=e}T~;d-8NjiSCbR+C?v+J5vuk`k`3P~;a|Tcm
zKlXc}Lw*I%4Sv*Ny@iX$P|JTx6oMJPHA&1T(Q*l9U-kD}iy(S?t}rYV{UKZvTqPPY
zr!}Pr;*vPH+{i5^bg37YB<X7U(NUqY-fJ}04J6Y8OwJcl^W^Tgv}SsOuMn=Yst-v_
z+tz^HB`<u81il@28k_ks!shuX(;pxI8#gpQ$%BE_@?*r!^cVrjT^=KDzm~`7J#M*1
z9I3fR{hDgj0I8$FI6%w56%#HJpmjlYC%=q@CK)A;hGfY$p(T?q?t^C`P*BdYonXyC
zrRNT7!s<&|Xuzl<K#=AL5|y3_N&un<;YvDT2-M?C#1{MGd~=62QTLai<ne=Ll=hOF
z4K4jpe=P@3GcybbJ<|Q_gLGJf+yxESz6m@%5%K_oF8J+mGq0??FTQfYd7T0P6T;<h
z&k&p&0N^4wd3Cy7Q&!J%gvtJiPyVSNeMc0#BB{q`N4KDL+Yk#VL~Zr6ugtA*K`_QL
z3<qt;2Jy2**CEKK#|6;}$O+nr*MSn!ic<(i&Xf0-IZ>`S0=(t(<SXLL*q0|{4+BaJ
zx)m<?dJdjNCK!Yna*~c5h|5knPdskRwc@Py>+NtZM!q?gM@5bVRKRKq)0#Aze&v8T
zqqh-9N5a{%DK2@Tfve1Oh8bwAbLXww&DnvlZt+Q_vz2oj7c%ilrHzovHnK%@Ryq0V
zdT-V+_CMz^&HdO<2Y$dF-Ozd^4iA00y!ygdUJ0q9QES}q`%p*vOA|YeF5gGHRH-lg
z){py+2WhOWkotL2)lodqsHn6<uK~YgGk5GOkJOY4@EHt>1!*<~(I#*jj3p&^P!<9i
zrC8HgQT$w6agP!X{9LTK-qTemI53ISOc3xdG9e*NyCC5YoA6>qiPU^W5KJHzK#+*}
zwx_^YIG(}+4%@wrPly#W7;6s&g>yvA0C2CSN<*-Ku@EZo*mPXbf!ke;#vyi=XtJiw
z;pLF6vtEwi2ka1lL4fO3*IXESAst=f5Wn)xit`|N<zS-fk1lbDNfIxs6|@6-w|mKQ
zf7FQbJcZe#{OpBH6m8|2nFsCYesJyi4(--U(q!@X+_P$#?)h2OuR7FvDRje-xKx#@
zMmyM;O1<V(aVX{Zq)WfP#sKEGfys_n%iNpFY%08#<h3(sPF_2*si;VWn-9nIqVy{(
z{J4#c$B)VwA!|AypQU_2Zim-TUZYHl2kh5HyhaRDO4I?KrP7-!Zh1a_=cY^Tqy+)e
zao)Uk^jIV<0K@@0f9bzCFA^GAnFDa1ya~EodMxbaJ)_H?xW{7J@?)mrD}TmY5AQqY
zecwfsniT1!58k+7-4U!@crZPfMJFEP;n@3@+509MSM)U}?)3hA_P$MBlaG#Eyi`PS
zXg(>n1#fnxgm9pF<J|Wm$cMxQ@g|U_0CsN;C~zkzrotsb$U(LywzDz%s7qGwd$Uw7
zYZxrU0>@d3sqi(on}w#qc6^BYHH-HR^Q}J-l590Lx=aOA>xw>XA5<q!ZD&)BeG=9c
z>NY+V#oILhoiswYdhP$tTu8Tz-G#cPaqvg`A-0w~Y?``-uK`nWxw^IW?n>QGTYgO4
z{_-#SlYjna2UC*j?VCOGwew(x^Ot?Um<Iq3(!LEKyMECou^aYNWY2Gl1UUk9B<0{w
z_da6w%#B6`egJ&_-to(R-yR(H67nB5t3j&*)vaCHGlvCS1aS}nO;80MSDkD!9Ni^4
z8R+o>Z=ec11dC@5&Lx63Ac@GO`kbgG5body2YQ3!j^M*@k**gdn$~2p!zIzUtgRQI
zP-iV6`LS3t-<<;zP!f|Ic5ac<n)&vCq0X8#2p%bGPE^cfa1lkRI<gg!LQ9g#J8!L9
zeJKB{qbQUW$rcL$E=XD)xBdK3Xi*Ct9iRMbbClCjn#N8grhM|(x8A;nJCmOBD;t3T
z?*jp7A1&tiCh_&7_&9hj(sO==v>JKa@#es-7(5s0K}R);-lIq|7VVy(^rT+}3qQP|
z{{0re)gCDDG&L4}K}VLSQkarlGO_Tl2SYN1DJdiuRyEsi2eS$UlHrp0%TyuWGtk`D
z=eJ|_4;Fsp3I|t|?@_SuFEJ{i`;{uQKM<k%?xM_Rl{gJ~k;o8^R6kEt0m-3&^MsFB
z*PQmAp|+LZGi(mx(DI(4*fsK=;a~kd<45Z+;z!5858pE!>ePG2qFeW7@}Jsztp*qG
z8IJd)@7c_h6wxdzH8~ocnUbDfrX+A734p+~!$WKd=G(kYY=V`lIiI9eBEhc5`a-Nk
zKKS+zCux~VYT(w(a>E73a5SA$U}a6Ttz+A^ZQDDxopfxQ9UC2YY};nXwr$%d|GD=(
z)vASh+`DGYF}`6SOU1U3W;bC2U^M8unH#_UzIiu@#j!BKxdY?#uNWUsCxwmOL}%b{
zApc1KT%y~0JNv$E>R~@(puH-ROa(TYNQQ&s3VrRxr`;jC?ZR(sTBYej!Y1>!iErJa
zz#{cwl5G^RV?+K0gtyZ}o<cNe9hV`--zX;0oX?$#rknT!k16no_B*+ZOiCj1*a&`T
zhlw0G!36ba;o|ydiGyDFcQM$~E{d1_p>GJ-V#)&nhDU>(@3k4${r-Ef%bqM|w2Es~
zbC#Z`{Vq-R12@h;!`Z^|PZ>l4txo;`b^ScbbI}FU%-}ejh%ir=p(2>A?x8aW?k<Rw
z2MKO05)yW_51#<ukIFFYJK*A-CD;zBl<05H^Kn7^^Re3+;jvErE08eyjJzO*aP$0E
zW4L7l(-;3>T*!laTBq^Cuyv~H-xZTE3o6T**)?+UoKiNPpDF`v0@HuaS@2O%qFV=f
zC_8}J=QgQH9k(N>DsU#)YFNV*G)k2(P+#~N(xe;7bY(xNPssN>OJoXTa@HBAZ<w2f
z?$LRJM~lrI*SZxmC^5hhKvpQ{oOf+Xl>ROkM2AzP06#jPA=}%?j%;lu4kW(tRkCnJ
zP1yv2ASWH07H*OL^}}D}=XJ0fsE+S-KR9{)vcXh5S6y2NK7KLP`w3iQ;0j?oRt|R=
z$plt&s0=Nm)F95Rfv$z#4EdhS5`jfSHKMJzH9l-uff=<X)2oS|sZ#Y!RDmjU@xuK2
zEjWk)2Z%Cjm&5P{F1DzfP#v-x-&Qm8{rUZj{0#fr$$66_beFHoIVi~ewiq#Q*66d}
zy?jN~L4P-DGx{5=2>k$+rFcP!%`iyrAOa;>8qKU*$onw?2!(Y-@fj*frn{=_FRWSC
z6?@I~qTTUJR*d=8G%_rvpGMyAD_a4lyn5BPwX@iMeD424#hvfD?=fMywNK`mqyRRr
z=W=HWC+7`oGzJ(6iT1wBZc%k^2U%dCE4%lq5aJYrCe3to4Q8s|0C>6$+2^2T7<ljv
zekj3GLYVe%>K!F{#PhreuF(dVMK9_$zd0aS9w!S>danHqd^Qlp?VHT{HkX^SxG3hi
z;gIO(eV_PJ8$ck!g~RyU6-2BC($n=p@Iah@Vip0?w++uY?C2-*8-c>qA|h4>vuY%{
zk->9@H2sD?$-z$?X@iSD>deAsbkK$t{(Lb_Yo;<VP!ibS3nLKDGG=L`A`DzyQ~M4a
z1e>WL2~sR^4A3N92=H8Gn}?twqw9N%i*Dj>sv(IDlzeXJ8qi}i?&$_A^*>e&HgMxO
zWgaVs(5j!!K@elu`8zJNbx-Fr{y#gG>mfo{Daq^9shL>bK)08BFP#|#ooi)C7rS}C
z@5gt7u8+M(%+BN2XFsANEGd$=zVVl1MBUeaLC9shg@LyRi2TlB(phcdU8sUBmfP}~
zPu@NIl0D~ov&B_LQ98bH_OUt+lE{HQ;MNftx#9MSNnp9gxWmKI`f|F5XwvtjOpUfe
zU5Ttzl-$}*L|{G?FG^rZ4J4CnD5s9G+(;rpyJjq@C{TY%q|30YRrshAnVVM5*c7Bk
z9X2PDKy>t3bSr}8p*KOa$^|5KJyLi3;p9hk@Rv_OO5IE1AxTWeQed6jNGF$Nj^2Y`
z^-j3r;V{F^BM(d!M0|tdQs7d((LN14(0sWlcGY0Q;zOx#*NOZ<fa+Blr-l^pn{#ea
zKPQ$FXpt3Tdk%it-)>tEKW+aCjiPTnL7NG%eFxgr^=b>jtM#oQjh;WkKW;I5-uv2s
zoeyd7zTHg~csaW_xcQ=umf6dtao;{0q;|c;=`|W`Ar&%>glyHVmH%Gvc`Nx2nT{z8
z+KQ%hW0X(K`}Mp>hONzEAauAT8=A5S>tek<5%M#}8*kafCP9dte?wbmZAQ%xb9G?0
z7)6thnU&uTLQZRRD+RwI&(peoCr2c+_}Bm5lOmVlqB<ZIq8`EqhFHdzK6_xtxHBr3
zafIp~NEhhVZVNLHurX^lbg9<c<0*w*;{*Qm%re;{=9p#kupgaW&7OLC7((o8B+i-X
zb$UYvX!x%aqN$D|S&iV^H0SzFyhl#jxYglIdN-EzoZRbw{A&Tbvfap*Kts?*l`E4J
z)9iPhKALZT3|jrUx7Ge_GGwDb+NxgzX|mqH56>E*>PgsS=DjvS29w3HL5}eE(lQM&
zv3*{!Zlf?D4U(Z#^Jeo;dfc5w7rmnF_4K}x#7^?l-n4Z;sru3Dxcyed^o;bxT@|On
z(^P^)hnJe4;yy^D!Npf5Ol~tTZA1Vql)rm<jBJW?-~&r$??c&C);VL!;LSRpg}Sz{
zky<b=Q}TB{^<uChTF(`Y8pbTKf84OMNTrPH$!a6+LYZhDb%wAP9LUx!xBtVgAig2&
zWtdBCwH+`w;!DL73QQo>z5CN2<mgtS2XIGipN}OncbXtoZ8;<!c-q7g0__Ua!a1v;
z6Y1noGQOG#?G*H~MqMP>GrG5Zk-J>+c<FI)`0hYTiyjFLRlS6t*(}J=1PxJPR?Ql;
zvL$<8NTasMO~CjmSW*2+qEJ=56_WkBHde|wV9a@y3={VVlp3HZ%|E`tK9$+9OXS5B
z{h>-WT`sF;hzjYCHd%(db*m~lgh&%!E&6G1G4pb;{kr`^@qhWPPR7fB7Ph*gzZmDd
z@J%&E!wO{}+H2$Bb8Q)cU%QW1fMBRNsewU#kg53-P_WfOKHZeGl~iVtb#C-*lW_|h
zyhwIJ_#e$5F!lab_7KRb#r=1n6xZ|N{*htu!YRTZ`|e`ta5@+6-49<bfZw*JRne!}
zN^q0m+s<#{dn2!cWWp|er0<Pw^9jT*^m*x}LI3XJaIhopB;FYFOrZI6Ix}fGpRzMY
z%|*sQ@$T}DzUrUr?k3aN=XRXt%i|zMok?)S8MxF!YnzM*E!5F!BjVHodgRN#jsPW5
zKm`X+zI<>`Pj#Uj1WW^Fh?SsQ3F&a)<X+o+;@$W-$F#tHe;Jr{ln}s7z;&C?{PAUI
z_{Xilz6JN3InF?34oMEBSQJ(WbaY*9Uo|_QA&h3@Ysg!!fk30_%(iOa=yn;_ak;`}
zJJjl$t;uSua_u$VjI&OoN@^WVQroNi9ATA_0)p$kNVTfy`(VO?Pw01FH<}-}>Z5p?
z@lMb#WXWe<bd&)@>7LKm-BuVwY6~yN;jv@S3o-m;CXCsKVAn&=2csa;f|nWTip7>L
z??=XrXg&w`q<m8t3`68GtU+zvADEe_F;cLB`@{P^vO*a)qVN>xz8jxYy+p>?H*~s!
zwL#>d-B)9AS<;XrAZf$}%+JW(*FCLm{9M{L5~@tEsr(zCSGX#z(6F61sJnI_<|NmI
z(lq&H)1$NXgQ}-k&qaffhBu6LLfs~;4Y)V}sa+=SB10gCj8rm+1H{H?m`}e1yEiw(
zBk}Ra!{=eie`hs_IlHDUpp@^k-^aY)fBpBWm>)%f7WA)Okvx%<@VS)Z%C{YJcoJv8
z-M#y(kQIC1T;S-|G=BCtn&1b{JtXk@s2kS_DMyjG&kOrAY#wqqF-$ACwS2Z(jnrX>
zVab}qjF1`eht>h!2=e4u%k*`!Nn+-_x*&nT@GG8$6P(F-a8D3oF<;>_1Wb7%ongVz
zt5ux3#@MN1+yuaAok0U;F(+&?*^e+hlDT!4r9(%uu<r}uJ(7?*t?`l+(sqlw@YArj
zHBtH2^}ashpgCxtP^+=N-yZH>bgfZM%zJSJ*CvR9P*i>EBR+iG7QFK+T_UZ*r<MwX
zYK98R<`Y*?ypSi`s}v`tJ1Y^Stv9c>fJ6lSB-J2qF<NUE++>{y_R|+mK!{zCAuOF`
zS0UMw9?B$)tR2yw7SE^|o?hY^gXapq%M_l^uCNY(xEkayNXf0C9GSoOCNU6~0V;QB
z5ruW%h*k#$#Go4OP4XS{aHq1IWZ}Im%&Aq2e$p@0nsu`##Sha&x@Rab-Hc#x;)YP@
zpEexnnNXYL2s(wBOHXI+&Kf$g3Mv0|8rcAvrxH>68peTfC%cpQX9i|>ns6}rG!sXc
zaGa^;mp$na>wCG$fK}Z4&JftIKRsz5X$EM5Fk@DiCY@%tI75_}_n4?9n>}e<;r~60
z!#uyCyEV?<8QjxM!ZUW31ptuYh6@c50HIC+=Hc9*rD{fs0D#Cpx0hk-3HH%b?P#So
zi)!ejC_`1i0oXrFHC<PHp?b}`zpIr@)nY8}^w70^uSZ!BT=g79OAvuhKRSddgGkG|
zjmS<}N1n{d#o_>MPT7A&XhUZ1O{3filXq4sxqL_`?*F*c;iovAoot#@9kmmrXr4Xo
zpETB;K1D?T$ZIz5zqS0Y2UC(ospuW?zh&{??bD*e<$OD}<t6;-x4|eeiu7*z1~CYx
zme3;PK9+1zWo+{nb>a?0>6(985pmVEY7hQ;G$el%D6G9pB+W)3yVNP%0K?z)2;MkY
z#HN+i;vcWOc&+%JIrcw&&Kj`7OOe{%N@kr--yaV5AH3nBSC&ZOq>NRGYg4d-61D=F
zh6u(VRc0?udIAR$xRY~e5*r|QnXrrSNzjbYRJ!xt;z7tK$0C4YP#;#q4&ttv9{pwP
zyxW(t2`xN}u*FmtLVO(g&lb@N5oh1KnIH*1UO}XpV5zQ|PL@+~VJg2ue3NrPbshV$
zqni$!Mu0vbRN_zQqvLyFN}*P<ajNd{w_DU$vH!9Ei0R%om1_o&rG&s1$sO_x!ff%I
zBFI{x0VlQC9!Y};9gjt)WNiE7_MoCD_!tJv6|{Bg)74+C?&);Jk<SqKk4uG*FDVDw
z`9x7(7wge1rV<|%ct<jNh7H{JF_aAk3no^qhCihtBvimfNqI)CDWMT3tYOpoU_)IT
z!bp!6zph%b3A8({4U{^@cRBl-sk=$0l(MWbZJb4!tyCW!prk)cf^6-m-kKA(r;k0<
ziOc3{39P&OFDErFmCzHdgD5l&zCT=51W1f^IFzLkc|zHu9`{uAT@QMRsdA_i7UDBi
zzN4hqvbGom8o>UI9w%Ko%w17**&^Oy^D_lVO`Kl7%h)UYM7oz}&#$*6ZTYR6`_G1b
z`!%v!Vl9-k=-9KG$)M0_B+|H?(tGunvSEt^o3cT$JfxRo3C27;r3b?Oo7MF4ZX(IF
z;C7Lw$cu)}WIWB9WI9Z70_;fj72=`muI1t(er-KYC0~K^M}eXxkX$60P_ILN<E4vM
zhnt-M$3>m6fBQ1kt}4$0g*^$H%Gv*DlR_6=mi6Y=6Cxp6jK4EeAKVJ_mp?O7SM8fB
zK+oJ2B)8K}@4(o&w}-;dL`;~)&22MBCndaOB{UwgmY50_@3cx9wA8CYODGquJbJ6F
zHurnMm;XAU26L2UE5q!J%D|BVGjn@O?6?6!rBb-C)mIS)q=jUUW<=gzNiIlkI74#L
zfqTV)@WtJlOjEx25_}V0Iln-r$f%FCy-vzI147kI!yopUn?QX}UKzibpyaaswQN9}
zgN_dZeU70E-xiZGv}TH@$*7A#rAyz2FWTQ+x1YSfAFiPi(R{zX8sdscIhcezfmT;F
z>LOPm-$QqPNRzgA4L!3><uTXkbN!LMIZtYZs$w8aHZ@(SL@KyY7!Ceof>dUNO-%I9
zy@Dt3Rvhm@8Pw*CW@B&?Wo8X=Fx_DnL<y&IY^)!4PsoDjNP>l55H{9B7uOhAEVR{N
ziGH67`V(IWSgaq(mHv#jL53ekLl*_f-E+`oxk9J@588|BOCFVNI*3uluU|mjz2zJz
zL;-gQS+PFB`Lo6i*JdwSt~?eOL%9Z!e<JM|$zMxz7~Og6QISVllc`?{-)B>NqhFV7
zgAdtO<)%M|XO}5A;;?hPqh53i6mKz)f53<g#H9k4jdmy#u!ag1kFt}lYj@5lB*<<v
zD(PJbA#>A<e6OvNMxZKbkO>hS^dz3B9;AZbtM*@c(+Kurz;W5m%k*M=7Pv~=yRBq~
zxY$nb<pE>J^}y5gDac!)i|C^9fbix$<CqYn7SOqVpq@%8>*F8`$~_ASP2QmubYUD`
zOtE$1!0UftCs;!yQuc+=1iK*?V?b40n|Y@(tveXWH2>&p3y}G_{}Od2P=qTT*508d
zKixZ707P2JeA#s2=h3B>U1TCT_Y#GUos|if!Cp#JO|?n^#yefv6lO5i@O|AR8<E{V
zc0yp|&%Mxs<Mn|`SRXh%{2^WXh(h-J&%O^S{0rD<y=M#AJLY~e-rI_mV^#V^TN#@Z
z35L|Q9ykc$vz{LHd|RzwHbI+%udeO>wpT8Q1B>C`;+y&QsyW>2FOkP!uq~v0Bo_UR
zqsuM8LfPW&5P`kGr+`ku++QYdX5V1)zxm%9P;Vc2kbl7Ax}%Cy&D2^YGU2NNyE^`R
z+dev~ENH+x)bInKg`*M`kA}kv5R~GKx1s4!JYUTaa5z=THCXih)<hn>!Z_5Ja3t7`
zJyutO>S!^>t%OKb!Q*IK5KllUO#~V8ziV1Y5cYa((lt)O%G`8oUAOGgVNbwlxkhpA
zId439gsW!O03dPJF)g5@4HOzxdsy4;e)H^|Wv|+tt;hwZ3{Oj+`W1gKG5*LA(0y}K
zpngk?g26D6W>!G5a-7zmg}4<-J_cM{uvW9uu8&U}^xW<J<kGo(O7#md!gNBPffjd6
zz3?_Lcj216MG^SC+P_XQ6`!rbk=#utbYajj5LlE-|4TO!V3W5;#wYRHx?BZS19Sej
z%(VdY`YTQbnGTuI!n<;<N3^*c<|rI*K^o6=h)6lwQj1yg0PC-a8w?afoK)&Ey0cg}
zWFI5~d>?q{n>>@`LFsVA9+2?GjDc*#zodiyo!``R<V%|zff^FD(fYCRNvY$=dZ&px
zvILE=+sNj+<oBDF?2x9Qa7)LMg4Sc1^y;uS@j#sWR&Dm7Pp8k==!V~SB6V$i;eNZ+
zUUjWc3d0?{n-_9v^V<U~Ba|=)-+P%qU4iBBRnmhmMv-=G6o;tzfG{DbfZ6>!whsPA
zbGqT^$4onByRH+#A9t^W?~l8eOvLY~=VP$O*F4AU8A{6@zD;KaSF|8Dqe;!}vLew;
zQXcjvpHzhyN}VAl{=Xo)4cFf#iTsdBf8ga|`<Tv{oOt1|mqiI7lj)2W>h_$5CTgQA
zn$m6SjImt7dWCU=V|63U|N9QCkCyh5msgg0wwDuZpmcu*b`HG1^tu<XnAshQq9C>c
zI0VCwaIVk8z%dc70AchnR1k?QA%5A|D>0hwrwfFkP4;|4pN1oqXtdynduuYL(5T~`
zsg(GGX%B0&b8?BUVlEX=oOp(0_wOQ+TElZo<vXVe7aziNYpR5;>wWXIgcskU_HH@+
zuzAg_m~lGyk`TDkn8w!Zr#Kn<xIz0NT;XW}MSVGzpmQGhAEUiHyEDHm6Atm>d{~c9
zZw<|7`zv_-Q^tADu3znF#l7CM-G1L1(2#VlZFcn->&@UZOfl@Y*o%8vf6kcoYs)1*
zBo04fXA&UtX{w?7z6#3yYZ`--#*X&>j&9kdi?quxk<Wq$$(oh2VIuB@DR&b9ZATIw
zpb7ecROr4N6abIqJ!~YNG3FGj{M0baO$6=;&&OtU{fIY>Baeg1%wiSO4#n%s8q|Ir
zjhZ_4?P^fclqF7*)RAV(mY-rh(>?g*x#-q^>-rplQqpkXe7-@B52BqGf!7vK%GLix
zjD&weC?z`Z(j+)zYGuFeWTCm51?Mn-{Gq(gW#ma?Ex5`${_OR4vh#a)`SW4B^LMxh
z|H*BM{2hyShDe~@W;IWWbr8o+n%JN>u7r)Xkf##mYb5WDEHNNVdE1IR%|O#C2)FiJ
z+1{>2hD^Y|8X8A|Hyxe}sSV~RV`s};x&SqTDpz@Df?XKbTn+{dg;!PB_o0#kii)W<
zF7GIJJ?4p(K_@`mZ`0680$-U7K)X;i8eRf`aqpJO$61p}>1f!Z0tNl?s=z9P*wyHf
z=+J|!o2ta<(EoV61bdaKJuw+=6%i*n|E*L4&K+){5Ed_xaodVDVsLL0N@t7p)vVA$
z3VCCX)Ld&yij}=cBr*c0TA*C~?vatd%X7Y-Y7|Jh7IGi2C?4P%h#U}7dClk|!%{Zo
z&}w(iW*Pa3Za1Knn>cf>YZY0%xM~a2sA<jI8~W6Cdl9He>N~K}kgYB9?1nDvNVtnd
z`FBo#RF9(O?x+@OKgN6+F#AlZSQ4qlD@@QT2?m_@L9k!y!b+D6(A|(fg5<ApWx*$O
zx(v5kF2Eo%I2!?;FE!|h9<Qb>%8dG+uES5a*ek=l%UI1s^ba+Sau427k}7>RSfZ?>
zsjH{SpB;oJM0ud=gHcC@Wz@ioT}pR``jXfWMrEmcsMzr}%|%cs%Jge1kVL*+C<Jfl
zsn+7)jQLIP?(OIM?nl-hT9}u$puILfYbnno*NgL(BQGJ4o#}G(Lp`o*uaZ(p;<aB+
zS=tF>7t~khh-=!K;NUAmi=|$T<G}JO7S`@MCP@m34?dqqc)1t}KM9;5-JKrY0Ojb^
zs<r`NG%0=ywvO1L(MY!BKcYLCW}soee5%(}*sa{y=6O`s-!cW75c%t{9?A%gn@aHH
zTQi;Ezb#95*HQg`+|aLHR|z$eO;hPQ3+5(f-P!BA=dYmGpP)TYJgcs^Mentg`PeSK
ze-XU-GPI114Kaa|YbALHMm#T^R_24ykP339>RX6@{k~xc;}?RPGbWMMWE8g63r@y+
z0xFq44M)-?jywl*UIE?cjO`1eZf<Tyn~>V?4xu7?V4k}C44M!iPoy!Lwu?*gg!f+3
zb8uUN2y>0$aIY)46-OIdM9XF!pmBA&Q3b=jjewl^9^$;E{;~hwPOeZ&XH7kh?<^8=
zsx#>w&F?y=wbwv=S_Ukn2FlIFsksXYF!Oz9$C<lN`|xpX%TCD(WcP>C`(&Pe{PAa<
zGDys>qZU*!egBoUbhy(-31@0H;2jsL@n_D)0~KU<=v<8SX3uqxxBc>|{`+|`=RIM$
zpsTXZGRKlp)D^cm8a?0n@|R5P@UO{JUGH>fgWyGbrdPhy#GrRC#Msnoi6j{SmYdPW
zGOqS`^@Zrb`j|k>yBFwlu2eRA368`Tz&oAcvr*B&n`7pigqEu%b@KAp@rqbl3>pmb
zB?hW-!V?twzlHb+G45kc9FO4n>Gb^cnA3A(S-~63ue+Z2S7svZ9~QIQTJMwvqiC3N
zj_Ty=wBa96SU`?U3e}SHXpGubfmNxtX(F(!lBw7j87!VfJYcH|OC;$^y2m)3(Yz#h
z6ywtY^oN-u;>4tSSxIgFP?~2W9zD_{X-GFq*>m$v2k~@K=REbc{Nsfwma9=*z5U(j
z^Xw7r=|;y8>%Z?w*4SE9daHxGez2kcL}8ZoR~O1BzmS&L!Qc_r@8H;0bde@{Q>`j+
zO=W&Mbdt#D8ehKVo>tERQs3V4bu$o28nP~gNUaK1Vjhu6z1&$O9$FMLx|ndGS~cM}
z5o{ns>pCd!L@PL5N~jHN-^K%IINmD*=kx`q*z#hO(Z(LF9kZi?lbaNCqE3Y_`#uJy
zjcC!cO!WCV7&zr_qQqUGNzL-W6H)mZ#j3VvFGRk?c(;?<dE)F?gI3@QUCxmfc)4_0
zRETO+%+A<DR1+``OJlS&jp~xnVyq86i`PpXFrF7xnDk0Y%%#KRg?|UAduB3Em7sa)
zAiW5=P<r~7Gg0X6x!tWQ?k|eDmYO`zXWi>zR8Z;*z4n>SlCKX^!Z-4f>F8oW46d%f
zS3603UukcJc4N&Ai%j=YZb=|qXh}H@CD?1nTcksWDkpx+iBa;HD3r2)JJH+6Xi1n0
z0>#{tYy=2(hN0-O@r9Xx>_U!QZJ_fk&x*OF(-vep_8lVdb<~)w|4G*KDlZ$Rw@{c$
z@X!z%6f=$(dPbBTJg!+hS()G`9dW5@)Qbs!E`UWe+&z~iQT-qN@Wdk~!^ZD!v-erx
zOxEIq|C)4A+?vTC8}9oBGJ1EF^2PniA)I*|tcp$T=ApVPJzR+WSj{ae>GjkdG4&KD
zLDiX}FA+vIl!lm8-3P`{E4T8P&WiNFqW097dH%$IqD<TEs<WlE>;A}`$Q)^996WRt
zzV%Ou3ppV;Ox-FAc*Me^_VNr{D9^^ezWl&GZv$CJ3&gqq0;7*N_5jTrH<nIFN-fd?
z|7|&JTtZ*W`CPOzAM<zzD(C51R$M&QdGbo0gwCdFs_F4JXFC;Anhwc-r$tMr;Bk&J
z`#rq*ApG^R{XW3>JtSmNdc2DudVk6+toY`+Qamq-=7Oa=Q|oK0CFEGd^*ttf60}Z_
z1cgFCKWn=Jy%YeelBG$tW>hNbe=-|j4STgKz(NbW{8o#+Wb%OKIA<79Al=)f-Fs?e
z+B~=sAn(Aov(|^Nm}I*=x)YAG$%re3h=+1D?FYSfYzGjwXeIM=#6^fQtH6=avKuEy
zgmj?4XLGlP)1tD@X1}sh6T|^wP*8jv_8l&cQVGaTGF^C;HZKt}4yxT-l#Gu6z-NBB
zfa^Bk_XzUZy;(Hx*T}@2J^S8>_S~op#Da$OtaYy^q_hudftt-CA=Q&(0SUj4fqYZ6
zN@yp#!JvhM3U_}oghL-&`5;uV7hKnqT(-jI+ozHy0*!8f(9J^6#dZ*xA!|xvcn0ta
zuQ_6FRC8e{#-~VPJ$PBH-BPrQA6LS7*=PQMn2Pb;g-s*=ltNc3b9D8BPirfOj?%v^
z#<MA>wCmxbE<7An7JZHfK+d2>eYw-|JzalXCykw?%NL{k)`-oi2}zYwpp)^S5pOjG
zKx_nucS`e>4U_EwR^@L^r=J+-zY<T|t=Y(@I7jBCfOOHOqoPt7!Xk3acp}1t8~wR)
z<_P9kGu#oJN+cCq<jJ{ycG<#L4>~T;e|7|Y&O3T;ar?Q-OZJA9TA1q08@2)Quzovz
zFKJLtmg386t8n|RB1n*#=GML0GhEH+8oVoFYn{#?y(8%7$rm_c9348$LAs_O%=H?X
z@u`xrN3>zFM3_M`9Mtn%&FT?cBT!Cxw#F6+4FA2iame~!r37tkg`_1imZuUg0}i1r
z!p#B2(J0Or`!`5e`U(K}-2kQ~>CbJ<`%yADfoF}QIwQ;T<}zOi(Y#Nl9Hn8~priD)
zc<l_)k^Y*u!o?sO$2+X-qG0C5K)q!QNPxm5n`Pvjf1;Z<;{lgaST*eW!P;`nyPFjE
z*xhFOKbec&YS;N>M{$^Lqt*ji=r1fWv2Lo{aE&LNc10Uy?v$N&vCT7tKk?u$wg^*E
zQ?GKdO*X<_^_>(dF+)H-980lY28Dsk7J5O+sMe)7#-dvm>mm721c;D0NeT+&Z_!Yh
zt&!_o@81I9DKe%zrj!QZGQwL}vOy9;GIGi2B0z#~Ik@mB`MH3_m=+5&HSuc-^Hl*D
z$H)_2BpXV&Bn3GCkxys%HFfIwb*$QRe$ue_OO=AGKCSoYYIjX#g9yg0`trl>U)yGn
z?02Cyy)fyoYD|M}4@qwvvejEu>u(!B;zV3Mj1>sP7`P)YZzqUTX$X$)@Od|yQ|_L+
zq7-lDuL4?eDR&9KApOtIzip77%SV<*?s&zrnNn*RM$l(XsC6fxjKDr~|NeFiB)Z%1
zRn;-}RNS=+k4>n{NZ~L?-V#HrOu**~0v4J;f5qt#J=P>J&15Qu^Gis%26v{j-!X+U
z9umlAsN*B*FJ(}10Oiu}I>Q?;FrAnMH|5ts+Ew?<Mj5xae1EhGFkwhc#ojiXsZNc^
zIX<f8y~yy{yLuID@)s~1X@-lh2z7xQUjJWBNOZM9!=5kkD(r-_&c3r)+3un=_%E-d
zGB_YC97P8uMT`#<8|hbWMdRKq;4jBpy!W4@XChhwU@eKoD?m4EXnPCD;ECUm|F`)Q
zAu2yYr2ie$$c&=p!|@T@<TXH9--^iq*=(Gt-6CpcFUR*6&IEV@??cKt=zS*mw>11w
z5Ihy{u#wDv7nHmb|L=k#sv5esU_n$RxXn;>G=CN0;aE+PO*83XTms#pj5x(NWPHgh
zI`1ziIcz^VytD3|zU$w!8cqx*w_@=&xU>qPD@9KqB^6>t!;T0r{;rX^0U*U1NgUJ%
zbl0pNZu5sl)Cy5=mH)Uc2!f~XpEsC3rz1Uw^guw6#@!lWvk^@FN(?t--Vyc&_}K^0
z(`PqiavthG_kj=`Jp9D_%v?PZXLu_HP<^&Sg<M=clm{?eJw%4G)xumB2NRU_o}lPr
zo~S$g;|Q^}_Ir_?jTE|s>WRs3ZbJj3;+^7{2tT~ePctM3AV5A;<nw<&r*=)casO}a
zM*X@smHS;(29P7r$YnJ7h2&Y!KI{~(b6E_Lc<R}`1`0uw2!#US%al&}brztSKgg$p
z#Q5Av5m_+fegH$-&2}ebI*ZMN$Im~f!Hc9MgN>Y6Xhy40Zb<*pH6k)*9+xs8T!CbW
zGU;NliW@1AMK9s;XkA?L2D7ME;J6hmU!0UY1ugi7;hXK1?QJy0a9p-ktjF7q`6t&E
z(j|d|<?V+psp7kteReMSS~i>#%6=DqFd~(0<#VaPE-26pvOlT7UKpyt{ymEtJWzsD
z;+CoK=xrD4x`Nan(O%UjW@WPah&hfCKw!Hs#S3(={4!GrO*R6P&z)JM`y=qir^f2h
zDvlG%9mcs6Yx-Q4k?1&m39E38P$N=&O1%tMTjJW@0BO|MO$g&R#{Z!%TRQ|3!qtdm
zO&vv!hCRJkxobpk&pS=f7v`A6+;1M^FKL{Mt_EIfZxRza1m92M1I|AeA+|?=iT_)U
zYxf6ZnZ%p8G*Thg=J&JO`J=r<cZbVY=HX9IC5~9}nefB#)v3|HY$($DwaMrHa@sC(
z|2I9F-KL{g`2TN++z~B@Y1%Z%1X5;7I$Cw}^eAKwA$o5|F?K3O*!pCrvdpq|%zzpE
z*wFJfI{!0WKGYUhW*=fD`&|*fZ7F<YOI4J~Uvx2%enVO>L-bC|6H``%tWCnyVW7(L
z&N#U~(Ql&esq!rivR&GVe|7&PsVD{s#nBqiP)f@#qL3$r09a@#`iNRLP-m27g`fJL
zyk()0gdYmkWp1k7DS|`lxN5uF_r}(EH%zJg7N+ZN?d@jGxod6aR*&;2j@+cRV@L_l
z0P-y&le9|q)D%!Ruubf!nftE5QCznBqSSK7Pm-9M`ZF)tlLoxoQ|^&+(!Ei4$IBB4
zXi}t@>DU8y%uS&vDOBdZRLGip%Q3xo#2<=->8X@k7p_q3s+#R0ee^ZmH-9ul%1c`v
z4*^AoIK*yvdVX|sAIYiyclJFS13-EsmhJJsj@k8{II;pIYOmk&W37XDT`VeySYGoj
zE@(a87aSSFwdhYGN+ducYH2c%3S*sxJ?d;4_8Yf8h2nXpz}^3KM!W$T-HqyVDqhl_
z`9Z3aKj!YwEI4-OhhTF__7ZsudnqzN{Uh}!fcf!IbeyU31pLx<ykH<#I-VKS!Q<@%
z+Ks8gz^eiQ$nKR3&XC!bT3HtIcX{qy2A`8|7IE)642Tc9<ZvIxp}HDVmAw?snY0S%
z`DJ;ID)fC1dzs`6tC9FD6r&t3tY4OFF=%)_S>()02S2QB<Z^J9cD>|1!}61RGQ{B!
zVjceS9*>H^z0;jr=|Xz%zVV~lYrYQq$K&(q7sXin$0F>I7m@!=qh(U+qiKBm8Q0G;
z;C%t$u)oe{5nUW;BpLursUK703!a$)8ZBltAklJmcnnkk55HzCYe!gr07Pn>`RGKX
z2@;L5Zb*QwF2+MV#SDoxTrFOWI|#bTeFRh>P9im8bYkC?mW&i71?J$9dt7c<<|R%A
za<%tWFO%^+BZ53g;+sfMNzYsgL@kkFc>q%7D8Rr+Ngok7a}#G6{uSgjhtds9<6D1(
z!3z6EJN68D3F+XvgSXo<$oJdl()=$N`@#{Cu6t~dANFjLU0g0O?|r3HDui77G8UI`
zcbgjl?s_}XLgf6p55zLq7ba9lcZ_@}Tp?LJnT-kx0P<2I0|u}cMe`BNMqCV)Tp3q5
zbO&s`1lh>)Z)5OwG)iU8w4e7!)Gk?4v<fvfuEl8T)XSH|pjqqV>F>F}{*`eSD>qu#
zB!<)hlmm8dZm&>!mVaaqmu!}^`{fgA*;0zgaeOP>!YXjGF7Wy6Ghyy$LknLKcPStm
zC6y}K+>T7rc=n>evV@r63%kN-2-<{ZELP2JxJ?S08zwLS0TZimNw-ZxxHi)Nfc;?{
zn>#J4jDiUYyEd}RZP)@Wu>X<IZMe)W23IYNyH=wK;->iilXpP&7lVnR1MGro<CZR=
zRP+AlA2Er{S=x{P9Tb9J)58A32f$LI5?{IlBuoK3lekioeow7Kc_W-0I%wnm;N{O@
zA+*2_;8C1rHCJU~6N&4VBpeoE*(Scp5dpF`o>GzAbzVZuGg2QQ5D2&P_VqalJ$X)|
zr1Dhn`#!tM?nNi;`#KFJ@wyjEq>GHGM}5s7@4t|T>M^-M9a2Ca=00mvo(RmZMk|Cs
z^VAznSbEm?g(l9I>4x%&h@wg3+SU~gJ`apEdlDb)kRn%!K<|Go1(*Bu+`tr;=Ir{A
zI?dM|Yng3Fd6Po}&BM$=(oQ#?u#6pFfUB_#XZ2IEchMSpOZ|?S_Fm^5Ftk|i3LdC}
zB$S3Nq*(JBw6iLMgw=zghgD02B|x;!C*1L<e#wJTCT-if0_tL*4T{$m6?uoDRVHTd
zTMWc2)I?sLZQs*6%(KsZWVvlhT#g}p2odO`-|kBLf_dM*I&ZG_pYBnhDLCdp_)D<l
z{-n{y;nPWTE&i<COM-rf-1<@!&xk?W_JH#^Y`t(Smyk(oB}TH==?@PGDAhWO2g|$r
zrApCJftTPQ;uawV7M6r)K#BrjYm7?B8FuXzH6ri&sA>*-n6Tz7VJdlUnqVX0<de0Z
zKs(a#eX041_^GE1CKrhCEFT9rbb0x<E^dk)Osze-kS4ya{9t>#F>rtdPCHjFuIxBr
zA0TJh!Cxh>u`uo3W3)g?pj1lR8o6BD+zMu(WR3hSXz<r#^j5Vk#G<Z<NTdj$-MF+r
zkz8m&Idia}4ep?f73==ov;atz|GMWl>eiz(j+T3};8`xpL`yIM$>_FH{ZI$XQw=XJ
z{AB<}?WU@X!e3hC(`zo;>P_*;Na00lCOt5?IbVy+!Es96c+8XSw0DV5d4!6wnnH*X
zXJ(qN=-tcO#mA(djCYC7VRyeg<-pH5IE7ZHGYA9ZOt~4|!7fI-h26K)Qain$zgj+4
zay~i<p`P`p8#H>uCJ8!UW%GK6uAJ$$fF+;IT=7m8D+5TW8^kV4=EbytO%9?CahW8_
zHB!Za8B^1g0CHUizcb3$>p^05Aq}xVhtR+`5W2KnGA=7I=G=SY=pGE@z)y!?^Q925
zC0tbIjMYIbapJ>&agV`6hf~vr@f19qHV)*sZ-dau2)__{op2?`H&Kbb8Kcy9OhHl^
zHh@lsp6sKz69N!wVa4VGXC<Lyi4+YR>i@>Z-A{7ast3T>;8>=$`%ki1I5MNf1Qd$S
zN=+SYp-t)nwe^7fW7C;AHa-IRcZnwSRYmA|Z0{|A6r~;G6l~r^9S@Sf9|nOKYy$BQ
z9H5PL#-+GQ`VV|o13>{n^(nEcA6!%}_&5wfmE#1NBCnpAx&)N3=lpNtc&%v8porqg
zYaT9*HykYS*tj|$d<d50FT`9Vb6X2mx69yl1$L`Hr$RlMXaAf5o1io2&9m)64?wIm
zygJwOOGw=JAdtBQKJ7<DaR65T0MUhwZCexqKE2Y5)upYRD)vP;imMFU%LlLva<abZ
zuO>pz!|U#*K==o<hvp@mDrm*uz-M$Obxl}=mA)M^F6(YVt4k2{Bk8lAWolM+7l`yj
zz?^v{N6Wu-)-AY)hyNQhhDVD38@+}l!~Po?yj?u-2VglLgvT9UZ+MzA;s{DsmcFRn
zFPa5hzN^*ONy}w-&kIICgAhLEJ=iy<jgju8Gph*~68v9@S_B8Gt;HaL(dxnHg4#J4
ztlu}4+9uMb7Q(v+=~k`+r_!c2!Wj;9hP>%wPKK=jzv;qj-r46_eM7!fn=3)RVUQHQ
zc>rtUe<*Jl@L&Vk<I;|2YSOdMDlBle3BoMa9yAS_iXE9aHG{bQisr%*jxi2a_!Qtu
zP7LPe!ec9+gq4NT9u|lVrg<{&{^gE#_*c%NXRkJOB5w}XObae)HuzV*Do_$wH)pu#
zB7G$tI}ybEa=wWZy#YL@xMn_hB}}LD&XN|fy65*e^31OCbl)!BUxHfFKQB4UcW;pC
zJ?{`VXE0G1IL(K&0j`PLXE>ur*3CxyT8{3PK9SqJQ*L#3i=e$||8ci9@6^V_lExL)
ztOn(OKYuqob1-#WYUyD`8YA}!;RG%fL#hCGbsxTdl{SMo+m^K%^0DUckKi#3bb0(u
zf6m@Ym&Z1?UN?jzB#zIRGqf(6l>%npgN#mIp@_5%wvel<Ql?{rQ6g(zP*<t^{9GFG
zuX@~m_k6nVJmx>%@HQ8{>YNssUi<EPZg|!w>}guEx6~OA?qim}q}inHrtQ!s@Cl&X
zy^LQu<JH{jSle{U(EE_xhgDF`&(?f=TwfM^c)PT|{zIE6V^R{UJ2(1b5g3d20pSFU
zxsCqzl)CZ9vCXaj$7t?e_eHWr)Fqy}i7u_<op3cq>pi}Wz7+L&#Q5^;cXFOj4J}X2
z(t34&jxjeOEeiUAN5_^?-&|9vKLOrC^qAmes5*zY_!nBZyNRJyGKSVh(5p(xy?9F&
z>dx0L$h$Zh_!2**zW_*s2CR%#cP3Gv%234D(cj|jgP~RM>XskDSFB%uvp_RpO9^$5
z4Pg0`{+&>?EgC<S0NWqYKuw8tu~<Xp37RU_RE@AOSc_Qr1Wh}fnCM`c=)ioqGZJ%8
zN(R8d>L9DXm!5JM6ua%qi2kR02i5QRIvdCPfcyLtjtc3DhKEIQfxc42`$H+I9D+>@
zkA{$B<h1BeZ$U#9qNh!-F5Mzljk-Sxd)#7DOYNLsc4<)rg~fG8sWsA|ELG-5D`VJK
zIgh*Q>_EHA?bn>P*j}a&_|~0<*^a)nXUMOZ{$ebLJ(Aw{u4MBIZw+I-SsWU8Vha1)
zQR(qgm<P}5y=E0QnG!6AHFngQHuNBQD$G6aNV?vM$(nAv-5@_<DS4R4vEj|g+IfL7
zOHTzyFnxkSt}y(WhKe|UgF&t#A=oc8MDOM7BJF>={Wfg>7E4W2Y!R?k#dK3pe8Pg%
zCJAV7MJgp}oJ#3sU_tT(LMM(~0k*YE1@&R3{A6OsMdb~zHJou%a83rnP7$n~A)x1n
z#aZx*#SRB6EI2qb#3gg|6pltQ1=V4g2>BhD0(#W{dnLC%%ZGA~-luVju;&awic@^2
ztCX!>R-%Yp0DJ9JJe?=p<o_alK{(C&&1r_VzdgaHJ?Tq|WQq7K%4JxTO>nZ!H_@;#
zNHw=yAK)tryg7#vP8yXeWW%<%v#ghAyf_k^8<>tCwa&h^d3Yrpfm9I_srg}iM=D_{
z&T3H0x0q*gm4^~FbkCY+p`V?wu>F~G{kp}N5m{)%0n(rT+0SI^ZrgM}+^jDYjIg>z
z)T-qwQ0qk7w)3@R&KXD^6oK2io!Ry0*9f<nAbw27n7kKIPZ9>;w_$8yZ!E%-a<!Ct
z6hqd(1hgXxCkGNR=5P<BJRlUyIylW~biUz6Q<XEv3lzX*EF_1kbSG!@loOfjM$K%Z
z7@+iAVB(Kz!1sx|gUIM(cMlN&oDBfR+v+0k*)Mf?HAjxVlgMid^kIHYVWd}BD?VFx
z#>c#KTiCB&#S`vYZ*^#nelIV*-ah{injiJNs4=GU%6Be_z{Gxpt86UHR<-hKJVq7g
zHLENxz)b{LjD|7m6+e<j;hJBS+iz1;`S9eJZ$tRcdXiwCHDvh*Huoyu6&@rsK^n>L
zsEJ+O4-dhixbM;LuMYohHuB51Qt}aDk4^bF!BIz~jF|8q;!Z8D;^Vwvj{7x?=-ahc
zc*CW4no&H+OQZ!NFi|`*Rdn{wQO6kqJSk%^Vfk2s9}4t-ZWYi(Jqd+~dk{B55IrZ1
z>)nWZ5XjWqA|4a7%!xx--r^xdnj4GOo*^p~=-X0Z;bX1k;L=ob<FcbLb%~~!k`KUn
zMJ#8*ptXgc{PL*5zWeCCp!Z!&5>;9(Hvx%}p>LLivF^{I4#-$D5=T{O0#U1DB_&wd
zzOF;ZuX}Qr4Z8@2aXWEieunT<57T)w)<r)Di!(0Fjmy~&GVOaP)l!{=pUP85a^4S|
zs0_96r)JHjUt>h(cM19_S6fGrcx}=6^FbR>(eRHGCCLm9$X2P=ateRTF+><-MI$J#
z64fmB4s{#rp%E&j4$|>E_^O~lH+b<Ud8zpNSM1Hk6b)fNJv&+fFFNdqe6C9Vto#GZ
z$^Ub`A`vTkh3w{(W+~?H7NfRC{l^4dAg-;U+&%<E-c^ov0Tt69w0-o09;zDXun-kP
z`O>9dx_%Rlp!7=GVR!{L&qdZSZKr=;yQ4WvY*x#^_!+Mb#&1)V7sTl1NDq;BbwFT*
z#(t};F?BPapgq&%&wGSg_fE}s$n$rP(Fl7pAx2`nSYYW9dI(wC=^$6{42ULS_jdCm
z2n?9Z+Pf<8s2jADQb6nB7)Yol^D;G3ni`ywC{!~6PahGLUZ0H~FfFGtx9$}4K#up!
zJ$AjjpUbCPo!LIeID-($EPgv$Vs5kkCMH^)CJt3~ac@qkO^HrF2z<_F0vYQ^n;?S}
zr?6aS1`XM2KRQV%^t7o%_Ulur_V8>NxUl;@{Ggj8om%Et{iucku}Q`g<J7@Y>T5Rr
z9e(nQL}1N36!PO{TkEp#@2~3#*jtoW=bGIPU!G2+r=tn|-ppm#)(ebD{oZ*?V(;VQ
z5BnT9a6#z&R{<z;ti6O^dz7n)U`eTQJh04CgOFa*b9|Wuv?lt|!{xnP@1-1)_v`Ry
za+Vyz#|w2479GtwCIwk*Mo&Hp$H@hr4)t8u^TSpqwlR>)=_1-~OdJG+)(>xJ<;)p%
zzU375WOUiD05d-nIEZu^bOTS(bB1-sgmFb(E%_I+hCXi|h-=pF%bM?}9vq_@D;GrX
zO^Gb=qNqMzNDlL0NgYx*ICNJ0Lw$CSh&F2r?1sJpJe13IvDdiCJePY_cmg7`%N#5d
zBsz#|Jet3|=l8j66@DLK8^LU{L0W~-kTaekHAS(BPu^_<6BrV=j@;ng!-nP+v$`h5
zvW!|+2yYaHP3Q{`RA-~s^4d3376v+bsPV4#9~gb*hn&XA@=SoZyp58goK}tz6HV5O
zstuGnn`vCSCLZXoKP&WoBfje(H`^7Z9S}|Y{esyDP=O$|<8BY>EKgvjixOxJSKm0D
z8AvY04Eq-tJIv76M-_h=uy~0;HG!{wlx)qrn}yL?$d}6k4{PTwafMtVF-bQ3MEYig
z%qkOvBlmLN_Vo;4^O}x#&X1Gn&5JTP;?;Sguao{etUXWbB`{vcOaI!~S$0pIt;w=b
zoTc)8ZyPg#OT>!YQ>C_btPjFfSXv~uOsosxjczebn0_C|s%S6_xE%-Wj*D)#Io|zt
zeq6H2`Z#;`A9DXL5F%|1pP7ZKagG@V1VHkOqV8`}wAR~vt{iAWD@EI1(p6d6X6e?d
zM!RSX1lGb?f6Syo_F<c(xwgA4*}ucQe(N&9?a^Sct01{rp(KS7%|aR6{(QrLB_LCw
z4HG&Y7c4hvAHFmd6D;?bT`J4NiNLY_ml7Lto$1pL&BkXof$RqMTY>J$R=$cdZFf`{
zT5oIqtoe})KZoEw<^ao#YVRu#%J!D5ENLS7aru^bq_ar6v)xnJpW?E;XNt118Faq^
z_6AQ6sACtk#X??6*DtDCnEvY{`1}6Y|0@|b;0U`I(ISkKh>Rrhvc~c+i@&DrzgX&t
zv*8c4pc37HDg+?7z6+3*S|ScidN>}azCDm!_z}GTVP_>r;3w-TClt(p2FURtQ%pnH
zYK5I=w1bBwnl!xt{tuk85=pDx@Weg5C|DrwOsMIj-TH$=>OiJ;rTc2LYwYBfHl^nt
zle+f*(_e!ZOSiOt8jt}Uh30wNV0nd4J(!OcGPP_^`JB|`F$4>!ld3MnDaL#?D=>>Y
zLYn+cJPm+fb(%n%z1n5WPb&>J-9ZfB1;5vZ*vT&q&ro4^YBhd6)B>-Id<K$nR4G8s
zC9h$ejVY<g?ZYJwnka}W0Uc3U8rXRYXDAyW7tGCG4AI!sGCbKt6&3pW^GS!|R+8dF
z4s~n+RL*E|?AbN=4CW9xB&wzbuQ-MS&@||a7g9IqnT!%reffIXPyBL}=ek9&Gi1pj
zo=0|^$Xc$g*Q8u2tY=A=Yep^F0U%OHc7p>5e*?QryZO_a^W~-?<(;S#lPC---^h50
z;Y-)P$26+GQ}*rNQ#l<09i*3}b<ccf4h)v5zuo2^L}&g;m{IJe0l2#Nl-M8li1~0C
zcMfa5mN35+C-@+QU}t>5v9!HPOs!x0-Fk`vL3vsPwv}BlC_b3HCP9$#RshHiWEa%%
z&SfUyw?CZC?852=#CH&COzWdjxgNk29%5bDwXTNMOqs)xKXZoG?RptJT4N3;Mk@UP
zXcoSu;pWd!eIYHSP9VXNN9hvZAkP)U->p~>3(eqnX6n@az`$FE`=la@T|hc08xt;m
zGiME}35*qZI$Z%2ZDexT<iGB;|4eX>q%{#m5cmyI%+20!_Q~Qpp*74}h_34||HP^J
zlX3~P^7o<$rP*#}`GvffcIx|O2WanT?W|#9?dE+20rW2zOaCIWNNgfB3I$zXaD?~n
z!*a*)S3dW&zxn<+dm_I$g@Wo7lBG#yBy~g67o+Z@oezl&Zgjb+ptMyHVB|3}eLPhT
ziOz_g-A_VVQjf(d-BE&jfcDI(PNYqYJtLpcqHBCVr$5#N(b09Z1&+(LTT-AHe_N@c
z(Gtsb?mzu0JEI7PK2a2}{NRfxDTGqSWE1|ahSG-D3sL$;u3lK~CQK)VL-sJ4Poldh
z19dmmwu3Ao!hVzZV$IKXT?TuF1Ph%5Svohnq6+TWqIU!Jhk=-A0{T2}d`OI$!!JAJ
z0nlLJA$RsnLRG$&x=|fRX8pTfjP+S4@l+wz=Ik3PI56d`okFciCMYk}cT)G>P<^^P
zU%RN>ZIph2x*9JLccJz9CtbKfRF~o9#<6MlpwR2V#~;DaBcc3lKa}tDuW7fig^zks
ztU<&@yX&M+Sg%h6aQ+)@!davAkxnuc5&4xuVp3>Ox$~IR$+Mom`-Y;+npVg#OGo=r
zQ?bZ%yLYI@^AB9kAt-aws?h5``|9`Mvd5IltE<6Rmyxggf_KvPL57#@q|NYGC1H<*
zb&VKf$!DLI@{1mkVv|5ifshZm51pky+%sL3DN^aX%w0ixMC_qP#r}G!wawmWCvC8F
z#ubb91fRJbqXO)f_if7Q5-t7pM6Qz{9F5@f`qv(2(L|M()>T*9H2B&xyyYgK3pfLT
znG$*YK=H`o<^`F4y2~)u6d{*h1rucd<;|i7EW<D>%=NdR3)n8e-2z8aP|`#af(wr0
zSiklslov~3Zw`-krAq4WlDe*KOgjqStCih;xpOWwszNiz?2?(SXf0Sqt~fK2XPjNN
z(*?k~;%TOzIax8}F(zKtR#k52%#WL<!5=#s^C4jL+YLgC<CFK>TO)lXjuu{y`h)dr
z+Z)6A=!v`?<k%OI`rW!%wI10heJEHCcm<!e<w;86<a9MzKvKnPmHsSvWi@##j{5VA
z@vC$D&Q%Glh||PSS}Bsub7{cHwG<<F#AY-3YKItI#mLkY;t^j6EA#|?rr)aVVt2qs
zn%<`n9WqL@#h9aLLMLN#NGx2QDN|W&oTJi?oNZ(;AGM4E=&v00t+XN1Z!XNgo8HU3
z4b&lkrFt5sqW=N$Kn}lv4qBalU$K}{<U#TKNhprGvR;x3(cT8IvU(^E$W|c(`|5HI
z6g8};vCwRI2X-=SoqSUBJJzzKt01to4|(F68(ja}Ejl<T<;G;<-^}p#v1>BCGKwZb
zI_;rOF|2T6CLnMy00A{u`yBMj$mqI5jv)s<*T~kE7!m>{hLr8Fbchn`JcVm}u5rHG
z6oa$p8t+vK+6fz_^Y1uD#nQ&<=+Dz)SZpG~Pld|KAr{Ke_U+|^X)e?Fvr(Rnve>v`
zuA9z)&8O9mP_j(}6tHA`-#2?X)o=gSAOD%pi>Ypb>jnS}OM&V3Fi&9e+8r>pjlh)(
zE($si92jz9YcWZdwzdcc{7b=&Lbc#48IXtt`U_<On=OetaEQ}5l@$a0h2R?HQ4BHx
zf?@P(6%6b*9UA9oGuZrMCS%NIG}K0Qgdxqwv=1kYk^i&*4Vx36^a%YB`=>(p<rdEV
zrqJ?ub4cnQnO=-yOX^-6j->9P-!V-r3?eA83nhm}TJUh-U2Rr~T#1b&d(b!jh`Gtf
z<CBq($L+L#pNSNTkGwyhgS<bt)BZT$LZJ=wS|HwK2l2{w>|X&}{c*y&!N}ZYE~!16
zCzQ?;=k+dIz7L5wTJ1-E<cI#*Rg_@pLf+PB%YvVO30_}njx9$g*S9h<M^G_sMgUAU
z-1+jJXU&mj1YmS>Czv%y%m~<^jIa~TnxoAKV6h`S<#On(IWW7?IrWFs|9mw3s<%k%
zNyP#ffidQ+uE?jv1rN(5>q(%rHa=9@zG7yrkSr~BJHgO);8^O_TOnC$a|iZI5*OEl
z7m}r*ctWnQYU_(Mp?cw`o&Kf<RdA|qvWdG|<X_$O+FVws(fE{C<>&Zj>=Px1s9Q>4
zk?*MFqoi^&E1O<f%>x38vX!65)g#&x(@Xxm2LxPN6k+e`gl-;Fo<IK&|93z6cf#$`
zFlB&+?4TdCehn1`T?k*&3aH~2{|s;Rrbh4`76MouE3(W&1~e5wf;YtCB|spae*n;M
z77OwG!*RVp4x|DSRGw|c4Hsfs0gP__Ic6*ba1H!72tte6+!R{_Ix%A*sKC>S^xFk0
z*hJBVpeRp2Hms2Lm>qXJEAdE@84E!RJQ6qp#GodlT+XCQRF5qZ5V~#2huiTags7gZ
znL1shYh0V;&kLSXb(hG*$xwQz4wI+YyM8kkBG^ZAHt&FiT%XUk$9h5|4e*Xj72ajb
zk14!wd-ccu^oO6lw|LeG%-&)PANNS3sxk>rEPIOxE)l*!J?+=KK|q?lMf_IA-HE<d
zsL<k8W^XaLJJ)M#m5oEcCA`H_1%?Q#O{s#fTK!5$COeN%(Wg`aFQ`8Hq`IX_QtvQ4
zP*GT_Gt-2s^shP1JE9ryrfzkwF)B|zzq{8|O)22)kItPtZ-&mD%Ur@cE8xqPA5*|D
ze%UYkKbDbw)7E(p*R7ErZsCyysbChs>`+D9qQ_pq8Y34X8mEFAP!o%C3qii&zM_?#
z2v@;CkP6rZkpjDnh3G87j{rJ$j%WFOsDS;@(A3rlu?2;3cQFF$_=?L7n>Em1umQ7C
z5u8+4swBFhdPy^xzEZKLFfqWC)Kea$=g_$S1;&SmvCQxmj4;Q^UCwC0M2we(iBS|8
z(I0>C4r$~_j+JZ&3O7iyU5f&Cq=TINcU6)N=UadQB-!w+#raDmXFC6`vQxmEbUE_#
zc$`d{2GqKwBpJW<SC4Z^+qah|$!zy)oyM7SVCEe7*x(%aGoSG-f9ySh)~FG7+i4~l
zP4^fkBT)BxKbYd0q3)C<L)BRq7-a7_2I#Dg9X?y<3A6z^fP6tAR6~stytDy2tL-4v
z$iYh*paa#@t(b$C7@&imiz_|&ViKD-uK)V9&0&iko=Qf|&7Wn|vYS2+(7DE#3XGSG
zA+fqtswwp>*P|qtdC||oN&lHY`XxW`gW;s>c{(g?Y6s)AmP$ne+7PRJv#&}v9{ta&
zwYR_xJKI9hpmY|)H?`z@q_Zthk|okq)CMecAL(ohMT0}bTa&c?VYAz4^;w)_C>k6Z
zv%y4gtOYI<4Fu1%zy(TzLqidXlMY1MpzAnv%BkUM)<*)!a4ylWr(kcA<fCSrFJJ-;
z3fC#QM&F^p!by@Ym`m;fMVsUX@DK6uBpGIaKao?GY_9GsJFhwA+uP_V)9ni<bnDX3
ztNKn)^=uOver>6iWaWEbFfb1-;cJ~^3yH;}@?9eC*yJml)aerqEt#9hG9lKRa%joa
zE$VTjx$^yfD&PO%zq9?$UlJ|w8gG02;3(AnV{ho&1`7tPHEfb)ARH;s_`wf-7Nnz&
zaKW>j;stv<hvsGM?I7GEJjVrFvKhL>IcDq)8E!f=D3w_Vvq%wCH_=c!WQDcej8tHn
zc!?zyT`|eCP@;ATIr4XwD?B5kXTd~Ce)x}xyK=v1q8iU(qFGJ4+5g)M{Qw3?`M*d-
z#3x<&c_cov6EG(}?mzL70LJuMqb>(s>pO!VXMoX1nNj_`#iyE?QkuYkX9wZ(Mu}_q
z!GHLBKjjlXecC}V>KnxMR@fPKEt??pmb2{)IX6^DX)QwtN<d&%4MkpS*i;B^$ZlGV
zZ%)pkY>EYD>PcvcY^<0agaa5@5dKQKZ$M3+GzpLd(4Ct(9~kNEAXo)4%E8dkR&#Dz
z4rV6;wznhdF_@eKHqoDBaS%Wt&USrDqTZ{!?BXEofxF_D#KD1M3?x(P1?~6J!3W9x
zh7<tRJ;`_HxIN&4xh7b3w9+|#%jzI(RvdyV=|(gh<~1))H>yt%6%xIVAjZ7IUi%^m
z-6vC=ro83zLJGnQ;n@#s(=z5ddkC|K@P>qP%vpZu_1o9p3v(?LrtIzN26+z~xrhXR
z%oCoPlA1k)55NBY52+jQ3<*y^{O3RV>%TebCzT=FAq3~kZdEzR4500X2E)Fx%zz69
z?YXk(6+wCkE*P}u`;|@Xjuq)4xZpNu&jDm~ho*<%f<b#O^^x@)=^?n_zR{j<7hVUh
zoV&OfdI&C<XwQk~*A)pNxM0wpA6900?JKM(9)e3Y+H;^1lKwpSB9vriph-5`^S#gv
zmS0jJ1eXljbL3DorJ9aT51~mm+H(-NDkhR7^X-Wo!tP+`iA!GWAtYOF{fsoIYV#{o
zPKE=9K7swqTY&c56A1nniG<lhxJM7cW{mlh$QfgxIZ#MphaVdl!krjSFJj!jbJo^Q
z_e%T5PRP&szTf{Rf8G5>Z|8!t9KD^L7nP&8v-6^I^p@e>!Mvy(z2#y}GJ=+9Cr58*
z2TN6W7er?o4=zFXSywF4+kUlY4w?bsYCVYasGB_^-0#;~fsd|qQlONxEbuPs(8&kN
zIM0crQ%shlHxRmj&s!uJM0RVI+oK(=SW}2-I>~Z>v~q|M;nBu%_|fmC#Z?vG1qhZs
zA8+nNeWv?9la6)Q+#~fheGj-)bEfLcB_Ge6A?-O)kOaMkOpH9Dx#yjnD5dEg0`-9M
zIJF$?3Ou4{io<gUpJ4sqC6;iOa#sk{{pHb#{h?fsd4I5|T*GTBlryQqMt+_sHx<^?
zga)UV8)$I)c1D980-n*}L8$%eEBH}Jg`yedHe2Lw;W$y~w=*Zp-~W#{pZ3uxH&t8U
zv7Q^Z-Fm4NR>vLcM5WNkjfN7XxgcQE1G2z`WY<Qu5`x)arBtlXL<qrbuL5aB8-zwO
zA(;J%09ru++JmCmp9oA8plFX+CzS&W>5%AlV<oY1=<H8mz5{1f(@Q{gY;ULWsx~j-
zfJqgCM57R<yP8Ss+kn&ZLCx>5{_1@E)R5Sr*f`@^v$yu)gDqbh*l2vptG2U^ue(o_
z7-Fh9>pG8%yv|Qhf3ulwrNRk2dq>hyd-x}UmOIBpmo49C1sM~)cKn3p8+|3K>oBc|
z1mMxwVUS(X^oo6DcvTZ@>@XXoSLAIDLFzCUOcX5(PO5>+AA;0jE*KOom_DPiK?qWZ
zxnQ*MVx*7e$U=}h%mt&3cZrb_-Jz+&T(A+e>^RM#6QmAv!Qf{B$kaCqNKE9C(Q&(7
zS@dLIIbP+G(Q%7ON43xSB5kL-E%HgCH<~^vAGpE)beGfVqbBostKDgxE|Sfr>_U3?
z?0eZdek-j%&A|nq#S~l&deLUW$6RkJgpbdxe1Gm&dv^V5rfw$eQg1lsdei%<H~s$K
z@u{Er&HyC!X7tAQun~e_P_wOAFIi0`F$y;s@QTUv4?$Fs_JY~?ZsG64lU}1llS|hX
zv+>=7#7t<2Fimb?*s}56E>}o^(2L)Z!KbcRjPK&WQdgYgQbzw2yPu8k5sb}RcY<-X
zy(f{4?{*=zF<bmRAZP0iU5qdCPue}RTcfI_my9+NbV2WrfK_ohyY<1qL;I0wrddwn
zzxj4|)FBKw_VDQW?qF(_PQFDk35NRCpQw;+v{6PVkFj!+bo6|GaC^wwhx5b)h<YO@
zodh-1!GhH6^#}u!%8oR<pKuwtR{l@L#-pPw7v}&f4&7;&xuf+w_K)?u$NTe<RJbQx
z7g17=LOMDrsgC6?fpbdWoE-)}o5^7qFf`9-WH=1SE2Vc{ic!JO+44&GGH(Lt#ek;S
zyLkQfk?<71jo?XBTeElZTuM=X&+qz$U;AQ|qUg;y-K2`jNSLM42O0J&HK~F*+IRtV
zMfxk@UF0U!^}@y!gkV^&+@!i6jsXnsA~&hR4}pm<=NOtMH>qOg1l*7!7~Vy0Qaxbk
zQ70JQMQ&2vNfBsSF?kocNp*8z32K!L?*fvoNp&mD{Z%r&3($wOCe=1YB7RCirfd_s
z*PB%7J(Qzg><LteKy9soqi??^Rk!{AcWzRZjOI0y8hbt;zOv7)N%c}@M0;VbG)Y8C
zZ$9a@-!aX=-vChHk$p50>J$M4w15UeBdph<P8n$|Sg8*l!x5V3P^ZX~9MEycsBS-C
ziGJ5RL!IItoumB=bxJa*Cu99ffZ#J@;8(Tvv>``}BvPJbX5gP0_&*}OWy8tZpY%1K
z{n8JA!pD8wgBRbC`~bL3Ve64&X|p>}Wz?r7ispb~QU0P8=3jL{Le(5Qo%^^$inUVy
zR9Yf%`Xj$V7y)Cg`a>7Zv05pU^A72Y9$_k)W3}KcFRZxP;6nyGCfyMR>(5$qnAQk9
zLH^-(9EIo+i@+JiJ{k7len$WI!NXZ|+?VFS>lMB`X-7~~MgzJ8BO&WqQSpWM47@XV
zYnGSSL8;4dYMju?$sThWV@_jyY|!rgwcq&h@Ba2kV`zc4r!lNt`vovtfnW;A{{il)
zngsg_hLHBn1<`h?b07~WoK_<fg0ycgxW`~9lp@37Mcb>Itq`PV+ywWiNS8w|ou4lR
zY2RGXr~y$HdIS@Pn5__`eRDyyu<F?7Bf3R2-w}cY8k!)o_mW9CY!2Yhq9F`HDk~Rk
z$q7uyLg8{16rjN+8?iZ<xGaqkOO~D&jE2yIA2B&{uqK@kUlWmo&T_yM3G*q^n)&Wv
zmJh1f@S`7hu<`wQ=Gy~mLKI2E2e87oa_JH~`q8aQ9g^Dv$39faa)VGCho2L?W;>uz
zy=1*Z$Vu_&U_j%5h_vRU2cq7<7zHqmxw-~XHI{spCvM0cb0Ew&@uUY5BJO~kmL5xy
z=y~rwv4Z5u*#4cnG7NMyHInL}r7k<AN(QvKUEwwH{%2ZvEmRokgi*~<hIvY|BIkDa
z97Uxyi>LFnF?7iwUy(1k&kb=q_7|TdHudN6f-<B^k`_R!1S7JO%K~AbgV(z(_GV3>
z9D{PR*=2clF3V-NXs*0?Iz%2{{I&n!qdyyEk9sqPE{l=p1F9QX+H4e~O?SW8_GT&D
z#Awq6Luc;<RhMPYahmX!5OVjerP(4zn=YK8-U%uOv9;-LRyH`r9zpnD*=0#>x=Ul(
z55c6Y=p><#L0o~2wL^tqaamfME|@`vEw#i%Y)6@Qg+da#7fYilPHSeD1(&mjdqK&O
zqvyK=)xU)M2d4pDV*@uR-=5uS5B@be4Vaej^qmH`<Kb20;!CyHXz@}9z-CJ|*~i;K
z^OxB3yeL198F^h1PJ#>DKiIKu;UvUvdLH|HH13w_DMp-xxli}H?l3eq7oUM|Zpx*I
z3p2X`Z^IG^({2-<+Uy2A9XH@df8gW(%JCOAWL|GZ?*<^|B#$?2@s505Ih3r(#Q{bG
zHH#1gQ*>`=vYiPxV1lh<4}@RcfX!lk&J6%=k0_Ux3v+j?<$BjUvm1c&OTsWTaTws(
zT}*ZZc8fhnP(l!)c25+N-2ik=ql1HjdlW-<D;784z!FU{#6V95aej6Kb};{v^u)nC
zz6>kCYz_lzM{qq1C_xs|AHBE%I@l7DAU~^4+9V=CgQqs~(d{CdB_2OQv1I<vQGrK~
zPZdjI7dP_!_*f0C+hPCf$13x5A&<t3Q6um2Wr7*xkp~rd`~I<+{I+?zk0b0q&nX%E
zK>`CeBt6?VyCrDt<Rw7XNxNo}2bX-@8+7~YtvhT$F5BO_<mBF1Zx8A04=eymU=EI+
z9`Bpodd*Z8N}J&w4kXj_eG`QCfFtYUfHl(teuL*4Jau@$k9ht2*IL4PSK>#2oOoR+
zxw4sqaqTD>ZGP^}Znf6(=Hp7Htd}d236#F(ezAlu;hGqE+MlQNtT)NSQj=~tx((7!
zN6+^NWk(!=f>#!l6a77sKK8bzMh$prFq*YWUjEYDmX`)w>7~Jz*BG6CX&~e;4L_cH
zY4Ck{X}EpvrNQ^*rQvpdX>K2tmxh~Hyfj~Kt}$-Ca^d@5XJ}2Z6p){@u+n--EGX@;
zyIB_k1PGKGW!VnkX}!LoX=(%pYhX)Mn{8ef1nU0WPWx|f<cV<tg!Sr%gBH^Me7O^j
zw&r%)AGld2Kv-{X)`b9J%~w9}UwvYM>C$qCoAo|j&IXrLCYG%E4yWZdtD8;6@z(pB
z4F)X7`9q-JA)o(dbF+a4YQNuRd9%S=nfB)^mJi77IN{CiX0y%vuWvTx`XNyFuh+l9
z`R4n-+1_jljtPOfKeyxf>k3N()`Ya<kPr3O^350Du}Qk}!9#4};cS^yY~r_Wn^<at
zyhcl`a<OfOElEIe`e3DW>nmP+^;Ic@ZrlTrU?pDJUZ5uU>dQ(QctY;t)#+njvU-+d
zUD$u~!@Ga;pG280Y!%YYUS_LXs3S#7iP)v%5^Ok9pJ`ut_DgnSW$G5S8hBCBnL{5^
z;9sujWwyTJ;eZ-f5ro6n3yPVw6%R0k0+bxkNYe}Q5%U#opf?UJMzkJUjI6DQZdh%4
zIqWx9JsTGz&y62?y9JB_t${jLCrHz;aMfaBO%P2qXhfpm;;=I>t_i9S>5>XMQ4)zT
zbp=9FOsq-r+~93OMWOmd3v%NumGR9bF#`wQCM8kV(*5RAX6ru@5{bw(QgXc~twFgv
z>IT`G82&?E14!=HYz(TB`Tl?&iD^toVh|?WpemVfkTn~DXLhj|UVFYnNFpjr6DawR
zFcXK#ex*sXt<GUI2I;nu6s6{%=7@^GC|$ko>JV1gaL<$7;wyJ~{jaX(Haxu7Ti{)~
z-WYRHxU2EWSM<N}&DbYOB{!G9F&rM41o>;#B!{HGwFM~Y|2gr+cRviy*~HgF{91H+
zo6#tG`jiD&-nh03RV}hZBT&om9$c_I75jzD_jnBLz~_Gce|7sAVF#3w!LbA*Xh4<U
znsGV^x>t18`4iYzW(QpGuz`M~df%e-Uke99P#g=Nc(DNfEi0~}AG#IA12e(hZmHD(
zh&>ETh!w@vaKSbB9;l3WOIU(#Me!;8(7-#OwaI(E83@X=;DTT;6=lf4Lj(UfH0^+2
zbsLblTY#vvJG9sVmqZr|I#Ovd@Gt#I7H)8sG)S<s1>M6yl0KiWiSKpJ7#_-w+ip^8
zQhkV8;Rn@WrZOLojYu@j=t+G<H?dy}bv)2f;WrI|x2eXFm<ATGj?|SjKvI!X_K{p<
z)B=EUGJI+nTeJq|9mH{5NQ}H$p@y%j7$}iKsz%C6+|TgI2Rd08g&Mny&f!hRM-WzG
z0tv;_3Cnh<IK<zjZ<IEn#8eZca^f<?a{BYQ6V9<|pq#s2McVWHv{d9}%a5tZkN?hJ
z@=JdzvaZp~#JAAOEYp0}<#ABo%#hRto2!hT^FenyRiq2<P*ANZ@`ynKqE+lGC|#;Z
z7eoh~LYaU+Y&bv&QbjgF0GOgvm@!nP8-i4kE(m`X+8ZhogBc7B3qd~1F1XvQIO_my
z9sqj#6{#X!5b-C_OIQ#%pjIdrD~gJA$xx<Hf&G%AB3%+3T>y;a;QIk3PVIN!u&0l<
zVT%#A-A|c1(r~`Q22DwT;fh=Nc}^-*Rd`l_RWz%pSpC}OBf;^cg#RbsjeD9+jTYHc
zc~q4i^ELGljvB=o-R_3o?3kw+|Hc!6&*?tqh|f6>PNWLV3cUkaRuyCs4Vn}xU)lz8
zpx7$C?SSC$>&B2Il1qb;^Llk_kM*_cb}qJhcEwhg-J;%P%``XnLv!=-`@j8dzb+yp
z%`JFjWSIukhkLQr##t@xD@VwbRvb_o8`TBMhKUgq6oS+SZN*j}4r{IUF9ZdF@fDF-
z(W>H=^G}DM05B%FUu_juIc)c4{X$Ui7Z*frwkB8*z16fyEw(cMfGX}_tyi(4AY`^;
zkccB8$`#9`1A4W#AEGvtqtQg8nahH$ShCcI10iGl28R{IIQ>~FLS{z?nKn^L!r#k5
zsl6g(ex69sS>~2R;S%HPR}Zc;`)YrmXwpHL=Eqh&WiG(!3{J87w(*qd>-unN?nHl+
zbfVi^bFE#!7-`biVq*E;CJ|}+2XB1Y*Zty8Y*j02++3fUsY4+MDDbH!f#M9hKXVv$
zmfSOkQK&2dHV-9$c*`=;cCaptir#in8`J?In8PT>5K=k<Y}&HRA(+D`WZs=NY#8e0
zFlxI7^evGLGTb%l(2RrsJgtic1N8=!rX`FzOI2FKsKts?=ost`xk^hIbwGAN0xpcU
zH!LnLyoOO=_!4bC)^aQGfnQN03K*j+6~EXegDGH)ue98tMiqbvdJsb9I}}_21HMv}
zQ{-EOxD_?h5^R#NpgtXf8T)zS@z4fl>|#TW{8zfzmWU3|H9IMTq2F2gfmiIP()SF-
z<MYUzN0R<mSM=nCZRK);$JY+w)zc|;JJ%E-bfIO#>=68P^AvzoO+a7$00aQ|NLnSV
zjXqVz%D5e|81nZBuL5gB<aS*jjuc3w{iU8DAD{kGKE5E!4eLX_1F*TYKa$u5D}+GV
zU$)cvGr5>HrCPwTx)<Le)fWDEKExJ&&6XkWHi05iF<+hgu;nLA#@yC(d9f%a9lUY-
zm0$k88&4p;@ftQ?e((DpHOelXhj6J?zw8$ER(*~%?<dmy&j09-f8h^Cq^U@?dq$q-
z0k<d0_?TMTtSv;bueu!Eez|8p2!gwnQFex);7*p`+HV$wgDL1Npdlz)&;(Jx2s28-
zk*ppKub(4)Pc?mv%(NsSR;1l^L3lanX@OIQ8M%Y>pCn8C9QO-jKV9qwQ;9`Wda_R1
zt_j?NN$h+M4)$BCHsDPSBt>1e>pl{+Mz#*osUq-kIpP`l^+3V0h8jmloT~+#Nm44(
z{5(;(rckuF2{o0fi4~Is->W7xM~|NG&lcV#{4!=V$?KZeyxQYX%iR$lsY}qg9PT~v
zZ-B!S(W^NZ)~RD#PvmOk(-=9G?#w8W>LuLK@V|&+=Lt{GYr@mB9r$#qX|(rv!h7jW
zBLm)_c-LR}r(cu^CZv?9FR_b)xRJ^^Dh@B=I_xq0T)?c6f;a&XwNwfUaLL9G;A4w5
zi^)r67S)EYsI@{=8;i9Q80Z?XC;}kbioh<{k`6?z9lJHliUJ_IAf^FHX$5E9AV?~L
zRO^0dWY<`7&N?%8V`jlgjm1}3wNxq4M&E!IXeSLcTASTz1Y3$&Tl*Mf1%4m|N9|*#
zAh<;PTp|-EHKn0S3F1v7MMpqBEZ7r(RqxHsQCe&Wh5`>kP+abf0<0z(Jv11g0;sx5
zGJvq#c!OqE_Nc-@F4wSsG(7edo*)HSZOuK5m>?WmaG_c^f__EG^!VO@OM?aykH!*|
zEB(>a^Lv9e2}eK@RsMH<h)_A7T4S))KSZdVAL)vT`xTEHB>f@c$L=A*(*6+f<1=}P
z&J^;`cJ9e08KO2EI6%bZjMGaYzc6H^xSov%xsV^g0zB#j1VEq$^4yNdos9<>2taU-
z7ccM6w<sS_eEk3t(0Gvx`Po=e3i&4*8PIqDMUXBSjTNPk9|HCG;_$cQOEG<1j(}?|
z$4v$i?CiJ%3#Qhn++QQqAqN`1W#ztaq9*{Guth*$@$GOkFI8Iviq&t$_-~o2{qKL}
zeZT4Fd{V>E73=p%(U5=qjGPsYhwE@S3<#9OrSPoRp3RgLgv!^r!PbCM7M*N@AETY0
zXq?r=>2bd{THN@3N=|ZzX;+B<n3IGHRPu)~Z(=g-r^Hg)KKLY^pKda3P4>EKo)e9P
zicP2U6qD(99KkW}I9ljXR$7zG7x`Ub>>fXQT!O?$C>V7QseKx=oG#?`qEFCIYD-mg
z`q5*f(d|rhIrV}}x8^uMH7Am2+ZLe4iqlS-P$Sq~fR{!Fcsw4!W(M7mwG$LD2i{#s
zN`bU^RC@2|Cy5KKn-kroRHuIQv)!dqYU+|_yGu(a==tu_^uXVo?=DU1Xrj9`>7$z+
zT6hG)LH@=jf5rn}J3*29m+aqhh5S+XVuBMZex>u>r4r@XFTX$CT?%sCe2)+<G53hq
z4QgR<^m30D<oBdOM+vz?O@2jJzL;=5^NWd1dmZ6*wofNtObGdl$&YvJS(O(DN6u*@
zZcbD%KqSAI+{{%Bj~c^^t$&NJ<DHaG_F|rKeCan8KSml%vme#_VSNWFS~g1tNjgTe
z<0aT!bA0GH<qQ%XxoaFJI56;qlH&zOu6Ua2;Y78$Y=@`C7SrN5qVExoWn{o0P#ibe
zj{Wh2Wk0lUNYw_@yd2-@^m7b4NYQ~>9M7o^Qk-x0wAn#gs_mueGapa@<o5e-7wq$l
z-wzF^X!A@b41sdOvK`mIm5$l8KiWh~2Qvif{(~PZ|9<M`H&1sXzm{*l_>OJToev&j
z3+`D<$YB%ByloRpZBf_I5^@i**p@}k&K3Od?7`mRzVJ7E=4brHcgGxSO<_5_rdya=
zqAnbt|MP=ljwoakr`dcx=m=ZGd|_YlxH%GsL+G|bf2iOSA;>r11yOsziUGmlSgTQ1
zjB*7$N-LNLu@WPrXJ~kQMGQ`3V=5t>;piDY^i9wmSImJUZFD;Hq%~2eXV^%Y{3kaf
z_IJ#fjHu-dgLPjw)qNi_Y8(#H*L1#CeQ}eO1AO&mdAY^SPs#;YRcRIiS$B!T1<R`P
z`R#{WxXe*FKr$3_CuJmlW8Po#YfYKK1+1+{C<ZUeP~++_2C^N>43#R&Os7B?s2CF|
zWy!~vBwHMxx-u;%s?W98L;P4zz*U|a+3&YI(q4-@K=}XtzxFNv;g1J|uM71$CR7KS
z;1w^mBSLjhz58p~R0jfc(kiFdvKvN)(aq2?c+oMwNdfm*aa6~UeOj^p5FFPrOAsk`
zhaT54>-|Du;#e{37)+qzC>T8apiJ&p<U`|EjbdT#w>)lE?CLI8ood!VH?T&MLjYaO
z>e8P7IJUfqX-(^rM=4&fgj3BLhEeS}Zi1(YZqKR;5m4p3gVCLAa)oQR<{ZuLJQ;rY
z(UYV!T-7Ve_Xo5>Y|SD>%{$tynQu@V2zp$xbgq-kcL)!UvJT)ZS>0BTo^O%Wob!s-
zB+RLj`5rZ-0~}x^3rmud27@|Vb*>o=#2>nCe_H_3*$cHaxn|A&C6hKLvt!lJ?Re~g
z(gS(vS_q%-yVt$$-V3GspEWU1jNXDj*R0)V&Dukjp~10hPHu5y&$Qs%+dE+e<}$SB
zUWPX12lTo2xeV=o%FsUhTmR|z?V=1VOfN%H-2!leIZskF2G3;Bz8vP1PNGo)p*h(|
z5p0bTPyvA3?=?;$-*Vra8^#nXVmhW|n=#+oYQbW~u%(Q}F_D2*7qk^;`<&r4fzc7p
z0m|tIP1|8farGD!E_8O_jxb7~ICL0N{!BK#3N?7fW*36V+2Li|_$tu+47-=<SKE#t
z-SGKUs9e*Ar?h6dIc<Oy$d0Pyq#0M+dr#fVVTfBwJSW8E>+XN__&^NpL!Ul}wN|rR
zW^3nwk)OLoyG=@@XwXfx|3&pz7u{*NmcuhXkU2c+<FT0vBGS0%Tn@JxTtwJH@Y~=k
z5=G!0y`v$&da~68egZ9vzjhOTLT`jv@b%jJ|G@F@Oij!qgDr9bD5M9kize&hZO#f5
z^MO!9D}tUp2*LEbLesW3BY}lV<5E_j_~jXi0U^Y28%;9Aidlg+S_d?+40Kb(B6t(P
zoh$jo-Ns9ZW5ujM5uak>5DyJDH_e2&;2kxSs);d{nyeE>6u_CUMfw>kB4`r)-+K`G
z%3r8*`Agca8J2ppz*1K$nwcVDrbzhM7@_xzKkui${KuwSdQnCyb`1R{T65y6Kf|Mp
z7~GDbmbEue+2Ey!VKH#qv%$lV6=jTu)xn4qR#U{Gv%!N$$3TD)gY&Y5U^aMY0tKrn
zBL;Zy)x%bb1ltW6e*_^?$gu_t*B!b>3~f^Ob`V;Whyg}oD|sTYIIz~YTup*UVU~S_
z9-J#9CXLHU@F>DLco}dqV3)z<R8R9lyZq?!M9jeYS+%K;##oP7{}aX4UU6VMR0aeT
z)lO6ppeiZX9B?yL5Rh6vcb;(DDhRSuz|WoE&}~xUTo*|}mKP{#HXe#C_)YtVMiNGL
zcW$i1nna7cVW^iL?VJ4?^kICy&?s*mN9h))XZr?3yNassz$m8N7D+Na+&A0Z7PZ-Y
z3ox3pI%!sX<mYK`ARZgxj_)4D^myYuS)qgTL^rg}oQ$JO@sY1-b11Op4m6V8<1NKU
zE{O!Jrbj>(GMM}<Tz4*cSS|7L@$p9B-m4U0xpPVDtY<ueflKA+m%Rw6RyqG7K)}hr
zMqh;S(i?dZuxq9Q;pW7P0FnG6a5KFKI8=ENxcwwA!kKa#RAYe(E2<~7pE^a<QiPQv
zYR(eO<t}WvF0No-SS{O;lI-hyRsf$%Mpx=t(gC~rmM12hmj>~;V3c6s`AZj!`kw+J
z$ab8+l&{4ms<QDyrsZ%sXRC*lQ9kW}av9jxi_3lb`W{phJzK(glw0NdkD3+`(C72-
zAQ;pG(RV;{^*tLzPEy7moBDXQ47C=i`z8qElcoe=yl|;CfC8KNt=lG+LLpM%6%Vl(
zDv{DZoIO~<AKrSU=b!u0Kk!Ze-xLcbY8F}O3UC7+$T@HW`j}llq!U;eQLt&^4jn#=
zf+T~8-sBCd-szcv2e&A2?Pjy}(W``hArZuglKvc%IfNaOavLGHG|H|x#|&=l*BJUN
zR_3@I*y3aiUuff!(c5dIgkr_w>w$Qmb-*xOSi8TP;_ICSb&9Wd7S&0q@CjHaJ1i(r
zxI3;xFG|f@B#sjTW6)0X#?&Ru>EiZPxMwQlZCDv|)+4nRtkQs`dp#5E`3&UKmj(&6
zoD`oFiYLQ0pb>_tEt>vm(+I=T<-$_txr?O}^f#X{Enia2Qo9tEid-&5(~Bw0`&Ugb
zrBbn+{yeVQ*~mTfV!rmF*WQNH2Tf;QiO|n!;`>Px|MB;I*1z!0ktWvuc<(`Lgc#3{
ztpu?v!be1$D33zAL!t+z)PQS2chAr9EUgJ00T)CAjU_LTu~B1eUtvW$0wD<YO0n7_
zGYIGixFBM3M#VaVKw+0yQ5=DgWH>64!yyFX2)HDOvrki{h$G;V+p}CL%t5&%wCNeH
z6jA5KRs!~dQ(P&R#m|VI)kKFgpxaSJCT6}FeKp#>KcM?KqSQKAR5%CG9zyF5UO{GC
zR|La6pZs6=B4eK@nUJHA#Lw&n+@lwO40L+qk%7jqn<6^;2sxrFA=;TzGJ8EH4A7vI
z?1JSb1AW=@V;Sfl{o;@Q!AFsS))q3?&DKy>Ig9&dRlfZ$xMfu_gEX|kp64tEZioN~
zAm0RM@gAe7q<ZLpx@N^9?O>*x{m^abD%?L|mdM1x)Xgr4+9GmOq00i(S`@R46{(v;
zkdx*qcw7xGhPv4W_lu2UhETjL=LY%|D~fJzlDh*&$0A5OY?n68B}uY`r%9p$SMub@
z{tqaaMK`-7erQcupg;IHIC{Q2C~i_>Q}!12zoi6eZA}2CTB?m}8%WZrc1etTV;+P*
z(gKs;x@+gF<dR$bNMh=a2ej??lKBpCSg`!?xHJ?|bsW(77B!rlMO6KRDUAt!bo^Bc
zR@@`jRi}G&v9%HPtaiZ~CaIj&09;<Ftn%63*uVJPud%A-G0UK5VxVn1lgF6Oa9Y~B
zB|{qX?)C8A!W<WQi|=A3vqN5R;8Ce>&qSLq5jTb-6u9Y=5N+PMXxSe4r)6m_TYk*a
z{E8p@_}}my(S4^T%Poz&kBN#9GYaK3wXdM=v!B^$^pS$Hf};>DZl;dICJUlhCWWBD
z1LlufvXl&iYtxP)n0$ihzS|x#R=7LI90h<@1O0x4<d*R6JHZkKYylin5EnBTFjk^~
z<whqsvIMUFJd;|iz4M?iQq_^WzDK8baTotDG=Y5bfA+uK*Roj@lx^gkQq3qmQ9jAl
z(1?4CXEAqgvwyCz=!h{*m=TH$(V!O~6AU<N5YuZd9Mg3Ny!I`f02i^$%3_6R$-gM<
zlp5~jnTh?;aF^2=tSBw%tciv@f_xcdLqFeDAfJYaaXKs)Zah11kLjiOi$Qi}{F*J{
zf!`8zz>(j$z<;IB>+3x&rDdl(vHY0Q`jVgi_`mTJ(axvZ&y|+x!sH%<f2{YwvI7?G
zF*4Q~#M>IsZd6)r#pWIZo6z?>1dIFC++!s5d-p4QU(G#+_k{pG`#<k`XLi2AJq9|U
z&_x_N`(7AOYwj_!8NKf{?jEC%wI>Za;T~@qzo1fR;P;W|vi(5e9t)FOa_1n)N7g07
zJqBe_f0~lRaLI6wG0UvK@)PdymL>W1#}xJ8YleHgUk!o{fumhA++!q2`dcn4!zG)0
zi~wfvaNuo^YftVmS_b;_%=ZXuyL-F<&u+e=NyDO=-AWmXWubA9e{dcVZ~RuNjKtW#
zxHmex$DG<#>mX(x`mjUK+C82tbe~;?uIafet@WI&xu0as_x$8<`{b{UFiqK6oxs4^
zc!Pr&c?p;c#Jxe|DjttgwE|<?V}d&XLD*|r$|5Kpj|ti|FX28p&q1sWB;+6-4u=<y
z$5uQu8{Rw~69hRI0YN6BQO?+%qnJ|@L~I5dj?Bt&3p%kPIB6tl3R6(@Ohd0`hKUWS
zJ@(KmPU+55Jsk-e3BBf)lxqSv&EiGi#XzeCUdEK7k=FKs#bN%^*_4|Whq17N?Vw9Q
z=DSN~!b=opt4%0givG?IelVmi2=W+OxrT@xM>$)@qOkw#U;QJ$>w7~dX*lOmm<4wz
zz5)#gk!avS<ilVMXv^Ye=m?+<+-B$`AVLGQB|}HM^-A#@G`}r?857-WD`x2Epk1^$
z^kRrHW#|aS^(gm}+$_eHb>~>1BUC}7+KuVn_1SS3z@Ru6gMy^=tXG|(qdm@COU@SC
zZsY?ZCL!r*Kp3Y`32X%#%Ch9;YOd*=kvUZH-BX%r%md{A#=xokJwK1h#J^GEd>^pT
zKqb^j`hqiR#78irMt#~A5B{^{bsE%yT{}`9=UZShRAHB@X#tAT1uJkA>Wx8qLe1po
ziCQd~()Bh1l78bK`{;Y$6+qIs3X6$7E9Ug-%bZo%9znXQFesE>*JTw3q6DT9sq4U4
z(5+Zh7-mI4JwUKwSfT5(3frxhtiy%3v|Uj<6s4F|7-)?-pA{=&2hI1ziW&Xh19Fn2
zDCW5ifcLDzkUun-9IeAuF?A{o4jR-e0rd?Uxw^|PH8AMT;v638Pw%>lvlJl7Hc9e?
z9xAShO56VC2$1BGphVKPE1-A=%B3~)?cwMlT@!P32W#g0gZW50NpXu;s@DHZDrLo1
zhSf6R{GB3P%1SbnVcPFaTsM0rCD0@Eg1Bd0&BwE<F1S-kxufd;8eOL|2V;NeUev*+
z14?62KA^npO;-+}Ai(S;B{5O=$|9D`S;!8VVr#SwAyN`r1JjN#@MF@|^7DkQmca(e
zGfgiy(!%Lm67!eM3tY!1$q&q^&9g&oOv7F2-Os4a{h&7g#lQaUfBezO2<hCgz3g5f
zQlPP6DttI>FWWCzLJsL+;DaeVot@3*3+7EJ9nok!h`F+Ru|-jqMM%Ty)#6@kms&ZC
z7zOhYs;`tZkdq<7!o#(xmQyDqx!FD<jU{o<7Ufa>iX}oipczLJ`>X({OHLZ&7HgCb
zipoS0k#0|gM`DPxjpk?F_1d<T&bp7TJSG$W#$%r^RGmYl>)Zx)Kf?;Y%|oPb`u=bI
zrvGJ1hheU$#VI4;P1a!%r;LC%S%)2#8&DgG4jY0uS%;wk4^Rwo5zJNXW2vmeY|=dI
zeh$Qq3KErdSc`mi3-tMSf?0<}<b$acedLovqzHTv`SgKd(P0tzY&XWX=RwLHbeM)1
zroWKw4MpTs4msJ&Z$oj&Ctcv$aDofv|GGtGGk-sF;BFU6B%lX|jWK8DS(>%jJ!&!F
z*n$HYtje@5ikBR?eu%IdfzsOu2Kq1m>tFFFJ}vlfG}Y-yb`*H9FdfJVX4P2JuYF}j
zkS=JfEf55x+gH|5$GM;}WpG7I!VyIiD^ka~Ac(4Mz!z9+dO_+q7ep12&#zhzYRReN
zT(G%_Ah8=1vQx)3!6oz$)hh@?2N#oTRrW{p45;98SW`nJ+n3x5g9w&HtU8!$R_cj>
zsz6;*5=wqhoL=gQd>k_><cD=Sv_O7z1kB8RBGBKVgS`9EOFhv6v_m3^uJEB`y+1TP
z{E=|<L5)(rK}S%x;34ACm!{wC#8^v}?@&mB$w-Y$lFYa00OA<@N~kWx0Pw*Tm3wqp
zaljbPbKS2wX<gJ51YAXxtWNFyHg=iG3EI%k6v(CuUEAobd!g9gKEyHPA2^oCKIEyM
zaeT8vW_^$d6f1;BTmR0*_V-(C|KdA@(#4<phuA_HYqLV#Ch5fQQsipOPaq_N^y&;^
zJv$KVvRl-rsAmxCen71M{HJbz`A5Pz(s0C`qlVHTuz_V^Mhm3gUCdMhK-BXBNn$;~
zu&X-<fVk<TprMzr2Y+tOXypK~xiVvDR}KKtSWT)m1b~>$*TZ5t0K~v`w8BAfi_7aF
zryKyD#inuqcm|s4a%3Q@Q4SiW5c|r3nj=G-INTE=Xe)Dh>~1``(3lsdGqs66H`}*T
z%m|jfihPA(Og32<&TQ{Y+tLY_J(?W{yIE(GmFmyW=E*6;PugzWh7|JL*eoFUX?RMt
zLe76&NTvt+rcIFq^PJK0CCT(e-`IRGJo;d%@8JTePq!U!FvE=V*!hw;-CbHUJ<}i>
zByh_|6%={>qpAra4dO7BbIFnvKK)L%nbu5CHKyV%t;%KZEul#=J=Qk>WVIy}b%Go+
zR3H^$t??^@yGs&moF``7_UEZJ2zH(*5Tu8U#~Q$IeM>KUtih3&2>j&H0WY48gZHiU
zSmV3t$C^xi<~H(UJftTdYclo49{ppj#C?7?PySe+Bkq%$jg;k-0`{Ec<TIMHoI<#h
zc0gLQbV`Bxuiqipj0}kXkd`e4cTb!6Ptve){^<9FT}l_M!xST}D%+7qm8@@Ua?EFL
z+)^UHyc~^D`u*0z?3a#@!K7uh8wC0t^6xhw{sTK5zK;ghi8-H7O#CMU<>UM}n44MB
z;yUUwem~hRKUlsTC68)pX&tiKHu3ES#B5R$xdrJTNDh6n0Lv+b*3Xp>Y5kfolGfaG
zVQ$KE+*TGk!B(hQQrd4l(!TxYzxby=7+gI{oaE)-y7e?>WS$*;$;ZAO`rJfI+A9}C
z1rTTgVF%Fp2KSC$oqYxTgL=gUV=UcK3d)NhUmzEZv2=SO<t>6VR!y)?r9;-UKeT}G
zE*aC_aI#uaZeMxBn@h&Dx1IQ4<>2B_xMWOw1JnltSS9IDxMWOw!-Ueo(fN?OWK4T|
z^6{VzgI8axo5!G*YAk7>;+xD#AU2Wf<^N6^O6Rwwur78F<?wpGX9v6G<UwEbIWzWk
zHccv-Ld8E##=fbkVFM(lsP=KPA3_8E)=U6BJ&M42FkgN1U5~yow6EHuVFZl)qej3i
zfvCQ43K(99;!n^D^a;xpFQ9b35umLGE9MCJZ1y!p3&6m=N^~UqS|Z?9B`VD|^<q-2
z@WR<NZ3-1YBzq=JTT-BB^Ry)bj@r*7V*BnV&*2=B7hd~`kYym5?@rWyg26&lE$)9H
z@XNO+)qWm~jmIS~3sgKzQLSev`bc5Ees9Vb!HRBAWNx$W<>#~dS63VaDQHKMZ*HGF
zKyi$`|BDGZv+}*JZ1fW4>kc&%x#_h=f<j_DhtMz3oKI5cdBO6cnC-2(gJS+0-}lRZ
z!=H-sef5iaxA+J>3YQZh;N)26JuBWxlg1kP>Y`=8%i1Rq6@t~d_vNx%3?2gJZ7GQP
z3f+p?E#|Ob0Xv~jNghzV!|WFCHVANJ#i_B*7%O270qCUcR?Kek5jj}Fg)of4+NsRH
zq_fMst-G73)WbypnK`a8;jvp%6thbL7GeP+B$q2rI4PG?+~OV5&$cFh^j<Q%#rv&|
zb~%m>mCm<^4Rg{wa9m*mbiZc4KWHrFNE@6deyqWHmKy}ZHTyZ&#E$C~l{<vgDzdRy
z69qhjHB01j+*sBaN&EU3O&XBqEhpL_)zy)W)$OQW6ceDTe!_UDkRx6h@6t7|Eo0QZ
z?ru(W|4ZHZzK05#!HK?rjsP1}KGzXo1L4ZE9oSfKO(DNl-v{Et#)5(vL7*T;vK{U?
z5jScrq@nR-K6r^*{u;zhk=j<#s4Zd*NWmta_Uo&COc_k5^?^G-Csv<bV)e3H)Q3cK
zV)cF!tDC?1kH6?M!h1OtR>C)suo9fr=Mt+yy|>xhFeML1l*P)n5DOvyBr9U{RG)^*
zVP!O0V~UkJC9z@-D}$pCa9Mwj$^S$UxS&789Ms0@*kZ*TR_>OZsmgQ_ivIf;Ifs?o
zJsk{K+wFQkXt^w5<rZ`jCJ9)x4;B-B8pv-+AjpH0m9&>kSwa#6vX+dexf!+;=CBe4
zY$RlbBw8Q)AH9T?Xk9SLE!#bE=soEbsNEjpe9~{s7ntUK3il#YJ|zbNzCn|Dt_<8B
zP+DSlM)i^#05=3~Xe5p%`VrqUf#vKXJUbV`^z(!lH@gTgf8{GTiwAGqe&v_H@8<TE
zm*2P_7vZmb^s|2DCx7zCeH>DuVWCbV&#0W}&2KhBdkk)cxnhwP$9-qh(zyt0K+5VO
ztQbL;x{m51c##&;a11_>`L(8jI->)LGFG3k_GNFwV?(gG2yI3O2vMr}J2j(Y;q;!d
zVs#OGMhD1wdtaiu2*@DfB<V~5FYYe8AkS?^2O1-Xcye_Sb~aA~)#SCDr4|yg8s27f
zY*>C)fAs1iv>6>Z(fS)*=pwWd8TAVuJub{wkDPb_)mP>+U;^tpGi5z`+#m#eyiLx)
z9mc@gN!*s<9XK|IV~Wc`Pb2>~hGpmP`FTtx{*4l;E7F|^|4Za6J?2pjhP!PzUOa1R
zHe2=5p0xj{m%szBuv?N$5Bd$DF_!NE8$^Oz(Z(d1oZ%Zo==koe0RD9kMtan5(1Zsd
ziE9G$Q$#7&EcIBx-R!a410U_yEcIAe5(S;&4fE3K(Q}*7&2GPA*(3`R*^tmlCPj1u
zgpP55NDf|dDHyTSzJc?iL;f%$Vem?7R<ix_09kqMJDCC99X*9BI8UVD<mj6XV9HLi
z+@s@~Xe+#S#5FY%xa>uXS~Pjav6){qY`RC@UNrn$e$lY$FB(6l7Y!w3%{Rx#$LZ~a
zWcJUwnF+q}V&&<{Sm>$0Xy@wFx;e2Z>!%e7uXVuJkNTv7cA?&?)F)x`YeCCoJJ2%J
z7~zhFo6Nzk#ZA@{rKoR`?X>^?X1&Y%qd=_G$LVa+w7)!34PHa}7Y5m;<6}B$LHi(3
z&tH^5+rOKW+n0-J`B(b=P`_1{L!A`rxzh157#3C5@q=q2Rh++U$MtL3RqW5ft_AIs
zqODXAC);WN1IMqX{ZaE(u7A^Azig-dWsq&!AN6qs?c7i$=HrWsY`>qB&&6{3cr~<B
zf33EOZ@0{Vkg^Z;Y4~=#Y@08>W1CF<gNN8^!YD}NrVDeExs+Cr_HZk=#Sc_+W%pj6
zHeB#SZ@hf_!EUM`q1fA3=n={PbK|&p(Dr@RoZ3^nzM6M^mAii6<*)j1IK$X_^x@av
z{~`61Kk(XZ{_eHg{2ll6!;S3LzAAh1L?tq8?l-qS^!n{<@0IJ3OKX>OGKO5-$c4xS
zTzpp;|1{@e@QZ!LEAM~#Bleq)$+Z(6`)Ro}&${i;>$vQ$a93VO+m%=LmcJ{k$a(zg
zC;h=c8}qT$TR0sTZSJWe=PXBMau`u)bB3cb`65KENJ<9ZZlusAxgvm05uDH!K`SZ<
zHLKH-yhV&X*sITiiu=xIsl+On;l*f&VC-?&I)I19LpgXqXZSHoT(mt$kXb5n&N5`?
z1`DL^#i<o1j4@^jMoV0T`BX*#gEriOWWGO)+O!>EWLQjg=wH}@t>1nnj1lXPUhWVO
zc##Bd+YxjkoXEEbt<($>ctv}g`Velq%n`B87wm!KJz@}BuRS~TFf3?S*5_jb=sZha
z=BoD9ND?ev2^*_8ib2)eZT@L<VewTTsHv!Xq;b^Stu1qPfvBFv*i5Ym0LMOAQ0RKR
z|BLDwNhF8oLBh7;c@7BU`ou6gSc|JP!SIGWFIq3s0k2F9!+XKolJ<w|dfvB|*S@(*
zY_ZL*GF(r%uE|w|KykHXJA5k*58HD3^SI0N5Swu1-M2!wZwe973Xr~q_f$8FVIHsf
zd~;VWedGuJ-e3N`k^57QXRll`#%H9Lq^VNEc{Yqm8lJ^Wv~>KjTrh~=(c;((vNR?H
z7i=s;E!6U`(Q+I63M*1UHbI2Qh>>|{a2j-nrU7$7G<MN|As{<qI!q@>_2`1AE&}Gr
z$O{GTij2gesU2PLu-aPVCpaCnfF=a#%DZ5+2<#E3cZU{WuSp&;^oz$n?$<PR<%bjl
z=8}8Nv&0XCco3{)Fd<cv-hPu@9$4=S$<>j4YE?4d9dJBrKOKlCtgg%!A<mR<51<Rh
zML=abxIH?-#z^mXt33pGbRd=ek0b`n&lBCDBwdB1vTfA!%y$TLYZQP4GlrG5`FUP!
zLR6a@O9a3U-Hw_h(YsW%`o&l=tE%s3VMI<Dfo!72Wa8gClVM3nC`D(4fHf~;!vL*K
zjQ#4`_24*6*cj28p=0~`G|~;1RQ5y?P%A`}$$*V3=!O)Ors!I>lgh{WW^@A<?y6cQ
zb${Y=XpkakhRXwkc6}|9J;xEFjgs8YwMds|u@K&Cvde?<H(o>T>*e>pFXDKKJuew^
zz&O?Q>clOgXc=>GGLDZZ{xm2MkU;ezhH-d`!u2EUOMA=9!!*9Hh2h*+POR;F76&0l
z;=eBh<EOp%MB~5v4?n#A7pF)b4lON+7V$nmmYg?oEuH3WpuzL_<}7zZ4%l`rEr`OP
zJ`BqNHA;_}R?#@QLiXBV*ba?Bdg713EDrJ-Ia=GIaYf33*c#HON(5Te3`9!cp(>~s
zEQv-WUo86q@2OtRq@|_FX;xG|sKIQx1WDR86N@c3vXM$aHqTNCVam!<Ieh@(oIYS)
zE~gKkcb<+Bh~3l3slhd5su#YFeML_zVYNA@Hp0b_o@FvD7!f777zTGWJ<K<eQ$tE^
zkZ4X%^G(aCu@0^e>ofe2@?Qr|^v+>Dt|-9|H}K~z|D~>dg-Ox_o$_B>$vjrc^hDnv
z0MkG$zlmu1FTNz@=y!cik&MbYulAe@4TCy`@tj7=YwS6FYo)ow@k@S{_<7kc<KvnA
zs@{?N*d8><EM4|1m6jp>eu5sP-%oiO;s<A5v0yI{C_lJtha<~0UB+IRHY{nXi8NiG
zmqS+YIvNL@Z^mBMNP89RWsuhsP1ro=p4CDguZF$oujQK{T1d(fX)Xi}j?9o*0l$5s
z{{*Uo1Y$Vh#Ac8|O#dLbPk8P1U<JErxssLxiDU`g@#ZHrLHCDF$X&cTU9n46&vHyX
zeD*iL@>|o?D~&9A<i@BYfwLR{26x~<#s<IzuoT`b@K(~fDA9R_2CQluPXr1#`V}*B
z1Ad)_)PP_t3++`|F(WtI)sctBir}Q|L6M9LkOc^W#2WU<7}OOrasvWTR+dw6yYJ7j
zAU8UH5CHh1P3l!(gLaxBpQ9Ihq#kq%4LG|L*1i~%2-^~PgxHbz!mFFiMe3M<)Es3L
zJ2^?kMKTAevr_F~JfQz~SDDz4D<v{ye~A4rT54x9<f)FYsw>qsY^qbzPocg@cYG&i
zNqwY4%ak}V^j8<r77bS8Qs0L<$zPF)<tds2W~#7%@R$CTm%sj#CaOwNrnwi*G&YYf
zImn<Xa7Mo+3M`A0SgT4SDjX>mP3|#9Ir&%$EZE0zIoOM_6e9(j$vH+2(Z^DZlzb)M
zIE|%1P}iqflWPoo*vC?kf~~viF4*(26ll<^OJ43odyMBuY9Tah5#K=J*lw*-43b_c
z=K9G0x+sFX_A%4o`WZN@8N$Lu7!cYiKKaW2H@+GBM5&3A%pB@j4)sK#W%6bcLEjQn
zPWn5Qp5#o>H&SR>LcQ&p!Il&-4hBJ%($U?T=z>ZPN*zn6w?jp!G)*J(GAK(dp&s%h
zJ{@g1DieTli+U54ACfLLD-1)Mp68(QV`9G6hF|%VA}q6~6fxZx?Vj9ye<=7@9<A|u
z#w?aa{V8Sf;@~O88TeDmkLTI?`Xh`zoAGay##4H(o^cNJ1b2yg!a)INO)_h>aWlSi
zpf?A4Cmf~mfUrf5L*yL~8r+|`rg5%mY!69Iqez9SBMQ&4(v)8L>woXn4<wF91+IrR
zjouCpc9Dw6YDtLU-7oBydj`(v^%|*0ORfy+1#PSeo0)s}J$vOCb-Iv}5Qs6lFR*d;
z%A>&?ZD#$7*(;BBaI9E;j;`0s+rd$XNS2s#=;Xy9a`1NW4dy3xg2gM3c5sx-^~WwX
zjjbIVU_FqPdhnc7h<0$cuMg@Elcx!Q#M{BqSW;sG_+|O_L_0Y4sFyzJm#NEc;Kv!F
znC6hl2i?m{_B>IfKH3#ni0)8QMNhxa#4!*n#6TF(3NdDyvHR}^56Jfd(1NDe({U4^
z1w}Jxad8oWX}^i($1st1|N3wL(Mec$i@rJpa|k+@;Fju;&<yJy7hsl>Iy=C%J!D#7
zT?|!{uC>E)jXL9st!7x)ILCk}V_s8Vn3iE(P#tP%Gqd$Q{+nUlD9A%;abMz>Vci49
zFiEBy2&_*xYG`eNbx|s<Wb_L#qlu<;u(pGBkElOXIt+CAs-|?wtF>B$g$|B*f-$qa
zdlEeBHPdu=;;y|UY`jEf5L$W-*@z08Vci+lwN|1r74`+c@W1_+-W`)VF|`b(!k(GZ
zEs%t3X$~6z(Jmnh$G$@9g(}PiQ7Q`+$5P>yy!FT<g&<X!3*rR^jjiM=QR&*PNEOxu
z*JunD?TJQrIA>)=sxTLX*T|Y;WTrOG{T+v<3UfiQDzfE*Rmy9!3X~P8!kQpB4smF#
zxI41oA_-osZ<2H5_|{P2s7kR8-u(W@doG{ySNz<4eXla=iCxN`2QN9d3}`MX<=MqI
zPI-2CtC$TLd3F@MmTpq<W~)5#$p-fT0$pbAJS1C|B~33FY2IH#jo4qfy5sNwUr}(I
z&zH|Z-e2(1*dOPcyNLm`E=xD5prm<!i8o^Z3TElA<9YRm*hIPS^=^_qw-bKMo!z9%
zmLJnG-|#8l`?IhAf{D~obiZv^_O_X0W;(`$)aZT#FLhttRHGot2%$|5DU?3;%t30j
zs;&2f05Aus(W$n^%-t@k%Ry>1s;yUue{1+B&ytt_wLY~Ch`l?(9Hd5@+K6BYQlm``
zsiXebB}i>;YH(4Jtj}jTso<LaDIZj8T$>=*z3#2e>#k}s_Tx3BWci3!1%*=-02Ii1
z1qKa~`~RsSONG4TYO=<V$0;;M*AhStc#X!<7J{Vlq~bnlJOR|x@jHMW#W_XevZfwZ
zKJa?2-iiKy*-jc;fQ+~tzNaZ!E<JJAYrM-Mw}HlcM&;i;ZiA7nAG=`-1+5+fp{?dy
z(P*gzZ~F=W8Q^4Xkw4uAe+kdjy;ta8c8hweF|&o<4;9$w|G+Q%557BwP$+e)d-qPf
zeZ<HZbcxexmvSlix%QP=UKcz&*@thm3);{+)}1Y^rYlyY<#j;}E+k4IzBtLn%Asj_
zT`=1AMkf1ERl4BW$v(8aP4L`gA6nifi2gfa{y~p`^#R&fUU%k_dyoVPK!I%d`4K=E
zxr_!p7QJD#o#HKNO%@{fnjyIvvcXHukxQN*7L;$#`C&oj{wxkkAb<%>)-CDhdAWz6
z;Z5c+YSrA~DTwh^$xF}{m9%m?nrr(9?;>{yIhJK>I0f^6vePXn#>4d6KMiF=BtEI|
z*&qq!Ck;*ReE_lP$%ZbwsAFKX(H2*#d8dqa*A_^leZKX)T4_>>;~fr)@N3%w#Ysu~
z!_1d3ZK9K1>sP{Qke|oHq<6@#1xheZSzn{z7~5z%yxxhh2l{D+O_wd-8$jmvzW*m*
z`<V-%8IEtK2E~8{MVBp5>!BI+dO+n+%Y&-8pcz_m=dcIp0oi%X8^2qtPL34|G_%1h
z0)=LF)|?%J1)4dqfto8~@~48vAy}Xp45QbJ*&{aT&XITD&k>xAP@4kH97tU8F%QjH
zh|;u8(mFFVb4{S6p>*gRmJg;t<?RN|{2PCE@m*gy1<e5cv?*n)^`PrfOopKuF{Ny?
z=dhQOa<9+~C)Tjg0G$jy>`|bZMs+j-_GD=0fJQs%U>E~?GBg9YSycx>kFI91)(=1o
zS`9h|m6HSZ^#aYD!*B{Ta|Xnjbf?t8IY-kTz7E(R)is$OQtYIUclM#e8;Vca$KvPs
zX6zFs658Ub%m2=;2`>RozRK}?I;{zph4M4n@*MdPF1tm&vpJ(J_k*_lxz`@P^gjgg
zI<lsit>ndcx&>!~wH7peFh2wdU?c4-bJ$(b7>6N<;r3c=7J@XUE(q9x9du~6#Gp5;
zppZi^up*6V69haVK+ggE$cp#JiZrG!Xr#<=*f$4jH4i}=Qx`-_0V-AKhr^!t7ej~L
z1rG~g!78{knuA!8#?%GDRLIVGtOyDsEAnU;BgV8zZkEU#OAN3;@k;$6CC||$mn&m(
z+Je}qmn7Qak_WWGYpo6n_y$L(tK*Vvz0|xk>h=alFSqB2&I<{XFq);mkIK)a7syDP
zL|cgEwg(X3cUM$ykTN;(cXuboPVB*?Bhd`9dK8si8Vx#*$uxFp{M$%w+V<|cgxbFj
z0Tlmg2+fcU!g>3o3&Z^fKSK<69&;T0>j7)uqsRNU-tB$>@8Ed&K2|gb<YWwmI;8Z_
z-{2V{Q3>8RfR}^EDLwT!BtU?hi@s+x(hMG_^w@*k951=t`t^zwVnr(mXQSQU8<3iy
zluOnWY_&=<TyaUTuqj~(xZnpbr{rgvB<h0YH9n#bxW6JH;B!f39K}lq;x^+ov9F+O
zgoNECalx1j$=4IiVEtn+d{QB~A`2yuskJk3rZv-J53-CUUplM_X478X2&a^<33z}|
zEQ{`zRwbO)%=aiN+ICykw$$G<-=jT36;%$nt^F%6{VINR#0$Keymn0U?A8>zLzl$N
zP(M$W!R4c0`65dPl|0k(T42*F+S-eZe>?Re4;ra#k=v75*<f_Z2W>Ahd#H5W6W=aB
zrE@Pb_UK<^{*xoRcxMMMGR}JJMLyGL1y%u?-UexsprIsgK@m|ZKfu6HiQ7SIP!hLO
z#O+|eJmdp{xV_*JplGNE<aQ)ZLD&EWh;+grLN6Hb1fv6K7;>o$7$!C)aVsPaDe)`Y
zaeSd+h|Qh{UU}UUhP|P~k(cX<+d=zKN^K^H+d+U1qV~A{&7O4W>43_R9*Jk!juTcs
z^|b%-W?Qab*x1tv%XZpdi0Wg1FtBe6hQ6g&koT_`ddGvWkC$WE5B1mbO;E!p#{uN;
z7!t5SCWt)p<bnqW|28(U)OMTjZZ5Xn<$pMPuu^>8h%#DM*}KLy|J-8nCg}YyQ*v#=
zu^e~Fkn6@w9{<M8tFOQD%7<ThBlz0ej~Kr;W4KH1%D7xxaO|#dmzg|1wZAbL^TN+t
z{h3b<pi~RbJ(F%_=u}gunQ|q1FecWs1h}FDOwh<(5fbd+a7m)WYu+pO?pelG@u6(R
zGlZ?mb>|m@(2n!GU>$d03F{6m9+a&J442TWP`w}*#bk`1<CQ*48FT~xB|M8U$0Zzi
z`Q$-^|Lwj`YxR!FW4I;iq1-(c97nTg!e7olssNlhXJm>8bPvU{!3QoWaew?CY6iVo
z(7IVH;5cUrZIVCCp>9j<R#wTS{b;T-dv*gS?S@a>q8azR9Gb?=mkX$RmkZj)H;!L&
z{LJ8`R}k89PlKdU>2zrlaj`(|pSeNMcxjMtYXD-PZS)n-W*c)75j`!6>Y==CK;}Z*
z=qqA?B`V5Kq$P6_5pA_BRN#n>zQML85qGO4U=j#oCN(Ol7~jXmNTg?})9wC<N;y)#
ztoOr)Vd)oeNh#JA$?bqpx72s<k4tbX@!$s(jduqxO~@E02&kHpdz6{=k`mF_(U04M
zLPeZOt*}m9P-#L2qUD4$C^#!?PPzqRjWJwAt#UB2>2`F`G{c{)doCXf?BR41vK|YB
zFzz_$K^j?1p^9-C{~KmMKKXb4H@+GBM5&bhG<RWcLXMCd0Ob9Rq<--oQgr6e>_cp!
z5Wjf{0DA9KL}u$v7@#>fdbt6bE74CpOv8|!e$AVmEB;eH@=yNh7ru!r(P7qLOkn{J
zJ7#xISEAdb8AQ8i7SrZ?FNxOp*|uIli8t$qD7a+`qSUrCeh$Gk>+d0m#y2=TMUXy%
z3q~#YSk*XvgrVeE)i{$xO>((k=~?3X`-6**;F6dw1B1`gMD-<ngmRjt5`Fj7tHx<G
z)lAn4C;hi<>+N4oq$3+Ey;x>5{GK`-g9%PqaJTjRJ0_z`k5`I2Qs94q5J)>&SU^~%
ziT>8UJq-P|*TmQw7^eeX+aC6$mF76#%$tquBr4An$}g$KgtF_r=P1(@cRx1i)TgRV
znW*+QstkYd(|_#`|73uX_J%S8+um$oa9}TmPY)7WIRBbbRza49(51I6aIr3-c0>@~
zzc}h4$bicQx1=(W)H7;0)T0kU-T@Z``7`OHacEF9X^U<M^4_@MZjYWKu82`vmQoEt
z23#)46t)Ij=qS<vB?Lp6GBYIQHHgemBGI2?C{w0v4pjKapn(0U|9Pa)#E%V7msxx)
z2|e8(TLP{o>3MWidRRkkoMlp`xGvlqy&ka4_NSSYDb91V)PfikdKfX5uQ?e;g_^t|
zgi;mt7SX4nV|k6k_^B1b&&u?rdsU{0pVFI-@s==EJG`crqeNdaT72%RYEO+vnlaW&
zGx%IOc}?q_h)Vnx%^2(DA?`I8>wEsh?dRTx$ydO8n14KizXEm_t*V2Oo;m+`Hc^@L
zk1;`FwKC=(Pp;Jb<Jm-I&Ob)I)yPOsDYLV*;@PR1Isb_2*V98aY4YuiUpPNglkt;F
zHmXJZkb?;uT3hM~+C5=VI0whWny${Z$xpSqVV=dXh28dI%<+Z}-FY<Jk*`LZJHm9v
zCmt~W7vIFE{5?O%H)EeDk<7BkHvSi8d8W{KGf-&Q6F;HVXW;U3t$y#o<z=^MHoTYL
zqH7HApZm|g`9ohfWq8p6$T`*Iu{f>~&Lu0*4c!JMr$oj_G#8?VxCj=*tFyFW%9kLM
z=mhD3yQwl$&O@U{s1wYF7x_g^Zn72~0dF~UHoR#2W^*Q>P!JL;&}Rn&aF7iz1~HM`
znTX(SRc+t9-kA+Az*VEr*pN%39$K3^+?+0vtCS^4^VLgAb-PPq@HA@Had2c{Q8}G1
zXEH8U<2apORQsxopkm~*4eYF5Gr0EQ&6Q8g@$hVT@89sI0;Kd7BRm#nD-Vz7iX%D_
zGRs)&ir>1tR<lvQ{fbB3T!d#gdHQ?5@vr>LKR2?8dNcZH7()!KMGs(XZ^vph>|yf(
z$W<{VT5;6bS{UW+h9d=%&8g8arX$ke!6+X|gIxuSQAXAcI51XJT)V7TjPhp7CQlgU
zkx`D>D7VmcyI32eWLWQPl+hrik?VGeiYtNrL$Dg<#gf!9wDX|A>aM0n!`hcf$t62X
z)8#y0qwI#;jI-DK4to*DXrekxKkx*uj)q5yd}gEkHZ{r@*nEa=?$1vI;(e6I^2@*f
zxBlEOT1JGTrAWi_OHqcXs$5}aOR2`|X26^q;Yi=cN}bSp3>to_t}R+t`xPZ0>jjGo
zq{Af1xAc^pmtVc>om7Ut;@R<tRE92iSf8AZ*p*+R16Rv0(YUHfzBn|Mp|1$C7bF3p
z;-I%Ngdx{yUaYu8FQ|g)$nMfNBMq3HdT~|df4i^KT0XBXPpgyTlhV#Ud@$j3zM}sf
zTRHxXQb6#$e_=#t;bF|JiHhi@q`hQ3Q__A=)&Or1rXomWr5nEPfLj!4{hmNiss{4@
zd`R;C^3cToeU-$uB)T|XnDo{>O2#>yU!1=*YNzANb~=B?uW6In`c$QWnun!j(zXwf
zXXDrYv_51K&%fPWGTMZmw(mZnR46*PE_lIv>y3NkwcD@!@|WNHzDFN^{rw*ja7o(Y
zE~$$L26(C7w>vy>i+a63Q?}d>Wy|jH?pG%#_+aXVrPW3T^OQiMp?er*-UDI@7XZNr
z`3=RJAI{s!=pNl@xc%rjUaYN%Fr4%pNWch#E`=+O4Ccv!#2(dqu*QO$p`<3e=oQ`L
z<Tf#h$BpzjBwxNm_k`e-wsV1#I2TAD<40;q92)^rjmRdgEX|SHw0ldIB~uC}S+(4$
z4<Jlod~(z2f8(3@<lp(<_-5=ArOun#d4kWIf&I*0y9qr#Fr}B8fy<Wf%>dp1|L0Tx
z$PcCI8d|2|Scq<6f{*JF&?+I8=UZ=Im100JOUM8q0umTTrm%VlQib`7M-Z2Y`%m8K
zzHm57gq8?6z_cw`2qy2SQj8U;!hA)rS5bw*!$J#`YNimR3Uk3Nm7S=OHIRw!Vxkz3
zbBiz@TLe+z*k3i>e_s(91awzZF=0Y`zhWu|ga?KmGLzhF2a<H~e9bM`aTT%I2rXCo
z$tRVa>Y`zSLKKL8d1ZIBX}1wIC*QCT+CE$xF}RxZzhg>HmmV*F9tkXFFy#Khkh|98
zC7Ox3@A=H=-{)A9H+748t1$-;_Y*w)>L2{wue|UHZLXCn{@(J&49@+M^DUDZ$YuEC
zbZWEn4(xj_g2nP4!HzC=5)Hn+396R&fDC{H4?FnXb;WFXkBbfR9+L3~n$Zbn%bVtP
zfON7G%$66O$3`9ko36zMW*6aaWXp?zf*9+ELxUT6aMi`~qT$%e{PsBA?%1geA7&qe
zk~MPKF0Cdn4xY;JA-Ow}umyG>omc(!q%wTi{ndsY-wd0MVAZR?rSv_!-3OJQl`!Be
z25aW~vqOoahC}dtG#pCjnQsuLaDe8XzY?6J-jNY<!gln-lC2lKqNOFh(wg}eDe}ad
zE<;%I6;1jlwDoG!BN)@|=*`ycC}2#!OW&g1xeT%=YZvXqWu+7<{4_98y^`v^nAg(k
zDx$CvpdWg#0wq-BE~A9>5;ICDH3G2%*`BXyenJZ~`lDli_Df$gW@9CTGt&MT`%x&`
zAW%=3+ks=QaK4#S4@8WlIY_W5U-*2%g1k3awnIsU!6jf(Y(f)0Gw<cnc+Fm_iEyyD
zyo}fW?{E1<KmNnL)$nwUE{p5cA*F2I8un!6<}H{4Y6fk!(!_<W0Yw{DgJH>n<zMJx
zI-&$yP?``7t%Ms3eIU8(J!o?k&I!R#O1Pst3`Ug|(ZmI-ftwzJVKtZ{SnFt3#A6H<
zli)>~CTWhb#0r&>h<F6j{vq^A{%YjwbOh`AG;Q($DT`JG;N(!Y^?~6*Ppw?f<7`><
z@g}oH!mJ_1l3pdTi0M`8tEe&9w6GJ34Xg6`)g5GHWXxYa9-oZ7Keyx6*T&RP9h~c0
z*fGU6<^B0wr2TQe%cQzE*087^q*&_ltLmwWM1P!MQxsw;e<UAb3%{k8Vv&XGPE%HG
zy@?lpfCJ5JP|uDH>attZ>*hHsx}T`%*ZtmK`K$izPi#?<0-GKc8O#Yrq7`b67@91P
zWJneh&(Y&Fge9eNF85MWD(7-9)LMRMP7H(B&DyN>Uc)E*3WuhBb3xFygSSX(v(~h4
z<s7NUT<~l*hYHIDQPa$p?D0$qby*WUohShrdG1squax@xpp@3Q#1_HT)Y>ehaWvZ4
zEjvEPNh_?@@R2hzfk55;e$vO=x3}$+Q%^(gn&Rn4;q&8T=k=2$-Y`mjd>Sa_z)YWX
z^6}F$J8!@A&2F`3z$q2;yT05{Ot4F~7J5XzhDfK|m7Zs?4Eq>1<l$<r3`b87^v!Wg
zei1&<sOamTQ<;R`j;<tQJWs&)-4iXQx=C)y1I8;t;5#^adZuqM-GCu9sKb_PVh3>U
z(iNqL`UWXcqVbRf!wO!!A}K+DAN{am9VUa=CFVnQ=PB`lOJYr?W+Azfxf1Cez3ia|
z#kf7nAQNw&{GrCb*+UIE3uW<dS3K0%&jVS0>>g^Y<qtJKK9h&~3_Cg_#}diO0LbJH
z4rn+K>gBQ4jCG6uC#3wz|C8<T{}?)Ef0v=`HK8N8^l`_-|3sv^F6`(+wx5nK+j0Cg
z&Nqk7VDYEEkI)qY#s8G;*k5KN#3l&0`5LwYp*6_f@o1*yI(K@F^Ub^`q^B?bF9hoG
ztN#l&cd*H)1MbNdpAHCtvcGJ{34`n%Z1QRU<8|!t8=P;x|75E#@dpIz@wpwx7l!iK
z)W^#m_=h0p$IfjN-)@`^efxmlHac|HOM!~MY2*hFNlfp;;7(Vb`sVx#D|@V5Y1j({
z&<M|4S&(<SYX?KaeVX6|Gy27=(?7jr^(;qx;p@NaGymaFMLDQC!#%!$u@m5gA#AV&
znp{Hij7sANeG8Doz*e!T4!0Xa0DEYIFN_5QVC!7z$ROmGZM=_t1!sw-stNjh9oROT
zEfj*{f4Ss&BA3Vq<3T=6<YMlGuesfDvJ0*UV@B3+juT!2$%6i%<VK7y>S2jWC&|mq
zAB<nZKHS#1BWF2g!Ws&CSR4A|4B)EwhdCkPKFO!6A(wWTXU)ewvu#}mIE(igT3cMq
zrc7so7@MBZbazRc57`?D6}>H5;!J&o(JB}2W^;yJo=%_oAN(Kx(aT@;^Cn;y8#e_P
zccPN@xLC0Vd>kZ6VJg_u7NA*!;8=lbic)dJ1xzlZKa`as_AJp~a@|m2h2}98#JsOg
zFvBij2S>0?2OC^(eT<qyRJOcefUkJsNVJx4a?(mRqlDlvJ}>2SvYhK-GN3buY9<j2
zwpAW)b9TX7(bUl5tBZ{&7tH^42ktg0nd(hlOZne<JO!FeN(lPQ##5BYKy>Fw%yDt2
z*dPOO!SdA2j^13U#u<5h;>$mL{H=Xv(~)1b4hYWC5({UYQ8sB68Nb_ChWs|c)*8D)
zzgH(1nN1X!`k-!*Z%ov~fOSK4)oxHh5}8e$<6_N;go2ZuuZKY@RaOM_cD^14qYVZ7
z;!vIbsw48ip#jW6sgX}=F|1u-H1EF;#_kxY#vT>6-S1QCVZhUYFhcd8QG~=#Ug}{`
zSwqO1M`saBy*VlJK;=xUm<5_QsK&{+M?(`H9R;)feH2&HuV}Xv;t?uzkbLw<FE_|R
zM|31x$9B4+$UPI=*fY@}l`}(r;|LCMMGKl6J<mbOQc5M_fy3}iF=fxUa^_UvRV+=i
zHs_n3b+0kbW3@=0{T6d#|1@M(?u<<mzPNm5$-HbpQi@3?NK5+S5~K$PN|ei_9gx^9
zpqmg@)*Uhi#d4L5VUe=qnPQkY-wYZO!z>t{j22DjFWV7*2_Bv{YgZwDEg+(Aqw<-T
z|Mn+-!#4!HrR{SLZ&@=P`5EX#PIo)+P#7t>6Cf>AIYgheZ5h^xTFh5Oc8x=Sp+`U*
ztOboBNI%yFLHo`u2Pln!9QAF{)L~7~DS)9Bt<EM^lrjk)x#HGni~NqlK_Mu3unVGj
zLA3W41*E+ojf@}qZ2z>tTP}%$&(jUmVq{$M-a4q8#zN{PK5NQF_0Ia7yQ_N4b1pgK
za#A;OQmyW`>+>^Dp^@4>ZT~w{A-;s<AM#G;biDLJw~9gWL_+0B`E3KB9%2&;wPR%R
zH|CCvOt$-kQ#|mw=c=D)SM_t*Et=t{rvpEI&UgNvU;TLjKZQ5WH&?fiOxiD)*GJZA
z2lkMXuBch3J?xIyZ;T#Y_UWkzF1WH)wIY}_u*ePu`%Sa#hbEn%*v9>OJs5Hjp#{@4
z7OBB!V!uX8spR=Ca8YrRf>Z4_t8N;?MW|Bv#-n3TY5GKjAN;@0g!w=F-|p*lglAIK
z>g6)_7k6IjofZ!s&Q+}?tG}ezmOOgd4tpl9S{OS?;kPU}+%w=)cXjBmX4t<JHL~|?
z!a(-gm#EQS{?5Pok?-kIBX{Wi^$pE|pH02$c+X2lv}umjaZtTpHHS<sW<5NbfV%fQ
zYYx8N`ktdjNkfD<bkQ720*e(#YW4$@facikwKEt5Y(zwoig{CNj_2tc&zj?{sySxg
z_u2WrchkOe#ryqKyubU8zVk=EDcDFg5OE7;VF_@fBS|X&Nntirj|)jvoS``A`~vV#
z!5u~>i*>LyI!MZ9b8tmBOd*&dDe!rtwN@~2^yLb!9)cN?GA9|>73eVfbIgzwI$O!<
z0aLfags<)#GbDxTF>PGMG%^xv6nrg1Qd`s+V?`41;Rosj3naBg2E`=ZlaH$@kQD0o
zIoJ$miIj7Xhe_VUc9fr5>!@(P8knRt^W6!0Rh+1nJ15DE7_!D%l1SvCt(QJ&<<;VL
zwOPrX_flm0``FUYx?3*JVq_rydYh+&q?O(@326@&`oDn3&=i)7bm1+ZZ!+sUaFJxX
zil<LIb|a&J<j+;`6f+0~(ML)Hz;ToTYn|(2$+X>OB`o0pXPd{*nOdbP`aTCBKSZ5B
z@*N2tOD{G~C4KwUc?K^HF6<4vLvZm0U-<YtUY^PpoE`g~U;f>CsXPgw3$WaEy!_?e
zUv4<GPm%y<xly4StcP}FkbG9nX9vlrJBYEfXNJCK)qHm5dsfZoN4_&UwI2bx`|cy(
zsi{OSwGXPzLe+&X8!JO?yU8L|!|6(c=8M*P@oX9|T(db$;@i-0GsW9IQoM~&r=lsk
z)&3)S0PTD|fO44<no|Im<IDFxDe1CX)PqoS7<4~j(C7T7AN#tWm;&<BXy73}mw`M4
z1Y|yyrj8CItHc)D!&*zL(5t~(poFH?6hH&=#+o`ZkcZyPqecTOkYRKyW*`q$NGyJ3
z7_{5h_6y}wb@;MPO5(8Ly5eLk&<tDq;D&L`TxREA<<OZSA4&vZ|8iLY4-cIG3kw^c
z^7rjT<LmAdB~lUUFkyg*FZZ>nflMB>#CaJ(2k}I9xxw{NJZ?=9hsQ13;c*W_3@{KD
z3LWinNc)R#);x9%Nm9fh9(+FE^N2sWDRSc_c{T342=#i4cNwZQTfFCJ@h-bXv&Fmo
z7F{zN@NfK2fA`P)qp9d}zXXwz;CV8+u0mirx(whC1L@BJe}U(1S5_w*VQ2>a0?%6l
zt?EFv99;(bwuVXT1aov5;M*FcPn}?nE*ti?J-|SBf;qY*%Bg)JAXy(kZ#lX=u0R$@
zYr92*b$^lt_}h|QK_o%((I313e<(C2BL~*pV$yGSnp$RLuq=3g$)6<vCCL;Ml6jE{
zSBvYk8OCEpJE&iDNM1IlL+dTpRQ{Rd0&Nj*DCvxCl71#-03O$l#JO{)<#kBl*-lFW
zqo{OFNM3rSf#juc(E(pKFF@XC*B#lJK;C8-;Bxyi2fZgAreS9+-4>Y;$>+<OWL>#D
z!0f>fV;;-PZ%FX^+$ItJw%0!E7u<#mp#E6j^R!!lz?E?DB>VmWeV6nVrrGz8B(Y-5
zfPSj3RVJ!e(5rGl8x;-Q0i?Jvg{9ppf?hPrthSm~JFXUk6(i^c6D~5DFvDoEXw+SL
z)y#O(1+Xm$u6d3SM6wXRLOC>D02f3>y>&9JG2E~dl-!?7qRWc8QG|Az&7dbtTmYA}
zpPbjtdcJ({Npr21)yaTHOtx6mW_E9DJ^IjG+rL;G?k2nK|9Cs#PG|?ne!!Sn&)@U&
zn2a92_TTtxG!l8w<onlU`z|R)ziaHB7+^-5>1V_H91X9jniJ-1<~yi1`I7kv*Tmkd
zPx(VX`KPDQX7o$3QxgT}AZ71sH#6G2+ieN?vN9d9utaxydly!8V_T>cA+0)0If#=6
z%s^pyVcfPdQ4%YnPNYJ<N;BD31jmntwTSwA!7#im5Wq+tImeZh@f4st3@^*<F@9Li
z5#w<*{EdqV!^>KCBO2Ood^~0dCc_I!XCvIN(Q(`TKFRPh7ra!Shu!)Bim3|6OYGe)
zd;u0v`UmL21B~8D=DPzPPNz9S3tTUmt9p-%y%yb|2A5t;`HfPuF`bW{HuRC^y08Bg
zxYy4UT-<teHmvv0hOj2OB)(RLLlhwo`WvLk+a*DNs+3hbbdV2zrF@Staap+~_ZZyT
z|4PD|=xgHi=x-rovIMG8u6@$wY0ijqAT|cXrM1RI9$wue%fZ9>(e0dgh}8yFN4>+M
z&dGQtUKhT$IZyZ6drRLwTKdMEqxd(TS)%41dPaR&ke2x*kYCsUQ&M6;%#S*tl2w2}
z=>w4M$V#l9keDBh!g&58D}mact2&E8mRLKhkJqs)N~d<Z9KO=6P4C5b2$!NgyrA;~
z6=TZMcboWWsdF*;3mS5Hd0~<*KVhQ>Zqx<v2qRL9V5XWXdib4P4?lJMv){gpno&JZ
zuNn0>8sQ@9HEET=0o%X(SwSMgB4<AY0etq#EbGT)8iZb==*MinFldAXfk<tx_ZzKV
z^jp=LFX$)1MR)6s&6^LwY`$QE!S77<1a(@~Q;r+a9sxrq+|c_q21XV=R$2PaHh)#~
zb*}rXn6I<#U&VYqN&lDXD68+J;t@5}KIqq>VYAYd?@BIL_SIe9glKS&0(<0%s;4ez
zt4Y|TPsLs*eLBdpB2>MmJ7Q25idsiFEU7M2TCF0>E9NyRFqy#|`t<6$P{}IC{$Or^
z%FD+W4VjM5?Kpm)wC4Mo^r=*p`n$(IXH?Bb)e~x9;c2~n6zD(qZGY)c{^FpS*XtfC
zP?@s}y@;CeUN2?^YUP#GwD^rWos$(P@<i}J#s7z^*$HL^dUh~N0B^X1&Ho3NTCW$g
z0u3d<Tnr+L?Ei1q2XJ<arUltrcaGV&MCJ`8$l@^`23K7aC@9%{gv)|cgNxm$3KT(%
zdi5Y>8~EzE8~CuKtr8Q%8DQO-`R*V!2i_t|!XWk+ByWX1_ITdUOt~rk|JgA&#s5D$
z=cf4oX9nGXxHaD*%z|Tx24lG3w4LtS%RO4IJx<vHcg>QMUcH+cwHcMbPQM#^^~st%
zsY@SA-8!G09`)KZr0xZmo`%pilsiujaq@pCe%_^r2A;`=vI%+;vLU$iiSQUMJ$~I)
zn4oTx%tX+)&&ndr?TB+b;%gsx<wkRfx33)G>q%Pi_7zyV=9Lzh{jHgj{OO<lPru}I
z|CtFU*fxOYZ~(oc<i~8L1^`dmUfTkE07Q?WC8+jna(D>RGiriu0MBY^U4|h@&!`Eu
z0X#_NA+!W05rXuLT(Aw`IpEkZeMOL-kqdGjxCE=HHL{`Qu_Dc`3!+rm$C6^kNVg)b
zZWCM(yo7zmcv4H7#){$@xg@5b8*N}4MxG?851S-{c&v$h=;{E{v3fZYTDoKm^}*25
z{^m%&$t6LqXhVHATjH|iClb%dC6NfWBrA%Q;cZpPe1A~mML-(oxn;Xkmb}{IP-ATv
zqR&#nBo#5)&6+<S5OK_H$dNWbkIBTpnSbFS?hrC$9dG6qWS#HXh?OB>c1T#_QwEv{
z+h2-_d-lJ6f{Ty~ULIl&$P{HjO5R_B&J?0@J3>?-n05FmS|P82JjI=k<-})hA?`zL
zf|~Jqm%-kF6I$LgbpsS|b{U?X%W&B(>XFpBb?1IscYe-q`S-v32Lp}PYGMadthN~D
zV8sF4<QqV0Pa@GMI~JtM84Oy*Gi;+~G((UsgRi((i%6;ipK=?5qF8K2v)d5FRO|lG
zbQyfbpy@>RRjpjCNSDC{$wDt6^LFiNwGgDs;DQ+NLY8@w2<$AM8G>{fTyTp{UIi%t
zi1$}5E`v*g%LzUOmfQk>8A#G)a7nQA>zYVAZxtemgO@^P=uEgsa+-@}&&W^<nU5=2
zbxI1dKtLg_nQzYyl&AvGj2=DTpDh3>NU}KkxT;6Lv&$f6R{e()C`C5bVU*K;V{o{v
z$f;`~_k`#~K8@LDc<>VQq;MV+tp5CchEyb-UT#!Hi$~E=^169J7LY!JzdqNx7Z)rq
zNk)4+CzkI`Ba@6@`i{^3=YQX1jSO;(nq-`+k!dr@VXXBf_iRJgkr^2IM2$=vUXB`>
z9swT5$R}%LJP~?tH8KrM->ktVlkrzzx=a{3_8e&XWQ|Oq=_9y%#>mk)YH0dYjZC2F
z812^Ior;k+G<~c}h3~3gQA5-B%k{99C*Pnz(=o79O<Ft~1tSkM9Y-HXW{f=0bdZ4d
zPX{8Ybe;`O$FS1=9+esyBwGwkUvIma^^=B*x?QbDlmzRx#kXxjsM#yf^62;XRU>n6
zCQDB1@e>2;j+*&j=+iDmI@ibuB%T6x*^UVJY>muAmc2YfjQmoIWUuxeEYiRA6Tko0
zyflSP9vYGeObaTaKvfx=YyjbLJ)F>;u}Ktdl1yNFN>!j_M=@RH&>5QykPK62DoCa@
z!H&DZx6lR*oa|thamFT*qE=OpKTNTvLtmttLODF<Q0}s6fSbPdkDM+nJFX%g|C7Hu
z<<t6Vtw8V5<DNesle(QjpC7NCZ(PqO5eB%z@xQ>V6M8!_JDWD!;fC$miP#Cfz1b~z
z`w%uA;4cj@S=wx06Vt#c$tmv`jx8R8eTCD^=<UsR55QUi!Nv)gB+~;7$rT%4;8vq{
zs>jSFukA-i1jYgdppHPU>&tWHV}yCt`7x3S*zr_hb9(66#g7qI^T&uEqh?bLXgo$3
zll|n6(U}q0Gh+K>h^<CYQRAdFs5uzqi<<4B45*16SfpBJK}eZs-2nhequv<I+{Q@o
zLj55#rt|&+am4;IqdShz5!?l_#t`p%!rV^Bm!jIV|CS@Y(*>^yJLTgG4AqX0A1p7|
zA>J5}j-7-28?%89FYJ+$I=qKHy(q{%#^xH|qTUyiofrIexS5w)mz9g<Z=<YRdu2f6
z40xN?ZGz8x=Jk*M()azE>FERKdOS+G(Ye+QK0Og1o#`RSfz;U+f*ePk?I7TT<A*-e
zKyam+FG@bA=0w+L4Ibur@YQ)A!BzWEvAcJ04@%vi%3&66<`6%da}<>m3XOUpwgllw
z3JCB2+iLp28(;bJj$am;4}HBn^WiTWTyqXkWF)rYSj1BOm^DXgBO4Al$(m!kMcANa
zq|S?M2ZN88HAnEJjZ8wxnq!B$ROBhpB(WG)_Girz>}G3lg?1N{@t0sX!|=+!FqKb<
z|2)BNwq`9v{do#RhDI)%e1N)z0Z5gK$6h!r`2#XbvB9Lte$5P#q1UaoJ$2k_=5Dw1
z1T<wG2r!am&5LxV`l6@7pQaU6@Zz@ctYi6EclQ*9tZM({E#y`}*@PeO?%qNkTAj<K
zAZw^d5omx`EqonymXog|b+gIW(Rzop1B%kG;WZnSLjbOSrsWVg-+j7Z!du0;l$!f=
z!LpsSCtu~XDXye@bKogWZ#uj={JKue4EK$tAG<pgJJ{16URo(>3r}u&^E*HI!H_B*
z;P~`m$wpkZ{8%>PuYTlxpZ-1lh^PToh9fnoJ7aDXD2N`BIyqD=9)iQ3yir2>H=u$D
zRSUt@P7}C?HM?Ewig5!t*3}ZDhuw;CdpUHd+A{kVJx!fps9I2EfHX>@cBHL)!BDlF
z&xu1yc#pYPFfirNp=v3J%5f2F^<MGwBvmUzYE{*O0K{+rmz-2~qHP|h_9Rt5cbdm|
zQn{H*%b1WJcg$N1B^96gmE)WEqzAc}`(ox{zD~bt2||ly1>8!`q7<WDU#?}(Y3Qb>
zp}|rZJG%Us2K$<y`u1P-sh=E`wZP&Q?{Q}lr6<^ey7KuQhc%oW@iOcy(_k*R1vL#c
z7&v?|7Eis(5TpikLCzKz^m@PBupAWbX9!Y*H9-{A!ok6cXhc^>ECi{+ToBG8I*15n
zZqQ@etw;^#g8TjPC<rAwiY&7hD++pTSAAH(%;}0tt7!>AYA|0B1JF?uL@0i@u|ky)
z6b<H*P=y>a0!h^3s{ffJ1)H^l+qhq@xinV7Bq`Xe-y9&ay5`u?CAZ)gbXb6nkoE<1
zgW3R_*37qOw_=B^{FwWN;k~-Zg9|n7BiFU602}Ta{@tTn&nb?=uC`xm3kBHTns@Ng
z-Nr{l?jiis-CajNT}vdU(|x>e$GqKJt&M19KT~`kTADj1$I~Nu|4Z0BJ?1y|gCo>A
zfUdH8dc_5`J9UEsE2ewVSB{{lf6gT>?UL4=jN83lfeEC(V-g`ZN#zvb(Z!!AZ=<B8
zUGji%M=HYL$qZf|NlQ0L;Q#Un9T#h({<N=f?NZ|Fl9<fn3|MQcGE0)_QAZMFfl@3!
zmZ%5l)r(LS_?q~uzY?gw=t`9<5|7p;V@+Ub{qHH>tV<$ULedOo+;&#EW?%7&k}kU0
zuUFa^4k9ya7)+8$8QmNXB+urW7$#Kp;AJlwQVV&e4mJloob5%!mm~QNd3=$BXuKBj
zqVZqtp~t>fde&YE{!@PB>%&hm|1Z9YPj*<(*ymOKMZ@8TFB<<bAC)`eMLU!K0HnOi
zvuBX5hBKN%yg`GQq^Kjr1D?%06xCk<)GPkY?TCriz{_(?3AmqWuCza2{S;zhhq6EL
z_grGne84sMJ5h45-+@vVZ~gkgOMv?UvFGE{%;n>AJI-Ga`q<no1cPtOZB{p%60vS*
zxYBZ)&CN!F7(f2;W>Z2;2=qJT<8O91n>-z9v%cAs`~?K+{@hL{e7M=<5O=e^*_7+w
zbk{H2X@8t=rY_&?Z#E^w-_ZK!3+8s(pXu1x)W^$1<cIoe`R0r7*h=!&tm{K;Nu;!d
z0zt%#P5joam=8;B88JC$2p8MtYKn(v4^{%=mg%^!l*n+wVY3;o71<W)Pvb}30DoxP
z*V8Vic72ttZN4j+G#`B6<*&-DnJ<6UqYuCS{tqdM+F3?T?&pWYBK>adtJiMRS3FT^
zqG-Pww?6dx?Q8Fq(~?VLE1q1^$##ncSU_<hase0L75eYZff)Q^83q}XYbQMR({gER
zAmlyKTXfl7p|nw&>aM)9xBOjUt?AAG`swR0jmjP^TJ_k-N?211!O9B?qxM|vg0~Q$
z$^k3_Ecgd}AudS>QX%?^Kz|8)vnhc=5`C8t6ieYP1j{4&yCI0`40RqtP*kD`o*nW<
zcf=2k$Oy>*u81}{b(>;EF%o_;=SFggHuQ5m(h9*G!eKLaHCB|^s2v-HO5!kXH-!D`
zWeXpJOP-m@1-F_Gqf3IWl_MB=^y8q@p|lVna8))46tE0A+w<)q_M~fqUtQhmbVd38
zpv6<!Ahxg-%5&h7mpONE?bJ;QfL<IZjW{fPYGKrfZx`-X+7Eu5E^y)WFcltyu=M1f
zUcwW81^@3o7`Hwbu{>E3OD)0xPM=<T;PhzfL=ncpypC=Y`@(?^ucH{Oaa^62z~92K
zS)-^FMGI_y(rAXsJZ*u){?-#4H+szxG3(c!w{oWA`!-L+%wOC0tV43z>9~YVbY@yV
z@h}Z<(f`lhy8zvmrsYB72nq~=T!u;@V>loYsCI{aS^E~EGeb`l%SPmML%2-(q<f}&
z=wjyYLw8T738IA;h)BsO1cQ<k5Rd}3k|L#$NI{ucK?RvAE~SN{!2ltYsZfzrKw|KD
z-plvC-?!Gk*INJi|Norn*;Cc??{(I@zP0vVYrWU!ect4j=tmfvxJ8c!)B3&t_mvO+
z;czfCN!*r?jHd_z3E0U`m5-1Z;^0k0Ua(7TRfrbMlF$fEHkK+~ZH%qJ>nOQW&p-od
z6j>3&hLM%3YB+Q-%8Dqb3q8|ui~Lk0NKI1&VUNXl4zZon!EiyiG)|ztMY^^=H64s1
zxLgy+Ko}`UBiDlBV7TOd*@1fx&cLxFIeQ}+m3n+{)aT(?am^jU5!Lq=2gBC{0Ri)2
zkVICl{msR}aLEJiisr+H&Yo`%x-yM^VU3Dmdv-b)zUKDWDUIu);~mY{IqG0AZ<3sX
z42vCT`bd%`3+oA^`^XVp=4f~Z$Q%w&CJh4tT-g5>_aauef0{PrO@-@98NzmY6euRj
zUpR~M_v~Sy$?-ZpjK?*>j426xf?4~&BGG|8>!G_V)0~6evlFqr^H7|KX}5@0_+i{4
zp7-_4jr3J-efBM1*~0x=CnCnRjABrHTnlN@E0dBtVv+ytY+MT<COv#dHc}A7a51_i
zm;}?B$m~Rb2vIrS;hz{uk3CtauVg0zROBd1ileh(Ykp;(vlDS#cN?u%9hX4X)Pl7W
zaUgd&lk~#yUaeR;5g?)@V?8C8@MP*G6KiCiKBIKQ^_t95xEYV}Gn;LHP3Dk7(&x`$
zSbNVgshtR!&x0}qI1y&L25PMPxd*kUE6W~K4Oo_Y&?5&u1U=Y&hEmIAfywQ?{|$2=
zpM0PHjXm+n_xa!06QA@lYM;P;fB~NX+&{Sz0V4+RT&ko<!8KI^dVIX#s6{#|N<UH~
ztq;Kd0yk-!O;LOW-(WtT4^BQ_(p_;pY<Moc5N%kMUPjP;1nlKn8H%d2h!3Px9bZR(
z9<OyUiSq#Xzm(LT<^xQ~Q_wj0+cn~1wDyY`;@|Q9r{D4mEgQk*x))IER%PV3`*{7M
zvRtJec6;VjD;<<|Zy7{+SOFE&2oCGs%^tB~y<*nAH#V(Gb<F(ar)vfO8#*CA`9A*}
zd*YMt^S`ktKF!oJ=gH7jc~uZ6Nyo`{QYt9_C6y^gHzD+dZf<_Ea+(u+PF9|$QwcxW
zaKiER9A|v>pZ}@P_^a($NUw(K+ar)j;;sNmz>GpTsHk1qF8J_a>mUe#sNg!mKxXu3
zAsCJ<e4Wx(v^@4EKSi7Y9~of*Jae3SePlAMI(67Oo|<!>5k3I#)nm{PTL&EjidIP&
zVnwS+#x;hmqdz7UTMQ#uV@OkT>WDMIf(cSFNq>Te%z(79Vu~{$iJ(9-s+c)NZqw@9
zq&S1I!OG??A^qEvj5q^dydzC25};sRZ>By?N*AhCi&~^^H}L??qV5=L77}ymR@DFM
zp>u~Ph2@P;zM}t)Jwu-;bqcR}9__(UqC&w5;4+zM*-mDfZ*kh>yIRaN3Xtg~M*;Go
zj`lcL9B+>m)%4xWVC&3^dOfVD7oYHFp7}r|3l)yyM!cYACbOcRB0H7W-%&tHQcmns
zpX`*1!A}jCH2nvpEC$F<X~bRdB-tsAxC>%lruFBpH#SGicEKZ|5f4G6^u#(!Yjll2
z%8(}H=s1gp%%CW{iD`}%#fbZoMutO4Ogd~OS#fm9la#C#r0Fy#YX+Pl?Q(*XwZ1)<
za<Yy(24b))47wxGb<ZV%y6()GLRw(8{y9k~wpxYfQlGlv_rI5!ec#W&Lo)Gilt@m(
zIbSFZzBipOR9MpX&H!i$*+d5|O-S}V2q<a7@{&um7kZ|7lU(BO{+)07v52pf{K|8Q
zMuqj*L!5C@nCXT_S5P(kH6Fl+DWMBm_N?F8c3B<A1q+2;WG6$As@Vlk4#S{oE`lc~
zLNISsBrl%`0SqZsvrFOuGq$_kig-`tP;D2!j;Lmr47$5+N8oOfoUBGw14fLM^j}|-
zs&E}=g|?DUS-D-N;8eZd3c%A;<`Db>5kRh7ppt;nOR9WGzg!A8v=$RxE<oFy9z=ly
zU~r>U0(Fo_$+9?s{kK=DxyjLqckyeX@RL+0y)1ujskX~rU^D8?393#ssjW7m>dqO{
zhnO*)c8l7|);ZX^o?z>X|H=RIwQmoLu92@>bln1uVz)utDbkjJcVZ%BT~LdRDKut*
zcOre);wU1Sf)$sYPD8-psFU!{EwY+ws5_YK=G2if1(X4_N(hahj#o$avsPuq$e5y0
z4Nii>A+?>g5{!%~5SE&Q#fqB|c&Da+A&6^if1Z>vC0KPuum>c|EGqkrX{R&16D-`!
z6cY&A^`I*iyc5&s$#z0gfg1u?(k2g?as9VmB-EO76>~VHZM`o8JB?RKcHQ4yK4P+6
zx$z^y54otp6K9Ja9>pzK^mAeJ#fy6a|6mg+TQ8^t>>~ysI+o{j1L7mrg<*OsE`AW-
zayHY`Jt=gcDiqeccwc(q;yuqk^RBOmR$h5@7<hl_2mjvBzcq5A>NB~SHV+EpoHzvt
zMZ@C?y@XY4*9?~|Oz((A)lAzOFhexcz9Kj**x>+l7yh<_$y-NA!d^@YZa8f*hm}t~
zSq0uZ@J@)e0!mOZe{pJ>X+QOD$p&(M88nKtr>+BU42_f(!CJ4@H&(0yZ*-0-fD&lW
z_S9mg{oJ4kVwx3Z8ci75QxZ#7fj8V25`)1^W1eUGz3admbIWy2^mx?Y=Nx!z&eHXw
zn`_?&F#jBQUt?kO5e~d3#PyQGwAXQ_HKHc{uAh13<G&#S9$l!XNdaxZ%gS8O=`p>>
zC71z4?~RS=E%SWWE3k;y3NmZb!yf;WQ;&`5RVGFTWjj(QrA%Hu3WI!S5=so^I<qE)
z^-xNn<G$N!2o-8CYf}6xvW%kj_SSP3f|Vvk`jpM>42^)*Z!WUZqyV~5jZ+eIww2_S
z^`pib0sheKwSc*Mna3uW&|swH6C#_CuHx}b3OcZFN`x{+CN753sh;CH(f>j>!lTbj
zFv=F2a)dI4Dkmk|>A0X@JP8;Vgmfe&0d=hK*UW;>!A3qXyDY9Z1Kt5wpM^hHPK6Q?
z>CY4RZ$YQ0q-64k1Oozq$=rI5y&NOj>YRm~?mJ(5llin;bPjK(-=aC;%`bn!8-DWt
z8xL<#veNJde3O-hXu_LfYY#|8G=k}MX15o~CMCQ90HZdcwNaKh2>t*lTC_(Y3|<az
zN+UetAX3R(YLtW050O)AYqL>4thb6bK?fW7E(!UFQ)i=G8p&{l{4$kbWt5Sc1)nL*
zHvlT^<*FsCx+0RV8nl##@HlxD-hc&&Q;KQ6cf9~mG_D=^7<~&h^qYOp6B1?YlEy@e
zH4D%toju<lqo$-Jh^(8RU8yNS^}J<E^>$4LA4md8)82A1bbfZYeg;ih3X635m9EVp
zDNcy`6dUy|?q|q}`XPnlFlSr!pn>MJQyz-0XBk&I7xoXm{`hij*<T62WayLmD(;(D
z90z!B>0fVL2XzIKuhMHh6E5RaKt+f49Dt`*4dZzBuHoLN0xBP)<Q(CEssJn9VCi^x
z2bHfR95wlP!F3hqVlB-1>3FcqS2TYRsL#*s%Gb)9`tuZDg`Uq<#)8-*KGz?)`QYsD
ze)HeG`?k^G46t;u0L4H$zXJ%;dODWXsCa{q<hEjO;*#{Pl`^jEfTp>i-qBjyrjW9p
zDe4^-X49^i?ZBbik~<nj84ML{1#_A!?7;Q_Ab)+0gLVM8cn+GazRaK<z*F82xiY?_
z*nuOWxY`EI)^J6WTnvX>s*+ABxJIL7wZqTNZrQvpnOK`EBHVJ7)&51ebtbES6v*nY
zsKU-D`rAX%PrF6ukm_bas?T}$d;i+!Mo1MfgR0x=)NJ_xcCc{`V-;vhQ7`H>aM6_N
z`fz1d8{o|uTMUehrzv&8<MPDF_yBf@HP>ma2t_OavNa5<SytR)@&n_;{bs}YFmR1R
zkfziHPtI4TDRsfq<JD<OT@vGA$O{5A+rTLF1niI>NaMMEZxp&gHGNI%D&#CK{QS-L
zk&wzI_n2KQ-Ue_w%@F!gLtad)#sO0}wzAa?%PF69ks%a(BbcP8qCa%)q3P-BTsRNA
zZ5hHO&O2_}&vUlsF>wgoH3chtZA<%{OwvNTX(0li5IzWI)1K;^icY32-<l<sj{c`V
zvw!+WB0AB9yfMk&#X~1mX14gEnWuScvq}vGxDtk-N?m1@da@U|QmLnUfwM|I*$W(4
zIp|(xF@jpdfDA+k)iqWs6&=7*2S<CajhC?>1WG!qRHV*NbOL9UdZH7!!ph;1Q{00n
z%3f=8!pfmz8C5z{lzWWB$=_#GQL6e$)44>mH0sj=oQoRot{N$)Zj$;NqB;(x6`%C{
zX9WD~2mycYF#d4mG`^Y<I)mP?2lW0A|K4l={jZD}@|Zr{b^F%+&W1du4|5`KWmGa9
zA?(y-nvgB%1tr=Vr3ta=!=p4In-u{qFp+BnHO)0<LmtzI*O&~@2xdbb(}&j_50y<R
z%yTy6F?|@Lv)Z_<ijC6rVGhx1*35xxnLdn3@Yb~){zerWp_$vJ53dAAm|U1qlTYKW
zwmX{LbUTuq#S73KV}@U)9=)24W9|lOHs`458lxhZ{N%DrTiZjNK73Ax-d;NNZ1OMO
zeGkK~0^NQ`MqfGlz1}s2Bzg|Q<o&yE{>{JLvS7Bc`#$V0z?Y5v$tE9Dk2H!!P7+A*
z+E7)o`*IDiH}isE25SYAB7j}CX{LKLaJLLuId<nzOC|hLgh{eznSq#H!ZPQUCV$^4
z1xT34Z1T6u1DnUm3`W>{{xXv+WU+wqK@1$&`G@}XL2(VTX7hhJ8CinyE6BtlTqTtN
z-7<P95GBAf7v>zir#OQtOGtoG$`U>h7iMav8tg|pV=AXtm#!UCdA4{D)8hSsfA{@A
z{6{0mRnix?c+TkrB;Z>5wlD*jT}iMewhMV%T09rrqOc`E{w-!dYg`zDw0K1j{nsW)
z3d?#$T09rr?>P&Hl|qdA*4%QeNQ>u!+ufERL<j=TqxLBT1#V>wUWFhUqr;c&HPYgh
z6_1$QqbmY~*jyunTo*(MTN@p=C}*le5T{nXX6FVvlMQgB(IUJtf9+8tswVT&%yO}6
z+0<Dad13J>EUOqWUFyN>Z@N6D=EbTPXv~(ad>Q51!hANLC~?}Fo&hzF0-(m8>$z38
za|C&N5#+R6)K)IfN#pBD8vnJQ`%_>13o!^!Z^o7FDS$VKFafARC`oK;Wq7kaH6*DF
z(CrCkdy2+tHEJMbW||cM(XdsOJw-z`B1mvcW0p;Asx*SM!+<+kVip9G#^_kqbZo4c
z?J3$ZbcW3qtk#+;55a6tPkIrxJw4?{NYdD^k_`t^dge^t_Lr3EuS*`*kQK9!M)B4)
z(XOy5o`m!$_?qaXxZIUce1xre+Lx$q(B-}aQtR2{IdrIK%QwO#o!)n^ZqXKuq3ZGw
znVg@udH#ud7*k+EDw$(``U2`%^lIU)Q=wwsWNBNYq%kTt?6%0h`$O=d#$#zV8wbYI
z0R9&#Tm+e!q)q4J<Q@Zwc$d=m+pWEcFq#55Kxftf95fMB4UlM(*i}spe427of;?SS
zQ^KCjPeK)lp?Y9io70rAmVrO%cr-~=2>}Sy=NBCi=Vx^&5&~!f$q4~$LUlz0=7zgn
zo!rG%*$Y;<dUGnm$W}Z1I<LO?-d8k1AOnF*D)yPzQkHf~D)!oQ2h(oR+1Ht#-kFmv
z|Jb*`>C@i%5pQ?{_-y(1DU!QKlHh$fAcZ^Kk6D^wFzWtPKV~$;3^Ya3*D**r9Fi41
zli|)lD!U@6Y4zd8z^V`DR9_$ssjqk)ZGmE8XjjSyHC)O}pr7kP@_<ds7E=##YbnC^
zPexa8|5h6Q+$Q?pbE+Iv3zcPjC}X4S(Vc7VCRkJIUw<=3mTy%x0kyyNiQoC>68WTF
zXHU&MJT4Z{WHIwNOtF&H%t?xstY&sAa7zihOBr@&cL({_tY$(LZox5iqTSEHuhej)
zd8zvXC8R2vs}qJ5dYYI=-b#j^Cq*WrF9r*w=SSMJ>NTv|zPFnV!&sahb9<0-O_Gzt
z3e{ABunF}wFy#9R%CXU%Y55_gs3-6cB7`q?)7V|&Y!*0p{cr$Tb7r-g*UhbwcZnk;
zyiS!wA&j2iZ*WERc+Py@UgmS!Eox27IrDivna_{?H{SMvUwYG6H1P1u^qDg4s+4K>
zFce1&-u-(Q<r8M(_`j7;Ziyi`hd%Az{T_iD{>fvKdl&tP1t)kYG-}p+>568DgtpY2
z0bbtqd?gmfU2@Bux3UsB@3`%PN0;er7UnB~6Jm*hiIuMe$rRo$ETs)T3N%5r0duCa
zS%BwtulO=BwDztud7g`HcTfcQ0l{<2AF)cV=K`5ad}VrnOv1F+$y8qX?z@$aqv9|w
zt<1-F7p@YQJgnAi;)S2M1Q4!SQxbqKS!j%oXeq0&bDBqaQ6B1x@=)X7>`}(Ql~4Xq
z<KJdH)L5&1sQFLM3pn&p;{-SPP(SM~rpMj>7pdlkKS%j+a&DTnrkpBn32#7{GmG#B
zx#4Qq5^~j51U!-()`V`#Egu#ej0*_D9!UqM3zqFjCU1n`Cyw7N7eW97(iA>*cOHj8
z^@U|Sj&BA;Zn}lAfO@KYvs>^XPM5>?HeU{|H|N$jo5iNet#A0M)d%Ecc!%rF#e~g%
z(P4j_5CYZlvK`motrs1JA(Y(y=D6rcfK$Fd1nT3tosP%#?$YtyYSC4MOC6P8x_;S?
z<MD&#<z#|pxab@CwSvE>TXZo1<}UVj+m!k5Qiy%$F1Cs=GXLCkVQ$KETvqO?6IGdv
zcfaub^MVz;c<z~(#6f!ZbMJlTtAwDPaKZBO6hTjmkI9t<`-7Kp)3Ee~6T#~SlIFd0
zTy|*TkmsS#KZiplV_!~*t(3ACV0G-PLD!cP%b&nw^Fk%!iB(^`c=44NFJ5?;{BrWu
zI9gi1(xtJ{Qpxb;3rxN%^y{bGm0`JZ!9zbS53zyR{9*MLO}i_U=J$}VuNz3Qf2iLT
zrsY2V*)LgqW?<zp?-GSVM>^;hQ~*2^&5iAr7^OxnZ@UaRSOl3IlO)>VU>#*4$hX!7
z54!`)^T@V!=%H^`6!%Cj1hv5(*an5@V~_s0Mo@Hy3j)Ot?}#fd$x~ciqc}$<xZCgO
z#KAQK*swjd_(o+#kjSebI^vrZ#WgZPOwnFS3JrV!ERIyC*0h|TdxdP9EV)~vhrW@N
zw46&~5{x)|_@&4~S+7Yw?ms2!F<R{hvll7SYDsZl{W@3pZNz<r?rgu1y00e5F*8cP
zxhJh!vu1UFz^#bt49v!1Z8OQ^j;s6XXIBDFI=VY%IqU1J?vVFK959}>mK=2pnTXT0
zp2s-u0<MIxS@+rKy8D}`%6N%I1u|yA{-vK1)E)h}h7O?Yn_P)eX~Qd&uW*0wj;7O{
zq!cCg%}GC=$r$J19;A2^u1-zHh{nG3{=@TzBkV8+j<0Jr;pQ=pl0g)!-JQx7Ugn*!
z)}k*?n8RsZ4-=NB1)I1$MZf&zm&-*6H_Gv)U;Bwbn=AC+OF6oQ@mC;c6~zs(66&}7
z32c|y3>O3%o%LPng+1`;wIFSV3j)2a0I0*N!(5SCkUGf)cOCSbthhldRwGE8;er6f
z+hj7}$~2<3UARVSBo{ocmKbryFd0F3yCQ8y5nS$+bOd8ZmKx2+ihO2V5KjZa_=qns
z`%8o55EPr?lHhrQQK96Du>AUKr<Q8`<cAH%sBq1LQ5>f=X)}DyJs|8R+0h18Hz&1J
zgG2Y&=@+7*u79FYU94KI#k%XiUOqfj_qR~8&~>2$8Jny0_T|FHFaN+~lYMx(QIoFc
z`L|hAHuL_=WOV6z?wC8R?O)Wz&rQ|yFmDQ_jM5KZ*@!FQQ~(h-U!B^sUo_dBY3lUS
z@J6CEjm{7qA+oRtlLvUL6p>P`=ZC|S5;A^xGo_>eaPStjCh1)Ixt`L`_kRB$e(lv!
z7D+&hm97M4z2yvHu%WLR{GB~1I1rER5b9~LVY^^k!>r=~5RVCS^R7E!z82$x5Dc>p
z6|e>LoJM%xZ5rl7ojc~IM$q82i+c+$W5qD*^vRfV=Zb6iTFt4$tb<Dovwt)S-GI8e
z5e&1AFN4l+xyD`B5lvBjnPk=>iD5a~+l?@^{UMWC=P$ZsyBV$$fD#SH;_FnDXIn-4
zLlUf*8Z;)!e0MP7Kxd*ukFw@bUuV8OXkB0^NW!)CuKwzy4gl?^+R6yVlKCEQ93L>i
zvYuz<Z9}Js>X|$ov#ke$kx%gj!iS*r>FGT(LOk-dsKlHe#?zwBVHj_BB)H7Si3=u$
zKOsdW!K^9Y3hiEHgyH#A1p-tks*Jkuqof40Y)8~3`Gd5{cQ-i#QogvVBQU$0d}>@}
zZ`_EV(Hb$vRX^}O|H;q%H<61|ShVNj3?z*R1ob!S)osUu9N%2qWpR}Yf;I^W84B*U
zEJ0R+e8XK3t+^c2$Q7yEYe6bt7mThW3?b9tCQeN!%>_*lq3cK>WA%!>i7p7XTb<`f
z0xnf9u_6_)3+~r@hIG(EV0LP+kqWp7f@)WicDv=#a!0YEC}5X_;zMl|(GnV?dW}p~
z*U=X06lQ{w8&iR4O{uAPXE4-1&Q3{BLMBNnU_UzsVp@+5inZ-+th$ac1AtV=Xo((@
z%=f3vk;VvXv|HCdyS_`_pLAG*=8Qil?3$XCbe+{5Qr2jk9c<8=T}+bs7GaJilf=AE
z80hxw`5xH=$FuK^ygAmCOpE_Y_#&FC-K{z!Sar!!<D<60qEFqpS|^hpdmB?()MgFi
z5%nsDQiNfFhCZ29@~{-;JZAXu(8}K>vQ?;}<|-m|`pDRowj~Kx;Wk^6Q09d$OIB&m
z{6(@#5P+Qst0dcDMo>kD6-&n-=arrDgW+@~Je4YPSS4&im8O=a^wy(?DeYxTQ?)t4
z!mx1VI%LGcP&8-mrsN$ZF=%ht$nrx5?A!jtCw<J<hXGSd=myN}BFipxJrNje_C0#}
z?4$11EAk9O5Q<M#Pk6K0MTNp4h-CxgaP09Z<p|;=vwb86@$j5tw$Bkn5Ie8Y86!$B
zt}#atFu_oD(2mfJrkg`BM-bq@fVHOJ24v99id6(*18Sf~Hz<^}k}M|qPY(V@bP2;O
z?KZIStz;wy5$Irsr+NGPUW}CzgIF5{EHO(6-+J+#7%)G3ieEOw7}c>xzCWiq_^TVV
zJHQgtfGKLdUNhez7)5qKLlWU-FM7(i=rpf?b&n#zM5}G}jV7BV)%D9%l(IrqK`J#x
zDl<c#@<fG-s$G}K<ti}(ZRDb2*BKk><vehUt=S_yt;HS*NmNqL0&7a@UG#5K?}~Fu
z2f#kei83(O3-&7=KX>legTkP2y{LW^7!SsT&9Y|#sY~{V?{l$7?|585Q?6^+M2i8c
zKGd|`#7`^wc5bQ4mLD-0SGGvgmLIZ6AMp)e{YO4Gh#VAn=%p%yA#$j)q86ZkdOeG@
zNJX&B4m(O?J#LfjvYeI+?u0_0Rq^GL<bstTHJ%Gv*Abj%wCSjBj1{TyToBB+tO8LG
zwHr}6AxM4ag0Pwzf*e7>+n$>0&IQ2;0k{B+0$weu`gDz>N~~xe!4l^!t+I;_QVnr$
zsL0+psxDb^83AXR@;bx{XNDqf&)_I(^<i@X%_gN!@fhit1N$Kt$V(!I;?3T4^a0Wj
z39K)DQJ2K%79x1@vf~AWEvh9`SJr|!8w96tQ&+%$w32+oV@;I(^ub`&lh)OC;h7YR
z?UHuG@d$xhOMR6jNr%cMQD9|~7mvnj*F*4D=w&=@Ofo>S_uZ#IM1tKd50RjDxfKr)
zFt-CpjpnAQi7SBJvY8$tVsrCD#O<4Yh@N%J*0*$^_W&PoD1IHboh%&^gjFEJ{2P3<
zVkuY(s{pfN3bJYBGnGt3ix3velTN6yOhir%LbhjV=T6v^>EUtx3^nIOj?Hj#7)s!k
zV>t~B^Vgx%mVOT4e*rL#17P`K?$QAxfZ-lO7z7nnK2#8~`Gk^ZE1{wyisSqY|Eli~
zf%<~E9rq6aVW^CB{FVUZeEqZp`TX3D<MD&#O?|uyj#kmyWfOaWX5Mc)gd+-S0a&?g
z0@_UMGEzQE?(J{lI?7T2Ca29R%q^hUQa>F--i{!2C$CO-!Qah33N)@F%P~v)89()-
zZ~J{wCevuygIrHs!S*WD4!npx*1?n!c<it_u>BUvSCH3h5a$^#EtC0*nBzmc&YUVz
zhoa|0FlPaG8=yZV;6YzYo7<D;$&-Mnl37l*pZApq$FrJyrs<&MQ8hZ5rQIT%i&Il@
zZA|@fjeG|D%j^+7ig^PJ(n7)zth2Pc-BH6#AXe09B}q|lc9nSAY=$#J=$@qHY)ta7
zLAQ&TOtfg%ztAM3C59*LRm5#!vD{v%XVZdN)Hd~kHWjX%pzH!Qw(G_%mm4hmi(k+~
zG=%r79I?O-t*VGie1xQjpi5Bc3dIG#1cjlbxKtH|;gRj6xDYzzlphSi?H0mtz1dRJ
z5LcZNBZgBG`o#|D&r{SR%X-L9%mxVBix66D5H4}$sB7BtLs8ea{@N$~^bdrJ)bP!t
zF5QCLf|pM;AE;bycCqcULY50|*GJ}h7)*J17J`un#EQ#}A-mUjw8Uu+!N@ZKC<kz!
ztcc?FUj6V8q>A)Y!>iRYIY|;)$RSl^5j=t=o+<?eAPe|n#Yi#%PX`J)=pMc;z&$qC
z7&#^gA|O;Bd=GG9Bbf4lU~s^wOne)jyCWn6E<&6;Aqg@-5WlGw@RB4|q<<g47pNj}
znZO5XOp_!dOG|HRPrHP(<L30vo^KCyJ>w)<lW2?jI`jPj=n%m$)<pjz!S6|Ox`I}1
z`hX>$p%}x#2gL$JL!d_&VF-qr0ag7EmwC8o{;#=!v~UeSbY<;I+W!K6c#bk2D9TXN
z<jVSS+1wrW%=>QO`C=PE$b98(uhUH_?`g{qDesT|cm9Q6`k~+h(}h~)jh<=Xpqa@4
zD!YA`vwA>x2$d6~td%uaha$<^0e#5OuoOglajQpa<-j%<Q4Xt~me0!jfcg@&2=vVc
z(}F84Qlq=kSB+*R5d>*jBUmeM^7Bw|;LBv?eE{%5t`R*sByvp(%u+)3BOpjZtIZWv
zooYCPR+XN9(|A-OqAiM=nmMSl9OrqsAyt%BRXJlLI7LQP;;>2^@ITKq;`0(eN{t)-
zC*RNr&*6=uCh$5^Vek6WZ+Y%-hYHh18V}J+-`pPAd@7s+!d8s}qjQZvkPD&^3Ks~v
z4qC#hry)oc=7L+~Y9&NJte5PSU@BI7c;L}eg}Gqy*bpu^4Q`5LErL7fSJ4hg-nJ{!
z|15%t;5A=dFkEqJsxTMC@NfjjqCJstXs(eetOx?1Bwi)_I~&CwD{5BT8v8(sq4Us@
zPB4z?Bq>>KlY~B|vV$j&(9Uwz_5)4#>x!CD^=DO5V|7qHQu8V5zvXD#{^ce+`lcwo
z(36x-1qXND{4cx=1fY0QBl9agB{_iRgP0@k*6V}HtWt%NORW#6a)V97AVd6$+hK&=
zu$QWan=~s`jq9y=kCCdbQnkG*uw-~@6&DEv3BZ%a6O7Y*jc3pGO*w7TmLGE3zT@})
zM~m->h+7Le?zCB12M|71o%s5Vk+_~Jh>FJB?f{%e@VDP^Mcf#8F&ZXDNl7$b5k&4#
z{l$LelunypV`K$3OW@AwImL>i@oYszusk(l!~y<T(RhApq!$#I2=%NP)>u(Ao(rO3
zK{OuFKXfeXN?NMpJ%-tF#Kbd_-CO%yEGZGUe;rs1c0o$aOEgdQbt-nnlXcr%$0L>h
zWrbTfm-+T=_j~hKkAfwt&z|qk9z7aj7Pd%Vm|4)D<<6*PRz2KzLsiSJtVJ(@{dLF2
zc1%o;whC1j@qpX5M$!JEa^q9Ga_kxUG_I;!%`2KTt7<--N%Qtp<%f4wua38`9ADcT
zcw?$s-;R;xhg9|Z|Nd)V^An+}HOuPGspVWV${*mqrrJa2)CKpeJ#isK`9mQ#g46{?
zuv>GCB?U!iLA%*5b530lngBp=3Jz4H>iW@A+pM5mjEy!{tm;RnN>_Xt{M4u}A~6V^
z4q&*^hs26h)h-BFINV`aGC=r^6(j_!`Vm^8!3KgQx9BtPq0+1Rkv3LPa$}>b)0$QN
z=(x4!spS&A7&H_~GT$AH3)iy)&(Ukv(4s&o#@UYvoR8sq(wbD&wh4R3$>Z{kHgl_2
zq9&aOu+13g&hV#odm`{+c2ZPVf7q<NXI*`bxlz;AfqhrJfj;4AG>}YbfgaYIRoT97
zmd>Ske+x$R?n9_~edTZc($9Y&NHO$nZPj|TTETB_wNa%w(tszn-N17Tb&%CMQVmq=
zfCYeTu{9J~ZA7cpa%Gt1STU>h(rN{EjGo5KY8_*dwbcrflr7y6D^_Y9Pk=g?&|TV=
z8iHA^LyTEvs9@wx74aW}wOTLjQyo-ntAZ=FUfQR6lB1q2eLe93%ZFA+a)<mIlB>Ee
zyR-H$nW;yX(|>Q7CFhorF7r-#P5$4IO#B<A&S?j{S|x3OtisKu9Y7nD-f<{IBz4f|
zLB3RCsNfIWCJf+AY;k*>=BC9)tX3N>oQW;2huGpv-}Wn?_dOADMg1`l56Ci2CbrnY
z-Z4HJCANT^L|mi97W*}mL~Qv2#6^smUgHv>SyVKUGyqyq$^o1xsm4A8X_{?IxEdtt
zfuId$4ndmcBDf(<1y8;1%pnOunr0USwIN~VD1vPEK)NvI3Bd$M;Soo}A7bgABu#T!
zQ~3y`HOoAWv}V3JsMIL`7AP<Xuc^;YrRr-UW+e?Izfk`tl8CEFdPg48zzC?CHR(FJ
zWH~!-BvAQTa*_+74#E{FFs|2!(ACaS*F5;%BZhmwrVN=q-Xlio%AwS><y)Iag!K;|
zzH{|*=xa5eZ74OJ2V+UjT1k8%882^d1Qj`y!fSpxVMY~71-N_N%j)D%3eavc_aK#4
zs<LtF97<ujp0%@r+@sAX<xt9cqM<TZU<GQvOchG$umD1_0d{Nzt59kS5Tq0{@b!Ca
z3>6Os+O^q6%=8?mOHmKT*HMUpBdpQ)ra7wPnz+eYGC9FWbb{cPYl7W^FvnUl-yUGf
zNtlFhg#IP90e<#TEv#y9Mu{SYX*r;aa4(mWlkmf0B%Bk|omq(H^#?0+N(m9QaPD|O
z!Yk3kG{2N=&+biW-)YMaY2R=A=f3C*|4}5ev?lG^*DBQFU5REphy%&j)^-_q+XXQK
zPkU>T`Z1cb5ajFaf<g2R`qmceLhV}w3(@y_-9xZY`?_EdeRn=EJ5EjQ>w<gK5JU%o
zqQSTsLXg_m1ryPC>7XnCA8KD01YBGpLnx$k0891ri1u|!n{JAl{DG}hjbsMT1SdB-
zfvrSaPOhco0<&>W?xC|&`})}dC|N;I1ID=}aZz*je0$Kj3KS6ZG-!SrKJv-hH|fa)
zQdIBQ$`0N)<N2#$g{y}+BhO&zArxYK%8T-I?1@imDTZiv^rSPHxE;acf05-mtKUaK
z{n{HaSN)!oX1A9#n|6y@6LwCTT~E^N7vKD4fAF&cL#xr8y8)gV0W~NLrsTo^D-io(
zyUY!6K_I3)7Y6K9BS<?_1ox<8N&ZGCm}rq=#hjEu5TK(p_G?52&5BjhtXq<F0Z|L_
ze~n;HnxU6jfnumAHA%Bk6IaZM+K;B|NX$zS$z~`FPmwvXTV(VMx01qftJO!~9~YU|
z6H(#{Rg~Zb6&EK#oLcxQ+Cky*BzZa|wS2D1pg|Ial7xPhDos9~Pewjo!u9O3SM8g~
z1I`1(;(9Z00B!i3_EibY<7$MSE&>SYZJi%L*lRJ@f=wv2Q>FS_H?n-IeVM-b%D?=r
zue~h=5Q3Cyv8V_5krF@vJY?e`0zxS+ssgNV*ExX(D&`1pADW}WFp7ujg5XV)_x!My
z{_jdq0tge_t(SoD)9D?U%Pj$f2|^8^d51plz+7$#AWSe&o@JOrd>IKKiXigW0tW?o
zcKc-{fG|Pwh)Mzlokm1LRbNH}2wzgMsHCAl8KIJ#q_xzoZIaW}LAoRSa@`(-)+ZG;
z)X3iGyU9u~D@A@DS||RE5{Zequf7m2)i1O(v}c9VDx}tH$Ae!ncSw>TYaq!3#yj6O
zzzZ{SJ|Q2Ie7t1+%JDrW=(!E>xZX;Gg0pN69AC40<#Gg%Omn(@dfiEP!j&3`u87e*
zJK?wJgsYmFX$H<t`1Ls9zw7_`;cxwtAXL?)o;%@|;>%d!>tX~yRksk=s-RX^IzR~w
z4JR4FkzfNg0V^S+jNl&%m5|d_i;NXMQME97wsITMdn_${0KfIBN)=WZDOGD!LDO`v
zwo~07kml%`yRB8O?R1FGG_P%U5^k6hziyGS?bUX2t;!IGtO6Y@x0%)yv*lHw!%96e
z(0Di8J)^FPMmg8O-W{$?uVm9Y73$%jrNlnu(NTImh`Q`UJ8%kY4tmtCe-yHbdelCS
z3TI{LlQ}RRf3<%B9h0CTA|l|{M(nwCyu|@%-<vnep(XuB&v4}ct?BS22S&CNu&&{1
zV@bUVp#TT2k8d0xTyJiGBx!5akErZr!5}2t>HG}3(k3(O;lQ8;2Of3+mvzvzKE@z7
zOMA(6R&7p*r&vPwO6iCpy>hkPUcr&&hr+dwdE@{5F@GfzJ-Se9WAf++i^Afir>|^V
zbhW9QWb^}oIC7KhcdYr$z%j}E4S~yGd}su-4nwmW^`ca=d-Z$qvQ6D=!O>%aKK&-H
zF>A0RD$N3VLM0g&TVG?Pz}66D0EpoSy%7<)+KzAFz~zC-3-aidiv$6XWTSw>u7S&=
zI+0pIv_<tn)y|dTv`ZDBoJALQnlaU2mUglYrPjPmf#ubQWa8f_nQ+K_h)@fI8I`}7
zQE-me9*KC(UZ)Wy^AL2{fBjGV$$#|D(Ueo^YDSkqohf<h$tvYkx|&yq9))t1asp&X
zyPCle(Pn9K$_Xx&B0Q0sG9Ix=5apCpA&$m+Mv4}KIpuWlu4c?%UMtsG2vUdH)?<JP
zsk4ahOn0ryB;}M-G&OHlM!*v*)+wjb)Qm5q%_%N9Nr$OoiIkIWpP0|A>SiJkC#xNP
z4mA^>u1ls~F{v<DUH<p1!k(bVdEF_e@YtlY!k<ERR=`{I&WfonnjJn@y`9rh=XBHy
z?|W`RyJwSI{Ug+7(Et@WXMX@JkL5I3TSlhKmSf-d!o{m;!t4td_g;PRy{|m;9-Q=!
z>~m6NY@}T;UA*VnuZZJbdI8(dyz47M0{d3WJ1XW&3`p@}SlRipUs!+F=Y*M6cc2Xw
z0+_q@aWCP9=TIT=w%wj1uo=%?5-K>1cA#rAhYFEpLRzYZh-xE+tq)fH5`6T#CUdAz
zAg{=Z^un1cR49noeFt`q`pZ<ILIJ#X7=Y9WikbC`jMNc0IO{NF)Xb{IJe58X)1_k8
zy4di;g>6fsj~u?$Vg-cr=U9RG!Ofi;F|_l`)Akf!qW^MFcQvH!Y)o&@m`=MzZO-Ui
z+qs_F&Rf3rU;N;NyxWNaOE#uq`UVOtj9J~PB-1CsTV-{#G2Nc(AgS_hry5AIF+Eu|
z$;LD+U$50eNALKT0Y%}7nn}*Pov4|N8q*R*Rv$EKz23Z=uDR`(5QYj5je(ZUDS>Sq
zN9h!_o^9z}6apSWoWwLm{SfkQ|MD09_8<S*s81^-%>x1hs67UDC>DvyFuSx7C$_5v
ziDH<eK)pa^bs8j!!XuiIQG!I>Sz94uMe49}YRs(1iWGEZ7lPDbE(kgiowo&=6lfH>
z?;%JX=7PYCS$Fe>tgu;dx*k!t`&K}rdMXqpT{EbP87^14jQ<TyG4#o_N2@i$6F-AQ
zs|u&K?M8)Cwxc8|L1G}RXuL{+@*R&0-Ksy5cd-S)t>?GyB5cGsl=(iot#|g(Q#R$9
z*Ir$CD&&37ycW3<OOup2RRejF3*>L6WK$qDAty=4+x5=KEjs(?nhU$`gxAOY;Ag(&
z`^IYHk?_K(w6^4(eRNFGx}1Ws^3hLGFjhYLDQ3m&qk}K;a>~N&qn~6f%sx7hN6P*<
zL|B*;Uf01_DCXyKtEqd&nF`&m`QIU{W(M<qZ7}ceo_BnY4UmjWy1+hP(f<yu9REhC
z)A(e((0S0G_D^KEFfnEcZPV+JfHTN36-Q7@q2zbxaUD68jE_7<VQyL?#JL?34@wt~
z>#Zh=0WO4?HLf3kr|JvKb{J3PDTuElKTqJ31s#=&MG2t?ELGaOo1&QMXnQ<8qL?3o
zPyWAr&foZfH$;+Ni(0+*i|MuS6D96k3qM)8=EG*yH8eW!mA~`|I`X!1oonG`<T1zq
zNs3WbuBo$p#h}jaPz-Mbb1giWv!T7}di^BlU47?zW;@^I&;>*N%kkbPxuNC=U4EF-
z+7*lcZ5EYH-{*gaWa8f_)ertN=w`X7X6{MPM0zFVoy$6?nF<J%z^;@~L3~_l`3%YH
zHAc(Ky?i_$j(ofrxOnFuu*HHtbw(I+ZdQdb6c;?7f52mJG*WTk@8ZXTLQ5)0TKg4T
z4;7U5PFF$adiBM-@6|p!wjL?>ecCNLyYDySzW=2+{`%Md;AncP^e_*ke(1h?>L+@b
z*PtM&Qa=i9b-|ryo%YL(QSEx<u5*A~5aV1pWf7!$E2Nd06{+1qa79jb<gqr0HX6Yy
zJq2ncq3Hnghs|;DQ%|yRVjk25zG`|(k2d>8BV+&I4z%01IMvXM#IRHG$?cl|jXgu3
z8vEvHDgS%t?m0bmUFj(%pkOP{38)t@?g`9CQ9Od-@sB|Elt)jjR7oT~+7`^zM_hb`
zWAZxw*@E4S1^bV`>^r{a7e4w8Z@7aDaIpt%fyKC4QD8ydNICRe=_|0=&Bzf=<<f<>
zLlZ(<<)C-&f*28kUUC>F&fjfKT`sVoZA=><fMvq;^;`~VsB1BtU79m?8xRsUg4u$h
z1_XX7m^yT`uUkO|bAF8o3ei+XL9oxaUxu!E5%g*i$YYH#%yzK}j(G)_48x74`_{}#
zxZ{#hFWQ1Vw)r~JEbWp}FhZ|MU8@?k$Z9$7VPJ<CI9jy&g9|wM{JjRlW&1C@_3i$>
z3!tcF4L`IXy6)Xa-T9Ph^&?6x`riGvu7vfyvc!+Mj_oV^-yxG7|3;~lA#=^?e|h7Q
zYqkI_FXni&nFz#`Eyqh{{as)J8v7h6iMOS_ap}=sU;+V>XM6`hG}As`(mQFlcmZx3
zJgMkV+JSPew`O{{7uyX62dm_cods2yQR+dyCMuigv!chh@A?l|db}6-BAQRx9k(Et
zsMnM<nXid+^Bu&R%<{I9p<kBxmGC<AyJNUeQ{{Z>NtwJ?)?9Z=RDmjQj~7}%*)9p<
zXkI6NC6Jw7<wdv{dl4YuP{zR*;Q~*;w`n#n0uJ>TfgdNl2oUoZfgj@tc};LCe-Zfc
zfnS7YFR!xp$ccrJGUZKrotXgQXZD^oT0^Tu$4D!s_CH1|DLOYd6|i5rCsU$Cwj(pL
z*(?ACh~qcQ1)j8Y!4RktJ+hsS$Mx>>@#_Vi>3n>XXxeZ)j>iv{H#fTl9?En%_&U|3
z%nje*^mRI1Z%&MDwu`RHRYRaXAfK>XFS^Vov^g%iYP2N;>f<F{T@qbgx9D=Nyjv~0
z>ia{WKED3`-FC6b_rKdLx~f(Hf%^E~50<}<K3*l)uD_OVzT@#O#j$tpVhe4<rErZ+
z{MKa?OLcjc`duv69a3Cz_vFc{n(Dh>c>ejz<_Iw>Urb36^s2A>wCcGRxyh^37cNJg
zSUt-TUH^|i_`~1w_DI|-=*N9MN4%A?+i^>VAST6amw{<qa9c`)@MUWBc-@G*KW;fe
zj*Yku&xsY~&U@=BX0kyLjkuVP*5dUg7h42Dn52SWDiAvpEAnOXYs6Cmrx7nNsHY9^
z6N0(ec)+xI*dww;Z+bnzx!8!eoFvf@L~TKhVXRoA>yeihx+qLwH^b$Uqkb8^liCsE
zzo_EBUO-^DY8SWIi0j72{^pv%nK<eCyV&OEP3%bxH8U8L_ll2aaQzuv|KZW8_WfV;
zSMDy}RPrYJzO=TKBVrI^andQ~I5&2x2JV1{PXq_%IEZs)mM><fZq_HK@nO=Pg`or1
zIondqFGcT{8Z=9=xS4wD$!tpxn;m=6Y5B;YDlUELrpvYz2^P(pz?SydgR(8fHG+qT
z_P#@dUbW&R3u*a+GG_GN{i>vJ@4k(M_PoM%;&&oAyWbDaZZk{4P{6fy;k?8+5S#N9
z_fiooddC(Z$3z-~Q4zd2#YiMc2?tE_xZ(&BNFI=cP)I|POb_t_J(M6Ep(Ijvi2f_j
zG4o3;kR{u|HR7ZVkZ)Eu9(kAK5-z|$1v&%zjv%b;xm)QuhUALaZhCN?k?W@GOb;@?
z`<g>j=w^a*zB>E##|}Za@e3e0&yO88^J9n2YkcgO2r#ZEetdX20b&_)h^P316e9QF
zRMIdXK`?Sr>nbLfR89(lWxI;0K_?Z*6H`^iloE93<Lj7`bWXc`yu|qVf}0>52UI~Q
zt~bZjh!bbgO9{3kz7A3nNQuPP*&xMmI>pg3hY<%Lg@R{2UBBcY()Vw261^k@awHYK
z76hu8T(;8%OVT3FkDLTz{Cs|zfP8*22_>h197LUy*)zwHkEdnG$BU(i<3-PvO?|vP
z2|&gy9PFFO2_&tEoPeO$OA>(Tl~u|KESW6{7nzG8U6TIc<jE#S?N`bPl<2+`^ZJeb
znnqxwJzD#H0|@YPtmhprJG7J$d5NS5xO1|8c#10Ea&*iI76D$UL=NRka_f~BFJ5?;
zd>{F00(~!%{*`J$`6BWKCf}8uBkBKQk*OJ$D;GTU)AA5rwcQhLfD2*LUE!g|)xdkg
z4IKKRepi@-`jzF!|ATLj9F*qn9XzUA(1W1vhSg^dm#m)jBy3mJ(6vI11@#VbId*#^
zQVK!(&1J<kN+<LV(4A_GLLo>?;ewb+tyvq;G0+{ZPE8f*g4n;4nhZ$cj9)xfr0pnz
zs}8d#;cj4L4<_8yD^f|i;O?*iBNGMTlDC4?hAz0@f^|~`LEO-+nCgwxYUp$m$u)32
ztz;BrC<%y=NP@2dj#l-(Q@s(t`LWXmHFUh1o9ADAlKT`Hr^;`F*W~%F$aQT>y)gc*
zR9L*w$X)qAU1l!JW|RiwQ(l#yV^4h2vmGK`*N42~cP`u!4TM!OsmToXVDv5M6rk10
z?v6Bl!FB;S6Ly=^#NgKiXtw95L0{!shG5sz@j{cCk8f%TQoIYl0d8D18G(-C9KTQF
zhGO^i=W#=S7n@g_Xl)PdIlc9$_obym$6yAMw(()mdnDVgAE9>3TV7ph-!o8q{%8|1
zeaXN7@*jC)Oh{Ffv72eb93v65=b&o5&Z{GlG_V=A%gnS3g1TGNTNv?-L3p(w&9n=~
zEDn@RT0xrWB8VB$YWM-oX$5JfUC^3AQ2yLVGOD^pnrRp07(vM&U<7t=3<%A%3!;yk
zuni;{fQ3?sh3&$(rkQp@{IwaSE7DXm;0r-9(?t@P5quk1et?(M_$Eo3X_th1LdI)I
zZb3~^{gR``SJPmgwdk`W>i*gci=E46Fbto3(qkSOEUwj)ioq?f<lS~a5G=<?^GMsU
zhQd@;wbwD7so||o7_=D@TKX#B9qIPaj7l=Rl7PsDm(N8Cm~lX3xY3{&$HUHpu`nNh
z+Hec}DeSn|;Syor#U{*n>NZJlF}h9C-W%^WsY1Qsf;=^@ze1O&X^-?MnhgqLmM^{g
z1>KK_GSswUo9lmwO}bICM?M{Sbm+K9eWn~Ko|X<nIGIh|AXi&uKI>dRAcxQ{P&56^
z{8LX#V#n$c;H}<h$sVo8(8I*}l%jxSa9j}SV@<kt{px`zLpzSq&&CqDYXna9k~xX(
zb19he1z@0+Oi65xBfY$rSf6ye&J)eVNKNNb48lK-S_0T@xnsCieRc6u{TuBL7;dVP
z?O5x{N;_%WoNZ1~ld9r@WV7rDV4AbsUSPaw*6jSOqSG2ER2Cq#FbVcg)`)R`Xvk>@
z4}Ib_oI+)C7;+U(sFqDytqq5IY7}|fD$y!x^Mo30^43(NbK;jCcy4aqTFgdL<4~<t
z-c|uiVTNQo>FvfU@#Rfw97e_AQCmR3fwT+VaTi-<FUAton-kP7Q&+AIM$|4vv)Y}~
zB`sRr-m#J8hqU^4zw;MA`5mFvH6(Nw*HbO%{ADeZ)vq-{PE}eY0K#~pwCR`@c7?o9
zEtoS=1Q1J=4Ehj7uv#!@q70|ba@vm7(dyJW6NQ9~j+H#@R=_6Jf;kg)M8}qtdO?09
zRE{O31kaUs-oG_w4X6qRsS$<=)GOvp)Nb2x>JA-Ode60BorwZER~^^xNL^ihn}mF4
zjRg=aYD=t1gjFp`t?rkJ_HNGnq%*t%!mN?ZcSoOirvC)|pCu=2U{!9~o1L_AF7_34
zu*2nD*WO3cin^`Qd9C_wcBiv=)vsUkD=6UE7-0QEb>_+)X#0y_yJW7~5t*Wiv*zV9
znG|Wy0Y>WURvq96)!f2Rku)zfcU5tNms@>d*-o0bE<g&PKWSbWcN0aXsp{08{Ske4
zWpz4j`5|@s_P==VgFn(H_T5R=WkEQiume=r0G@2y6XJzs9Ag;+RP|3Xwe9v`c@~%U
z2%lEGFgqD^TBuW6wUzY|Y}iaecP#`%o$`(flMUJk5a_ppp-vH#?>9QU1rvpPrw(-r
zeYM7%D)IjgKq)k*4s}Y!wo^BChYCn5nA9oHy|z)p$2~@f*54+nQ+%B@+MML%=(tj}
zLwxU)*vHLTFOBpLaGd&!PgatmvWliFA4Jt8?U)sxs-a$1Uk~w~iAfz31sidlS~7Gp
zFFGanT{Ze&Okg-C_vbms8c$u4+=n+BeiKIYU<(P2QpIQLAMYqbzo!usOmP^+AGL>n
zt^z$HTW=59I_(y<M(9i%eLb|%pZt@b_v2snQ3ctmnP-oSJP&<9yaU%8;m#H;O5%D)
z#+ruQ1u<%p@KVXY_sE#|e!Jjqg)F%g6P6pcOTg`q6?5tz;|+*Ih1Y*PTFeoGG~~V_
zx?wemg=@9qcL>suyC8H5Xk!_1pvhCCm=L5PcR_e9#3eA?!I-7$8b>W;V+(80ATXp=
z9C59nK>}0_H283_s;M}FM%9iGP()<ilAX}i?asxKh0%mV62tP^2SZ#PyFH8DlDCg*
zB5KzdJno~oIz@7gK_9%4m<?!MJV`P=zc{<J8X?CBzLQ=NCq2NBTode#hhwuumbLjt
z=?Pu{olEEl;D|?hkXdr(V+0Ur&IV#LKStObNC$>PQ=GNzjy1bwQ(d%pj2<%f^qd2H
zpd6s)@glHQENY76+xA=qr^W$*#!{gNh6DmtBrMwz2?L5+VOIgL6lGh8+in`WitEi}
zm&C4CrM$KQSGME&H7go!DosK}^7?B9Bn3d(gSn|&c&)&_GSXb3X3Pw$AX8n}5Sdzp
zkzwVg3)`kI)!)0RF9QJEbX8S4p+F7`T+yptiWV&qOc8W=<t1{HSEr9QW%Vq_ireq`
zjOAaLz^esb1iBWWRPQku<YWRo6t*xxaD-RuWc;0z2`9tvDw%L9`p(IO2MN9#*CJa4
zw+BV!gsRWGihN@ZG%7FdM6Uzk>e<LR5zn3CY)eI!n*NzrYaRlSdLeX~xK^ROuzBXy
zdf}B9&b(Tl=)a{z2Q|Kd<Mkt+#9}F42LQTv{`3>?`O6VSYf8hTXx&25aJM6rOrq$O
z1}wJA0CYw06d*pbWTgy=qTvoO3JEP@#js!_$oa5fSn(8cJ}ejz<cMmtQE&sotmf2_
z4#$c}>1()+(5@AXbT|YBSV32DyIHoSQc-07`ydMl_`k+pob*`N3<->KN%Rvk9gZk%
z#i{R&WL;f60mo0|P-rDOiO0{khmPOnc>H{SPUG>b8?@}SV*$UC0T<(z3rH=#yHr;3
z-4V<7zI(n!4~p^EfN>mRsP2RXsk$*zGF73WvVfj*F6>{Ay1Lt<$Ja$v*JJHpL<3@O
zQzRwm`V>j^&?thWivv(pomGTnn_`6mL{W4Oe58#P31_L=4<t59Ro^C7LihvJVO&4k
zFsmYP8*9pTxGU0~kTwPLSYj>wT9P-qS`8qDCY8a7pr^s4+>&WznWA*_nU(ZM=}vW}
zeQY_8E$w{PLspJG**|u9=iZc=CpR>fX6zQxb*NxNw{(kM@7~L2f8jg+(>IS{qYC1c
z(5M!E6Y)2F4Wke^F2T*&*xYO$t?v&B+|81F8I>8YtfLkSAP9TfTC!{$kzGDWqW1{)
ziWZ=hy%+G7qB<@f1SdDwr_QDkbcxpYw?*=}5zO8Tck7C@`i)@ay`Y|f)H2V_B1kKl
zy_W+D6pG;5!$D{zEAIs@f`T~(D9m!q?iI|;F;^Mpgg0fFuGa6ty7W?p`Q{j?=9%ep
zA^$_UORvgKo@fZL_u-PT)4hP!#4tVi#}423f$s?QsOek}?ku_cm@)XWI|^}*ysNg$
zf;$(CS}H1=s`WxJRhR$-l~Fki7lrmtd>=-TgjrENmK6`nm4;$KMz*CWsz(<*?m5Pu
z0Vu}RDA`|}I+sWhfU)lg3Kz*#MTCYR)uXR?*Z|;4!7YHZ3L*+Ys>dSeysq1ogZD#F
z)T2v+T~KKOVIF!vWG(<cw(mezBZ?G#(RE=B;C-q`UvszGI&gJoE!64ksQ~!c?tlwb
z<;-|c9Zvtz1;FBKZZRTR;i!njdXoA6;M_`lbVS!#U2q;%&Z5ac*sL1u@(@eZYSz(u
zU>jcPGClMa56u6m*xcYMa=P&;ugcG{XXq0pPUeEo4FNaM5HKHAW$(a<E@gC5x$9F^
z^|a-ORQ2!p)j$2gFN!>zwhK5c)f;q*CyTZxWB0aQrm9^KNKZ~VB%vGJDuG>wAXRk{
z1mP$&5d;C`Y@36q3S1B@qN;(BykQEk>LQ}5c0n-edzxp>Y8RA$oSLfI1!GV<4uBe}
zR-~$S!9Dr`1Q`l-WYC6KQK|;s6Lmy#Sado@7vt2`ilVB0$r!*6CmH@}ElE$-C1V0R
zR5h$)ElE}Fk}-lEC>|w0kISrkw_p{;I|gV2_ODqp-yZtJ;vIv~oShK$+4KFu`~mXl
zvBzv_qjvo4S60=c=u{oA3+aV!kK;8|?$Jqrh1MR{)wq?Vh2RX_n3sK%>}_Pfw71Q(
zU%<zv*B-e={JIG(&}@=#EfZZ&(7e>v{ua(v?6;JMIj71d-=fwyp5e0B1D9QX@@Ku{
ziEuE~Q)y#CB)}PvN#xd>G@7FgWPoVGBp~Ze8qKlbZn;wCE7)ds(p(w~qLrHi+mKvB
zr^u;T@PI%E;R`I?Qo8A26V2^{6O>~?6zwbt1v0HRXw0!7TpEIenb#r(R=&nj+gU;b
zwRbhn@fP5+JU{>Q)BAX4rFIRYXZx=_qS>uu59LyEi2yFUQu1BI*LXnkPl?Euluhm;
zC%9}!){*ML$k8(4vLFFqOplR%?S0JA@&dSLr7+trF)T*hrFb;N1@p6)>E0;FGOn&3
zq8u$RwmalK<lz7Yw)c%vw2WCXBFQo^TW9k(n)w*rK3ukXj0l_cA%!x&rFo1v$fe(t
zA3{T)cmf2-&tCc*om=M7I5ex~*?3)T3G@t?y#cr^x&aPPlVd>Pvc3t7D<|QAt9r@3
z{mle<z5BXCz<$b8zxb&?(YDjNTTuWVU}=E{2igY}Ce`3x<jHgSSb;lI)e{zU?D0U-
zt?(5=2X6G2$jYj>5GztCyI`;rbeKUaN;w2`1r9t_aAas@I;i|ckZy&q2%r(kX{hE&
zhEFvgE9MFunrLY`D7YnmcO_U=;K1ai{s)rMYB*xWssabQLK+(^xd9}rSu(ZL0_CKG
z3*pmjKuO+8<_a9R%{eIslKA57Wmfnh42aQ2;)719NndExz^VVy&hOKI?I#!H-!Z~Z
z_qVy=#=fYKaeLXu`@$)@aGDrh)N6Nc@%(SIsBHQ^|2rh3tJc7p)=6@sht}IOJHb3)
zkP;L{PXk}s1Pi`hc7k)Z;I^A<&%l~{iTad`=HBuGp7OM73ib)i+$!cvy!67wd!Bvf
zU0)IF2que_<4=3#vwrTyFzcF1Zp#t74VfCm!1Yu8%obP%n(C0*0`R#Fr22Ed+qz3+
z1RL#tmG92!Zq_xVg1W_gP8i`zFqb2?`;Cq8*dUeP2&TLwl*)l-U8ZedHgLUSE=Sn-
z5O!Mn@KDdRYb@;F8l*%vh-N^rDn}fEBhutOM%J|F7PIbaqRI>UI{f4?+D6ggCm%Jz
zv|`1ql@Dsa%mh!SzR;s)GPB7+?D2JbYX9*3U~$!j{O^$Qjenz5D!{tM^}n#ZAb8FQ
zPqoAe(kfAZLWuS%wr66^Y<UInJHG&HPwP2PpVayz25Fski_Uran;CTfH^2Ay{j0x~
zFk5KSUkY$aU_r7454h{Jz7L)_e30~|?sCkQ3z9CHd3sE0ASQ`<&LSvzdJ_bnD5F3K
z>a>JPP_mpRNRmHTAdu?r*X)X^1ZjC~ee4JJQ&*J8yAqT<y|1{!6GxkNKq#uvg1AP>
z)4SktZ^`BE2()Z{YQb!oAi%an(_+Ql(n|5MqGq985_noYH^8m!52;yblPm)_j(ZSu
zH7D0Bv`L~6%K6>^)L~FY`wM9n+9eg%COn-)VpTtpW}$nMAY<&EJ>Q=LX0*d8V+uv`
z>h+q{4LX2bLVhJwJro4tztSXMLVbWfvWuoz_k~wc+zq$pDsYQ<F7-7)^=cp5nPht(
zh`xXMQg;Ln#);6rnSh}^G}*!6Bbpoxjt0x4y5MlkV!md+7`GWNBU5U3o*;!RGDAUk
zAiPxhgyL$KSo(o`Ze&D9IzM`DD!Kxx`{(nEzg^BRFoA>`ke?@^2GXmKge!jCL^;rG
zvfYSfz_|@J_Po#aMkas~XdYb^jx66=QpR6D_rE{!HRHqr`z4d0oB`$ot2J-9G%H|R
zkL{5K#hXrI0Z^)no(aK7t1^)(l~8a+BX_A9HUuNB3MJpI5q?0!B2UXXMfmkYkV?i+
zZRlbMb^v8Hf{|7Q?Zs}d<9YzdA|^JiI7u6chS7KXbwVuQs>c2%fYNc1XBxsxtq^O+
zdoLC)y?1TsgIB4dh8CMt%VWKGPurX&0wH9J)A{&h<m0)Wj&I>qLRgxQ=W~#c@9BwE
zr6)l(UG?_0T-uFdp<YQ>!y<IbAOf0m70qGK_sFZ=1CpLIh_{_VysTaSvc8Ek-spPp
zMw`$3gunQfe=6wt#F6z3qS;-{caU}sL>(9?123j>Rt0Zl{x4YkNQB1l1X;&MFvl@_
z@p>gZK_JrzW<PlkH(n#74L&u3*-b7Zh%uEyLMXr!^{O0iWY@UY@I;cAB>W?eu?Qw-
z=CM773u&nlTx{)^$#Kj9oWcVCJ#1Gb7N~xnI*tLt3E>+f9w^dQl7MFa$@dsPEbyrV
zFfcvI9Axf5c!vN2LH)j82reazd`~jp9q=3C@+9I}_P$UR$H2;ySb%Qp%7$jdZzQ!I
zzfQO+Sc=~Ww8WYdO_KQrZ9(ZSzmjs>H@^~XiLYsSXgbN#Wto1@Nj`=KElRDfpI52Q
z*hD+(i?p>%J?w_!9$kExBtS1`DE^5g>d>e0#Ois(@;t5q1S{Dg5$b^AkN_>6G=Kn2
zFb7e2*@!y<^BSJ#*H57HJO9*Ye%=2a=`PJZx|y&{Bmfj^HtVrVWrc<`zTvjZ0v#9J
zuRF!B0?A;}MhHsuYpo*aP_(`m)NmC47lPuxn&1wz;<a?OUK1Trotj$6PYqNm^%bv?
zAjDcw99mzo168MlPCzTFg^E+>LKAs9MgM|Zo_NCQ)bb4Zm)UQCVPr721eI(fSQVP0
zY^ETWYRRh56m_$1(;LAg=`R1`Q8-hCO}%C=0|JxD*-E_5E&3npFC=E7tht2A(ccKT
z;8rr<pQy%R#7FyR$Seb2Gs<y2$x-F6%5z3DV~R$z1<-X+Hd9TV&4B%j&m=N9OUb3(
z-}*rgXNgl>V4vsJ{O@I|-1qbEkWBm=B~nGLAB7^!!lb#B|B+BC$8~&2Q(SjWcvXsD
z>_AcccbUWTz8INtlwNVQ1HN}UiM&1gbEDL$`K@Wo59PQ1?7#jW{Kjv5bVLWh1#X{q
z*r0*5V{RuP|3(rPaBlHG<uK+3z!XK@i!ngd=3*kf_gSI?7cApAQJiQ6B|0!c>$_$a
z9Rq=y6~*~9K}0Y*GY$01)V=A{6Sc}cG)c$1jFy3wrKs;+sCQZIbWGempyN)w%X!yj
zuljY#^i+na3Pc^+QRja>Uecd#v0F3dgE;{6dXENM;w2LV=#h-o2n8^Ts=aICEt%jE
zv(O<ZwGTW``DQfT2Ze&0c^nwwblV^)@sh8p#PG5v*w?e<v>OaGeZIkh?N?>rzromP
zHy9ZENB0Ild+8lM!z|#T&#K}`@-@<vy`qjF9FtK6vsrCaW%ymP!eCCTvZE-Kv<Kwy
zL)!$>@j^nKP6&bOc-f8%?vZW-84pDq+2JwLZDD$g^OJPD%1&>n>5~^B+i`v=a>b^i
zc)W^qSAVUtiM^ni(`afBoIVJ)seVX$jZN$Y{wsnj9!+nxL|c@=wUp)R)hnx6D5|fE
z%eued5UX0%XsR2aJS)dK^6#=k3nd#5ef~KddXkHcc*OGkYCxhK=cmI)U<~g-@G=-9
zFH}<GvFeK#FTV2P#S8CRkON%k=19jXU+L0h`ck>&<O@u`D|AzerRx1+o-XDOGbC3o
zc<86)Ax0vr$MbtkyDL1D-$TB>Zs5=l^}E6n=Rf^@|JplWj>umND((X67TOq=7_+GP
zLeAx-^|f79iIaq~%sh(b+4ML=`PTZ1m@cM+*g*1z>S?_qb*l?T=g5A;Xtg>uT|gHE
zg^!M986tUO<nMyOgWAN%<FeNVLoMxs+oepcVUg`X{7Q9dIy^;ibKt;w3NCFJjqSqE
zBay#L0xoNeuDDp_F{&lS;c-c%xtSWln!7czMYUw^908_?L_$mu^LiUes_n9-PgOp4
zN07}mlKJ-74QC=7ojsN0G<Pde4i(2&!|ptCq_|n`PY*`v;n~WkDGsxqY6#=1J|B{^
zv8w&kwCG)h>qZmTSFJw=TZSTOCREj6J(*?c9!zFc;VNN=k&H*;F&&_J$~3^2enA-C
zUT`mrs`+?6I{Ad$PRBnengvzrToqr#uc)fjJ;zDXNKxgMWL|9Y#SKeOY|buu0+m%6
z=5C{=_ZJ`xOT~+tjmnkyhV1<sS)OJiFHi0J#h>)?|H|7&0sbZVxnkdAov_Q9y-0oz
zumkb+_9WcNmI1jTmeV}h36S%yk<uLK1ju>U$Y>690_41FBs4ec9vVL-WkBO*`AmTE
zm%V(ZydL&#AgB3Ev<zDL(teRUqgt(KF@8`b;28p|I9;q$6{?OJReolkF%(pMnxgxr
z$kZ$L^NS^E02KzDNrn56v6V@q^l&S!&clOWkx1)o+fZSeAC7mvNocY~pbDj+L@O00
z+ewAtl}8tF0pw9>%u=DaUKqYO9x4=`O@vZC)@>##;{2MHkFO(vQwdh^YauX=U}mZc
zo0jCGw#z!^wqO)I?}t4J^|V{mnt|K8MLh58d4$G~e&Rb{O1*MwlY4=TkBvNlceITr
zva5V@)<*V}jf7gD{RBu<etHgM&{M7yJOp3VF7;DS=0N7;WQ-ihoE&431DTUkEOH>T
z15_TFTR3bR1QpGfsREhPLoBEeOVDxb6j5p|4e19OHM(LqQz)U^L%>qqb5neHQ%vd<
z8TA8(g9i^H{O`F}?uiFX;x=hQW*s+Iu)Nc}`?KYp-iUP`|8PmD*w0$hYFpw_KSB!^
z4IwGqlJaaazn7<Xue(eA?LYLEkNeudn5rApf`<YVgo<8^5XnJoKz#<H-5kW8jCOMn
zd$R962eBuj-BD9T^{?u^1}3Uux+Lk9ZAOG-@+t4)=Vte{%Ea0{Q;G)tN%OyV?j9By
zYl4Td8qY&tBITCa&=DO7RZU7FrCPoUq$JWzff(L^s<TQJd<rDx@rcN)4Mfp<J}VH#
z_2xma3`DEWsy2Bi+Yty#HltjP{5B;F6tw0!3Va<7Qane27w^9J&}`B6Gk^4(4j(&$
zXgV&ptk*ynq1&y6710(s^y&H$O>6|msgYk=udR|6U@F=oKlMrI6Qe*EM7uV!o-F?y
zK?EZ)TdYVG<bp?VmS~*_6e}8lh9I?55j?K2pDUt8qKC?1Le(Yrop7r#UR*Ipi`Xuf
z%=n$h_G_}sGqPMCZD>)Flzs<A`k*aCN{EzPHNVhwBMjbE?}+Xrxzr@zL%!BN`P_To
zELEs`_dRC|K$zo2v3C6HMaW(Z@UVnsjZfEQ+3OWgHASNcnV#weC}GilN_fZWXhe7E
zUeJcxCU|5|K)$q3#PL}tF<<GyUI6yXIovEQvSFloX3~>Q##we75gIap4oi>r0yA?!
z1^`J^O-Zu{@N@b`>DgX@;s+0^NWvp+B&D|I-yNMv3g<@CkHNWPO-TV4Nr0nubQgG)
zT3{Rsa`AhzhenIKs~zTw*54havXI=Or&!4dL4#Po)zjYspw}EMUETuxTke)AZ-HRm
z<dKrM0Gs|~^P_j=$6J7P;$O>Kz<-@qN4>GP-~=iTwMVq(heL_Z_z|jC0RyK4kV2>&
z-jR8fL{ViA5+JZmRZ4(B<uS{4c#r^D!AiyX0s5MS%G%(1v%gN1Smi-Opgw=?K})eV
zUCxfktaLf}I+a7*QoVM#-du_S(yOaVF%YQEFWYg#ZoTMo?FpQ%T@^t<pgz8?W_R79
zW0RY6Gjyv(SABm7)W_G~zXS3tSF^j#qJv?IQV;~{<0bAaKL19d%d!co2S}F~K!2^W
ziM`zp=FhlovA5gDW{17FmCkM3-^?p_RHNlYRf(xUs!HA<%HPakl~At;I(%$Qt}NKk
zV&E?40+DW<5pgdqo`3PB=U#p8r2wIoA2EJy=6$Bzm0`KE;Lu&+A!kHf!YLAy@%g|0
zoxk~5q_Z^r+ZK}0I;^B0@R55!HyU!w8b{OI(AZOIn<9AVtUd$`-6=%e$@!?%Fl9v@
z0}qQgFdsD+l6G)Ll&oj~W0wm_U{vDZbjBK8Z*V%@ta9oyi~WG_Esl=uY7ueU4I%=?
zxq&0HmCS`C;DgyJj+28Fr7fUID#_1&a_FkK!Y+Aw>T13{r>CywLf`4BtN8{2HE5&l
zK~dcT3?-T5*9k|MVIyo0n%{ep`4*K~Raczks@|UmPX=*;%c!wYKwWhEO0(GM`sv|d
zfi4@D5Jan>A^B`0ALU3s*>$mdpC(GDc$a#_a52u1eDEf;cSc%%D^!UB?UF0dLxnU*
zIyRP7zQ;}TLbV+UAI`JbD;H=OC`eoA`YY`1AW)E^8D&GO@s>~j{onkNsg+JBC%g#a
z%m;}7*g^%Dz5w)sO+msxBe4LOnX_;pxWnKR4O0t=1=Uhn5yR^wmIt|1kMn_AsR$OL
zjn&bn-NmV?lw1(-X>?dK%s8MsyE(Ol=XQ-y!W`_wE|H~?;Kqs)ofko%EmRP&f@Vbt
z&P{N?L~ei@{(vZ6k$kbDzz_T)(Kd(~;v%^rn1TU+`F%9;<ZGf0T+(9)^rZJBB{(<9
zEs*GDUzT9^ZPv_p2jqVo>dl{M)hF-Bw+ERP!rbxR1OA~^uXLT&{lP2+P0Fz0yghrq
zK_!90>}AVaB37gPO5hpOA0DlnJ;{8Fz-P>iDBJ5+ePY9WkFYj7@o|q(R;z!d(ZqzR
z@7#vx)560Fcv9|C%c6^TGA@!3C0*gW?hiF@N75`l>54;O@sNp6Dh&n?w=wn)-WU!B
z!$$aGpQ)93*vS$b2?VR8fglF6rD=lRURndaZ&j2!g1Oc-NJPnOeyhUz5e7jdLD1`#
zjz_cHtSkwxH%IBrl2loOk={44ypc4Jj9UqQ-|@KQRQ#>Gi!I<UgUJIKk*mNlZM_jg
zaR!AvL{P}ITh!X}GaU1Jh{rzt@4xwX{dROVMD$1HrCabhc3aj0@B;1*Mm%P_s=hKD
z06Fo8z$?bs5BenpBTS=Ury<pTwKX#uf)S-5JGNSp7a7F`_+$vTs}&<igW%@CdGgYL
zYQU9PF{0>nYFwl7s<_~&Wu#FMtD(l^viXHY%xzEkhcG#L*TC-TEIpeSBj>Jra-l_3
z2<SDU^1ryz5xy=Ldt@TS4Fbmy33aR65*Y>v9#(Ak1I=3*9q7My)pNI8V2G6tuHNl-
zM!uaSBf|hJi<1oOL6xn=p(L3e+{FQN6P4>{2Y739nJL4tjB*#6^K6!euQ~InKpiF{
zU$JSZqH>q>t&aynKIL6SzLAk<56iYs1^>yto9iA#c<Eztp3%e%!<>YH)MJwyB$Nfo
zE0cUcb|a-o5a=m*S$Nyh0VsaVal;;%f&hL0*$srJ#=t3xEhEJ$6qhPDl*O~k4Tb>J
zdHTZKu70q*sa;A@osnP5H{n$#8vzOfK+RCQ5efrN9>i~t+Kq2wDb&dV?Zx5Ss4Jy^
zIC-)vc~QzTC9xlsf-BW;Ca+FUsc7wT8U5-|mSbk*r#|y%|G*D^M1ka}_G|O$yV95;
zJ<5FYRFEl@DxZETO&*>As;sB7-{A?Mxm{0<h!$j*ZBIztWe)QL>!~DRnKo`x)!_+X
zej;`&Ab=2oMlh@$R_rj7NR!{Vh(<819ZH}G&n4;xW#+cM#;P&nLGr)qd{}%=E1?!4
z;{`77acqo9YjAWaXHBy$%hu|7hjP5lqBBj|aBkP1VgGw>aeRWg=?a(7OJ>te3RQVC
zEsplWhmsjgzV>43N`c)-Zug0A_`3h~vsw-2xn1+-pdEY+0J^B!fFd*)^jaAMFc!=B
z7y!gF)DD#|92yK^l#c<}W9W$H`RuBoJVS%Q`0W4!v{=T{d?6SbERO-`w#@{BnO<w<
zv)+iuN`KWfNIOkrn1i=fO+!j1{*BTJT-UD*oyP#o>CUEAONvDBKkilCg(bVqF#z*4
zM{2woR7`CqiN4Q&_czvWT16IQxHTqtb=Ryw@I5yWx%ob}#pH(3<#wF@R14Dgalr%X
z%2H?AmO4|cC|<8IA{>tgG_4{5Gc*#Cn!{HFM=p_zKv*J*ZLg7<!vz6w=8#DW9<0t3
zr>5`Yg2hq6SZ0g#Lr|U)zef070t1Cx1t%zf>rq{#dSR&IpnICWoSuIXYM{4^dZF1Z
zo5h*Ad7b1QOdCYQqrt<NYY0e5jI`dg(?#$mR>6}*mnnE^qD|DD5CBE+h}N80y)y7X
zD&80bM9>5&_=7+dyvcSb67uHc;1Qe<vq&GLW*Ez9XHw`TnGvP&oRGpY7vxXtU4;U8
zv^PPi(1r2C=XyJrvaJbeoRY<XtPQZPXI_#_E)Q_(wjge+{iI=R*qP8lHPFZ{YW4oP
zf^a<*gum1M!XHe`bo#ov*X>p$OyU58JiTrgj3!X&X~<UOsV6Jjxn2@_!TBLRQ_J7<
zr=HBVGboP+SlqMi49cSc68CI7gYsyA!#&&1LU}Yq;ht@0VLU=vzt7-K=gWVe7=8?B
zqvpQjYpZrNdt8Pe<K#B~F-a!Ph>&Ql)DM^g&_8>=J2u?~Uc+{8pc7wn)W#P>tOnO|
z<juc2pYILvG8LoG)AB$22Tz+jN-aEu3#8Ot*#8c>6Y+19Itd(rNv?^D#3pAO%BM2h
z@Yd+UXwNdb4N`$dcTO6C<Z!vYfqV~y6CD-*dgpOziAfhM+hL^vRH&)%A1q^DCt)!H
zB)#nb0OHq8Dc)ui$6kq<&YtoS6GMj~1J3SDa589?{OulDzO`VCT>kN=ebM0q!3(O_
z*=pa2T*fAXl*na3O;_5tL@opKJJS6C+zT3waR$)99LmH}g(@h^dDs@9${ykjDE_Q{
zL0XOx8(0xQI)kajidp;a)~g+&D+sO*HV-NUEA5L}RFdA^b|9#)A{VPs`bFv-d6X;g
zJ6Q%NmaMez9<VztM=#glWUcL(=H*OJBayCnY0S(846KpN+V=>!p;R-sTeR`DlKJ**
zyEW$%<2q5F)jGUi=ct}mm3S%^6N%E6c_{*{=+_GT6{?lMFFo0|O$Z_XtS7;qTC=(i
z=HJ*8pYs08WNPW8tNTeK#y7c@3aayTyu<(}SLdMYX9YMKq@(~1Dc`D<N?}t`9h2=!
z*VZ7dxnpjjJ}Z#e4G@E-04=@PP~Fn!cS`}<&8z0$_{e|u%ikKRS=&&(pk_^}NWW~3
zicuYC^5x6#g3ykNNyeOP_Rd0)+Ab(kzWX8Q<2|-}WS43|s%962($nk{ptppGRx8p4
zalylKqcfNe8$tC{g1mz+2*wyp5rj_;6p#@t+b&!qRkI5M45E#|2ezg+r>1Hyf`9`{
zLj~djV;7AT6>9AL=tzBup^11PNwO~DYog7N>}jl7?|Ys2qMBV2uqV#+LxKe(<kfij
znv>P2>al3l5apw4uivGi!nN}o+Dbl6QE<BaP@zsw;BKyM&1lAmi{W*oe7onk{4a7_
zEiH_w(&RPvQdKRXD3W1wQr11yJC{(joiILE^$KrIsBQv;=eWs2lM|X;f1b!~NmF!E
zo#|B;uNNQ`SDkc%)8+(KC#f!bwnx0sD?7Q<mLGC*zx=cRnUDFtDD0^#(|2-@Y<iQi
zcc|9aepGg&N_WS0haSB~FndvlZj1E2tT>WlST31NC&+EnJ9SPm9M=bDHtt97$rhq1
zn8-HqT`~8rxyH)L-R{hLgvZ_rR!;5;=?`@YHv~JR>cwPTmULH!xOury)sFdtSx<%5
zcobEbtE>U<4-exxJzquo4G{ozE&{sJIsYM(o}Zu+A22X~!xwz<FMmz6Co9U`b(Ue?
z1=K7$3!PM$*~ypEc3DZ$1*6dn{XA{Amw12PM^zfl_R}XiGmlf9TD(77u{4??!E<t=
zb2OSA*gjXUDBho~2;L&38R;g%y=uRVcz^z7N~4*Mi>t2jl14LBNZTdlsq|lRAB|={
zc{F}<_5SSa(P)N|YrQqaH#f;>Gy`K#`&)`x_p?W%8Jg&Nl48>RyO%~Yyk44=NY|Nf
zP&Aqy&`#6*?!%2{_-ay^&NH_W+QLV+v!_NgOjT*u%=ZXKc%zx)Y5Y1Lz^>4GsCW9|
z)@Wu{r~vTc+coK1O~)ojx|b3V?=Lx`=SH(&S$VV?&8FHRd!<HP^C92)kKcXsZ$BNr
zvw`<uUQ(X~8hJrjBMm;Ay{V7@oKe%+R&MZdT@!+|LoQgj`vBJMbplb(x!@kC5=rOo
zG2&ifBe5dYoC_k835Xk9VsO;;&~x;iLvYD-E<1q`J&Ep$6{+N05Z@W;UJ(>ZKA0C5
zoTs{|t&(qilV}xptJT*o{T?3C$5q@!;fge}p;lllF>xg6A+fWm4Af=-P{yhn1;jGe
z@|82$=3eLW!nXMYNp0rq<-?HA&+X*Q;(Bx0i`bbtWjAq9(sIl}m3~)3e~=iC-hv65
zK!S98LPs>gm4ozY%MU4<ANjcN`dc3v>CWLG9qB$LOxW)B<lw_A=Y<LFAFwTS8g2lf
zXGpzXaavj>n1gg^Msx$<B}SV)xL4`|6BUiGh{8G@71nM5%WGE5K{~47m^vhapyF%<
zt03Lyv~4@;u=*P1S+Wuj#x!gU8n-?fq+6km_4PJLZz@p-6oah3gaT7@ZVkg62Y|$Y
zoE*dA_H}h@RLeDrZDl@Lztf->*Q@hZs0VY`zpF6+tD@d=kTjSNaqo4yvCmE9n*Z|^
z{cp3o*`(Cz45py*lERb1eO4fR5VHbl(RI*}RfoQK<Mjcp#>vH(+332)Q=DDs$MxoF
z7YqG!iciu}nKYjKJf6Wsp+05d;MU^TO({zl1EehH>qC3JZ%Q9dTYg9%e(FE?-EU1Z
z<23u(mVXW)P?3gdl*b9+uSzQtVp@3v&Ci`6fDt@f9o<V2P5B2y<3uqWz+{3vU$tV&
z8Dm%|bPP4@Vb?XIy0sg@)<;rvY2_dE7(jtkE9UagzB{r78|9MC%0}nJHBuk?iU10;
zzX5`4kRdlKR^=Z|{<ID)(A_kGRrv=A68=6|vIDfalANUFR0%a@8fpmL5mBga*CiVL
zx2)_$)yO{&R=FEZB%7|Z{O?s|;y6=qp5JW$o*Swjk%lUJE#|7QGsO5gP}slp!o_=@
zedb+X5hJsOD)Wv`Pw}?b0jd7H>l$qB{koC|o_32`GjN6&Uk}9i>%Zxfe`yz?g~lkJ
zOSgyxL{~t(dJdy)!^=60<MKeG0ydL@)I`o<?DjCaw2)vx2PHrKdpjA@Cpm|41Z-Dw
z7&`zZG^-neRSpBZoMIsn-2f?3ub6Wfc;1xNd=CSt?tQFCQ|jOPxZTk1ZNNb6h_n!-
zDRsd;+y<C?cw8&*UJ5}mr7n2{<GU>h@|ETyCA4r!{Ne`1!x25nD#3)@u>L}5rmSzy
zsCia1n%bR<xs(sOdn#}^9b&v=W~G&^GK^<@Qh{4RQ@DxlCxP2siUQMeEW!sehZb%2
z1Mc=2O#!F(>2-Gpu}FH+5sLt;vc;>iLM05m5CrXQuMmsadokCLPe}cU5t_DqYlMgr
z{+2)Xe|*Agkpxz2){8|B4jelm469sd&CQq*B)hrl93&w)$+-#$_`A9w^`<0o0C8nS
zuoBF%$Wg~qAnyTkM=P~y-~&x7wJr;TcKe;uL}J>5(;61R9E;eg5f7BKkX;p4%(2LB
zy@!Hj;6Q?j>KaEiQ^W`LFfsmnQZ(a;2-86=S)nID3g>PtdVzf&Dfr(|S@FsD`QO+x
z^ode^JZ!2(!BCu2z*+0k#AdC_?UVr-fJd|`-~{PPC`B*(xlWp(BOYxG*Khruf9nUo
zYzz;9L@H*@z%@JUHbyk(#bi@KUZ38hV_F2k^`ib!2#N~wGWr=0;R;oM5vV`$;q2#n
zY&srdw?2TG7ak<cgZXgrn@j4?*R<J?kR(;Gc#ugl-=3SmLs;oi+Kidlg4>?XE=Iy0
z4t*8*jrJ%rw31>aT+*i@fo-{uv5=INKX6Xw+s9ZukUbF-G2V2cmc+SrtIi8uVPNi$
z?wFf^*Q16Dk9rkD<hw&wC;n~N{veQ0GD261k&-`SAs&Qumo4!abTmKdZtv4gIl9x9
zA98g6?5};|&ws{86%w;yM;Dbd_>RncAJ&ZPE4Q>tx)+alU;trubR&(wmXNtxF*~|8
zN&=_egB!g$b#`=(;}Vt-4lFVS)r#5CMJokB4%A_ak*fr=qs!(E3Bl26*UP1g2J=z_
z(*3d`CKa;Dw_34Ey6<5UV1?m3Z{X>-lF6HSY~L+m&n4pzPR>@6nF5a)U=cX}kcs>q
zQFry(^W6znwho;xtz^DEQ5--Oq4x1%4fFj$g+q(PM>4HcYmRDfReDxJ@QH5VRZ>N1
ze;q4LO{Y67b5Z8Faf(~)?G+WcEB~j93^&Z_6%Fw&h02Xjc~yRnJwu-;ak_fi7DJ_r
zIs49hI+O3*;@sG)Tj5Ja*v`}zB-=2zO}T~5p&tZ~VQO=3QY_kdT)@v53|6)Nk)OSq
zW2|$<QtO_e4$ART98R%={5)Pgy~|o|Ca1jNfZ$wQI2RXQc;9m}5Uva#qs0}rnc|RD
z3|oDA8Xmwpi!CGEH|1CiAAU9Q-@I@UC7e65&x<$plwvLH6yBVH$__7aO8sZGWNz$@
zpZ<qF<;CFs(j=Sb#&oNYkHT(m!9y-~!FC~@isAy)RT(&j*~MzULXbAeS1djG2cYG(
zU>$-~G%i@?h+)=@+3gUF;sUO*j0fB7PxGFZSzZXD)yTz))H;6Z80EF;Q?AlwaKWHl
zMFB(;eX(LHE@aNt4t1F3hfKu<{^T7dw$ZUcBSZa5UfC3jAycb36QrpJ4V4Uv0glBl
zFfdEDrkK<zGJ1|-o>Z7n{O{=$Bq@tn7(#eBBf!hnRG)E68L24~!sZ7__6E!ilFpX*
z_AKwTThs=c=d%9wl=Xl8tKa`Ke>BSa8ufcw-*Nyz`vZ=vncf*Ka#~xrHDIE1&T)^y
zALtK2$$X?mZoAr%7Yjyzz3erBNwDrYKQJ;V=5D+14e-hd$HNlQyawwlqoj#zlwjTS
zjcAO+sR@@ygKv|W%;`o{;`G!Qo`DZdvXkWp{~=MLCz%0((gHQC)1nfr+sThhUL3wR
zrd{^FPSqkumjpQlW>V0-(Vks`bz2iy3xg%1{aU$e{F_g<=3!u+t(dk`ZR6#H=eoZa
zN6SA?2Ir~tP$aF(BMoXF<`UM(mnzP}>EfH%@nqM<Y^MMf5pxw$_t-km#9`U4%jAvu
zcHN%axpsX*z)nNs@9W6&txaMi^U?psH~ybr5+yc;F1tSUHi!~;pr5K~2hd2y1y52o
zQlGkDuo$1Yz~GBNz4Q{B|3IgCyO{iR$rHR?NK{gvx?~VNBU4;=bwIMZJ%#D{h$mNc
zv@OExWTfe9mWYeQ7zlE!o*U6EE(t5<T+blMXeDX!ToR^}0Cyn!m!QdSC28`C<N<Br
zlmxvdc-!*XAHYJW;_fr;BwOOWDYue%J!LNRT2VE#55bBSMq=obrD8p?(hmq*W*n4#
zMl!1s@+3<GDx9iyPvBEIcPmd^No69_iPUKv&(!RkROnigo#}X_W-IU-1S(4>+mSov
zsLR~CM`p3Abq@md@!U?w*SHN$JeO1~Hc^!b+=fi9w8t1jh0<Qm7^*fW7y*HC*lHt2
z;L2To)0Q8yG2is{U;0Duj{=)6bh(YWHm3Y_NB0rrJ|j%|=zdY+9N0N>+2Tg|olzv2
zZSf^B&K?wcYIXC}lUal9y1nWpK*}0kdqtA@iW~?m4mMcBPIme2_ZtQGVp^ib6>*J{
zE%POVyLdx|n{^^|H+}XZx!+)#3Cd<$Ad58)NNZL$241}Y-blixo_LbXHm1-QgZ7d*
zzuF#T8&mj;x5qsjdTPmRV=(XlUzu7SBb78_NN1OVh&N3h*F+f(N#344P)&RqPN6bG
zQ;cQLBLv=SAi-)Da{SP0#g-8bYIIINAS+;u4Vj-@Z0<b43g4}o5n+H!=Z6`o`Y}f)
zCZpz-t%A-rC}segFiK$t#HRSed{?I}&xP;V;dAx=IcIo#Im2nUsI{HvoZ<E43}5`t
zZ~U4c3M9KGaC_A{WqyY0felgcOq8SQY90D(=vEx}E6fa3w~kaO^k`_{>@e)#tR)MH
z93)51ivQ<=RkiNeDW}L`Cu~`jV6N6-S~045f}H^|L9-(L4?p!`xguK@K{gof(+E;Q
zxgabmm;flK+)~x4so7l+4B7_9*{%$hZ@U-~()D%807!ymur7N}w!vxy2Rds@(n}>C
z;g(vxz`FcqQ5Gtiz4DvzqJ-7a)}3r-`3R=qNqc$H0Z<-QwA9P%iJw%WEtsi_U!V29
zDq0ddmQPsQwKhBi5M>@`HLe$B+G6Hu80P?YB&8g|m*hDTN?xW9%umzVGd$OnPKeN`
z+x-<8S-v#`Ow)b;fB2@)9p{C1jN);W7rJ!qjPODis)12nXz<;MQrv79@Ia45>kOYf
zhw53!C2su~FSJjMoxlriV8&5iXm9sFqFT{hV}(%+M4t}!uD*>T;SKi)UyY;6>7eeL
zP9Uhoj8WXJWK=mcEoKnZztDrJMNu?>2&%%dzxRiG8=FkfWEjEi&SCVVl>a^RLQ52M
z=7oOds|*z8>f{QV^gsXnH~d$>cRU&8ywK}SFIQcqJ68LZ=9okw)Jcq-?Fa(tZcmDp
zD%+8h9mtYvC-ruPGHoN6a~;7ny;=2Etdmh7aiWewHJ3Q*>eOP@o%LyjZ!6goP?EN%
zmSm?1qGHX7kxU%z>Dg8**2yTCB9ruP8IN|GWYm67c59|?07~yAd8%X-Dh-x1>w04-
z>w-sV5k<#W`=i$dk2Rp<a&|Cz)k(7}YiCu+E6dCqc8n@lw6Ln}iFE`OeppDduo|OP
zgPvO|Zf5pl`D7-yvgb!4-Gcl{0#Gxys1L~b6)j8De&nsDo5XL9^RsF(2LP@mvT)1g
z=ZSO?ylNjzCBrGxdyU4Xw0AdUZq$R63C`)FbGqom1Kj<lzwpyv_b0|6W*D5Ha~?o^
z(!)^ELvRQc%ODaN5t6J%)j>=x#EgTV1!9JFP%)|@NDskR+@lQ)F$ZduWt3zHO04A&
zv*nt6m~8Xfa<mT2bO=(D_=@1RAzqHKX#{+YAUy;Z+$|a9P;f^E?|Mag2rdY3h#)Tr
z?lG6X5!6`AF0xR?1JQ1LFmaJp*YOT)3)V}#Wc*froeE-RnKwGjV6<x`tGlD)ItW`^
zF;SM*9JTv2hHB&cOb+_*5sa0*m4{p^&_kH{ZvU%d-ezlCmjK0euQ%7)mdVQ2eQU0@
zjmz0<dIsMTRzr!aGrnwIeM-?YVet9Fmc*3}rt}w}9ZU&l;YyPzZM~6b>B`7}Y0D3#
zwSM(YhbP{gRILP2o|*TQ(Rtk2dE8lOQq^m9;y?6NPtQ`3HoIk0b>OWKbe&M1=W%D(
zKkjUP*54G(jj2b?6^|@Gq#ob=QJ?bIlaZ^6f*aEzx&@aD!@i`<i0rmekJ~O(=IHAb
z!TpkBb-`h{1x2p-Iw2T^OPC{IcLbroTON_sr&APyQMkm)JK&pSMR=@=hYCR&Hb3=t
zB~AZG?(9}Z!4-n^L|rg=7FX?f1qDvIVBuK=aLSn3W5p<3B2|F-<dQ8yH-U{G55ZKp
zlq3UlKp=5L`-pnMNs_=RKlvVTDDjtf8_yOc$$WE=9^+4m+#4u{+n-W$Rla6v%D}lT
zOPAKnw+AjY2no36#z*=l$$WoowkG%+mmp1UZlq)${OoY&^&3GF3C(=VXZgXZRxRgs
z5xFnb+NZU+%DfI-;-<8EhD==pWNN-vw?}(K?e?7BbDrz=@?6tyQEM5`u&C>SMLqH7
z-~2b<5F`fb1+~G~;S`;bWKz_-L852HS<1jq4X_l^e0exW%1p^~p%D<goHbNH@?5r}
zf@Bn%WV8sj1{@p7bGaZ!?cvl&yMtp6#;YpVOP<RGPw@mvp34MJ&;-pghm1bdtm*iZ
z6ne}c_*A3%um|3s2xHC!pH-uXVt#|ON467IscsaJ9B0V@*^H-z5Kfd>&Sf_jA$-LE
z=WGgZ&lFC(MQ2m^%zMBuWJEpJ$LI57FMsNHzi~7V`S9R%VO)<J#`u+m%cihU03s*Q
z!{KF9coK(~O<}RZ;3g%N5Gy2VC1Hi7<m1M*SYa}wHrLp|>zu9+nPWvK$C4GiF6{7b
zb->Bf_a3#wYBk5H_0&Eq7eFt4*rX245_`AhBW#k-W60*U9Vu=o$};p=lTntYq-2zn
zLkpvv_D;uPOw;(i_;f1Pc-r!<K_S-oUElqE-|+1b7if^?ae;0Dsl5Xsm=vKgs<rJf
zh^!+jhHG$#NL&C4k(M|t(pp#%=rnloC>gIdMssQ>gQAJ77FL7~#Hm@W#xN043LzL-
z#}y#PdrZM*Tu|`PAsAW575J<{>!N~tBX<o!Dnr|P3<D^^`OFnDnxs8-WF2vhnnHyj
zuCe`jQr3|~x+{Y2Ah~vy(>S*%LqGZ90LD~)?_<~X`bnhz?ULIg`a1L%+AuE*wdre)
zs?Aa`QC+vnXf?~<fl)dFo4OLC%JhM9czWKU%1on-d&;_8dLyV8HQb8f7-Ro?#v0!U
z*7&OFyVW^;_v(x9eMP<A_dWC4tBXQ#`OIsH`*I4w<<b3SUt)j;bJl<}(<vjjs5LR?
z^xgHO@4oIg|LtG-@rYRzR((0ELI;*j@!kV}O*3s<Alp7MWSM5#1pzu^Yav(_kZfyL
zq?vX>`1Y3%S*DqG!7XUMB_RkJ0=No{Fl-kLKh1OzJT;A(X1WNTn#N2sT?9`}V-_>*
zk|)P8i<vHxAYaATL9KIW9&-k=mtP1~(LRhm-yMJm4f2lPsD`HlMxSqw&A=wupG0)^
zk6APK0C{I^0q{I3$x$akZM2P7(~V0U1>HH2>{O$iJq7!h{){5s;UG9M?)FcY$vOxw
z$@<uP2f-B&=Kg+oFmyN(6iu|{mI%e2lrGLCT!xkl^-NpN7d)f(<%N&%N?z;O<jb3)
zEn)IW(N=o55pAWt;L52tCqzdN0)n5gJk6c!mzkE4!Po!rS3mv_{c`ZJx!eBKlNnWT
z07O7q(1HD6Gj;{gh~Nue{}z+X6rh2sOd}Y<7Zl<W)YBrk0^MXI7{M3gh@EQn-LdQ8
zE+Y6sxo3}A(o}<xxAr9cGNd|-;0yPCO~e5O5nCw)Hv}X2T9Q%IXu$w<snHDc6jq57
zM2q-z1>G3XRG|pu6OYdSHM?a~*Sb~eN7qLF7h36Dmbvb-%vHmf<%lNeWqHn}m)k47
zn6??YMdvW)W=b!A@~{8%ANa<|HmVnWc^I<>&t&DR)&!)x-I_Edo5zq<!_?&3{jL1u
z5}j-@+F4R$=D=IF(cSqyKm{;QSP@Mp?WuDZ14>QhY2GqX*POZvV=(H@+HAq9(g-G3
zhune+9>kO;7~T5IRAJ0<t*KKa{;bg_zDyOy0I<jerUWNVpKH^PyM-ShsZMadHYA+$
zt*yH2ih<D2m!}XLd#a{%sP(lGtPL+Mv{_;8bJ5d!U|?I9bkYp19RJ3pPlp5N;>(Q}
zU;O#LV)4ZeAJO?|vpikrUwd|O+ATVp<>|L*&V-|%{?9({e|zsom!XIc7>9z_40Mic
zJphB}wAO>w(sF?j3|4U{cu=+LVcm~xa~ujsfYbS;^}sqjW5pbYo(zF=9J=j5#>y4J
z17|eav0@Yf5dtsQAP=D+`Y76Oor-{v)PdrV#E5du@5Pd-2*^MkeGFU^$wO2@>(D<H
z0U?QgS`EOl`03UI&}<8S63$ca3rTj-F6J@gmE+mLmD`>@-=9N=K^StK_|W@C`3Avn
z!sID_BY0Z9>&$lup2$(07qnE{jEVFs)wgJg>5g2Jx4*s4e2<Vwu`~tRv)k+gko#Ua
z#XUN1)^<0MWNp?IwrBs9kQssR$xiMSey~b%vg@P{O5Iqj{!A2Mb=xu)x{>)r>|dTY
z1nL8)T6H}u%qIWJPvE{)IARwMo^<tehNDk?8;L*RcuUM1GKw)XhtS{8IY^<)NC$wa
zb`G<vva_b+(T!Ur7)A)fmDM{Pf8Ze9biu+2o-SCn12?*n*^+TQruagm=Hr<o%*RWy
zp=4R{gHeARp$s}Ct(A`5sYc%M_$DO|K|zjR7wQPp+_q1Xk-Xl-vGQ`E!tpeC2Pbon
z*c)<oyB_tT?pVU=>~`IZ+x7c@_0RpcuSUnG`oJf$Ash3iJRGXCA)x63L6+2N(F!Pr
zC<JphB<8jtsoz!&tD-KfprE<HPKIGqbxI;<LqO(rIr)ChhMcML!Fcu|N|i4j!IY`h
z;Sy9MtEYG<D)Y!O<+~r^e^G8Zw|&m@r|^Sie?+@x!XH6l7rT{lP|Ca*lwJH0(ghQU
zKGy-U_0A5+qw9c7yG3UQ<YpX@uYUK%*Cp_`qR1WmZJ2TzECQ=Jreke*Y1@V33Bw2%
zEIAbn|FRL-AxH<r1*6S$y`o={8a)*J?SkMZBN7VaXMmN%rKtqzfVd#&))Xy$Sg)6e
zQYt|@ATGFDZ82PuUuK{ml{&};x0|H`ra(~I>qliyp$LNVOh`|`J;C_DI<@3|ToQ!-
zIwy!23FH)N$<+A=h&n**%xFMCvS?IGGSKri!Au9J2La_Bcq}T(+1Up*z9Y~s^#W=f
zcvMT|Q^rg1bF(|S2XoU4Q&x_%Q96}w+<5!nGx+-v0e{=Gd^XdMu9=>8i_T{HX3X@(
z|9J1)elk!KC<dUu;&}qyLMo6pP;4cbpES2^yWC9spyfRh03z6tF;rY@-3380(<T_x
zt%3d49C)nAJb|Bj2ii~TKss2VAOyus+lqS_HmMVEwoQADVx~<HW3aVMfXV{xIo<zq
zjbf%v5QY-1qOj+%z6M+fK{3-N2<|HKqLcd**p6mJHPbG+B7G8#?{?e!HrlXil9)%T
z_!Bnb_SO_L?UEQWfpP-fj;%p6<1(ci(KZ2$?Kn~#{cc-l*>cHIgI<j7pd~N1dB}w8
zzYSImbg`kBJWOqTQt4YY>{czn?_D6;XP(k)<SD&NCQ=Zk&5pnA!95%HN7%5df*QF+
zXTyFohW+2${;hxMYXkqU$q+Z}mKp)@;6z?us(e1t<5apn7XVJC>vI7Bmh$ouxOD+Q
z(E5U#WZySSrIyT_WVO`%3>Uz~wy0UTwmC;r0?8>dVfQT9bQ{#SNr%NgPe3DFKUH(-
zs-$8rupKM3w3mTtXgR8izk>a!<*V#!OErrZm{Y5O9A%2&&f|jf$j8g$QL4pI_^Ujf
z8y?EMd2_g4v~ZNm=e)V9_>LZ``uj?esGPsf70Ykqsp7lRZ8hH(O-nSMF@Vz*-5CRT
ziPe1NrDrZ)e&LlDUc9*XN(|9pv$YMhdj2^C9Ih$uyzhmJR~Lod2wSPsTlRSecfD&$
z6Zjkq;9tM<TmSIwA2S&Ph{oU+K$RdRK%QXC1cS`oW@XUxKyOjmID87RBX|K38F7B7
zMtUKbF=j_l93j3X8f_0?7)?wF(iZuOnA8f;iwJf-ARhIM3z8Ta*9gycL&D;EMXDed
z1of^mY|s?9U@&SY7vw|@gpR<1Z7{c^S+T+ZA_OOaA~Ap-5ZWRL!Yd3Q8m~D31d?k|
zEwyVh$n>*sHwdfciz9B;a5Sx%?@l>8h>DTC&fk30T&pF%ci;MfOUzk5xPTe!`}Z#D
zY_aXX@L&@H1;~bv1~9vS?|v`j#6Jyp@A@fwVduU31(bI0q7PZasj>e`hTaT3vi2Hu
z`d{9@^n5Sy`?A*(o)MD&y_=FA@CAlT;!P1rPT$Qp1#6}!e6hz2IKgG0Bh-=}=|-nV
ze8FCGJQ8@sciyIwB-1m#*meM1sw7%l+OwyJe1RucA6+!I*!-ASGlM7>m~IE`B;UmS
zwx=yJh;jjjZ5B}oq6B)jIXk9)m4|$Rj#R=evF2`vewq4L640An%);2wu;vmvsFkel
z(H0!vx+cC-E1B=nwgZQNNb0Q6q=cruI2U6t4g}oG9ei;v@QPd*$TMCX{;MN1`!5dG
zJoVyWcl+Y-pUQdzFAmOk)fea4OWzzDaTac)Vlb22Dky>Ew)Q}lyO|)%4MCN0LV_$g
zN2vJ80+Y-qlz6N7shcg4k?HvLf~B~0JRhEXJhuatxe-ulIvz;NYOXT`>f<HoD(4rf
z?X(;)ra8#y@O7#vW7C8g-FngGFk`b{bXA-If$IFS9VhI%MaQ}@R3PGtJ5O}0MOVcc
z5U7vmb~=8y=yIIVZ5Cbi{k!J-%XT^**PG*vZoBBJIHPOg4B3w3H+7()k5`E_^w;vu
zcRb!DeR=0Dw$=yOUc<~{6TfxY#8O>`tlY(7Tb6Ad!$K+p{4~Bg_ovN@df?qJJpa5n
zlEq1U_jB)+>8R>Z7SmG%-DA8&Zu08P`%GCq%aPaM-T&9mzW--FqWF?pJ!)|UsOf9L
z;&{N+hN^U3;|dP2cQ8$OFhO+IR>S!~c`+RRQK!XyG#8x071%UYyt*62h0Uq?$oYyk
zDFHe`z0;}?&u7a835^iLz@mwIX|@Xv0q=AX#8?jTPSLAi_A3PW47lJP&xROeG#G5#
zFH_+P(E34+ZK0o|hnOyM)F{#S5$|aL*cbcO)?ImN_d$pj;{=~hvLjQxtLu`Pk&bCI
zEO6RZmFkzOOj6ywoCqHJN>_=CRv(acU5glGPUMhng&^ee@r`mqZsM49EmA1+W~WLH
zD0DSJbyY)xIArPkvK_ioQch`;mN3*8ey#P|)St{Q<!*nlt$V|jW2JsBQ*7W>vBN_H
ziELlDtrlPY^2;GLVR`DSiOW+oFHiOMQ=j&e@A#3Z$Ew5WX<KtYQNP<^R3sx{v~#!7
zWe&z7R32bsNp32<XerzxlmH=R>!K$w6}3>f=;EaU#MJu8RBwKbh=A!#a|jLyTpGtW
z#S#3ipo18MF3ERQd)>(_LPaB1R!1IGFO6V|B5(;yd<XjRIHVWt<W?-02c40P;oCj7
znmSb8Av%yXK`zsNZ+U+Gi=%1Zf1xArVEOxus!R<wN5WtC;RizB@ZViU>WYtG*Sp*P
z_U{=wb-mCjOoB`=x^!zH|L*$oE=<Lh>aX^+hiaUrK+kkEXF8h5TVF)p`l8BPR}a&N
zX3qN~9{aVw^w!ppdz_+g4>+5b2f}s{=OTrNWGUQh+XdG?3^{x$STY0okh8iLjQ1OY
z>jP#!Gjp(F-&8Fah8)Dw2Uv0u#Oyak49BU%kmDLbT%#alZPl)>F${SnC>`aMU>I@;
zuJ&v_CsQ@QReg<N$Z?I!jtP7U?ncP3^@qgQ?vQq_mYi>NHE6jK$xIt<=u*RslWLmV
z^ca(45o^S!cIDU;pY&`sKegLeOO0hDQ&R{&hbh+(rbHHILae}&u)pwUOZw;{nM^T`
z+@dy|JM&Xt4?p$y{+qw&TRtcFsTKL>A#uUBir#0&9X3^iL&vsS#?RrhXpx2SfgpG~
zTI8{0{7i7aV+S+qMzB^I@x_X?q<(6YV_8Mzil_!jz!!p&@$(f|%m~spW2!@YYRUMy
zASqYWHXp5e94ku3&jdl?g8f`^x3mgi2ujA!1yM5BQ)3cN`}0%~WlNpHB>|Ini=(a}
z${=PnIPq@HnK~7aT0&y~g^sAvDUy1BB+)}HDd`-$&XC+~8i4eu9jAp<3s{4_;@_KG
zV>YRJ;An<X#bD3v!^_E5=jGy@s0^#@F3ZY4kwMx%yj&{9Va<m=aoy8>M`w8QhcG;O
zg3qA&MSWdn8YJcyfAxvOXMg_3mhwrs{HYMmXk>-=75T;Wx|igOummdb;Y|Wh8O#3M
z?g&eeNCxeuv21NQCN$O6>q+3KnkXYR29WmVpGR225Uu1F_kBvLoL@AMt3YuYFbr@P
zc@p^PsomAhxqNE(sESl=Q*Z*lxiU3$uMFD0=VaDJkqOqu4+v1reW*;zG|Gzql>cjX
z%cd`85m4DoG@As`T<F@i`(k$;RGS_Y`ClSy(sP}VH2}`EIq3?6gTyiMTg2dO%uCRB
zitOYvCc!p=ZGkK$cdK>p@v1R6fa}0mW6c%qX8ptpytS+uXr0YcOM~g`5pypWTlgFZ
zrHPjzI;>eUJ>9^zfQ?SB6OgYue+}~Z^mH%CHexw(ygJRA6$YoIFEC2NI;7${YYfhM
zb<j0Yxb3Z(?-6ilXz*r%Var>PztOb!06>&HcmkXD9sq$-KK0%M?DPcuy~06)V;}H6
zAS&j*yfX5jb2GgM#Kh$HfZGr99-IQo2>V&o@_++bSGCABUD{mBL$U9WiiaFxS0O`g
zn$7G%fi}3_|DV100k&n$>cXZXQBVjDA@V0EH(EjEal+oa_g{lB)EkH<Ln^s%LMjPx
zee;+%+zju$n>UlmBot^A6$wZR3&bD<G$0Bn2!>$-NeoG;ai9dDEQ5wXD2NlFEHp|Y
zNPfSyzV)rOzV6fA``mNRyK`x%H)r?VtH18v-M#+))^9~*3)s={nna)tqk?e39k;z&
zx#{6<@J#ye2OJ21GjTZ@r?8wNX~GTwnqYkg`aq!FAs)X6v^m`|&;~%Ic>f8sNkAna
zXY%>?ez15s`gl2ZMSd;Y1W?9Plc1i%79P%8%)lmo>$-`h0NL@3%za{zj`1Hx50;#<
zihsE&piF<kex4gQ9vLD@jq{^!02^QTwSx6ky9yfIc9oG_GWx4t1GZX3xPO<?;#ZFh
z8v&B@M5W~8tG;~s^4nj&eE5P~k6aq70CGuJ7Kc_Bav}YhV)cip>pLuoj&il{RnUI1
zDY?4f&`-;yOYMU9L~qf&yF%##*VkQnW%u6K?+PP}fA8D=gZ;(}9@-RRX`0d^BS3SB
zECAXqi1YGQDR@-DEs+ffK>%hi#H%hS3b=Hp`53Wo)3k>v;ft-fTcM3xy(*)z^%bdA
zOb`>g)CB=M3o9eEW~JdQj}bd|NT$%I+QF$&U&RIKeA$Y7%+SJB3t4`T(VxDT3E~_%
zW@m@1wxKw-;-pVgJ@o*$3V!w`y3qw}HR7SiulV_D2Oe&V`sPS**k0<x45vO!#{Lei
z?Egloknk9&V&IL6I8FHR6a8#$h6L|nvRC4@2LmnJZtr_Dx`6IV27aOe4d5t%v)tYj
z{FVGA<u6+aebIIUJcK+U4$Q^*Mqn(kU1x!{B<u{ABQRVyunNs8&-;CB;n%Dd6<Iw%
zvD~626FCC6Di5*i<5b{{x;WyY?ka&!MO=++(d^Z7q%$2h2Gz<I)n4}<RqIz9)H&@}
zONZPQ4^#gZ1(T~EpTG{eFTeNN*M6^~n)Q8YjVGAWIS`UIaeM|RX1B0gOUy_K%*~7_
z4NKX2W_JJunF?YaPGjoCO)plq&ZL1Q>oLVf<GHh$H2bvxs;@Mj&_E@50HS(L%lSD*
z<GHh$G<(U<Wpf;jr;nrovO()GzM|AP>Sg;#8WOC<B`3|S+PW(tdbC$!z0ADvubRVN
zaY2`Q0<n3;$`XLV_KO8G5UlkrK%4K(p3X4W)R5+mUZG*lTvIffYX$-T_}hQ!Ge2c2
z2yi_%F@($Y7^Y2W8rI+F7zDT;14LM}n=Ux0$A)HW4eBxA&`WmFS7c7YbV=1?L$kF8
z_1MsCtzkU|!1G)^_V}Z<s@Cd%FkSY(s>jSBv%m1E7wpr`w`km%9=kG8<Y}U-vre9K
z$^lE?aa`hpYukdm3f*Xfo@NyBPyE@x|Id8?ls!f34q>v43;IJBSTd`&>U1PSF$8O2
z(T!TPr$~HpKoTmDNNkPSQ*^g;J`y604z2LXlYZlK$(|lrDi=W<yb+A{6a*aFgb&im
z-h|F*Pth$8-;_zU!?p~t@{5V~6oV$VQu@Tn_F|V57XT7g8Yq$R(8eKOQu8Jy9%6K(
zYwkFZEJhG0`!c0ce{&REapBDUtxDsNtvMNM)L=p*g+ia){Hs+X&ua%Nv4^MZJmuz}
zROn6;d}f+0^sw!v9q3ltf$S0F@TS-f)NEe2JE$x_5kSzs#sK23jK*Tu7rXw7V3RZL
z)kq<8PD=J1My$)Xf8sa%^i;kN+)*Hxf$89c0@zG6)YyBgnC7xYok_a|b1jtp*%~Fg
z4rq^%NMR^*X`%HMW1I}!5t4F}K}4@{W8acpKXhzNVydw#b2fd&XxDd`Tv<|$hLU!{
zXxC3Wa80VgG>$D7Om_XWDdjg%=k#nas^0c%q1e*t2nU=*fi(G&*{*|A31<nI)C%!@
zy6*Ybx*BMH(3shg$GSabtW^rUJ6t0Er(fJvLy@}zJO|X_%q$ON2A5gYH$SWT&LZmD
z&kR2LZC~{j?{bZ&1Ps;>S?Cy^*&){^aR-6`fF-H%%2x#*V1hp81+3?)8eNbYuL=g<
z7Qh<wiqv=}Scnb41f%nC(*UPHSihmE@l0@(;Ds8`1bxg4+8+=br4_01Oz^nbDexGx
z@Cw?re1)r~#xp@EyiNfJs{>jusOMc!yEt}x@UTk1Xi=go3>i+6lX^^444{#!YH}}y
zepj^F<t6|YOBhlikpx7lG_;uO5&0Aq8b3E*->8hQJ*~o)q~Mc~6fBSQxxvF+6*h1A
zq2k6b{?3p7xZf~6Qxna~l4IF)y;4L>#*lMU1u-jITe93KiB2052uf1o>cIu0(^fPS
z(mQ~Kw<|`c%^k}fNm{aFnVh!jSgt|hnA{=lohT>5ZgWc*t;?ipKgZ~_ftQQ3GU#A!
z%Y+aYOvxA+fTHX$tfF;6lhamv-igCf#CljWCS$;EqaHOrz!A=lvUC901>q?v*(D@+
z+RC_e0GK513Y**L0ZTV~sCds-wW6d{TN?7b{0078^>E*VEZWuJSC0umPw?{$KF`qe
z#{!<4|JeRTny2LjmnoP52HnD{(29^rs{mYw%kQ{p=~W0gF4=bA6WR_yQk5&aiJ(yW
z65{^yBsmTXxbcX?0#pivuH*6f636}JrS|=Wq|1-bG2{t{CcyHzzr5>pe`y)<%h8|5
za9sDXS&`8~niXDakidj*=MUX3PyD%xd8yXi9p}ruThto2yGp!0?bVuZ|B@g4pPuoV
z8`|PzF^+D*HQ&=kM-k?9=uktT@hxAK7^ezu53GbhaI<4=B?(fOR6!kODawfL)k%;_
z!vxWzRt8nA#5YNTbP!CCd@Ew5kvJ)|kL4?zBQ=Q$?zhl5%shcelSrzxB4ZpAJb{1?
zR}BSuBBNv$q=QfeSLhKF!6U+*Mo=6ClSEKOPYXJ8PoDR@q&NsBx$jO&RSgPe)|Ap&
zO0}j+9y|PkToV9w^^D3_xbV;-xPImUyI&;9gWaqdZx0<#WaoIEE0RU$qsRM$0bcC8
zg(Q5V-g%}Q1n)_I&OIi6wri$4)B#1JS9IJUqm_>yZ;_7&V|6P@PC7D-t<+B`abxYJ
zyV{QlPEYM89d=^W(*aPfeDJE39&@-KUxja@dZkAl<=Ls^?J%~DqoR&SbQIVK91VTr
zS&&ZiEYCt_YGu!2yoFDKgX&pqfGCe0ad1S?;TKPsk4-#bZo4Z&ZtX~YO>ZM1+$TB$
zTSrZPp6c1a&%!f&erBw^$LD%4bHmDc%eR)7n7McV)t~u}X{NCCTG>b@nZk}_&ZkC(
zQf&27R7)s|YoUFLDGWUSJT$qrrkKKnlOO5}@Z_vOveiH-{G>W*3x?99X<|!0n8Gj|
zL#hif1*i66qSZKJ5`wf1pTNP=u9&Pwt=t#>m!J<PwVY~{fc;fPa0^Ufy6~>_`08<E
zf3O8;p55|KbFyo?{#*^Vpyi$j)bBhMvsBez#W_>+Zc%FmmiX&tn8N<jZ~DQX{e?-i
zobqrWOFG$ty3!#6XhChdL~<7mXs)z=19%w=(J(0`4oA=s6SUy7WDT6e9^);jqrmr&
z$q5ZS1HgCj%Gw5=A>eyV(AEZ?A>eyT(2gSCQ-Zd(@C-rU6I#xDc!sd=F?|jfq5ulF
zXJg-oq7|{9{)MMo>lbEM)plBWivy-aM2+<ORyR-E3X9o_DNv9cV{r6)y{kZvCC`4U
zcNIC()U1n00~wK!vu#5mZimQ1MgfG#X2l$A+@Fs~IANLE6!w>1-LOA$z~@9(Z7VS2
zrZA{L;x28Lm7J@DRkf8ie7i?fm^Nob8MN!BSI!M$mK5xgg8h|2yZzp;`;G7aBW()S
z8fn!#L-Jt1<ES-uvSUu8lmW|EDFut9B;<faBM}~okd2@R(-bT-7m<$ZQFeKX589%R
zTwG&XPqU(D_#jv(E&|lw(r|f#FCKb}0UBw=$$*w7Ef}u?Fjq@f2qUV9nZNoEvSZIW
z4tyPsP-0jL=~3z^I4d%`@U_Rl!2qx|0H#C!0tu*p@7+ILB<2P9;i+OM?oF^B$|d3E
z0wu|0Z6qO_<)gKNwZLd(-<@GJk|S>I#GJ(a#aXO*IMnc9j>G=vGELxoQ-u>H)|AHs
zbwsk3vhCJV+(JJaA}Xt~d;POw>g}~5Nd<mOCyIb!zJ8J}Ot4M#3)XqMgt)JIh&y$Q
zmJoOTEjkA{{weSJ@80s;r!h!I6tU@g9ZxZcLhq6ek|N%_Mb6N^l{l96?dle@7~&q+
zz;DxH0)tfM8G9@o{9z7nE)}fZhD^^XXpSN7X{>22g}7s#V==@X?HtP?E~aEi>KoGm
z3wNwvO%8Fl8`4<_3JB~`4YDX=kjC55;@uf<M~k<oCbdEG*>6XS_viE&?PzMT9T=+H
zjng3i2xO>!IZZUBa!*ceFMBoPkoVR;3$s(S?~Q#WL@0&7hym|3{B5~C5&kBJWCC)8
zm^DGJNcEZW1<I%B)K#+W4uxQp!lwK@wXg^817*ka3wz~_Tw>xUcYwycTeSELx8pPX
z&M*G6pSAM=8l^^oP?u)OVq%{uiP^E*`DD(097P4EUdKKlCI+xYTMJw;#>B8i9MMj~
z>r=r5yI_oo4;Ubiaxhjz`*nNh7!y~{cK~V101aO;#>6#vK5V-lhc&fM*$u4SLLv=<
zc3`pEaNG;b+@Wy3AJ*nl`JqFB87@<uDG3j#%^3t(5`w8ZQxah4o@BZ?b*AJN+~DWM
z_-bGy)Aay0r-t!rzK5DQ1_FEjQ~b|ur+?DJ57n;qP!{)>t;b=twm852yY!IXN)Nd`
z|7{QXb(_Y^dgt2l8L4v`7JKC<mTzqk0Xi@L(pSCzkKbI(H5N)mr+ze)iaz~lC>3L_
z(NHSJTxi29({7=d+TM#8bL}xG1mPaoEwHxgPY;k{%r#0Bl47pi7*j}!xlW_eS&X@W
z<s6C5V$3xjou%6|N*0pt&nQ_)x<OY*XMmr^J9N}eZv60=_o!Cbe|XK~mCEn&xaN#W
zN}821$;te%D(}X2h;G+!N|@J%d-`Py>QoKitD8mx!p|yplaNk5oof$UYR!plFUZa}
z+y=7K>!+O*f31FsEy3!ofu2YGG;hu@0!#h$&CeIsi_H4J5D_c;v&<ow;)J7r@H7*S
zef3}c)CWJ{v7%ZEtDhFTf#wLyFK^fEJ_s$3nV7`$%RusK++wyTL#RBzyhrTTtQc*n
zJ4FL?0ir2&r)YpYC0bH<iU!D2qOVh#$@Z(wsITMs<<o|!<@EC;U&lQdB$(U$d-8SM
zgV}9MRO!EDf>5~!a{_B~d-Uk*cz*c=2wN)|eI3s)W7ty9+lcok<d@NooYtJ}ZKwy}
zjU>|!NAw{)^bGsG59F84t+2m{T9^Ft4d$0$|17+fTG5goSX9Gn#TsA6O(vt`sGwVR
zFlH<-L2P;FuMxz)_8UIoL(jp+vPm&(uBbZZlNVGDs{yKks5qR%YJh4WD)!xBLpn#H
zob1&s!yQIQiaCxdh<TRH#U#akAS;waNH&+(b!!S@q5YI{5>1Rfg&7&A#wiJcUyHLG
zqa=ty>^LPsygQFWN#G$^qk#R3k>cJJF`h<XParndlx_PL%C`mYh%%1FJwB8XywlEg
zS+KDV7b3j_N{t@dX=Tu2J8ac&7i{b+&tJan;oBd+eEC445$F{J9m|jzi(bP7xtrzt
ze%fQZfBh$Z{@pi-9oo<a66u5f_SP82;K5_N0eb4_0>BCbz&Z;%WW<;g*rDiD6j-iX
z_T&OU6KNisLv0&hA-MpnJ;wY>!W)bSjbIbo8IL7;SbJPU(FHhFBFiDk=mI#A<q*?R
zbOH88WU1-&?8znmqEgQ#L3Q8f6NGB{$<Y6M0Gf=~4zj2GBfZM8oyC8}&v?<3$Q})<
zYqBff8QoF!Y98GN64~5}f=I@#G$is8+b!6kdsE3q+WloPojQqUhP+El?aj2*{;p5?
zJ>UFEo)0FM&F-^|(nz;3ChX9WZ4|u`>(i!XI;B-IL5!bJ&coBOJ1I>=`3h(x`V20J
z2%E0J2}C$bCE<ef8B7rEHi|dKl-NQg;ew3NOz;SxkCZ`=-H{1Y)RJ6~X#*34GqZ(-
z$HlBVQl}<C`V1xr`XR6^!W<qkZBKc9d`0>URq%)wRY^oZ`)iih1;uAD$pezNTC1)6
z+(D8)gGs{vlc<Qzi-$t%6C~*~m?V0gn6v=S6GLT@(+SseJ62l_ZDzQ3Gc2FXUfbX1
zYuQv=ekZ^oYZw|Z(+J`t$)B<m#w-9I#+U^V+QQJY16u5JdTv^>AovM)%W8=8jXg(%
zET^6)>8K4C+;rHgYw1lx^7A;vR{+Q%3lLsqWMsp)%mSp%85vTk@RrAZ!dy@^jh>jX
zyd+@fEl<(7JW)j7`;*`QVL$1eiMmivlO7N3OyncCA;K}dtXf&Kw;Ht@rL;e8HsmKw
zf>Dz?3pEJs+ZDsl50vIw^Qs^QDlBs4V9?D`lR}?RlR}{YT-hq|s7XQOk75x8!TO2>
zY&yrNNsn7uk;-h<*pJyNs_K>cLG@7Ps5XVezm3v~U-5H^7cPTb`KPfEqFJ<_Ok90P
z#{Z3yVdAxg4E7h9$<@Uvb_EJ+byP{T6X6ZTE17f{@|3CPU0&HNpSl=ZVph=*@px?_
zl-mjAkNMa^BMR?n+@Fs^JiY|xu0n9Wkxh(|(>a_28kGBT^6R)pl9r6~n-1pbfu5RK
z8u9P05r5t-YE|_TINVI&@PjXW;FrDL0|y27nz_?0@Vjj~X47Eqz&MH=A}mDtDmCIG
z!q{L2jymOg%$v!AG<UY*$%rwJ8>pB@kmk+=_u%(dp1i~UpvK7$O$}y(qzYFzA0u(v
z6>08Fke8s$rC_FMSENoe!6UAv2r`eEFNT4G2?7cOlT8B(UQo5&<tzL=qSs9Fh{->4
zk|32O^?UjuL(>6%@&k*Z5)N!u?d1rN#May)b%@`b=6l^|BV|}uB@tRkFoM>HGGxQf
z^P2Wso&P?(R4wq#_5@vIsOne@d9m$f>x|ZeXwCWAt+#*DRWrq22{48RYcJ)-o2TMA
z->jF%_O_yjdCRvZiP6I^e&L_^Yv1M3gS}q4hwJ8fP4=J_lQ;W-31iSefU@bKSI|JN
zPSMo5MM{SX8a$k3u7eA@I;GA)KQ|K>p!(wMiL|1tQ>?f?l70<>;5E@|gRMBJRO&ma
zDxhgmmUpy@#CO@>UiqYZ7X?DO@(<(ng}7inO0)7D8Q+D{qane<YjRGGMe2DT5F}m`
zMpp<guB$nwXfc+6V1A41X?0J>F1}bQ|F1l}e9N1jf8nhUYNIny5s;djyD92pLb<ov
zcL@k?CLs9d|JL9Brq?++r$%QM5R_OAX#&gp?{T-pk1b!7fS?MVFmXT%1RLqHO}V9*
z|0;dW$kGoa%9{LFVc6au$~XZ(G%c7NnqvpVFdYXnYBB!>er?Q<qyvrdlWn3b=D#@L
zNmKO5gSA(kDhX0PmVf{x3(e0X0YN><<4Naop1?S!0fAl3EbFP>>kJ5>3g&FT{#&op
zt60xRW=5UH-%Xu=nqwm8$mA7+87<CuEXpqf;Lal?(Z&Ner3?xyMc94NqhSuf`KGiy
zs1tg6F{Q7fDV=wV7E?O^7M()^_9wsQ^Z&@CDP{I%Rw)UyrI7$*t$o1eCR2LA|4Fv)
z0LqTW`b1L-+su+Pvi6)@-3UfgI$FhwrgXH56;0_8vn6njC~%z6dC(j>no^Xe7_dSR
zbE(?`S~8`l(;5Pl1k6D*X0uJHn!I90FQ2+usw4TV#gs0lbiud1`0^`ne#e_%X^?)#
zV@msIVa0^EvzXG`F{S_VH~fEp{%gHVrBLU#-x(ce1?rAAW=%ypj69;Q9qK;N#!ORc
zP->sRJJ6j>Q)+^aU?1pCrYWt0VDJ>M1;)_!C|hVstKgy2$Phd<sHtUSxRl-%a0y2q
zmXYD>4l|WtN>dxN<j{)X!NBwT)j)S}Y>NZPUeWV=kbm~j^AQv8NcR()^YXz{zjH;p
z<LC&t8_9Tg9O(|CvEI?+?Qx|04h&*x&6|y$B{+>yY(I1^|6-t%p=`8=xc*5!k2~Sp
zHfV}@1GWvQN{by?hWhg54qz8y+zenDd8Iv|=8GEw>M*u<YN+qs&iI|1f-OquOk>9a
z*suNJ-Fx5d=|j!snxZb5UEm3Xj*3izNIS@TTfRzo0_5^4iQ)E8G`b5?6WEG@#Bg;`
zJ>-JCmnP^Wh8xVHYYxr3YJ!!-5Cyf;ljJM%ew!f5?mN`qSt(m#fG8E43p$>Fj}xc3
zLs9QH2g2u)AQiQ(2<||ls<|S%jvK*%C%_)7J@_an3A8|a*#S?$wF@!g{)8Z{U6Vj$
zJGv7iu7T!AlGjqIsu<TLn;6Cw=ohn6l2P^Yv+fG=DN&?l>(-|5xwhu91J|%=Q2Pr_
z+JN{LAoAk?k--HKUUEQ<@#|W5GLXl2%wV{H0`CqKSd`J3256}P-b@YfL!bNL7heSw
z3&JvOt68S=z|OeU@lRsu?xrW!qJ;7{l;0K<%YtHgGa6nC+~e(oJQ%;?`(YOgcKO*4
zUV3=xD4=`TddG#Kgz{I4Aga&Q0ECDS)EuDEdc`pG3%>g2?oao_f}IzcvpM~+26vh2
zhpljz$$nS>ETx#&b(n}wAh;E)au=85Vy60GZSwq#e%OMGne2x}8dY#HCm9To&M}qV
zK;hLX1Rcf9+`9?@eC&rsPvKfRXVDK^!1sRLk}oWqoJOG0GX5~E4o9C1L)A=rIZwA6
zc&SE>PLieDIUIG%E1%jhwE0>#^;k&gl@E@d(F1@;zkGOGF!6I%_M??B^lB5mgrQ46
z><v_}s7e3kSAN0&{rj9%U(H%Cp{Jv@nb6xF+dP!FpfI7wahiY}V5BD$LC%4NSRX7m
z($#{gBr77;vtUl>VakZCI57J&CiHe|f%$<JXTK%|K}x@+gdV1Y>ztEy*C5*8_*_ir
zl^z|;2?t7{&C|t%9uOO)p0YugQgi5((8K6)4sc_RaNkRKri9)RgS7=g0f7F*R1)Z9
zX*$|>N6g~R7cR3gE%jGAfr;hsr(31})Fh9<pp^E217R8I#}qaqldPPy2QWLd=~1M|
zJn@KDFC9{_2UmW+<&zqp8d241(fR|!Q}p|Bg|9L_NMx&?Rcx62epq%`?aMlku1$Gw
z2Eg)<_7|zKhTlN>3Su`epU+s}z>KjpgcLOlK#hC|>V`SuyaS4rwFJT$W08S@gaoO5
zSu%NXe<|eo{z5J2?Z-$Po;9<K6!&pv8(9es>HMWe6K0-q+s|KTG1Sx10qNF$j67s`
z?~#YZugffd;ka)$u`gbS^YN7BjhV=KEqKcL2!o|H;fjZ;AF~s<!Y&OVu`D!}Rh>xS
zR)ez~x!qw<_W|GZ(VzR<+!fJCp>0hlHVnFmEIlc1Xs9`AtOl?H%wh;I8j>UACB+{A
zz;M+@H*`fTWP$b~{)C4<k|sW_NK0pel?@4P6r2N^1gV!y5T&}phJ+BS6{JcsK@1)P
z#|OcWCrm4AR-~%0f}8CT=SV>=oe!OYy2JLgm2xF&kehbN9Mo;sg+K)EO>Sa9_(!{8
zM@Oj??jai^2$*S)PDimy0!|{RmJ=o$!5K(Dk+>oz$&p6deT^AAz4MIs2ge4}K97#y
zAm8yx2SY7&KcrMoV5GXKJKpJ#9S;=!Q|p!ZH$$H&9qOFL&j>l-g%|OO;6*I&z!h5F
zBEZFEcokiSdADf6jn2PC=j4IE<GcUhmwe{0d(CU^z5ZE;En4=%pq61y4#@}Uy_c^F
z9LWR^>jRqfki7uCVwr&If)dYEsO3i69x-}#r@00fq)D<B_n=8Z6ps|bW{o}aq3JT1
zAe!tM#FNIE8PqH&p-egSe!tc!2}q!V#4!ufWv~?wo1OX=WE9h!jh`c3hAOz<7Qq#V
z#^)8qWiUyAMKuo$hp8k)d`WQ`s^lJ|WHiMbnA8412{#I(PReJ2w~`1Y-5kkY*qVoq
z&J@8=t3$zng`>yYb2<V9#b2FNF}*e8{W*0<Ah56|FRi^llD)8_mxqXwT_H@cqtA3M
z9z3$##RIgUsNe9w@)(aET$+>d;86fkiS;(w>Sa85WGReC_-L5a2bcb@mo9th0*`vI
z)d!C%74w5j16;*}UgG;X&cz%VcSVW=a%F-ek6F9`1e%oOtmJ@LN4!P*@gW(W`im1>
zRXikok9KVK&5u)f>@SX6B)Mcwq4%K&>T4pOQa3N)?Q?<&U*Z+%k<yw-gt%lmI?fXu
z>Nt8{lb&)p#09wh@VxQC17A`5zAKrdzVH|O{XsE+J2Hp1M-Pwv1#*gPHRGCK?Pw*#
zV}F61qOuPm4ZG8nVYv37moE0}y>cHxl8i?A+Jj=cz(V?ZvK_m3^mvbubmGx*o?wS+
zU%E}aM+i-*M))Fky&+m%yhlaRLX7~1*}n2|g5kvhnOFVg510&{u4vwid|6-Q%labY
z-^%NYf2*H{Uu4MI%gK*cFY*@|OZdOm7n%QRj3;<&AJw-~Lll3JA=rPB`H#2wBERX%
zH~D_oUEp=}%wM;=z^xCN$Bxf%$Q*Wui!O4XcAJYXrTQSy?tmAo=JvYNMR$w`++TEw
zsSpBre|hBU{@eA%Hl`Z8<HdFzPZ$Def7$lqZ*ji6aQyA+Vw+N}5Xk$BVyMT*4;C+H
zyS>=P%<Fc4u|<gMncFSj?C|?-alVo1aob&N6QTtI?GEvPJDhK1w%i^rcKF^82!XV}
zZ2R@^HW#~?YTlkMcIo;dkoV8mzr*>)`@dUX>=O4a1oHm9A1q#uK3<mUy|2Gk-Nd&$
zjGdQM@9rMI-5COv(EeS}h4=1bs|o|3%1smIraZ@W<(=FX+f2y(&wlvgi@7P!LpcU>
zH8EEO4OMZ4-0amEhs;?$%CSoL|Nem=`tT3;)SMcNzMl<SCboCeHA4rRd6XLrmli=J
zY+9odEE?#nXvAsFyLC@67&IX1eH013vt)R~J*1i<njf}&V7^%}XQaCV^9eN79#n>H
zvstiaFip5xwYtd7C42J5=YlZ<J^RSSwCrb(jZ8~^_F-&hTK2O?ho;FJR3Z#y<dkd-
zY=TVpC6h0yx#BB;%LTG>>AQV1S9G2!g-0BG%?SWUkTm;A>q%EqO<*;e_&Nv|Q>jk$
z?xnDUnMvIpU&&r`JlQ!ivRGX)q}%KTw7*M()*Dz3Oh!!_vVu{&wFa%EI<Hd7=npo>
zz1}pD?d#>tIDS`%by|&I@sP}Uw`fVl-A*d*^l@MGm)`w;lc~5ZASyKI+lf@%7G%33
zhzwgBBW4$2Bykp91T;Of>kgJ5{eEqP7+nOwX_y6s;OfZ2Sh9c7MZjEVWURzR*!6}%
zM;8H*O;oNJ@Zu3|FDAMOI5M*r5X5NjRxrB=2%$B>2G=NG%?+5|U_A@T@8u|Pve?!~
zC9Ab-L6P&2G;T2c)3ppOy*%V1hWLFKK9nbc4`rUF?$xzs*lKF`nYOw$1dMZ@d%s8b
zU+eA7THI+N2*)wN06l3dU60}bsKiVVoCzEwgfJgEs;!$-iJ9PNF`P=Q3SM0cf8%ph
zVkU@W9ds8|&IvrgZF!wa%mk5jFYJiBuEA4GY8<}BL8mm1e)y*AyWp-1<kK95pz7#O
zFxuu0n#!?l`1H$skd$>($ejc9WZSbwYTarQOrqRCxghgFEKLKvUT8W1UN3yhiA8C1
zhSH#-vFF^=Ba4Io((~^O4L#4lGxYk*Y3R9ecwSLO6St_<>vt7{@wk@`v6FDDs)O_}
zy>G(v-u$L7c<1MSKy~mH&T2V8gM$~!9y9>d@^tyCrT&1YD}_38glJLC1!)^?Meh_?
zgSJTAaTla*G{M6Tel|k}@NbmLxC_!Yn&58Tv5XBb8z<RzGtf4gV5MY4M?piCkvj!;
z+XL|cNF;AorB3CCrh{)Q?pGURMk$E4hxV#P1y;$;fdDy3qO7K6Dqm8xqe-HEj*1r~
zK~RDbDU4TwBy;Ok@_=z=B8kP@pE7p}td8vCVxIyrb<LXb_5f*T-3M$#Xe8tP!T3ew
zM|qz3(7U2|gFLW8K-4?Wc!ze|HGC2IJrAf5H%F)YV%HA#EKE<~(Ruq@$#{=2T^TiI
zIZr?&8%Ze)+nSgxjWL{ZbkrYPNt!5=#4tB@yKydqKoi3~nslDjn{j9&Js{0k8e*XI
zJY1H)kBS$iJH}jT`4=lA#)PS^hzeutVCff@Ds^9t^+a9oTy`tn3tbU*sPYdls`J-4
ze7;HXkVY*o7ankl_Es?b!gTDVMm7ngQe&@4L71Gv4(O6drAD+Jq*9Y?yVHVV^(K}Y
zH|TOmPLEWxV;WPU{p#hQFpWw@IR5dXOQlAsT!;O&lI{EBd}FB*C2{D#P^v@iG@ZX}
zhx5;sGyQq2WcB)Ir4m^l;d?CP;@7NQ5zQv{m6wZw3}#o(nFb(kS6P0-ruRa@g{);E
zYkBz6n@c;x)9iMA;&=V}kNaItjj8WT>vk1XAbcPA$ZZEAUve`<?j&syT{R{v+I4r5
zP@-+Ebu{blBmpzot{AQQe!F4rmrfGf$+NAFMjb<yVRvaPJB%}G1fxx_e!@XKy1ZgE
z>Fy?M07z_B%x+gT>F7^rO?q~_s!10xRX(_sUhOxB52JXey-a6nB-7ogEN(~`=c7-$
z3AB!GodHJ*8&^08W`FChZfn&fw<sfm1zpBJUF`?BjDNb?{>wL)hyJkFLp<)JAAkl`
z%vN=?s@)91EDEwSm{sH=FdjPW03xzv$0w2(nsEsGAJ5CO_8gbhdE=g8eA94OG;>Zk
zK77^P50H-Du*ugpBwwU=^UfL`XC!PS%RA3=XtM##@_yT&`fvZjCwrDxv(ctfOKy4`
zQX+m+!Ap!nO5}Ggg2(li!3qmY>k`tHgCRDiO0`3eB1L#_s^Az<geuhpM=>3-R5b$W
z$Y>T!pVppt;6l+(Po?G;qsct`eSqRD0GY1Az|U7|RYmcGstJ#FbURn5^xtBHBMOMS
z$NyB!qMNdH_e_%m>EoqRb)F7Yv{Ma@B%Kj-n1qJs>#*`nFX2fY_IB?{%L>oCMXeoJ
zvcfmRCHcpG^ZJ8+(kG&7ioUIw0_d+yT*u?4*X>JNYDGq;!x|V9M_X!wqtoALORHdQ
zAKoHe*EAZ_mYN`_ZP18;lqa62GH1Db1?x;(YJxiyX|>521ye*u$l$nOte84&7Qm*t
zsyk`;#1a`ia?tp>A<Tx){p@jrS8QEmsnUZE)lHj42Ui}F@qgp#B6-&uI#1rgXNahC
zsPlD7g=s}F)DIgfX1MSv*C$BT=;JpoZ_A0_A!`*=XT2Cf951&o4Fd~fj)bz8wxoD`
zakG4XoNsK4V2pB3$e}KlFDE?-mb$x-9}9FT=bO9oATQ?b$u)QLZqZ`yZU^P~&wb8E
zf6se7bkrEs%$;tnQTtr8Z2@o|uy!CD2MbZYN<~npH(+jHbC9yf>^C(|E=YZ4E22A<
z-3SB-p?|Ge(X(+l^cFfm1ko^uBwIQ(HCSEov>{U)(j<WUw}<v@9L^E7PZ7j9vY9rm
z=-D_3uJ+0q#t8&!?)+jr8;5gbd$m|vv=g_2Asa`@1HnnK$vB7hhYZ;`9(=2pgM%{*
zna(m~<FID8W4{LN{F<rKEa}-epa;PtA_Ys#$1ARbUC?a%tyWei&WSNp8(TVjb;BW+
zx*aQa)%0ge%73>1{2TwI3k_Lv`Q~z%uFO`nzrLP-(*2K`2BhF<MQ+K&Jwchc(E63&
zDBe`IG%ui{R9J{BAJ!mQ@r`4fie{PcycV;5J7)d8U;Lea{6G4jifsathWK$<Yu3+o
z3mI|FNu{+ug5^{TSmmo?)=dy}ptRNy+-^$mpbJVAE9=7;?|}!QwML77>4bMdiDFF<
zh$e9qFh0FBt-GK^u_g#aQ!(qD63eu#3rZAgf+v81)c&svTFM0_iY<b$)f}G=M`5=q
z`~xmXvtG8I*@IS954{7wKyzp@>m~`#12fj!qn6`*Nipjt3A@h`wrKwaY^VLn#jID!
z!w%#nA}N#T(}hbiurG;bjNZ}X?Ky&O0>2UcINZ|qiqic#Zj?s^L~YHQldb}dsMuFE
z+GG+)o0jK(Q1Lwv4B=yjnt#jQl{x^7BGv~P#iFRSWk3`y8;y+zvq<$H@X=d3T+Tn)
zsaLAg2agWEhn*S<d-Y>Hp0C5R8_N=a?IS$xXusYlGY7DQ1^N~qr0}?3fP0oOH84q#
zldrv%;d#G+cM3`$-t=x$*n+~E;eiK>hBO^>O=8RPyCy)fvg5@GoEQQrL$SP24*Qyl
zW!AUt;<$%D&bRG^s(X8$!qHmSgnut<f)%e&35PW$saDoJbZk$OH6?gRuZCcLY|T2v
z5h<=RnJlas9(Y`PN01zuP5_r{uRYzP4h$xuKS1=?zC?njvZEis@GSQTAqTLJ&F>lS
zQJo}%n^|C#;XLC#I_j`&R)dX|#3avrF@@qoo>X~;v1wKH`eNeW3iK|%aYekom?ZKj
z4a!lhzL?72VSDvoOdQ2tOn&s&%wHq_WanxxCiZAwOdQW%Onw}FF~@j58Y~;|VG~$1
zAp-HDIl*hG2N+Bt8N9}AuTuATLV!R^;Jw@C3Bmb7OQ8IT_q4nB2J(d9{G2BP#2@MW
zWjmZdQ+B=yRuIsP_;Sko5trjT9TJL41QK=tZ%N8vLZICt9kAsI+1)Wu2+lX6G{_Tz
zK;C~p5Pziem+ka}#miBsW6gkq^#nWHCYU^eCkL($aI|cY3n>iG*aXK)-NaI09P#Yw
zeJlpzkMIwp2TK5kO5suC2@ki3YOD$HD%kHSAC{{N_S*^Y;7KzAy)OBG?M%4WJH}PJ
zzKCfobyxBRYt^qF5;efk3^K|ee02}W2(>UzR3M&y#FsB$e*4Rp4_}belI9Ac{^XLb
z1cR=?d5jB@3z&UZ7-P-3E5ma2gol1w?ozOdyg}NtKku$kx)3<)uDr7M`MW|i&d2=q
zm;c$<c}i9D+m=!-*>1FINaHy4?cqdE4_UkNmBn62D(=wodxT4XaD^!76)9tqpg8v?
zs9l^~@wfwkB?*eFQv}NZSVVItk{V_~@pNoOWvhWs!$g}_FjWvSNmgAVuph!DN{1Fd
z$5!0z=-<K{gqx+gO22AxbL<>9TP2}^`ef{tR@B(bBu^N4D@%g@EZ0LP9U^fijKvTf
zhVHWTI}!Z5lHRPOXO;4d%!Tf$$fwpJYQDZv8DE=6?WZweeXOxSi;-?>QCnOmN-9O0
zgyLjdUBv5~Z1XKw7j+&)IAIx|5NxZ2C&8JJZMUt6Niu>GjSk5+OVASc&(4g5=Dyqw
zfpN;S@7j4uV?JqMoO!p1#_V(6_BOwfS6Xnl-&eZ>?hMHDFk*pu+^4x$;8XwT!yo)9
z=U~yB(KDq7j2)NI9k}#t0h$R4Xy!!T0H$<mEMB#_Q)BT!<0=vU5p$9d;U_Z{O=)FL
zI3dl@3Pw|U#MB{8Iu6Xwi>4IqmO2;#BbZhtF{&mJ4n#Di=#bDh1rWv{@hMq|Dws@Z
z8GVG9l@s5atI;%OF}k}Avw{-cqCT4>&o;VhgpFf#*zor3q}w|Aq-#7e#ApTzDt|*q
z9}GuE$q2GP*s&hU2QZpQ9!blck^;clq@Yr^gQaRa0bt0_f#p1QCjibjcJ#q6pEajR
zEN!?Pdb~9X=J<L{aq>7e#Y9<odX##7ZiE@jQzM%v@8)38uD3>mvBRJEyKngTcYEw$
z<$0~!=#~^H=tZMB>dBp6H#%#MkE~tH=&U&^JQExpHAjVKg5#6skOpwc(Mfak0BudA
zQnVp{f7%x&{qhyGtvH7!S-Zk8rKjoOo>Yw<V;G-$UoBQusF~1=mDGQm-z1>&{giA?
z$WEnW=fpRzt4mxmq8=LzB%%svkEkA-6zaM~EkeJZgIav-xB_s9nB+uX)miEdjZ#Uy
zQ{ARO3<62L%XXs=!>0T^o+OlFh&`zH*;N(zeSu{`v-SDPv#d9v-I&yQHC*D9C@kJ_
z4SbUx9!_Z0Okqh+)qN#0slIrVFuXb`_^J@g%)3Rc0a{uJZl;Cc-+uXppZVg@LLg<!
z7JP9q&S=08$`A%C<dvwU;1zb5xQ+TP(sg_AH#SwoRNX(UP}HX&;C*emQ4)Y<MTc{6
z@<_8{D0Oln&Z=dDpTaqg29AK$lc=Svh@vFn925kr8di*gq0kAM80P&1e6i~tI@CFz
z0ro<t8XR#g?Kx`HV!sbc&;tAbtfP#3@gFjQFWe_tZKTE<&r*zd*%2JsM~%Wxm}}8H
zdZ<M|vp?YkCHOp{F{Ak{#hJG?j~tOp$s>R&Jvd3aKM2^QzKuIx`YOW}#T$gvL&S?U
zPvFgNtJV?;)T3)I7m`Qg2nuV)TZDrW`hoLAO<WrxgJir%byV(=xP^4>ldg_NY~v&_
z)zt|xUW+BY!sF59hXO+PM*NdXqX=Ccut)pIs||FipTZ@+@+}U-^}P;pFKheOQ^Z$(
zK>5^oLuP2~ukpTl(o?&tgE!QhaVz{VVFv_T$$gq&q2^H97;M5}xLf)dX%i3U5Biu?
zftC>|Axo<xQ)<(QByrsv(x1n@At9TwXZb$1;Hr6zG;d;G23Q=9bQb+fS^iw-Xm(_&
zN^fHM*03|O{M4`f(GUD_H%doA>RT#Jw}>TQDgNjPQen*im9I)w$^?tzfvq`$21^n^
zE=Z$ff*3J`;W<?JfcLb5RC-mg!+;}IJBOYib)E?#s^Y{0R;5HY;|cLY(<qrBItvJQ
zha#)311?C7R|SFd$Dy$z)R-pcOmMay3mTyxv#AC!+}i-8W<WDgJJ^5C*HwGi_xyWZ
z89lez`1hr$?VRkHI4Z7?#2X3Ot7|s3m4|ae2`mBFd}#HJqu=M<qE=5Y0oct1U~hl^
z#qau3FB@q?y#-*Uy&ksCM(kk9oOW%MuZpcRLFYs1x`HfsLE1VK1Z=eoo?Oc)??fR&
zTW5lY&4EpAKwzywlr4_4uSi>01p##x>$!*VYz1lSOb}S{HR38xo!s??_R!W<!9&*}
zQlntkn;Jmpz6!Fyq=JkGST-tO-J3Lu)gGk}gH}-faTrRW@-dlN{-w|9cwOTc4QM^r
zp~b4n?ZYZ!bq6mr+=_~z)M`&~*d@pe{%fzD3`#pW><~!lKiLijrL7Jj!*{3EA)GJ#
zE5BgyMBx!~$m0YoLkwcL9AX-SeU#+t`964gPS3TEbA9l6%eT6nKKSYnedgEvk4}B3
zv4ZKP5;=sfoFlMdDXPwiq0ux>ObmHf4iV|iiZSs}(m2c@**jm(3Mw(?9S*fC2W-Y|
z-XSH1yeo%YHyHvSvku;sgV_OXpFm1F)UF&<zuI(IP7Kwq9L!klNwT_QKRKSj(v@>0
zlX5=Glo%>?d`Z#wdxBzO$R*LB+dF!^J>HcggNvJ^r~6a8a<(u<={)D_WYxH-C&z&Q
zBN0kp8CR7Um8gnYAI?x0jzRsWDoc8r2J`QO{42zN9N<4vYif0;RLX<yLTxGO-d-sj
zG;-%)$TWT9%95q<b80=x{u}m(dQ8S*D!U`4|FA!@I|;)Fw%>fg;)>MlN{cLzpU1N+
zvGoPHEBv}g&#vINWKQ_$NvC|zmwe*8*IuYsn_#NESO~Bn*mS53ny}=3EnhV*CfLi%
zbSUK6TR$(lm_Am$+mLD?cx4UcUY!nwmOoW#e(308Vq*AF(kW=^B5y)kF}j$?PH2KS
z<(OllvS4&E_vlTN^fm4_hg+u=lZ(09b2=1#(RTd$q&ideFg9*c^plF+OvXjm8q#0#
z&0}y7xW`FdI@T{pBAms=ylEFxlfayhD=A}pQSM_48s1XGGyFs5Tr5mF*ZsUB$S6;7
znXIT(o)fpIb>Wxv%*~`{UiXb3_G{kH!ytX#`nGekLH=QSvLXr@WHCVPc|(j=HaF3B
z!rL#+Conr&im*35+s@H}iP3g~uUlJz*^S*=x@bFh`#l-Jnef@dHs*7TwiA&EYxodE
z<ySp?KgVP{Ex6f(d%P9Yv~!`W1$Tk6bt6TD3QIn=2H-|)i=i;6gU}wIN>{fX{5*S1
zE2cJa?Zr`a`}@CV*qYnFV6<<ycpHNjer`^o*`&m{Szd6x=K<g5;Ch2u4(~By3qk$f
zky)N$^=T(&TK(3fFe~(%KK7Ho@m-#+R_vBlW_1gd*-F871TUEsT+3G_lrX{5QMgFh
zFKFda7v#@a1u>FU6zpk(*S%ShSqBp=heml6NgAjc6kL5pszVb*aKbt&Vp4<(s$gA^
z>aYr~wq($zAQ{B-id2Uth{=qFS#6KJbt6c1Xo6^b=JYKz76P8z{yd@%P0~Rt{FIiQ
zt>jd<IYw8sMb#qwpb}Zj>A%H%_BFH}7mxonimIN;l#HItXxVq^7xY{SalPl{dL(HW
z65FYH|2~u-peN^NL&`He;kMGlPsrQ3Ds0~JLn`c_{=J|0y3coTiRM+!qq8ilBOak|
zTBux1oq~{<V0(f~`dlnjVRgmb;fPEFm<|A`YxA}#af%Eu)B{%R4(O_;4^-A@65AD|
zha!z6-y=jYCbpqvz~6*JpOB?jkIq-53bS)Ot$`{LLFAa#J$1pD*gk+GMsY33#I%Ab
zv3&sN50)feWnIdLzNC0`c5pOLBTr1pnpX;vfk6Uk(heRh73cxIY|Squ#@g0IIvb;0
zc;zRMShbSz_F%X#8qsA<GHK;sT`F0&<^gn<awAW8XWHz4WJrQ*3i~H(9#JT2*Nk_l
zvhU#10HDp7RLSky(T|v~SfEF^`gYBDkFbYMT0Elck*{dB@d!%4B;nm)GzoZ7(q|V(
zb+7l)Q8#UpeZf55BIfa_135nTZD01EK4@KOvqNg$;4{{_s@o%dMHqwfRdS_K3c+A6
zL0_Q3m_BzBbXOXJ#}3GRC_0)rRSUkNyV5WUJ~Hgs8$#x;H1eC>TGiaX6EtKxw7b$w
zgklH}554b<Im{33t~Bd98;rGq&kfv5sl=M#r0SxAs-9)gUxoFzetkWC6<yW3lpMwX
z=cZ)xiXo&gb;1bdSfGxtKGd;1qPOeut|pcr@_4`NgTCm!-#=CV10xUJFXk}Gi%JDz
z2{naslr6YYfKiJe25YFMaY1St6AUA_$YP#Xq^2=JaGP^HFmibSKdV3IE5;n=4(_Wa
z{lKc&u1HN|D?$%(<S*-g94wL#9dnp)&@>j`9kzwU(hnVTm;lLv=#eWT<JzWAQw|f2
zNQ(J}!(Uh=eaV!=tl|A`$9dfOEHx@C*-kVblUNByhp(UOne!E*YP{gb1?}iG=uvyg
z9?qR;%!$=$D<5XCAPzR^>hjm8L+>d_YeyMSkV~`fc>oS+2Mb97zONPShT3Cz(Iv?l
zn{{ufcelJX6AG`FL0D>&cQ>7Q-Ysfv(o&nencC#Lf9ntaslC@G)&FQCh%(g+DZByi
zoSCfRwtx*4Xhqs}WX^k`MvNf#2lxt1s_a+m-k9DPK@{>n`upg*6#Hgwyh1eX(D3(Z
zuIcZioYx4(2m+)^iZMSRKu{;eSBwz^CXtX~onwr<-q2xB#`Ee|q%KD|v}RI?HrQ7T
z$$0n{Xf`cN8p(N(j07A&wF9n>HGwWF-LpZGnXIyjq+zGN0??F&THYil?YbJ@f-0)9
zNd2H+U(c>{kz(7mNz^T^e^P;S8&RWsQP0&EZ9Y+A9L2ua^_#cr_6WYpBpm0J0<IGn
zeaIjBm>>B8SD<RmOo0~L24G|bcdP*c%w9Sbs0ki6TN2G9f&%!kg*gO&2}vCbwe~wS
zoaPl{V07GUN!iAjtPiz}fzfDxPz;Pl`-5U&bU@@GdJz!!249h95kpL85d*PNu;b+i
zL53;?MyDNzKuQo}>7O7;1!}(}*obsK#ttd;_9st)5fms{9}pO!mfV|!9Pdu0*T)5w
zoKiS?ygj8C7i;df{VPiMXM4~>1Om7Qk2+g@iy8%=tE$s;q3(^oEJ|D3{DT&Mu@rf2
z*0SuTN5)in-K%JF-)nDs8Kpqj3*S*N{@0vJvq{OmCXZ#?1>%155O;gRTZsD&A>PaK
z=SFCA75lv9+vtKYl`sE_|KwM_XIinJFwRf3>$;aDimKzVKCD#@4=9AFIcn7yZffCE
zvpbMcdq-tB5yjpUyh^bbtcb68m16H*Oj7J%Ux6D-)E*kA8sif)Uc3gWUhdbM9yC5H
zc1$r8TLE=lW;6Lori_<QxW^u?<x7g)N8C>-c2H{Th<jjvN;NnfJ>DKf4<*?LyW3v6
zb}JgXaE;bf$w-1zLmQ%O%_l&y7cC$8TTHPRot{`<?$kxM6rBCNcOR`di8c=KlyTa-
zwycVp`dN6Er@D9PKD(0+2p~B<L%IOM%Z*H2_;%lJ&d|G$(-bgcdFpEO@+PdtkXnEC
z1HbCEf6hy$`bxI`dQid}Aa1gEoz!}5WCGb%s}7>x@J6QHx>t6H{vdo|rs)Ci2JR%M
z={4a|ln6?u*2n~0N_*(2*1eGlYOq}~+<B%NN+T1h3N3OvsrA~(g!#B<s<!GIUgfX4
zhd4BhX}~3%av-Z~T0VHGK{eeC77t3%pt__%gW*|RTIFx5RUXBI5bHrl;nxk^R`3F@
zg*Pm()`V8+RSRxkY2E+0Z~4<d_5pRgr6yNQY1Kp^m;(hyxZkcg5GAD{skBTG?I0ZA
zh%7B8y0tk~DlHR4vpc&pkfmK8KrNM5j9J<PR0ot6RvdtsM4U&ZB!m}LV1(shi1cHY
z7H%MFsc<n76qhaz7o^gv=LiyfiQW$#bI<u4bC$MH8XwRWqT0<@Oj%k-t)Q1w)mxC{
zgI5o}XX6MR;hiNef@I3l?)eK+67Fq2`b=-|0S31O-N-SMs?Z)>)=wB|Cn8nJVlt$S
zZ+YOrI()p8xcl1L)JNEH%w(fcaZuB#{1^w)MP1F$%?UJ{ln9s=)28;9$)WIEUsPNp
ztWrM@A0F%r#A1rS+#%i8BAvvGFSikr61VXcAP_S@UV_xDlE}U*Cup}pfuWHM&-MjK
zEIBovYhplYD;XZ{3qTJ_V(f&+yp;@3_XUP3VJZTP6{~d*X(g;AcAiI6DfF4$BZO(z
z6t!9<A*j`gwRu71EBx}(Ia(z*%(3z51}AHKMewET8-r`diAtFUjbYb$4=?~Rz6aPW
zPd{cK)=$0n0AE@e!`}n!`<U+mR<QnPer(<Y9Ms+eethirU}Sh>k1Ii#Ed#kjkLv&c
z|6^dXVUJOQKW+GQ1?nEz_F_KRBuPYAnQ#y^bg5xL$S1@8vK{u9>5{%bhesxI2#lXh
z$CvG}zfg6C{lQ;JFgMx++2eBW*^cjfJid72mE1wNBf@ff&aMp0;c^nOP&<nfvmm=u
z+yTQEk$m>!PYjQ2r$u(Bi!FPY>iI(;oxg1R3F~x8KjEzmV~qPZ*N+`=e)amd7~UE0
zKPDt@6S)Qi^6@1|srz@5VXB+@co{jyef_m;^YzclBumpB_pt>c4(n&*CVuO>iKVuO
z&@95mwvkKY{^-GyGgjv%RvvR7i$OQ8T9PU_F}!j1>I^;StRCf<b^M?&`UhY186KWq
zF#$;34!kdGK*^-1O9kl?^1Ufr=wO4Xp<c!SAmZ%s%DNyQ2ov04N)JpD1P`cKG=jYI
zCWs0Rk$ZSlU_D^v(u#a0svuH?aCj)#^(NZU8k!)wCg`?85C!-49BB-zAjTPq=>P?F
zX}2q1O*6Eqr!-XP));VhuWswW=<YW`0MxGB_(IKQagFQ|8$hcqaNcCkkTOjAOtxJg
zYBIX&Gs!qttQuKJ!1H!~16z4MT@$2%46ci=*}yh$d8(M$A4fIwHShZ25B@8^wg!~C
zkoDk}WntZH6eofgZG<gfwQ2_ZXyVXfS~pYjNhw4%<6XVzcxzXTY6dlCrrBwcFqE-b
zF{&91;8cFc6M{N;$m!6-YG%t4au$qg28DMNKX~XZrlBRl$w-ZFr3GbSye6U`B?gd}
zxCqS1ZkZ%{o9f5L$Hda6eE9KPAM;vD=gKTs8pL){vj>b_+FxAWH+z0hsC`TDg0b~X
zj;5O^ucAp}fCFN8*eN;_t>u&Ak4c`;DIy`s2@go`=)p){z~Zqqhg$s_jd2nX`D3ml
z4Rj0~0!*j?c{En5-V31(enpa26z7SD2$L1^V>$mJLeLN%B5bt}5n_&Mswa7JAZZT~
zKQ<2$mbQn8AMfNL8VP2WYR}^_)oB68V*|ui<FOs4p(A+n9Z=dwE-wTVIxgME<I1)h
zPsCaX-h6)shvx)i2qy5Vg#8g5CZ;}#HexWBqYp#~P#Ix=1UIA6o5Ux6{`kRSY_#U4
z8-I<2Etp~P43U!+&+g+}1cJ7SP=^E8On=;Gm}tVNrD@byL!B-k2r*zd?(9(GT7gUr
zcxq%cG#-jeSMn^~C?ngGBXiZRb+#0DU8c~#^yZ6xco_pgpCmteSGdbEg?@!63SI;s
zD|)p5^qGe*zw!O6M>|~CbsXl#klLl@Q#{(Tg)Ay(PZ8Gx=b*&|N+zYCJRFBP(DEiJ
zlOl*QM1+H2#ctiBHWzhP1os_4kaS0IvV;yov-vsFqqTD!ZK4y6X6HE8$wr-HD~@)u
zX@pdkJb|-9${Aq%q$5xJqF>>MOmLmucEcJHNw(mtw~|b9+0n<_<LI%O<am2ryglRX
zaq<2<PJ5g>=RVv?w{HrEsjKyTw(7AZe@%rgDcw&=*M#)rr=u<R(EeTEI&WY$JvlJF
z^m}$-MyZCMV7SiGC%5#;J%n3B|E~HZ`Vr>B6vMp<XOTP5jF)A^tk->CdU*K`cstL(
zBK>aIdhm{yKlklA>PEgZmnwfXXAJ3jbx*}?iB}$8zU58NzwlN+g!mYAFFxsY-~83z
z>v?&#M25gHyX5Z0cD&8QyVbYIyf;QM+Ar@`-&zk^IT-A0G+(t_eZNBSyIC>17jEN0
zHK!$IUop8CwOjoJ-p^*m=w7%rMykIRjP8XSW59xYP6Okn`a^oJI$+M}*pulKGsco@
zV}5JogQdS@pQocq9rNd7D3AOVCYFRyUJw%@l-7~c9y`N%1JpL|z^5ngfE(qR@^&Ki
zZUUMs(Y5dY*b}L7z9?JOLiCftTvs0CD^%cPC;sOxPrceE{=e^=zW7^Sb{-F{$y(xH
zw<7r_kTja6FJF}~$poEza|cw3#40XGJyr#+0S@yMIWQMNjSEtbnIPm?<l{8f13D|R
zAfH4N1bT!$2yxn>uSh*+g3hUlz)O?ME=WCQg3hUlSp)4=Q;(S-loJ`@klaO2a(j*v
zCYdCtHpqj_S^^Tm&DBVlWRg`kLYtQIMEPodaZ|O}6>VUl^e{7L%#5)YG<MUi0;Wyv
zuN<=ZAN$V!HedT$UJi40nqOdl5o|0}#5Yexe1563Jgw(yyd{FTy9i?5Eo!aC!nAQS
zOdCJ+)1UgrOSimQA~4Ha3I<??>e%1RV#<cR7^xB(ikA3(3;Z;zGy}DHT3%bxi})L%
zoJcFu@|xgjwINmrhaM>6)AClq139~J=p!&dZM8p^+>aQAESb#XW>Yx4%NlSaXnE_3
z7`>>qTEJ~v^bswu38Lmp@-Ehvz*X4%JYsoGve;8vUcs5B%Z?>wj8WKixNb=9z`vW;
zoE>YlmRGk692H<x-Bys1m^d?k{M(p7k$u%{ub&K0Er72F5JrpPeG&|BdEytt8}dTW
zzw;fn)b`Tz@AQ05N%+)!(m=+Cm9;r}AxNbXtt7eX!WQDunwt8WxJ8TMoj<_(oYdVP
zeb-z6wSUo@r!;nMQ+Ga*ZlIAf)}Hp@mlCYfjg8*{aU<#9Wh`8;r97tYV4nz+q9Eq$
zrL34XPu29<j_F4tnh;LIi%8YEn7YH)gg#+KR3+QuTuj}KHUQK>E5Dnj%HT-1>7+TW
z)=+I=ZSAP|x$^I2v`){G+SfL70{a=?WHh+^Y@$|0Yr%7N2DOrw+B@7z`lt2?{>{)Q
zN(bv|;jH~_s;C$6_Q(>0OkO8s`EX~-&8B3iqFx*hs0&jP%nOAuEl7qZ`J&JxKyrQT
z@s=ugqn30p&?m&XPkc;(U1^@DNP2LA;Y&PEk?cLo(k@j_<^pp|=x$PS)o0xaiRg<R
zoIR}|lN+KvdU%{K00Aw-zUe5olHqy2K&F}_1=xax6it#m^C<<KuK0NspeN4`wyyB^
z>}z{UAvyY#5|VdWT*wBUSC+b>3F)fmE<UM4GX8JnDILM;wL}XGfBkv<^)2*Hp>!er
z-s^*Ev2wuLrF{JqR!%ybJYU{PBTzgb->8u97m%9g>lMNl_D6RPlu>A0Vj(B&FMznP
zzhDEy{%CwkjbrF!%KJ;Fcda)x&_iiMbgZ8KT87mF8ZW3u!0)6lyu$CW2@Oy;vD6j{
zq0a2RkHxmq+RL_-HK{P9C(Bmn_c&AAOwM2*US|%WRynJluYy*Cyh3jF>hvP#tRCf9
z;QYP6<9C1ImwI|a)pXBGs;qMZXs2LX&{+;Nk60lL*+q^Zc_6XC>8x`DXs1}<BoIq&
z5Smh38<e~x&~*w(8w47qd)p0Quq&i{Lr$I+sN<-Be2&4aATeO1bLb3c-Kk(z(7|M!
za|43eORAi6C|tG2PWY+HIj5!Ic$Q~cs%oeDLkdOXN3)=YrCKQ1r}8g-&Nlr57Rt>=
z`KK{fI2ZO$@dWtUzZv>eY?D3f?cb#^@CFWCPcUxPUk>AzZCA}w8V;L$Ppf(Z<wkhH
zp$n1yVH*ZHgrFMXFK(i)O!{g#G$v@oyjWLR2`l2tFjq3~DkrUwXTG_DO%c$oJ!n&?
z7R5Z*eFflB_eD}7qFPdlLcTL|@C0Np%ww-7KX{9l?8WV5FFyZ2{F6WbuBlGF(+c(X
ztEvHfZ7nU11VZVw8c6cTvO($dl{)-rrydAUNM^y5Jg`hDeXe{}c4+)n=DgGK(6zby
zST;bDAjf1db&rvr&5E?kw&D&EtXTTf7Bha5;4IT9W(t9SXtJuA2C+CrU~ZEYs8D)S
z$nZ~Q1|^JhOJ+yM_j3&292sA{7{`*dhX*bFl+a@O7fFLqRzas8D8wYYFxjc6G>v>L
zkb_D;dBJ^`n{#nSr{015Wqg9DY1%cz(|bV|Q1e=#Q&yx|IUKVf;qkqoS<>$F)e7m5
zTt`ctdT`k~Ks0dl4v>>1IsYL-h#npyY&~wLp51Nrr2HY$T!7!_^8Mv)K13tY|I(@V
zctG!^Q|}Hs^*TnNjNY|V&o+_QAcG6?sw;lm-we+0{iQW8x~mz4eRZ*I1fR{khhTqd
z#f)2TfBEv^3*u}`*P)c+s$_MElVEKE%hkA=SE=jASmOK?$<ms~5a|57!qn1-{?On1
z#=rinYHQwczlZB!$QRuL=CoS@bcuW%04v0$qry?Xf^DZ0V1g%*ry;e(8NV3u*a*@G
zFu}sXPe2RCt~Y{AEmgt&k#pR!;vNY8Bsl2;s24XzETa<Bm`gMH_ScQPf3olFuYcp8
z>^uAG-}onW8-@{<eW1tTVjlq3r;B4u_cqyL!pHH;Ryg*aaP*KJmfTjz4r{KfW_NpF
zPDIh;@O4Q_`2IkjCp0+V@CYP4J1xFnJig=@egFMMWc4C?J|)+sgcWy}%wyeM(u;LV
zei};;-xr*`XP4(DNXkQcZh~aS@{HK+9hg|Y4et3?zx$7U)at{h`0??oZ>4UjxbB#}
z)VI1M7oPF<*nu%6e5*~+yIwKsyRGrXgfZCR%LF9^3vCKICXDx+wbCAg;&Ij17gNHx
z&X^qN+KCC{(XO4CFdpsN$qC~+WOATwCnb!%H5a|Pz0`83hY&_!h1G4D)>VGxY0Npb
zi|7-6P<7&PH~AvzJ>9T0ve7Q;elg1&_B6hdK3hqxhNjL}(|7jQwVi*m@9eLCGxUj4
z{dpt!1xpakq$jkKD?9C_8Phgxvka1`Nt;S@Ow=#(SXGVk*v&F1fTivrut1)ZA!gDy
z+5%R8lX{jMaX5d?8Q1fd7(HZ|`M%Z+^L^;%yUZcaRgvYLxQ2@S;rINLuYB8-igX46
z6qi~(CDyI<+>g#(iYoF5Dp&y#1KQA=3=&nO*VHhoqCIp}k$@$an%cJOk<up>S!-$-
z4ANHVqKdqQ*-+zioTQ3ee#u%>8=T`5Rpj^_r+9Y?LWh{Ev*$Qb(TD<4HTihsb&FE9
ztYa@DiB!>$veQGCIUhWs@(*`Az^QfLWbQ{)sC+7ee$hc7K?6f0pF{iC!dIYw?{-cI
z&i4>32r6<=f#HH>NN%`b*={sw*p#2gTuzki!rP7n2!7qbyV`8Rc!9Ivd<S)&dSo>$
z_9EtJeYij<4(hz+haA*Tf9Vf@#&gaHW9@XHuEsN@Tc{;q-Vbyond`R)Re|NJ5_VR>
zN}3P6n&zHeklNP-EA8DLnP-KTxghU{2|8*1hUs_u#xBSkX@cOT)A$tmZ*~zTL26$U
z^zp0fu15k+?Q4Q{{3=Q)C>-S#>7bh633T<6amPT{GM%n`#f&>r0#y>vC@Ix3e|57j
zlM90+wXdytfQ^uRKfr<o$q^*u%{ibDB%waW3iM{WO10Y7+-<ky>mj}V0r1>>LE?3o
zB*wpM3(3AS_c$Cq-k&{CA#(J?YEy<n1<81W_Nz{>2$CeB3^!;pflyU#n1`Y&-2G|Y
zE^Q>JM(K8!>PGr+Jztv3$NyB!&>Cf{jCzW4ep+CRaocpcPh+7Du|xE5^_*8XI9zuO
z_e!#ptP!C(Mm0KBX7Xu4eSVftixhrz;%O4jQNf^g*+lX>xV&d^zkqBH+_G-Mpq4S5
zDVhMj;?V?%!{t784iS{+)=a>Cw!=sA>={lkRkgjW6HaeWt1s=Gciql;70rsJyIae6
zSI`+Aw~*B7M_7v*IVS{3pFNN}Jn4imzxv<$2mkovoe)MXgW)C3*u+UY$b&`0py@9Y
zbYEa#fKK!-!mM!{LF+~-TCWl<0-}ZK<*h}G#>-77!a+qh`ijxJaLc*flpxRqXIVM7
zB0wUBjWDxD{xzB*HypjMDAs%}n_|<5h_5E>5sX&d;7AUatM)7&^s1HpXp|VWVoZ{|
zO4p=#Q0d}11(n{Wy<r1WT19-FET1@7+OX-|tam$JQKFJ~zKsd$^Oa@N8D#y>Noz?;
z)D0Tl%8(Tj2jKj;q&%BfC>>LeJqtF&KvF%;G>{aHVULu<{?-5OM>d`n((~+TjvAMM
zf<?2q_OfNoF{)ys=J2>=eE=y*)<scsjOwDOIcgL!HO$MS2w=C{04ByI9z=8kCJT<V
zB9_W;@QlY0ThO&P7n9-=k0CIOw!LggoYysx{YL}?jfoi-ZBQgVRwg;3TW4uPb-SIY
zMYrAG7e(V5>&6}Zt5u|{IRErms<B^9T$1KDnKVa^C%ojy@j!L&pqvbrjbH*HdF^SL
zq1_(G{hzV?V=B+L{N+FW@Dse5SKr8<^3;kRlRCKcMmsQRYcFz1?^5v4bCSaE%A_ta
zitHC$k)a|frmQ@VgcD*#%o1poNK~G?b;k}F<cpDKXkEkTc7>$Qs+T5;%Co9tOtUWS
z!R0!UtBFY+lo`+%Lq)gQ^+qNp<%u3rlq5tY9(zAzQl8-DP*etR9A(I?AG}eX8;rx2
zMA4x%CkM%RcQ8<oLlltI0VzuRTc)JW0fTD=#0g@-Lf0JDO!vo>JfI$htT0H%8+1Bi
zx;bw-1_1VccX5Eqj<|yWj>vi9=xxRi`3!&FP@=G32}6vU$4DY~Tl0W%@^VGEwB9|6
z^Zd}g+YvZJv$e;t!1!q1h%r**)K5OC5t&)iZEc7yI-G{O+B)f8!w$Ueb?t<dg{JLa
zXw)XZ1Pwb;^K27B(Dw;MGC>C1f<l=PO5QXCazcpPUIfr_4<3DRnnUW)oaj)+^ador
z!v4TbCJfKSXuqbbNK3+&kb3>I(!go&+<k1}*R1xc*~Groxp!s0mh9D%z510wXZ)kz
z^LO9)x~Xnor#e}8Fxj*$h7Zyhv}_TH3EU){9(2-T5LgzZ8)bq8<cak9j*MTTm$W7i
z7lmfp1dr=2rX=ItN2o>8&U9#6X%hs)3Ee0*@nQybBPfY-Lr?6{B&32{_IacgMS+?i
z7?P!sMM@O4r{!S9jj}@nRjLh5m}^iXOBWP3$|O+-L~9hU22-i>lCw;76AyZzs0Q)s
z;f4BrEDDu>ITsz!+Ha2z{nH$oEFDs4199TQWPBbLL1|z-jYd~Gq|s28LR50LDJ{?N
zxoNb;l-`{wop+1cROC_!x*1;2U-u<n@q5493qg7_EF)nSz=!(m(W~1Sz6c{5g*Qi2
z8Z6`l$Zrg_oJ=VSJg~Vir314DqA49k7$;MDHNaRi687`>=%0aE1Id(*3>!Gllr}cC
zAKylE*0-Z|_rBOLJXw~gL``upkK0856j$YE|7PeDB?3^nAH%{l<N^({gyRJ!RB$4w
zffcM2!%j3pv?vZhd(Wx}JvPu8=Nn^K!XQ!%3zSEWp#>l6##2C`zWJ)!(z#jH>)8R8
zq}KoS$NZkZJ(*QqN>XikJZ4p&f|8VQA*iCNtaiePVE-0M_2r)~2Z~eJ#X=5#e5Bf|
zRcW?BN&-S2g9b#$*)dZkA1sVlqs-bP45>>0x1uyl;DOg)yE^PI@r@xFjVZw=V*KDj
z?^pdKZ>SYqAYV)*B%;0Tx|i9P{<D((f+S=qiO!=_TLTacTP2YT2h|1E>_}|D=+#na
zIL}ZnxHxoc5E{ywClF>elC;jYCT1>^Gz8@#P@c9`l9-GJ?*e!qRTAjVX3dz42I~XH
zSBNBv5bb%2&b6b1-yB_8Ozxu!kTH`$=mr$%#S#3dnqJ={(a;_}-lG%3Z26Ud)m`N^
zXE_J*$O)H2`BW*h$mn4I*1iPTVc;dezuHT{k7F+ZzE3X!x8q9yiplsAaPx*=f)R=b
zW}l-aC0sFi0=;auAPz(N82R!9;M94#frTo=KR7%%;UUqdpG@~e`WdWzcuW2G$adpx
z2w)ba?epWyc1WM&d?O?uDQP^Vaeuz-0gMC6M&zTauYeycZuVvy3;$wVj&E;Ru1B!A
zJCk4$G=>om3+jSx!ovzDVmx5>b+%j5Ao}kIK1Q%0h7*QBKH+@*TOpJT`-4R>wctV^
z@8A2u;_s)Amz59h%jD*o)d0a`DA|GFQF<6^H36HL16?<<)b<ckAY5!4!Lj#850>1p
zF@6D7(5o4#f<|B9$fG1Tdv(U~b5@UX^zlCPQ@{ORc=uGC8Yb9rc0<mR#yItW&`!+Z
z4#q<jeQ`fwIOTyT=~T}RgPpfsF{XS`iY6T+O&s_Zn?uJqHAKG0VvYRJF;1-sT5u7S
zqP+{oIJM?y!7ntL@`X)9AsXs{Y3G&+GR3LohooeiJD)T~>iHB-0o@n^OzJno-2m?9
z1Yc^X6-<qqnI9LvRYsvJeXjdUpt=OArXZ=nTI}R+e9LFO_XoUgg?bV^Y)iK37HkWW
zL}*%q697L@Q5NMZ=q4&L6D)`s2!hN^ePtJ<5;MWw8WbVaO?1Qcy>~$>F%#S$_GD6n
z!?Zn;N(7#d3sQ-hV4-QJ;HJ=@yC9XA2_9E)Ep){-hv=jgsl-h1bXp%J>;T%bIR3sO
zT`Uts{DPr=^ofqBt~D!)604FxzCg*dTnB~MN-|?&lE*b&bMdo)P;VvaU{uN7Nh6sf
zf{ONfB;qp3-D#^4!cpky;+0RTPzHCd%&x?ntM(i6-mC9!0GG?{xivG@&v(*MJEBlq
zX!z1m+qkdibkyEwlk9cyl;xRr$1Qchn8xdY(U&UP-Br=%-J;egEmgFesiOUXkNzv)
zGTlzKM-onRaJw?G#Is-_5%kOfQHcs}5i5#0azVcTWeb1z2A<pia6%j7f;8+V$Sf6B
zl;(iKso{bl2F2JF8L5N37II;2wkjm*o+&IH5Cjl43*MA@6AKu#qVf;^Kk=(-G5u@#
zRIOO~@V44cti}^)%<|!Fp-MGpWj_$5N9$KhJ5>UJ3Z^(@aAeyvP&uC_9$n3t+{Y&R
zsn5rOl&Ae%3zpIvBQy{5mT$E$^BTYWIp6$CpX4EdE@bS2x&?N@6=yrrfuEXaZ7JmA
zzN3mkDzdCm@*1{cnVtj(ehm-45p>P5Ml=FwygKlJpf!T7IdG1L6DNxySJoK~p&!~c
zM-p81&e1dc5L_RC#pjBcajB>SU(st<ILFl%B;O)PAbq}Sd4TM)%MZ!8g^UYPa#Cxl
z1_@k=syO=NDD>a6TkhIIKD7!)cNxxO=y8V}@n+G%m4{^XXv6%8mYcJ`n2oSh-=Bo)
zdwGP<)nJPces@0jyj#>-fyD>E86W&xzqI?>S6WMI$u+$-$m5LhASEB1g>rG$2k4EW
z4?aq7<d&30p(8u8P=X(zH*!mg1R$1_ycHVUIJ_cx^=?Ta=)F^?9{ZD9Qe5>I7m!<0
z2wqJE)DkA`HX3@s(J1Vj<u@kufXOxc$q&b!j>iTGQxnZh+T7~as}XGK2x`Y1`zE$d
zB`xn|T%C;>Z0&FJwQSCj(Sr@OZRxok5?cc(hMEKM7~?SVWlHsVd`Oam^<YB!EJOGp
z-EVgmJ}k!fu8r?iEIpQ^T#WDS%z1j@r@r+s|HYOSqzz+FTR@y>0TIx#&pAb>ZhR5Y
zZPsibMvZ6H5!;mn-S`4mvjN?{M5o>A&<eWoMJ!2@4~d`;-3B%_t?0&=E80MvlkxKx
zw+?pAjW2^v5c5mW2?K`&SX$AIF9f@z0@Y4Hg0+Ws<0~s}an(ed0N&HAn8MftN`F$P
z0~aAngz4D9_{Lxx^Q(|b%#w~%i;~*|aS2&6-W?#{j#^jS^CxH|<LyCfnKq;G&iBqU
z-5<?xATWn_l#hNlPC{`TdhD|L?~jW;P;bCmzr_hC|1@uWmI}{~*jqxR(*%6I$0Lh6
zQ39<^;LS-I7(r_QRZcXS^LcVB-9zc2tvZ3O1eF$2h7C#$z$at{9kj(J_i>aTUwJ=%
zpAZVpwSl&tmHZ?gb>e0_4vPfKZUkb@ND{I%W|_EiBlsE1)2hu}zBPc%L4M7@@nz5b
zmmWDO%E%(8f;mFQY@|z8ZfAi^p%SH$!BCehwL@KTtV@>4!305h3XlP+7YNA;HAwji
zI$7>yIWz($=1BotI(5CN>{Q;i;sKPbMNrfYLM&gA%DW1Vb;(Mmx*WQKu=-81C33PQ
z9fh^s76uVNwkYo^iE501j4?QVr{x`!oUas{5UNB=WxBiSl>)+g6oV%D<`GZ>O~j)A
z{<!+ESyVRX#9O{!6FFJB(*7><bC>zKFN4AJ`Bx;l#6ZlZbNLjgT+rzgc~WbOG>1nO
zKG6DrB?!duzB1fP_g&`a+RyJ3&m=m2%v(fr_B8Xf{x|>E>;LzE;-*<ckv6VEVU7(h
zEDwUUy>ZB+=U$8y0<fJ7mrp)^a@@fgg}4eU9#*B{*Q221x1;*6f;urHtr+7fP_-kH
zgK0kMu#O}c<Em3vxX=q~FA2uD3K0c|0R!H*#aNH#s#9FmtxH@5`YM3E(~2psVrEuY
zHaMjymj_c)6Tb23uogfCsJ(~_&uhlG>WH6Ej5zRsIE_X!-W>#JB+6&K6I0|`$#i?b
zW-muSZabz_!_g-l12y1<y|K)F6|<^el=dLqaCEkA&v6jum~mZgsH7?1RFYdiugm@Q
z10{nOmcO_;+A9Yiq3shE_V&;$B7s4SI|P2<fd*&(z*+PGZPHGbcfj}FUDL2}v9ULG
zw@B+)+@Ft9*kAIOetZUQsk=qsfN_6uxc&Ij$Q<@Z;C4=+C0%`fI{NcipmZOb2)nME
zT@8I9$+lYRjwUz)s_&|9ws-;84leKN7Cr4=z}LU$*L>`M-+BQSpj(}-jBsLo@l>Gc
z<ts$VZfB@Yc1%c8uusY9W`@O5O!uM}Fht4eUI13yV)mc}=%CNk1_M8|djUwQtaco9
z!Z9m-_DuHzcxcQw;fnM>VBt~?)V%;y88KW&%<KLL63<4^y#SUY3s!Ouzo8WjUI33>
zz>?UZ>BCK404}GZC5MPR-3vfNs17wl3Il_B@-H;oV%I1+e`rm0G{9<3V>f`C)JF*7
zbzcl3w4LPZ=6_TO*1CLfX<hynFq8wPS~x%4a;fPKmRDNSgXKe(E=^)VVeoD_JRaB7
z-{!EwCyRG^DU&W`(q9?Gm4E*5vtPe<L$29eGvuE3J)r;x`;0E#En>@*oQ|1x*glp4
zA-FX|W%=Q@i+M*QNruaHv1l$BGwo$G04zQVu<fB^ro9$kf$b>-&%R>Jw8M2ksaI3-
z#qhac%(U;3yAU4&WaXt(&;?_r9i?LqIv~-Pz=FfibDk+xbJk#rf_=Q1q36|l(!`dH
zTN>zMfvp9+wv9j+9&%)*pfOBwr?4+Z>)AHmAqq-?V$a;lV^jl8go0_*F2^RMv0!av
zE!!col#*pO_lbr>7+&HD%Og^cPX;bzuj(Lo*6iS?&JNVI4pW!YpT`4TvF*ytu)7Ci
zDp9&tf{b$8cpGMv?-*fP#p&GY;9@)P&UO}kG;xdC3hNRC-weL@XMX=@f5;zk1`ybb
z&F-@-6|P$#jzPo<bHfbxmIe%&(ehOZf=%!Q(kQW=r%oE(k|2X%6U2~ZWS0etv?^F6
zUy(}B1X1`UECyN<O*opAbwS$BDu_rOmJWhQ2qO|rho<c`!Q;9Ew3Zoe&`l}C$XBH8
zG(j+guz#HjdevO@q)DV%3P$hF%waKwcNa`njx?CV)kTDhXopKM4x;^|acP227^jqV
zRg|f7GRbx@W^I~TLc4f8J|6LS;%d3|X+zmF=_p|<VeNx4gmFH{m`ZjeTn^FQ6&^$1
z0;V+>Lt$2sw{s0+7`_E|CU4HQjpaF?P`oswDM37Ac`9prjV6|F^*WI=-}jnN{>~5c
zA<b$a`u@DYIK-bPQZP8InTQ^_E@sCdh*8NX6(vDQ<QvWf9JeTaiQ^B)J_|;Fe!t%+
zu?3vwG630~>gdlO*C$nnh!BW9OoxvCJZ2J=X)&wqzCCpE=XHt^u{dDrXjV-Ad}a9U
z4&W%vht@~0T=uR~{C0>>T1h^3<>D}4ZOth?IQS7Wlv>H)tvv&TVhKAiLJ1|mbm0mn
zH-rybAtlqe+oI>8m5jFswN+C7NrX~nXxpzosg6}GdU;N_*QHCj{CyNi{DSU|<+&Pd
zIFBE`4#Gp(KRFoL{K|)Od!j9iXn*B!SN;#BLf`a2&ac&*OL>kjcQ6zU4suR;E-32o
zUPCiW@otG)9!T-gP&?E=0{&S5JPUwFS|<b%cJGzTx4h}C7e<Qs%0p~E|H4}zyyNB1
zeY;8#=0p$SFZ>t(=0|<MXZ)0=#XN*U+JYh$d+TA(!OvH$Z~4li7GVm*tA9jcOax(t
z)kAPWiCT(a0k~o|6<h!{Yc42Ji>>I~V4J-b+FVeg7F)4H6he~<Zm=>X#|0&7DT1K<
z!h{+T+?1|F7nG>Q4sGN4Kpaq}pt>MEgtCQ1pFx5!EmeIE7ZeY{BtcrTktE`2x9-z(
ziDOz0ep;;oY+_i4=18^XVNH4nwkCdZ(hv{})%7UM#6vJiG&mAI#3qJqVU@HsXWRW?
zp-U*{mi(MaIboe4OFv|k)zx@4>U_8{6_V2?9v8+hzSq&_i>v9uq4@j0DWRliDi_AD
z%eOsz`@@$npE|o<RLhxB>ynMUnQY|G{oF7A*r(iF<UbbB$IudHp9AAqpxYLPdJ8R^
z5p)~!`Y=UMT?!YBk^jEq%vl&Mu=bE86WK@?3=smuGfsb%+F*g?xL}O@3)TgKt5t8F
zUX1)PwphDx(AQIj68MTK@;_`27>|dO1dO=PT%02RLkH6<k}I%(Ht~Fl{Er<O9+)HO
zmoQW0zdzEE5lPM%YSv7*2PIjRl>ApC88b5lt%ajwRA9~~T+dg~klhmmy6xl$x_wTo
zk45qJchqMvq2fdow$aBx^7_%Z^mv}2EjBLwj^YJ)LRy&NLk3sgYxf!W_J)M6^f!h)
zL3p{5CkWr}@6AZDF4fK2h4lP8Jwm+q((~_pM~xt{5x&ysvbM$ygqQ4jnG=LUYQ`;+
zEO=49i$76=_<JusybQPK;pLgPXg%u|X`bNI{^Ga3@C%+N(3@d-f^usJhP}E#kdQ&M
z{fTa^Z8<~MKiVsw?1vpRPvEvX`V+gcbbj(D#<KZA<%}ouqdzg8%SRs1JR5QpZY4*7
zv+b2+6#G%K{wUGv%zMlbLdQ>I(W5_sl8xp)2w>_-#=C?32<pD{ClJ=<SrL=ApT<y4
zd8rW?JGg)0HeYP0S!Ne_D)7Kdp5VOJ9|3Fy7hot)5Nv*UrD5|Wi(s{ZW^;ypSzLg{
z1-N|dc5HenPjj#D>I>fXe}27lC=I&+Wik&-{}UsQbUGgd_Zv*s$c8J%tbh&?BS1lv
zz#GBn0vtP_1VnIP7E;g`%$yen5YR0uz{!1u<N}NhO-e2RAi-j<(IeZl*U<&oNnHe{
zmDaeu>XcGIij*}M8sSY3Z=79#j^ss@1Ql{G{EsdG)N7gbw^}tpJvxV?3sBpk(Q=I6
zD&HN24;2Swj{!{TEDRkz-k%)`FOVec;6#qA0A-kTD>Q8H!w$rQ&@Y`iM7O8m(JEKC
zLdr&jJ{#Y$e3gd=J}S+@x>p$(sB*@lRJIDIV)SzO+0zF@pC~cTySStjZjeIh+LTE}
zZA`?Z*9LygTYd=m^?Bd<-v9a2J^ib>cnjA`W*({6PTMX(+fL>;ypZLqwyb+1TRZE&
zz;Ct%PU>n~5#Lk6uuzOdaI+$HfeC^kj)!LbciR)BuC9V$l0zL7E25WCm7AX<b#)b7
zamW<3ATpN)DCL6G)g}m*TUD$_bcMDn#<DI@t2iQ8WTQ)SF{!Kz6UGuO3#*`0XeDD=
zw-UUQ;i4xQ%es4@ZzY|5I<9+V>QvS}fie)5f~DeB0jT+vi>|JhzLh>3N_J&rUyzLV
z=Ln`<TstW{K&0DVQM^Glti<o$jM|#i>K^iWu&8P?v(~GY9Z={h+=~}mWvgQ6s`DYm
ztY>nYgt`rumW?8#SXlISfD12yXYZD>L1HgIKDqYJ;kF~LE4KcSYcF-;Kp@wb#Y4-<
z;Se|S4Gy_t8JBxL<wJQb&Q<J7x69piyUe>qt#MrH%QsVB{`jB$gl~CyDjz&ryG`N7
zSnW0jgQK<E6l@?Ef;)g<1D380iefOhJ9M0CPC?<E3Q>s?>J}#qO65qRBnpDAUQD7#
zjWHMmQeEdd0*GD4S-M~h22UXK)xd2)Fa?7kv>mAh$NKU}EqInW7Nb`K6gSYGv{hr8
z$H(;TaQT-$E0TU;wpb2V{>dgl4)HDx+2Yp956SqyQMzBHjmR$PIdwyZgycjQO&fz@
z5KXdNx7|Z3tt;V#QTaTJ6&L5b3!Vd6aZ}Un1lf}&4BQa&Jq?!knpF1lVxC?|wOHP}
zv%K?e(PDXThsypPAO1VO^XohftG#D!pD9cbQf!O~*C-)2J)E?>)|z=d2~9lSdxC-+
zK?&`(Q*OL-RYFG-JOa8bp(8-fNEW2_QHdOj;Ao4hg!V-c9FB^^LicQ$pH@yEc?t>b
z?a&?O&q*e9z2BGggMI};3GGdAvsFSUjHp2)mSjGvpoaEE@~}N^k@`UED$)w=4=HI_
z`z7IK@F%AvVDQa#OM|{iB2jvT-G}6UPaJmog*3D;M~5{>zC|RvUeB(E_C<2EyH{#!
zc61#3s`lPV4?!&TqkbAzEx3cb#O%8BFof#zZ|8^a#ZicDUwTGEpl~<+{^_w?1_OcH
zU-ap=9>4<Z;tL+Z;Noh#k1!Cs2bV#hTh|EI^&L1jSf5cCrVTSyIk9|e?$})OV;}Jm
zf8#TzC;@h0Rh3j5dP3I<aCPmLRJCUBkYxlqWK2~fV^<QFAQ6BXO!_6NYE*7Cq=6zT
zGd29sQB|Xzl?h-r@N<f0UNNca!vPIK6a<K*J;$i358&$;?ttCOFspXx*;=S-nfgH$
z#MON$t_kWcQ6&`pl7?dKN&%-TnyR=Sm9kH>=-|pjGX8H|ay&L!2mwZupu)Bjl7NDx
za;?JvIj9+lo1P*y^LKvhfA-7ooKiEOY$m8n9K9Y-NK!LOQG(Pzpl9v79z8;!;!*6N
zkBQkut-}-5%xR0Rd~wS#E~$0PqMF$s*tyP1_8LgS#x09#rW~3clvVIedt{FgJf7x2
ztyw+_s&G=x)EB+5P^)&(%7nbTsuBju68>ffxl(7Yt3KKp2z@viLc#c7v#4yw@A-L1
z#{Z2HLCu&SV}BRk5x8?Vt!4sbP3nN^syuZ>Bdc%x&Huw@Djj_D@ZeEekeCjh4G$hg
z-ir4+2Y4IP!L>j-gu6}Y;M;)Rs*Y+{^Lx6(bwuy0tJF&MhsuY$BT7P*ymsTl>yE`k
z1kVd^U=F|rT%q775phsGBM=3KQiA9x{F)V3iyQ{>!{_@=^U{S&Mt6R?aOthNA{g(_
zIbv8Y+$em$_z~H?sz0QErnWI*D>TsDVQ<Z6egAuY`Fq`X`Xn2^ooCbMBd2&0ye!&R
z*)WTOrjy;%f43D;Aw|9c{yTW~3mV7;X*^93SyyHZ@$LgArl3_9r2lS$qlEl4o+h~4
zavUlgeN4nFT`RsKjb|0?wye%Va1A6<b1^iYCis-l=c_Rtq0<t9%c~Nq?^AX>)?OO|
z!-YK`Fsf|Fxg88QU*xdK*EQPl@Sekt<JaBcO<9AGl=DG>u)>53qz3UWujlv3mRHTy
z=Q0N8N$ZiFcZ=Fo^@54I8BEj%e&4tKnJ@FotopqMx-MQYiGSGzF{44Ivgc1W$Y9u;
ztcaAmrq{~BQ1y&KFlwTNNQ3xdv+i--OQEL5wl+(5ppzyB!I*rjq+5H?UbHBy6a-tB
z2!{Xk2r^<2jNVi-h_Ojz+Y?Nfs2!lzQf~!ptJm?H8e|SgJP14+T*)9Tj*Ta1d!dt6
zZ_bMI>X?AUzqCK4;$6y5L;^4PMADwqpOP!uxk#2FJh&oIot)WCtM0%V^;U5Jbg%bK
zwoQ_<pgXzx2Gv(zhT#!eEfqMJaU`<uJv%XV{C$RPb8aEFyrmNkNNe3#i2V(p{yBf<
zd5^Gkp;j|{|6sT2@dAnaRo-YIkLOJgN3m2REIiabLg1vCyH0s|prO!Bmo-$>%zJd>
ziP|A0QLCV$W`@oy-TuUmHY-NWTssosJ{Fe4@;evIOxa?0Noh#bp`HmSn=~`()1@JC
z*EQJNSw)wcgACftyZe}sJSz%Pb$D)@9}g4%YeK7fj#DzeA0<OmpJ_Jx3&$LQ%+$&u
zQBmY!Z-N31lL7)1&wlE?5ET&M7_CZZnoS6KJ;o^{Yd$G)e|d6hVO5(`!tte-Bb+b<
z(*CmTVGGiYfY^rp(XDX~f>mvIJsmO7F$xN%9Z?W|UG$sPC<xjVsgJXxpm|DY$!0Fu
zObIH6Gm=j;O~4=jU%vd8|J~4fDizNbpIT>pVkSwMdF=&!YPqrn*eU)`(WD$A9t-$7
zL(BvVsFG-ej?Jv0(yvjF2?7`k2a2Bi3Za--Mn7~yBh-msYa|~VLE2hd5qVUy^$?BF
zv8gj1ni|st(N#|%D+DoiEQ3jB8Ai2a4<a7n(Syq#BH_WKxtN3p9I|N^tgK#f30S(1
zOyEEEn>yUc`6pY}{`xmVpQems!tY+}0Cz>4hMSG)ZS5}}@w*oVdqQ?(VP;78CgOK5
zyxkX*A>dkNNt%*!8!uqsAiyVRjY$g4gAwt&7i>b(C{fs{8=NttL|c<)Ns+!X<GndL
zeMOT*(-69madg0QPS=LCq=)FT{}5fyeuyC1K1BQ{1Gfx4L^$4UK16RC2_hH7?s@IG
z&D^_<Ky=+>>(DclATt{#bArd%Z7#a#)1sS~7&ISr2Z45nnAz^O7kJIX0oNCN^uzvq
zi{b$#_fmbrZhrxN8}{!my5u55Anz~XmhX@AjR=EochRLLua1@=9$%ucx<7ufcsc4&
zSNd!Hwdy9m?T*Do-N9o$U34toF>lW?ep`CeMGf4$kF6?<tUfnQ7@M7V?ser(Zi{WE
zfcvu_zWAbK@@pZ~C)(4Et?@|}w6OwL$jx4zk@}p~qa368?>KzS^MCkPzveagNr+d_
zq_;)$RuC)%wkTS5P}icQ%U6hN>0y}QzUvSmv%0w>jX$4f7v#faf}vgtcK$|?8rlTC
zUJ7o$_RxI1Oc32CWJ`u2!F<$Kkk63`R(A;${w0H1zQV=uPMe??R*^l`u-^q^y%dpo
zDXew^)J|6|7Sxsu!_$w2+sKy`3u=-+JsqvIn&}LZe9uktaKu<UUN~yhrBNqH#=CPu
zX<9O}kSs}=AQ^8@tpFd^_>r31BONey^g2NOutsvWl}tCN4p2w(qy0HWHQJg!Kpovm
zJ;`{B09YlTmDIj5swzKk0%W!|aeBC9O0MCGCdo;6QJp!@9Miv&e}lWI-+4www~NoH
z8#9x~=G)m{Jp#PO@?l=5jtUQtY1h*M;YRu3FtZ^q(*Ldalcru;k3hgpnRf#DfMl3@
z-LEK{#$*NCWzR{+>Hs6RM5xN1MRWmcusH@xM$*Gk(<{cslPxsW(UQV4!Lmh5+9YeR
zLo{8A4RgaLcH1n<>!0mh6|Z1^fUytIv1SuLt?1i3sz4`$G;Hc<VoW=^O&Tn4Q#6k8
zqec4IzxE%0$s49(UPs{XU?G|SBgVX;F%q4RjUZKC@d1FxSrv|;iI^8Xf9wcC4GP7T
zLS<Bt$Zvd(3eQ$NATBMzF=xf_2{VU7*$_++ob((!N>}W_jCG2ZsP0S<Xe5rjVa(f3
zJe^cxe2L|i)|dZ*amm_dg0;>GSWHjZU)LA@$reuxZx5{5r+iz9<LmZK@VuF}vcDw*
zUw+C9@O5#XI+PG75pi?UZ=RywLUIhr4T*#sNxrGJ=KQD^qZ(N~#xsOYiZ&gRqF(eK
zYrPfR8*no6LlnDK5yx-n0O8^2R-~EAR}`b(^1MM3N6+uXnU4{I(>O#HoAEKi=5+GJ
z9v}Pb508J62Lr3c$B3JeVDLeHj1U&%Ygxipw!gma&?icvvarOxZtY+l(aVvoZHoJ3
z<UokqWZU;&;$FUt{`=iU+>4OpNPt?2du<b;EFxR<{==`<$hO%;{B3^7{iiC>{igeW
z>s`;eTcL45FVIEB7*>JkT(S`6cp`>U3caD=7K3dX!5B&HFvwP@2luN}nGah+5*VZu
z=<ZR5)<ZKNn-87hsPzU^i?CdXp-W?*ub2Z}&@;-4NQ1UPT#TYntVS@36%i4mES(RX
z0$qe#_;~<$#1KPG*7~7SpnCwplcaS)X<N$HK{B|vh{q6&%E7lJY0L+gqGZ{TD5e6C
z0vNk@^muoWpC&F07u2C$vRyOYp5pZ(EQHN$FFgmkt4^;7l58yxLH?xCRLABT0i>BM
z{#7G5pWs1^o9<+N*Ks*ta+qXS&v}TQamZ@=zj57AnJ>X20vy32A)Hx=9uTZPhWX5C
zPxKjucOLp4NqI|qQvK&m?2EYf{6c+s1t+4prBMGW7V78SqBh`O;L0}xSN=affBUVU
z=ClENGg|M$yNULQI+F>>Y4iiSj$l3MT^xHU>S(?|FHp0Zy>6oDT_DaR^)nNtaQ>P@
zC-0&=lA{TxlU(_YV9+$r)I;MOOX0RWg7+rvA#H@4rxZe309i%&!TxhABcJS_?QipS
zIKmJOqMAlCelC2upI4Ljqw)BoChv3+la0vpqtP}b3&nE^;S&-7=oR&N0mw2`=e<m)
z*esv;Cfgz0YRBaWH(}hLPewc*x5NHzT^G=~RHl=7F7D6gBJ7Xzjb%zA>&`*K(c=uO
zNhZ}|5>%;zLkedCEKw|lSUV2#vdqUkHObK5|MQ>yey{g72z|p0093RLym5d;71y(2
zZ3DrkD<Joai3UWmh<XK04h5Fsg05HAXlgj2oI*^<3VjaEimq4I&md?4uL-NlWT9^a
zU9Vt8rM*WM4KNPPPWho-uizYU3Bo&vD5$WExS&TZc))OqMUYcX^Huvpf^$S^uu#Np
zIe#PlJRxe~Gh3)(5xwl%B|~`*lKW0c?+`on*Yxrnj*g_Eq>I6QR|xm~dgRS5oA`-{
zp@QlKVSFKrG091ds@{5zFkGdXQdOza@OUeYk5q+vl$4w^En9T%8HqXF<LH^ZQAJD8
zEq*^FIQbgfdxORrQT6eejH*jm=E4rpb*T^}>L%|HwG#?Csq#e!`yA9Q`w*h4XCW-<
z`ib5}wj&<G1)~~-!dkds2&4;^?Vw#7)h|DfDO<LQJVtk803-|+e|q7<d9|gf<y%*m
zwWSaIj&J(=zul8S!@UbWc4^b~1`tu58j63EgN46)6&xGUD0iTALyU5;@ZQ*p`>|P$
zVvfs-qhu{qr?%n&U<h&iP~U>9$oxk6s#H!)@Qlfe;O-O+4ZQ{~s=TDAQ(F^lXG{XI
zQ*BqWWPb46RW>@!G2Wf=X^!#sjIzo|63EW;ai%${T67hy+p&BCHLdEd{GCxf(M5n6
zEFZk2uPfaoe8Ajn`|A$y(5K=QTi&PryX1l1K+wXC<$>;c?9##!zHo#;eCf>>G~!S9
zsK$?c{^x$ncYA6_O@XC$%rJNxV<k~^0~W2)ZpC^C0w#>eD2O!|j7BN+J01(3(FLQ=
zFxurEeTLB{@8mO#^<zh$VWb^fdkpO7IiV#J_8m1VM0IVerG#K6iD74Dj>idji{vv*
z20=7AVv5p-)}-q8)Xa^#j#)eYy`D|I$~wlChh+TUC>i3O0b9CsJDwMJjdnZUM_7KB
z>}+%6p7M@NRAGkVo`2>4`8yvRCJbp>p_fJyG!Sz+VE%9OBq@yqhHE5~kw)5)p*km2
zsKYFyfz$_M3I@1Gu=Hoam_`D0N$GEoz!NK+&r%<Xsk0T4Z9#^M=hz*9i^?m;G!jyP
zSV+-O1>@u^#xxSTz}Z($Fw~~NyIe4(k&YZ2AS>c(nnR~F(gD3pMH1mmE9u2=X2rJJ
ztBzoW<>!!^n$&ipj0WZhh}zIEBN*$}f{Ccc_}SH#f0`qs$~9+tOw*MrGJd*RMQ(EB
zn)wNd55dO8#7&#khRQrEtKDXb1QZny$cH5!klUVVdF)J)Wbr*y#Z1vS#+q43KYm*z
z;Ct#&AN*R^>fkP~%7jYlWqOwA;qIb`dAF#w8cSjLW(vdq{(t_n&);CiakJ$-AP`;w
zajw-_4+>KBFgxS8*#eu+6}vtON{k*x=MTo{0noyo)Q&LdqQ@l_qlW`hlv3{iM2_{2
zD>IG|V||O!1IF_<f+>0!9T%7~j^pzJMRi(E)`~(qlob?A=D)dW)gn1EFA&^V@%F&W
zQM=Fk*d|+3#<8^C;o7&SX3YG}cnE5CuaR*yo35@#?NRQxxwAi6h3=#bZ$3i%cgZ*|
z8Ap&k=boiLr3ihMOEMet|4U}e9wpt`T6Tx`6;@>3SGbbvzQS)=`+~6*36h_G=Q}QJ
z-Tc)nmv4E~TX9CuzjC!fS~#q)a6tRFh<?tK&SCu>A93+ZpZft5J=tW#5LW<9W4%^l
zkJf>P!6Yn&fo~5z(6&eGhz2TDeGP*vW>$U2RSQ+G@U#gIYwyVhUS3V7ujtV_!xUr^
z=!F2%-UGjTw2q4b4Y#IGPu;G?w0pFUi@}IN0iYi?E6|2SxHfDtF-7G}p4qR^j79GP
z2?by}8cC1Vac~`g!yaOA(6y2d`r!2dO3yWs7sjx!X3cnaPKT{_Fmv(tM;D*L&U3dq
zqE!s}2Q-iMugJ40=rjRAo|JNt+vu^D3vHRLiB?9f&>|@f#C&s}@eVofCwTaJ=NWI&
zZo5Xyxcr`nen)t|M}@hZ2%Q#uFZ5=1p6FMx9(T-;Y|c}fX-yL2qLq;doPE7+cxgj6
z$&#D`joBJMSXy(^v!d^#j#H`U0>h}=<?lc#SHCadn@6!JT%Dt>vAjfxzwU&u%-gcR
z`o&E;TY44+@Lw<R(c#6xj84w&AUSPzuB2-4Hdv~Lb<Wf*EvS-^Iq7k4HpD0d$s_<F
z+O1QnMYcWFp+qVnRU*72;egVO9a1$-cXp3w1>7Mi)iTAig7e)6P!2k_k+F=&rv`|}
z=eD1JgVE8Sr-E{@NyTzk7{?`5bJwYwFjQj@H?^c{=C@g_SNGI`Hs|`4hw!JLf8nj}
ze9IgYg2R6JBY)qYd)p+|c6B7}9Q7HDe9G8I3_mb{$CV~lkh1`LY)tJd*`C@VVr|#J
zno>bvqTfucZAZj?C>G=*31C<B6bK~U^uVcZ)QPn<`m@uD>7=xx+jN}cN+w&QNOBO~
znk48Z9fGLi!1z;eRhR?Ib;5@XZWnN|>jPaRNaAGM+EBvU?!c8>C;>Ml6-oyW9fHK~
z0>R4*Kka$GjikF>I8V8IJo=XWx{aiJ#W+vB;R0MPW25bgCfx)zsSf#~RlT}Aqb7aS
zL2#=ppUfGszs=X-P`8nJ(eoWH*qHUVzev8IUJ}W}fRr7tzrX=ZUFS%P0csnpsCbCM
zipqAdqJYBkk$@Y5`wJ{EIA4+#?~&5vf=SP90o3;#Xf5nC+8+G)w?R36T`yn?JKHPR
z%q>xuSL2Fs+(^z^3r6kx;s0>;uCH_LtDG8EqBa7Q9jKvzD21+EpExN1s-S!Y^}_et
z1f3sdfD@IkcNIK|W|LZWk4T0=RTVs}*=hyFk4}b6nvrQ=yvYJ%9JEC3=Sb~qE3!f@
zZP&-c77=>B7;0Y=EM)4)0b|sJ#!0>+wQm(%Q9DEbp#WWIyIfGTuSudV&n9x}KSrwg
z*rI)_B=}LVCMCCs6&p#uGA0RZ54A5fddEb0x;c_4H%UNz*o{WX^|58|k)YQkVaf;x
zg5*hf;_{mD{_IZcLVtpWdo`nFk?DT4R!{48KcuTl_i9yL1E<Rv#?>87Q@hx?2;Cta
zA6Mn)AsPQSN(3}fKVVxrw4VrMZh3qsbm_HK^}OYWRP~Si;!pjfFLusIyzMZthKSTH
zq}OcZ4$wq<<+CVXnW~oEn5h$52Y`g&YH6;_1w~aCK~@RjF+xzmGUU_JuS$N>4!sBa
z1RO?Lkxpn5WKGiqk2p3~1Q-+CUsSB<jjt<;sxF5PU`%mqlc1<-6GWa<U2kMli>j_G
zimEn2CjP`n-=3g}^Es-jE|M6tqqRc#-ozcHt5H>Lk_4Bk`@5xD&61+3P4cuss6j{h
z0J5l7QVeO4gg-^fF<4x{pEU31Kar~HB8h%H8VVRlHD~$gqN<Bzt$rdt>7Qr3L500d
zk|%V&^P2Gvxg;7Edsh^1(Ghi2iML={jEY=Oj3G+8N5>5YF)@w;0cd`XuI2ix)$j~q
zwF>e<jZVx9x{>JF5)~fm`*1z)pHvz#K>5&iiJVIpDa%|QjGFQgsVV)alXj+sL}@cz
z^zix2Mh}0XjZAq$`FSicSZE{d?Yt%0$g=#c86|xaCzc;FO7H!QfB4$>c>JIc4Kqpw
zu)$dYM1^u-9QhEaPaMl3N!SAAIIO{ZD}vxgQgz~jG)lH&HFLYY@!z^2m7WP6w>#ia
z<<Q0k>4L*Ro4xQ8!{v2BsytiKfi?i9wiiQ<XM*VZW(5#QW4Q8alzc@hJQL(KNc@13
zVPQOWK`}}uSw;aM(m=<anuQ?AqM1pOHBnJMC{(KX3X*(+O%ekg4Ccf0%{M0jZO}}P
zj&EMj8YA#p$#{F<<giML^W1~+v6YPX2O$&UKSUZ#tmiv^wz5|>dsD4_)eJlWk5k>K
z^CVOm8^u%6@H&a2Y{b2;--+E*?3UYE0*L!J%#euO80?-Y_R`wt-1S=SQcVSlC#jZI
z3XHrtBX7e1!rs9Ny?gCgqrBo1%MYpbf9Kc#_`meO`m{MUKc?1;F$y8X4u}MK%gqdy
zw2=9zHH7GpA#Ma?tU)#lNi-eManSnl(U-+U9i}o6Yk=Oo_2Z)}i}X>641i2%4;?+(
zgGUDI!s+7|6CGJ3Zj=ZR5f);J>>Niwb`KuF5|n_BuMteKhWqe9jr6>Is&)Ix-G>L$
ze|z1MlsC!XnS+F=J$i~Y4g`!c*1*8f?88s0VAqSQpd)phk7~$G@qPy=X;q--WOL2<
zpNb79Y@(c>%ejuV>)4@BTz5Qiv(R6hm!nsxZRKGXY)sI**QCEFPyU3q>nYQv(tdZ9
z_IbCcHAqWS#MKzob1LoM@M)j-qd)B})mk*NT2Cn<f%}ei7Uq*srq&XL6<*s)`w8=<
zVS>SG#aImilMB+Q+ls6-O9By*MpMd-T)ZsFJN*?bXI_y;-Bv_UL3VcLy!!N4H0ma}
zTVbfT)G;uEMQbB|jx_2fcvx+<Q~GoSA8vDK8g&!g*LFmlZF`Pl)T<<toQRfK!9h8t
zSu$7JLH{e!(hV?BY3$L&Kw{POn*lT2*gTbM=b`yDv|;dDYlxdgWpj><8v9(`E|CuL
z5KMU^c$L2-wO!f~&#SI$Jnxp5?`g2SciWCQBTAwvuy=35u(wu&)$M=uweNb($F~~K
z!Wex~;agXvHqsUvB9yO6$;1Q$KPY}Paj9L<H6D}&FyhcpL@ZnO-US)P*oqF?-GGa!
zS<y8ft2Gs~%T8<t9loM#Jgi(HyYS_&0j~|JVn~Vb74E&u&AUGPHMhYM+(EStI6zea
zSiZzh=i2<BrY+jP_wFzJpb6fq>5sr~D#^^MD<orCx5U&1%>lQXb9SB@2GGy@uRi&i
zfJ)R%ifInrLJIZO%WLls0Eo~9Li)Qf3zxHk(u#TQ(FAzRYom<F@-4EvSW(rWuPBd#
z^;;rKUDCiPjfyUE!IalNklKN{-7OSdBbf5qK#>43DUvdNI28!QSF)dcG^w5_>&CO{
zvFb3MRi|RNqmN|OCsQS=;T)By+aqWf*L|sNp=!%M)J2ypu3OoNS!i?J<f}`|UC+_i
zb7b5MP&)J`^fm8-N<^;$A_FIv?logbk;K)lywbkO7dL3%13Mqi*S_Vko^Y&s8I!qw
z+q~t6{I-w!8(*_|T}VF<4q$h%;U2z_1Xu-JQ~b8Fg-F)GhR79bB<6yAy{q63z2sDZ
z$SN0<xeH4AStri10H%3r5a$r0knnN5Rkoso4R^=F+2bqnCYqol1Hpyd;{7E3Tn<gW
zk6;lG4+gQfhnDnn5!7Ibf*99{TzWc3O+T9?u$4$uLlS)T8p+#9PHHvRM&6Poion0{
z46y2*SsY+hm6Z>Uop3edpXR8iIWl?0kn|l;VGH-ylfeB|9@KMH*pl?U!=$gOnTcD}
znt>(hdoxMjkNm<9`J2Ds03FR5SRiA02;}AIf1?o`9CTWM^z;H<<tlhs5y1#SBtjMZ
z;({>+61v(gNN~ZJ0>R9%GJtJh=t@d~_yD#O2M=7C0y(X002|;c8p!yeJ?RVEYhCRm
z{%`X+p7e$L4`{38bHJzFo75JPzVOMcX$m9IkR8t_8Ir#27RRa*ZegZuPSgMoNq~<1
z<^<MjU-@=lAiaJ)lF&0rP@AGdjUn6)V`SQ+$J+zHMbWLmSxrnD2dSQ{iLP=||D~Tj
zb+zM0;`EsHLd$%<_G`Hj>Mb~KQ@5|>O|-UOmwJ**%F?D4x|k%t65@q+KmsQaq_!)B
z1b5nYB}LnC!v8;e?*eSwnw<qT1qtcI2&7U53Z#w}&s-K+?*}3%-8?Ki37&34SwJ6e
zKW=jEzWW~fHf^II_@ICgo=Ittml{N#QpHP2T1%;dAPJN~5K<P;XoZRzQW6Rx!SegY
z;~!)EbMCq3+Gp>b)3;ZrZuXjIjrq^H=3_j+@eOIlPA7b3SSd$~=V6_Qhb|f|F3A9(
zKwrPB=t9%W<4_(<YfJCFV0VzM%GjN}$&R(RRW9pKf7X}%-2Wr8;97)r6JRA-<Y?Ax
zVjAxU$Z!!1DqsKt!6P8&q7ZBigk2I%IqC=FwxACnh)P(GpqFUM1OxSh-qarcBGHry
z8YUlgKQx8)f)Y)c;Qp{jf}VjKvOxWzC7SX>L$RaUNkLLw$c@*p@M9#JGC`<oP3dD=
zrp=*@U#-!UNuEw@t|FWUICeEZNwR=>5a~g+vJYY<N&V=9cp-(EL$COJF6>gB=yVv*
z#|nTE9>u3#wZ=E`X^u>lo({dPRlm!W`@>ZI?5RHE*WWpNG;jGnY+%*z)$jTJzvaJ8
z`cC2x$2oYyg{(_ZV|Y!^^t3jTB(&mCjg>q~$sPe<D6jKat7^_3Ast1$H_Y>9L#0zz
z%-JJqTJ40{$Uwp(n6pQ~L#X3-wx@Ns<KQr9RdxKJhG(wtEGGm<QhWNrL12VFo)a=g
z0l$!@@37?3M;E%}SuG|yCy^<Kwi>#9@!fs*>d#S6b7U@2Vb|=@r*ZaZZUWrigEJa(
zOojc8Z-3{fz96{hR2TLxTWf+jZs?L#=cTx8Xo;657(kW>An4+<C7|{s{yKU+;WqY8
zPIlQ$g+a}tt40$B;SFV%%@Eymn>Oo7mu<--(q#jpTZ7zKvAS$q1nVNWa$VPayW+A@
zb4f)LE%ZaAc5&G}WpFwQsEdI@tjVa|HiN+AgkGKg3W-5(lE9n^nhm&e8)~1{EVqXP
z;Ayjtdp3R68!n*QSaXj`hxoNCgQ}!8^9>@mFRl`<JF*}><X%RO`kGcrrX<oJ{n4{m
z4ICRgIB}jxL-c-n>Gf<gz;H9I`N+@nUW|vRWa@7DpyuY%Ue9KDyb&n$e0<WSjqk-a
za_Q9pE%Mb3rZlD#8k_d6a_IF8xtoLS6-VW%O}fh0Ue6=ltCWX0LqaF4hLJ~5vYN;v
zfK#r$;!j?#Ue9Yu;}J_5s`D>2?AMaU{ggC5=l$>c&7XCFbL0&BZdqz`8tgbJYnk}k
zTkRtRv-Lgf4w~3O$-)>`L$Ktt!0w<KGElPiW;)wm5HYAdhc{t$g;+5=NAR7{7e*ru
ze$~G1D_dUVo>(iOAZCAcHaa^;4e$=%W6+XUoTCG-ih?4xobA&&9Z4U)azH$5o*Af&
zd&%q^A=##kNzklAmnXZfpp`)Q$sYt7-u{;tA`I{#k<bt18W?NTU-jY~A@FBnk{4+`
zX!VnlhTR1uML}36YocD#`<lf$V&+mW{1M=h{<r5_bc748&Jp?|29o(6;Vj6lB*{te
zZ{;gL+c^sN0bIGV(cGDvD5WH+>>a5e<0-*{H&g$_znYyb)D_2Q@xrNzPbxjejjM-u
z_b5*Prts#Pd*tAaVgJC@J$gj<2)-1w?Bysmdl}Cd+d-c3>JMH0q0fv_sXyv3{Jxui
z`E$<<F0hF<cmcOd62F!@et~%GF=v4O5Zob4G6dX!{!l|3#NdK65RW#v;0(kAWji_$
z8zfJLfDHOW?a+rc6Iy^8Y=jQD{1_VBCI}KoabB1!>+veIwJx~duHjg5MUdSN;23#u
z!2u(Iq^%!qj|0?5fp`E-Ns<Ctb8zqi@c@4>+J7Jk?MO!8@_P!zqa7VAT4W<mk~4jR
zXUMmQ!F>MAIrN`DyYZy^Gw|q(Nb?o&rDxrr1sWY6jf@J*z!wP1-S*}R{$KaCY^sTW
zHf#mLKl%KkXE&Zb$-CUSA;{bSc&^$;=zmcG$&()z%~1m;YB1_U97=n579cHBhZ1cL
z@ci>l!J72=LsAHi@Px1ES$2}?5nlkEKsISkEM8j)+ZN$@re}O{*kF2xyfp|n>LUTk
z^pGzQZm2s;p634OdGf;oKxoiv@PUM{+^;D)68}ZfnFe;C!I}xjv^b(BuMhbi>ErIV
zgM=)ul5x3WMg;PRy{;0Pem^=O&|1IW?=jP}Uo+v%7R{B%Z)fA~#V^iHeQ~g9uggt)
zaqw^bi-Rxi#le^ETl1r{xV_MegN1run*ZcobYm|LP6*%oE9rm7Ud{M7N+Heofm`34
zr-bk1*$Zo6Xi9UL0B{ng9EUR$yw85I0W*3uL`bHs?tnaA>wws7=u8IQ2x&bD=)kbZ
zg6flZL4a_))do++c8y#ex6}SI&LQm&=d?6rZ20ix{kfg?2j1zFkH1}P_T~Kf+UNbb
z9sA>g<;@NJ@IzW|i=P9Mv~)T69;fBD>%}(Xy*3B@%De*v`W^BCx7)=Q`T0iEy<IJ~
zg=QN9b$@Qh`EU1&ZRQo<ZWi0}`yo*GufKnb^Ue2vyIX9_Tv!Oy{RbB;pN>9Wo~M1f
zk*npK?|#=d>B}civ4w}T!N0JHYuz@n)HcJvxY&-38s6JT>L;9<uihQ3)CNEF`fIP%
z$!E^Rt)t4C>1l#KFyR)t*{idtIA`@NN9@Fh-u$!w`1c2PLR)ye@aS!D$grS9hxZTm
z&SoOnSFla;o;jain}-R?235}?C=Zkg63~T|3|B-FNwjka%A03`fNO!Dfr7|2X^trb
z<q>ni4JHlIf?;~);2h;y@<RiED_IuYQ8S9MBJZ?q!DJf}mYs!a6GM;>oC^Zji*`pI
z8dy0sH7+>QM=KuMSRb~!P3OrRT)+F@(CP6>*SeIZ)K~PsvEJAxO2LBo6WRX-lLH>a
ztPkMyu8KYre>o{YIAAMe#+m{{&Ju$TlExGdt?rOD#(-^mduA|#r29ev!m%oI2H4VA
zG`4tN`S|jPGy}#t3t0ifrMqa(f_BBW<@EA2orF!mfmNsNo&f(!Yv2#zQw0mV#w$AI
zHf{AXlhu9A)3<)Z`EJ411c<4&2=EG)iaN{jOo$Un5silr1Iomi@UNiUsI!_NX7h?a
z3!Ep}oXd*TSuVI=(saN*0rfF_`YK4B<${25amo!7zEU_SD^h2<AZFGvUc~dhSurPH
z1gWz^a7ADk1lO3*)(P@~bU`rXu%8@)C~ovCYCXV^VKEy3OgV7Q)3MKLH>v?m-M%fm
z1zoMdQN#b<-y%$?w=3T3(-`k{O(j2KDp}P`IIq`XY;U}Nlg1RkeiK8~Y|7g7nBq$)
z;(poR{gU7N^Uih)&LvE0&}nd{8V70QuxLtc3tqpEjol2>Vl<^L7*k`{@cc>^Cl6zT
zU28*QNAkHerM_aC84I3(Zp9Qf!nO2~v72Gum!{NLjES)unFE(HO~}XPRB}o>bBa#R
zNkNjObzc)dmSl3sh;6)=#=c@UFlE`&6k1%<!J2e*+L|$i7C}`x`Yb~(e!d0|skEH_
z)xr}M@!VI-d@*6HzCg=`xy+4!HCk8Jot(=gj>m!b9*y|l+hP&_o`1(=;@>EdB9{@<
z-UlLw#j0dNBmrClff_j=^0+8+z(lkXDj{+xsWZvXrUUkvHnisD)EOcX42n&WljLIa
z{xx-m1T03#MdZb3qNI)_L!0-nsUr!@QXnOXqKI7X$o^_i^%=V{s1nZzewZThm*&j!
z6#c5V-fB|JsC?P4`QktE9iP*xb6U;zjEdE^p#Yai-6QA#V%B<R?JLWuxF8buOw2<N
zEg?M~Mxqmirhy3VidGt6knV<7!nhp3nItUR4@cKX>}VGqRR}}u03p`jod-uNnYl%r
z2^Mu#=<yI0w2~$-`HNUL5!Ih0C4jVF)XFAEY7G%k5<&U{cRK=VmN`+iK9ffLZZ;)r
zeSV&@BzlCtx)QaOVM&s872RtLu@n|%?9;fFfnC=UC0dp{rGFjT^CCcQX24Kxik$uo
zGeFbf-5pKAKN*cq23F=8Kt5sssru;5E$S`x6`OrO*zBM2cYo!V{i^VG)GKpu$0HAb
znMun{EYjBCzxI`RJ58|B%`iV%LX<qs8`ZdmMOvN)1U5N<47wSZEA<cJ(DX$8(7T|U
zSsC~?1Zx24bTg}-arb@i%>m^8fXuQWI?!C(LyU3&iHUxSjz-vgj&24uDQ0a6B=2Dw
zC4dA>0^MD(_!vcET%7T9N&tBPX^zyxfG{Efd<|c70BIv6vE~-uW-poJd+@X?x(c*7
z<YBLC=KHfp8d{EiSR!NHOXeGd9y9$#kTgaH|3w%18)~v0%VB?k<51_o{yujQ$2k@S
zG49iThAw;?`=);D-i2+QZ5JE!DdOMEyYSf6{wD7N#itt5!GcYOq*_6W7!cm&m2Spk
z*q54a=IV+(o-1Pd=<14m;?0kK{ME1g@M8Jo5p&tU<h%c?pOwa)Xn^aki04zxC?lVO
zP9(Kq5eeteev+gpCtfB=ili*;$$}}8A}I@dWIaVvBxPX}oFpmAQ9T?m)W9YFCubY&
zas28W)WcV>8D^Mf)?c2|CWe{->@}7|=h>hwUtAHt<i{0p<wWa2VX9N-2cKoQn~9YV
zVj+{cj=27usaD&HRP(gCj-xQatcM#*3xv6iPyNdA&Df{&X8KBebeWqW(D{^V=6f2z
zJtX9i_<pn`z>FM&q?+wzxX@}a)%?r<-~Zy{yK~h$Hhfy}b*2%d1z(TO^*PDRnS(EK
zYX}Ahyqp6T%^ZB~!15#-4}Gx!y3`0H2VX!%u^kvq8|XLfqqJM9cbIIhjhr5Ubk)0Q
zUd~dzyPcQwtZr1*)IkST$wgEndME2h-ODR)tj_V<PCd=hV@)&KhhXg1b3jOAAKpsK
z&sjN6hSHOK7kH&Bst%~UX;fer`4D$lfa?g23JzJeL!}VLmvP_>gn+aQ;I_0?<YE{L
zz-j-Uw-dnmf_p@yRgN#)X@8t=0p24|d`U4+iW0G$f+kZSlcIPep>cJ0$dIf6vfl&L
z1ddLm9vU!uhJuqM>O8l2kVKua{0o-qe(E>8_m6z&y#B<DB~4yU>(9bN+-s6_UBN><
z(e{>;BS8CE|IX$xVC~Jh5sc*1EDG{1$3AaX^ryrAfMqm}q|jkme_|nKn%K*>?Z|zV
zDARTWE0dTPA{Bh#Zmd}JXKQ8qG;O3aP21FE`=APNZpKKJ;w0iB6kvSPgN!+%re%#f
zNcOOQ8oIi%-q@$}>h_9#xJT>*@<!=xhq}ep-6wSmWW-&jyu7sP_Lu%Uf9T6z`MidV
zP<Xlr=&hNe^_r~FcOj0O<Jh}i6CI2qEhK~X460jSk;n<8nAt)iBRmoO#)`ZFE(kJr
zWd0GT?%?2QCOibGZe0-eQ&Z*`@&X=xI+r0xb?btd7e@MgHl^&QXKZcu>aN1L4n}9u
zU2Q9ZMjn1GXKbyk`O^<Q(_yS_jnWSsIs=>5(Wv_784}_0Emed6*QpNwXaC!MT^2X}
z<%@$CjeFT<Yx`~6mIwEh{qNWj;@>DW<ut-s7oSY~ffgu~5Z2G$4B@*0zTK~=x%IZW
zu$FqbTX<0Ld{jyLZ7vDQX!Ia)&C`-JcU4m0Rep5D3J5=l;W)AWQ6<x3jGnYL+i7v<
z!Mm?EKAmTJju&_;)f>R$XS_T~GCjx(%oOs@rLEytl4N?4(Ml{s3HaP?ES_@7dCwjC
zRCB0;&D`gN&HUV9^B$i&td^fUZl>ps4YGxH58E&D+)Z@N_B})j@|X%9I_MsPk&C>2
zvg+riDVA|ccq9fWM=SCiwJxJUn=djbrE62#TJ!$$%*6fzRgAI`h5}5t2}`SG3Qt7!
zwdDW-fvSz=HiGI%*Kg27j4oZi*zC?&Y@WOaZOW3djILq6Vd?xg^2Wsh(WRWbUfG3Q
zu$~*fO?iKLyJG*Y%@<9^n-XoJ54i5n?fCs@MJ4pFoj<yMr`v?35jO4L1)toEe3)J>
z5B{Daaz%@-_mGk75FN+9iJsb|;LuZxeq<L$XsGSUEmA}~b3PE7jnig{>sljh!?fSh
z3EKqEbj&unw&2G<_Ug@BuYdgYH*cN^dI#r*|646?K1@5%HcrbYZC^jeZM(jfcYW={
z*!7K9Klur)`0B?5R)MVq+Lszgd{+SdvY#JrWViNJ*^4JCg}qqy&6_tr{^rf=AC%uC
zKkbI+)g;?OMNoc-{D9eag)w)-sSU1J+`VzRcEV$qmb>t*?Vji@ns-;YD}P7ZmA~xM
z{;n{|`_KJ~pZqJoIy$t0;6ygY+3j@;lhQZHhtknJg1sFcDclJA$`Ce95S@hL<Dw6O
zvp0$$m7fbDsz=<<6*nhyVPZvE2^Rzuh2+1)mZB8Ytw?p~g63$UVuY6N{?N1=E(l5-
zG>=2^k{3jK6XVcSkWCOr7C6j_1Sj30X*ygm5WPrkt3T!|p7mbnPKtBM58|0N-kbo9
z#CMhkXxtyA{~?^zwj%fR|GKa5RYuSJ7(XSs$Rhv|yid4%&G1~?v(Myp=d@>Eo;kIL
zH1zE}_-WDQvP)CDuAiwd{q;DrJVpB^hhOj$|Hlvgq=5ZtSFtOl)?=*W$t1R6yAyPc
zedSFK)?yq3TSt1esg!)h$zE+Lr6zb>f}BXgDl}ntho(|;!P8-<L=?x<R-DB470!`L
z$pt5SwW*X`5OeZKmH{;ft)$w^zQT%BN=<OhT&)PQ4ZL0+2~k~gvi)0Y?RF(WL~(on
zx4`~<O^}h2SOZEH&C7$M3lhTC#Ee{;3`lOFJ^S;_w+EE0EH!e?Bf*yChD)izkB(zA
zK<1jbQ$_NuLe}iS1%tI!^^Ga!P}{jDZB*~-BI6_E^o$T=+J`8G-BqK<emuq0kLI42
z|3y_s`p=T87NUw26!jIYzO?}TYLDAb_M$<lFmJ>UMwJSA()5GRjGmLun23?|^0X+3
zA@%|9sI&jf(4|u3vM2kDE*%uPuJF9agXhh=MZLMZb_(22r@%k;tH1phe@)DI*X-e)
zv;TV#g$s2k+9#0~ttog(;uzf%&@~)&3v-M<ZDxU5mKNqXWlb?-5R?AsGQb{^wWTEW
zC9PvCq9q%i0#<~PGiFs!g{sS7TZnT`m<h`jPtZ=?ijwHD6(OgrrTAmL2eD$#{_jDn
zC9xJ5R*j-E1anrK@IL+7P-HgdB}p<L<7asUo?d^>7Bz)(ma|Q9vzTfnSCQ2R>3A5~
ziqH!iC!f@I&K*P8Os>aH_7C1;?kU|^`pz_n79AnTmgYR9!MsUNqoS}xaB;v<l`N5<
zEmC@LV5>nBQ}U@|o9YfZAJ`|5FD9Ytx(aFRE@~ym`R05mf})GiD|7I9k#Us1a(`+G
zMnUe|Q;c)Xvh98~%L$UrRAoyCmQ3ZxZocvZABi;P$6m+ws~`MG<T52aXDlSX#E8@|
zqc{<T&bvidv#fynIk)H%2AJ>v?jQ2X4~yX{3LbE?>>wW$r#b4I4Sev7#YP0kC%1_0
zL8pyak%EUogBs1UuebxJirB{eYH7p8Vnv!|7sNOcWbC-2%+a7(4ndk_7lb3Az4!=Q
z4Q3vKjO1M~a9ZF|Rxo@B(k#1RYT(0ElI~a2EW2Q%^FCmvat}5Yv)m*#wMn!om>;?&
zO9LOu{7O>^OKvmO;w(!8Un>mb3SqQcy+WdK{petj&~op7187+<nQsrq&Tvu<3Ch<4
zMrMiRea!<%^8_fihqKc|&x8uaB~NI%kbX!|Vh^sn7(SN-8}(jW5^;2Ooa`(3Y!a`z
zBx?44l`vJW|3&#89l*^lzX(5VaE~Op=WF6YQr8K)*m!C``dNo4e4QY?bDu~(l*k$D
zcEHATyGQ2g-t*HF$>H&qLtQwRz-s~AeI-4V7r%9{u~~JU<JN6<35%iE)b|pqVNYJu
zfR9EfV#3X00^^c^A4O$^^l5)2AW9Whs?N#llI_S4^kruhy%|LW=JyOW0V=7r3XiI8
z@wx_OYw^!p6?q5&PSw<>xLVYX7bh(2nZ9~PFRy1b?-pG>qlfW~KKi9kfB82>jYHj3
z_l(SG<?u15#@-lLkbMPHm4IHa92#5^Y|%YtFefYmu84k=J`a%-76Dg;chaX9a>4?d
z%sTv$+2{`~fE~+2G`QkkOkhoc<b(xC1q`kTFQw)Tw8r6=XBTiqkRXVC_RDkDmZ|}&
zu(2y#vB4EF`-A>g$!BR5QtxJhD*^zkbsN9-@S+l$XVKt_sPuCdb6qn%#S5^uD_rrU
zPe_$ak8y%49u9*9op_LTG67fIFX5V(X9VY&9^?d91Q~>Sy6G3CCpp6veMX8)&U@|v
z61>6{JIeDbT=9&fC=~Fw`;Aw)qQDcAqkV-dmON%d(+%JntQ%eqyCZz;w=aI}J3ke}
z;<N_VBQ=gdKcKFFM#ME{n3Zz84bL?!K!QtPTwEte_3s6K<Mjdd1JiaDkY-<5*~JBc
z1R%jBkN|Kh`W31CT@ce|bq?DJMUo!Uk&zkzEs=g7iTM4B9lfwoap;WHz~DPpK5*!b
zqrzP9tdU@p8=>}Rq+61(EDN2^f@==uOb&i2*F0lc78u}#*?5q|R4$TTRY@W2)Msr0
zf(||zkOb_fhYw{e3#|Q?#X#Ih4CCz|Hpzh5eO&;N#Mx=6-60XD`69pN@HNr{yue^S
zrW3K|$XJMsWm(wshPns}e)Yk;@G*kZ@fp|@amBA(md@(%D}8oLvsEcOg{BAOi_&B?
z>6%FCFr>3e3p)IieurFyM2|#iszCM%FH}09G*RRON?g#=S?HO-YZb@8xT!+YZ)txt
zRg@wsx+LoPOJvZF-(~kY{EuF(3<pBT21@?WYUp!d0|^QczKQk?Y(hPu;DB$3n>kUZ
z&X2D=+wjx$w~}-75WM*2cuoS}$K;wPF^0G2F4r!IB^n1{qJ}lgcCp(<jH`VYcPu&?
z+Ja+ug-c(%Aktl-8~dw%(I@}W&km{v<;?M9oNl2W2UbiJ711%ZMQ5XA66`BW#<?J7
z8j<vctyRcSb%NprI29L2G2yKMcYtXSy`TV&O)yx%;9zKKA`UHXtgpC%|4I)8qfF6v
z${bq=iW?i`UV8y99d|1Nbc>+4v3_W;DZ;U`#?V+%oHQ5QVummejk&BtZf|H1KNHMj
z-YO>Oq=4*lYy}+KnkV3_<pOD5s-C4fY5sdq8-_#0c05#SyWgWunn|M9)CO&#nru#*
ze)Z(6L#0W>oVszBDoS&=Lz1)tHYm2~qJSpZhiQDT3XP$Gb@_WF#&NsQ{}ry5Ixg=z
zrGoEu@=6q4)Vu;sNyaAM*=Us{M*1$)H})ci0l(h{j(N-X1tRLxSH5BOeSb9csaBdi
zEudQ{Q7Q(3X@Mg`YQ}2zmFZI#+@YW^?>4&1I03T=^18d=X}Lk^pV|+jax|uk6$AD`
zs&)VvMO%=Fv0IV%rmYCZ8&zs2P=0lS)Td1l^Fb8|3TDIp#{}$yy>u%M1VrQqIEBWt
zagG5gARcf{T5Adl%W?VD3HE_5+T|83)zrL4kSup6nP4CI-Ny}+7g!V5w7-%G_5n#4
zBt6Y7%vrx?fO0rB_&{C@>U}^wd&zuzz$^e(ARb+62=zWD*av<)n5qRe3Qz}$BGYd_
ztE0QzNe+1vv7@Td^D~7y_<^bD=dG#E{=tEv^X3ROO&zP-Kh5LRQQ_I$cGnR2g+kyO
z!o5p2(Gg1M(1yHacWmjfxBj7&_q^rDl=rXy5nuSP{pDy0)(n;_Z_8)lt@oTRI(Yk(
z9MwY;Y?D%Va3JMXj+~e{!<PKz6%}9ac-oN0AMbn!iZkqj0C1^~i^^laV$SI@K?}`9
zMisD!bt{T9>?<mV5At@XdYbd7U%?XPobC~=bc$vdIKTL#O)zCFUqMmQRUQ1z?$9}7
z>6LpbMKr(_$yusSb-@r1mK{=&an2LnxFZlO6jprVk@A1>O?=AV^K*PN_K6bH4aC<0
zsFy+OwICn<C*RQIe+m&yg2ty87+I<G?fyy0EREx?nfUQ{_o39AsfIJlkEypm_D6r{
zH{V33kS^4#H>em^2c)@}{L@7lrK^Li{GRmFaAMCk7u%>cWc&vsk&AlMiP1dtndV~m
zpP`CLuT;*lsJHEodXR20V&6_Mt2d}>56nqwR#z<QZ3lvG@%cc2Ta^|H9TMxCY6X&q
z-XYZ+9Nun8jrC1(w?fK7BoTL9Sm;olW=im8s0{V{wGk|6gf5CF>!nT;=|0vmWE+{-
z$z479>c-KqdBNKh-5i-X8A_)X-67%?*$oW0kBl`u>1&@*4aNnIRJC6KDYT8sGv`mS
zc{d(!eU8s)B<jXYcko#KpCuV@8DP)r)UjjZN#n*zWJEY^-$`nsm#i1)Zbsv=Zc)n2
z>qjWkc9~0NXLyUagAo+z$Gq<(L)7C<gZ}Mb`tuI|#%G@;=sdwX!k(gAbef=ZjWJLn
zh$a#k79^1!gQvs1Yzx_Xv3|<r*$dL}x*$l4(TpOA44E$tdm1Y;u5dw8T1fT?xP-wu
z((t+<T!W(|g)qN!nxJ#FW*ZV3Vpa#6wHb$|;q?_^A_4D(Io%D~Y0dC9NhGXHax*{#
zXCx=qM&A_=4*Gi{A<C6h$I&~9F+ofvu?Nh4m84tbM@LEz%`IFL^$1O}#}5*P>ykV4
z3fWJ`9JhYWa(_^N(BKQ{yMbi6K^QZF^TbsG&q9C8wZQGaXp35nT=*pl2>sFN8~J(e
z!TFDL9B<KvdAs!6&&Fx$J&ctN^qX)@bo<t{6nz2pHwION-l<TraVJHO^76Foz&K^%
zMQ!0pC4WFet34MJoje}U+!u_1WZ!Sk#*-*AV+0uCJZ8%e>il(PHfa9KfV3V|A=}}x
zNJClLl*`i`7+fu=u88KO|Ht1x5-+xI2`VU?bAvlu?H2Qt>)6HDnXx>rm%npomZxZ5
zo`r|6dB^+z@Ea+(lfqtK$eleNI|{jgBdTVM+Nb2My!v>Un4>Mhoe56P(U#nm2}Z?t
zwI0k=lHkr)^yELO@{#1igB0f|!JP}@CurJiD@?>iP=Y%b#5hgSiNH=8!Edan!JVJv
za--=mJbN~IGf4{C&LqL7p$)mGqqlUp<XP<*N^cn0#dnvKT}_4h{H3|95WR|x7%e`{
zk*U(d*bW!&$^pS9YjWy700+e7-Hi6Eo>55G*lvES9LQspYrvsqFUs>U?-uno;~LxD
z5B<{r@X2rfrcd+*f493@iW2+}LlU+VBRmjOWnYzoKLqE_3XA#6TPX#>rp1c1yME}&
z$zHU(O>k<m7wxVK?xiw~c;J9}!5WRkp=ozr5E)XkTR;%dEj7>~NW1HTn73%L$QB`M
zcX`C_Hc6n{#groqGv^?dOa*_gJvD!fX)#}Ozg_E4<-^$gF~)W-IX!<Y3jQR>Wi-o}
z34vw(MR&6LVmLK;F+P8q+w`w}RVyrQ5*kBVwP?vcyp<|cdca3PTv>8=j0{RfkEM`D
zCXJ;Sspocr;VeNFvciu$O00{~*xq+hjD{@sF=e$GqoIlrv<PR03utR+<b3(=rivFL
zuD8|nn{WR^%TIlE3Vb9PJ*;Z6O<Jp3pZ1iwpdvRM=NcR~U1cd(wE(0dGYlRckel~-
zfn3!>xM$ETz^G~ks;_EI(%VYl<MprG>21%dKvg^sRMpbOcL6pv&F6C!@l9yYIZ@3V
znY!XI@_|9RMm`T1`9xAkGGvagxg-1CymHDlD*Azpie?ohuTjzbTXYHg-N%39|Maha
zYZzs9pxr3z7Lc}%Qad6ls#sU;j&?zsF975KHQ61Eal^hs_!Mq8oJRnQ)y$)&(PMWb
zDk8`ii97KJHV96{gvN>y6%mhXlje@76(QJ>3^E6oGQ^9h2$*h=-r*eK=%Ew0UooO0
z2m%W%p#gc_dL(j0ML0)1!Kfs`dZS~u7fewRB|)hsk~oL{LZ+yQ2jA-8JRIB*gz>v8
z40?mvC$c8Wk%KiODiX-D{vfyxtYf81bg`lDqMAIr3@AP|)b_Vb0%`kIJDV+Yd=sD4
z6?ljMM^Ci^mEFYmf3IC7?_uHVk{Ib>x=QR-xF$PacIvj&kz3#)UVpoq^@lO*U;SHl
z-}iq8KwgWXp6qnIK%#D2VHioq3smYhOo#z(nnF4TpbDCGUvUR)I&7;9$s91UWuOEw
z7mB$=q0@+1Z4FrKjFUJ31tF=){c_jwr&X?bh$)-{kW*wH>yM#X_j3eeDh95={*U24
zXx3d25Pnn)nHpSTplG+EnDr*9q`z1aS;YR>1;}C(R0(7`&`V)WU2z^4c6K&9I{v}!
zsam&;#IS$4w6NF=a9R2J-n)vNLRCz*9s}RGu>TE%KK6-H*MJ)jU`q+Y+CDhl{N<%a
zdtxuOz7G$rSp4q4_R~NA^UuQfwyYumY<G6P_6d!bg7X91puDR+d=I)w1kcRZMgdTX
zmB&VLWUU8fMmnnTLEH>xn&#O9s&6`qR8L8tXj@Pdbi&I0a>dB32xhIfJ1ofz02Pis
zdcmUgc4(_qtFS>es9UmVy~AN?q(h*!MzmKBUf_GJJ(ld~gQFMt9vYz(YPZG6uYS#9
zl-4MJne{TRg9~+Tr9vFzx>EJ{48uO`Q*C8>7BLV$-o!+Z=g)3jIrHQ5Tq%0?yp@6Q
zvCD!&+DCEm<D+Ckz4S8(i;#Lw#{JAd@${T0hR(5p;^{#ThR)G};>o^~Ba@B*-<|bv
zOn7?KgUfSl^tb}p)HW}yDH&$_!0Ge#0T$?=>qG|l`dE?_r<W9vzpsfGOkW=WskGGW
zkLCN`i&9d1$rs>-BZi4s(bZr`xpwi}ni%1Ow}!qAu%LtU%=gHeULbkg*!Y2T^n8!@
zAV;;=XZWJY`0MuDF|JhdAUmWN>dQMXzc7PyR9%bqqG69sdS|fd^;LdMFB*oN`-{ep
z`9*`Izi9l}y=aj37mXhu<wcvApiW$7t~n|=GSwUhRP4fz9#vV8KjGcTJMd9UKvb|%
zWfz1KyU~`_2BDI}vlKZYQ1_R|thq3p*uBsDgFNdJvMN$av4bB)7i6rSyocmjg}WXC
z_4uL;+VMMFDh6|tu&OPmbGy^!&{5q%7Pj3kwxn!n$3HE$B>@6~a(vki7jC;>Y%`E|
zyIE`tkQV}Ve|h=Z`EQSlZRYOZ?iSnf`yo*GufKnb^UbYS+rwg8fV>c>`-_T<<Fi#R
zevUp~4ai#un?J?3J3(|H3%tWn^wnaQC#dg|{(JHiTTK`+Uv9cEH+kHshVKf1xVVy2
zELE)RxVZ}UDF<|U76OMFm78R#;x1S2u*6bT`);T13bQ_Q?#j5_<Xzz|SMIQMR|q-(
z2S4j4|K0EV!Dr$kwC!+WFybOW3={+w9zk+PUfF{IT^+17=*Bu1Cp+H270`2#wx-S>
zsFMeCw-T9YN_>!f5p)MjaKaIVWXQ^bXFUvN7u0)LhX0)=nMdX#6)-$;!M|O~B7ZgV
zwMgHWOdOgkPUc9Ci)<|ruoY$B>3YOot883B!%~PaB{oaGfyQdhHlRytm2D$}zB6sT
z@_5AlJz@-P!o@ZULMk7>+C~7%>X3E-vWjofBhIw3svW;tO1U=8vI+J3+bB2k(|*&J
ze)+cs{8eA=J7<Iu&@=C#%AyZ6vJL=K06R4(h#_I+jjcKW-TZ8b8&?)t2kbXU@G`~$
zoq?5QLr<lU5#z^?9Po`5(Jazy6}j~qHGznEg%&(X^sWaZOvD>9LByWc-ggp~f}*EN
zZUpm8ktk;%wi9NoDoJ0)&KX^jYYUp#PTF0dnj6`Gz)RLdoYjYx!XM~sqQ0v`!QemH
zNV2#@rL}Rt+A5$Iw`Y)E&p|z|Hb$%KFG9O&InT4IS92mmlvq-^xAYfg1sX~)?dOr(
zFm7v6TZ!59R34&`9H)0yM^kU*m`JCZrXWQ5oFWJzG8I_m7KD(E4;X=jG!^%_?2x^7
z<wuGA*|JiCK*={GMXULTrYHeqtpmJJ1ujA08Kf(^84J=ENsEiR89TjQ>8_04DgnpR
z%METAA-~dZ5m(gB5=cx?#n|f;Uh8NzbATh8u{<4CR|aR6r)b|z_}l*65C0dwC0sk;
z_z`+Jen+<eJ3*#NJT~xFaTYRwANG}XHMrpExIuE5@%{#ra5_P%br;+pIdMr~x@5Yl
zD>4Dl1lJ(Mmlb7<Wfq*RHssCXOVvK8A7?tlGe8r%B7fBuiWj!6o8`K%XUpihRX~Yn
zPVH~PkRBFU5u6q!wC8i2UP`)GSqtE$DoQ|d?o~c3fdL5%9@nA-#D?VkYbFThpfajT
zaVjYxu@`y&nhAo^%9$5BkC!-mV%nQVl1tN^HR&|C+*z}S^vr8_=eK&^@?&rH*Z-BD
z_Iv(Rpz;*O>Tk7ST9K4NvYGx3OtYc#>??b#T`-97)+^)l3_-rtP4IyK>06Ddv+`Dl
zAa7<9+-|jo4!S1x-$J2;Ae}WAL@}N|7=nb6DJZ<7E{I{UV9}&kcLK*nw<2%03!**X
zC@P8wg8tBS)|wz#tVHvi4jaSy*;lwc;;gykVY@a^{btqCIzci+<uTq?SsUR0F~44Z
zw>WEUO#uESYmR|3-4!~k_o#(bi%fSDt1pxD-!1#i+_!$c^$NZJ3zOfvI=;#Z@1YfA
zC{!K^L9A;<PX+m3!0h_KQUb*(RU;Z8931MpqDp&@O12}dIcP;f^9^)oa<C*FnH;Q|
z7F9lobbO&t$on((nRFv|$hsN+R`Cew@D<&B#z9l3zG+9*#7#Y76Yg7bkkY#hk0^cn
z;N*F4ym|9x%wEUF$KSkp{e#HA#s~rtX-PhAxs0S+ncY)5s3tQc8!E}!E0>gYtc*IX
zz5TiN8{bdA@$dek|M=<8i|MIq7<wCy424oe!SkNvs6D})z@EU6j!@5L8{X!QjWNom
z_a02#%cEARQG3^XZ`j9d!!dhG+irnMF&tb7W*ctF1a`4u5PN4GvkeFGW~0XO0C;~9
zoNpWfdq{~~Y^}taiIq{)*J{Jr%7qmwlE@=a%69};V%lT{I7>e~mEx_YQK!q=^~a1Z
zKo|H_kfBPk|8Z-wj{~g3&Rx6xpszCfI5BnXxL$z}<#r!ufo>0V#Q;n2X(QUqLl29=
zLp#sq>7>tE5W9qAdVCkh^#(NsR+v}htLcuOo?oCMBo7ElP=3|(oc|D^q@NxlZ1oQj
z5CP_3oqLEBM$n!bZl;GwVFd9Iar=!3XeSnzee(DGEdO}x|Dy!_s|gsvH3Rix87R#n
zg}-;5Id+{n_OSJD)^Y#L_rCt4E<yEA4`gox9(SnsPStQw5LR=|TE$ciXNLj_LT<wU
z3HZ$A*$&mefdHd@ka7*sXQhU7ME@HN92yW9#Fsh>U5ZxnK*hysI7_odQS!(&oIUDL
zQpebX_;OH1k*Ln9Jq@jk?g#Kc)?<swYzIG}f1f`GWRBf5__L-+Jq{yYY^r_y1OJu(
zS@`aK@HwE@<;<IDvd?#~jhYOVHkQSZeh5^*do=bpr9cOH$tyYZbh1EPtH@nQ>>o%e
zY~G0+`lT&#eB`fv&F@-8GE~p=_R95|gn#vA&FGaVNJHuM%8Z_9d#M|G1&(M%v)0OW
zpjY6K_7&V*xsJ{w6!Ln7lC@szJc4^afE#D6hvsSR=*59i_o=@+YrW_@+MdwLl?5-;
zP^vMyiACYo&Ty7piTU3#FZL1jk|!PezeqxM<rdXbDW^9;$MgjfWT8rx^jv|YFAF0J
zdI_9G%gEuBqTqt6Yd&E<5-ACZ9ZC{X3PNdrR8H~z>?Ek##7IJl7K<b#YQ~Q5+&y{s
zyEFr;wiZdq^zHm4<Q;X^H3|9Qn-4u3p)-`+>iv=)&bvj|B;>>N0sp2C{j}FV5lP4w
zwVe$j3UkvN*=#!}jVzNmZe#;Xiq4hZ8W-C+WsQsN+#WcvmZ8Op17dEC7!K=$b?Sh&
zqqpzbb{-C!onpD*bM=A+^%Z^6=&9)=suD3oqx1$rRd<#J^%b4c8*+rz-=m(O!`Sdw
z3ZC#9ETL<cnd!KEds?sb4z<z2(ewQQCQm8l0b@fT)*U_Hpc4QiNZcU?8c5FeFvRRn
z%*GK{fqp}BI6U~7Tk%xaVk|(?qkF114snCv$(<GdJLa_bcm8)wCjO05lR#cnC}I7>
z8f%F%S!1!@$(j#pkf>o6G_P3Px<fJlFG`2_qLlSgb4@=Ob;;uXNV8c!e|hi2-w@9@
zHpSU-_XGY$a-0PQ2H&Wm!f-92C@x2k%(HF-1j*F_xjG=Pzwu#dJ8JzZ^ns+p?V-rI
zp-0@|WuK_?9sk>h);}s>=fPpc;6S%fCfe^<9s=v~{)ka^d=>30Lo&G_N|C@XQgDP!
znHJ6kQIX<sOIRbcud3CI6=~pH@Ps0$fW%QwGLs#Gv~Mnmu{JuP4rn3*ddfLc5jDZ%
zMl+F!%?}!r$BNWJE*Nyi7)Gz&LI_fMxga1^9N<SDXO7mXhZYB<Ng`D%C@Kuevqt+^
zQXG&bxjDk`pqsQk7y(O?%r^(&A8U+|gj1s~fJ>e=>@;#=NPQ5!nqWh3wwC$xIHoY3
z^2zP2|Lwk>Eu*J%&;l?Qvwv{l)WM3svY<K%hn!C3E(y&vlHk0eneHFWbh)jzr}m-H
zp7WOP16`JNo_zTie%)UT9eG=j=CmaUl=@U(NpQy0u{8mbB>>7%0I(OvZ?ZoOeES>(
zKqni&2}lL{L+2QvRZM_L1wNF;`-nhtkQV$V7`ieUq&ag4IG6_AF*!&J;t(8h5UAv`
z&Z!ZHU?|%_vb5$j;t-UK`m-!S+6f~@MMv&V=(y>wc?r^vfH!EEgsEeLHS_HOd%u$M
zqx>`sCiDHl)g%=P`5|CQ%F)kiXw`L}X{|Y4jB-!Q|03zz=U}A|Fh^Z9;W-Gow&vs@
zvbN?R>PoLYqApzBBm9~biWTg|shijr+!mKB_B#e)58s^gp?~wQKK<{ba-|l+D_3SO
zUO^`f{YCh+n1<N9fmG}+7_KT9Y<mwhJHPt_@^37fV{oArq-+hi)5ZDK@yvAXmZpqC
zusFZVH9I7CuMpT*eZWkvD}XP}m7yYTW%yVzJHIIFQx9%lIjnp(W(a2I_i$Via*uN)
z4eylmdphpv%5%x};QCbOcMl#aS#!5G#U2M2#crEOzihOV5}-LWGGy0#wrXvTX#Jp?
zH@I@$jcp!i5Y`mB8j{sC8ONB((%t{l16Xn2HZmg3Df{HBoBai7v^bFYzOFy7zAs17
zKOB-D`ZT@hP@sq`cA-y+^T#{Nk)rR%SyVvMM0cY-?U#DS*DTiKWwGYnqCUE~V)^d}
z%m0gi>AQa6d!vk|H)CMPG2K#=Q-~|7t;j6-Fp^3G$t)J8xD=z3S@Pj#I=p+o<kH#t
zR6`y^#?}ZxI>BPdBQLfB0dHL~XR(sAu`yx*dj#P_6hn^IVzs5~>Dghsr7%IzhhUPb
z9=c?)V#*kTrT*6xLk{#iBU3ssM+3^lSr$W%Fq3nIAh|sZkDlGEm<YBxSp1yUOzIa7
zn~^6RmYcz_IKg_@j>v0TEQbN7RzmbOXS)$<rZZZ7Wtpm5GHEj$iY(H6ir~8|%n9SN
za3aiqcq<_c)!RTy%{~ODUbqfppLmSvRN6H>yGP~(3(x#f?BlU}3eRl$t9S9Tll3pp
z+@h;@@h~8rulVXe@@IZ)<bUBF037e$g>E4W;AvgV;2BKYru}k3s}_;-@o3n3`wEtu
z=F0^Sdjen?FCXAFh(QiPrU{zh;jjm!1}iqQ{t%>h;ez`E>78L@k<&mLfF?c!>0P)W
zcw*7*D1u81>O+v;g$u$JQ08ci4mXzP5M-Lb1y9=Tk4`vrkg0bOg4zk^bH0}=9X*63
zp6~-B<)mNX`iOVY)&!IVMMUYtwhU~NWR1)v@pH7hZuIE+?f~9EmOT<8><v|%*37pD
zsg|u>qg^LC+h-6Pt2WpmyJ6+cYZyc9szo0q4A8~K;4`<`^XxZ_$(W1qfaNzK4+va|
z0&8+gmyc#n=>o4&m`V^<BgZ)#2Ri`bTuKJ;qCgzrkTXXxQW6)jH3{op>MxMXlYlho
zl}8E!SND)o5CHKU?vR48m*9*A8UShL=5XgN-y1j<=YGmR`uppf$l+>7Yv0BVI3Hnh
z<U(9CP%FG3^7?DHE57%B?-9-xdHb8-2`UFV7yKbM|0_CsMLC;bG=@V<L+6%5%bjw;
zgK(EXi?eUH6BOm_hek9b2+A>X7g5f>qIZxZZ%s>64lT;r1X~9=wB&%?MU}HjMhiK{
zMr#Ezezq!SlZ+m6%ppKgG97%jdQ?3(Bw10F6k!!rn5eD^T~-vMZRBjL;|led58nGb
zQQ<;@G7~~0P(4H1*~eVqeJZ#-VP{MFvuA6Q5?a!~m$oJJ6*iQK3h$K=@TcP|A%6n`
zLLI+^LsYyq-c#ol>=n$^mGbSe5_(j$jokXmpK|3-`M8EYZ@hl<iJ<R!{pMN3wAwb(
zIC)09OD>sA`tN<+AN|fRi4kU+MewM|atf~i_&_pPK@MW_SWPPCtX^bD&mad;oaX!+
zfbCX3Lc{YTHRl@eJs3YlU4&rCxwh2f&L@+FAPukon8-YWzO`0@&ziJmqSW+^HRv^w
z2`iSrYVw`eu19zj3f0@K7?~22NrC59Jalm?l1b3sz1RWR`a-WI7@aC#Pz`-&-=)-t
zy$QXvvk&K+dAixzcL}|jAf=i?)QjT|{Znir+<COB;ar#9-ik93!I;bb-hc87zaru3
z)c1GIVUYkyp_%=FCj=q@eSPgKqycio4iE*%j3{^{r*lne<ba)BH>iX_5aV@w!IDpz
zO1z}t3W=GC#EZD>meT;*0^@`wpF*0SdX6=pvIT~hf;h!qFy~W1%mDfw3htNW3o5A`
zb=mFM@W(m*7?Q~T^cS+^Q*fa*ut3)lCl%K<b3SDcum#q{D~kX1mr~wUzm05QlE~|H
zK;oxgv)rETPSaf{P>pNVEpE6}YkW=qWXby+F@tO%nQu_50D->J05rblERC(fz%T%z
z>ecTq%F+yClvrmx{AjAY2|fo7WLohXP+E6A<PgV!(t76jsxus1H&u7s^Yy;~-(6dt
z?y=?RDVv(^f~T`b_>AWL0m#L?`3=wC`Gt`vR}0~}7~KNdHHNT@?l_)g{u>el_LV7i
z7eq3Gpk21eInh}NQgbvxfWO27V6+@tFw2U8r$bD7VhV<7AdD2y6iciaE+Hnd1E8k*
zZMmo0T2>5~5I<(WBrPsigwf~(qYRHj<F`t-81;YSO^ZWQvHOof_D56iXxL<DOgXeD
z_9nSGuqy`)1~Os3lO#~uB@eiiK;BUjGYa}OqnU&w=#f)5v%((Lbo8?dQ#H;Is;ufJ
zis7PlRQT4OlBVy5AUl4Q|Lc_4sE)m>jGp>9SGcQ1|9fS{ea}O%;@;KoO%tp}X;ts6
z9_^(%qkYHx-Y@#j&->f!UOl?stIJBsiZf^tF{4>P9XtD~s7DA+h1Q`SGfHW702>Y6
zx>zyPBh&@7ndG#`y7xjo^3cHLQtMIs^#GtykBHD3_-N3ZR#8d;KH7MDNStG+N3iPy
zT5UKX_>0|-N$L@j4SR%Cb$^ltr8JpRC@7_goWfc47%FY(PpURtM-p2V&!#9k{kL58
z8`X6x27l5%3~@hWse!v{$k>m+Z`ymjw%Ofdo88L=HGcS{!B>3mAN%WH6cEqbn()}w
z0OfghcK8ZS2qL)KS`%<|!clqJ)+>iC*q23cOMoJkX9!Yxx*!I-P>^GdF_^m(r1Eq@
zv>&6Vf#*0fjhxEU1rgjTc^G=@tp7L;P2VyEPdaM*{OoXMLfMFhQ&dY3D~j^;C3hfc
z!G)yc`Ptz%p^Efr-R~|<csr2Ezzaps_sL<S>F7-9_|cL2(kuvulNnq#N#@%FR&HAp
zC#vSaCC@5k(T~q(`m5A#qU&_8%xV&feW06Fc&s7Blyl~-et5#++tam7Smr6XR}99P
ziCL2v40b7EewOyp371-WucfW7eLKGi#GdOje&@igy*7b7UK7Z?ThxaJk5x0~ac%Uj
zeuTzv!E1?;hVweO!^=)(@;Sfg=l{c>6XBI+$vnLB-0>v(GM5#sNmJ?%gOoi@P+M`e
zr<q0y2oo~<OnfdYOrkGy)_M|sNloI1o<v{fvce?#vX&L5z?W>(_hawT#3_{uWcWEu
zq81BeD3cW+jw_PJ-~u7_#Ub%kGM5#OD667c%xoH*q+T-Lo!x1xz7a}3WHLx=p0)d(
zDZi~0s}}lP2&Pg##L0U<n@jK#5j*><?thW5>Z_(w1x+|gF><-;+9QP!(OpDf`l3i7
z03})HBmR1^dGel%$7D)bH|-BnQ%p2Vz)`-~=?6<UXF^(v!yk28zO;$hS@_j1&sW(S
zb&D>(6=^g#<eIm9Z<GkF{N}&+wcqy2XScEBnvm(!`2Z_|EI_jKQZ!5%<J?t;3^<UL
zmZjg>kV{>WDw@~bwtx@^JCbOD<C2ZCWyQ!>F-yNuq&Ub$IP$g#M!rgt?;4{ZLSeL5
z1S4OCbUw!2=%G=ztm*HZufmFG@fQpx!jC~EA@Wra#7rK|`=i`3NWD{``p-sJ@EWOi
zB<c5Ha_UYp<*PU@lvsO8ZjS)|*PoN}RggSxa0MxeImb}a<%dh1){lPJp;=BPR|N7`
z$$WbVj6?j&^IQ%K6#4$_G5=84-0lXKU37~d{j}V+nb9QuuSYL;NNOGOi-eS`O6H17
zG7<b~dCgfSLN)vCxjOy6tN+SBmMMSD+C-*Ig%B0mhv>f3H80KG>0aZVems2sFU}%9
z>Hf9K;Z~ymi|9q*C{>B#IZsNI#=k025Z=cQEPGy}b%9_9JU;d(T)qq@g+M(Zw?k{M
zWx#OSAMFAKoCATnza&?hnqNzMK-wR{U9ox)sQYs}9beduVmY}yczG}fc#F%q;*)u~
z)v#CRp{&Nd<;SeX2mZik|D1m*0Qnjdxf^Z~66&TK0%FFqWjPfT+*TvmGODGvqBd>g
z!P;?d8ZWAS1@j_y+h}-?E3_)fp_j`^wyc=HvO!@)e9Txe1@z!B^!Y0rHXO^-VZ7;T
zHT)c7{tEc2G*pNc<)Lw^V4uH&JwO#xS1gITlMi44vlY-yS#p+^6D`o(RgBkCon&ea
z<bUzq1G&OkJMnYqrT8>Qrb<s&A^;C8a6PF^Bo#J*8}{ijJorTg4Ls$lf_mT#J@2A|
zAW&3@Y!?+$JD!A!H=Qt)a@k+D)BZSL0Kl5#Ocgw>v#F$Ff6e1I6{eS`sW5E9Ex%la
z*|UGC3cF^st{JV*407H-`4isrT|X&mUfPo40hl$Y!1^4C1ZC3XG??lsITP#10<uU;
zSwI*OzAkPDgEtSrd_`nmxFUd8t0O{^Do9frf*4JvhdyE|WEG?abU|RANYjPb{j?o4
zm`O&fb&YXuH^Hi~d@yI_9H}FHMfUL_QsX>wbVqlBG^I@t4G1_i1y`J`Q&tpH>XOz4
zhrs6SnDH%xJ_aG{6Q;g)Yf47Te$O7$#Z?kyo&(8zcXlf<7;9LyF%X-b82lHR4&dhr
z1Tp%JH2^bkT9VB7=K${s0T`WaG(MK|JnI~&A8<i+Orr?nOB;kh`t6`V)*E&eyALpI
zzjC+-W1lDum%*c*zEF1MoqP{cVHZVReUqU$IN>r#Om?};U=RA$Wr)76H(vc@YWaHg
zlNYvpVOab94iuVqi~7j%S_HcvCbYlv^}p{Qyf0h^b+P;Cn;>5?@MmE%PHKYm;Tf2W
zb5(DFF)m?f7kxLb>XCR}f+h=rk+voday*_d(f4xCPJE^kz?j_~S~58vR6AGo4(y@|
z2po^TgRA-g3{JOVjlLUKH9P_sSmuRV<S#i}+eEH<tvTBrzVFYnz+{4}8kvg0(MxWm
zaaAL*8?0H9BhGJzB=ZoYld~hiRXrFwduNwH46gbUkyp@d1Au2^-I-^VdwG(jaeC%6
z^uB`o5Lch!L41Y;s!1<5@~Glb=(nV<E}JuIWdzRn%X@V%US9XY6zZ8<bagKth6?TX
zeDSMa_$`64u_^&fol}ryO|-4c?6Pfj*|u%lw$bG-+qT(d+qP}nKKnl>;>La1k-2hZ
zte5>V=a}Og#EXFb#eFwYb^ogMvle(NVi9lyt&ybNjcZs22~%dY#Z$KyCOIUcXkWbK
zEQ`NH;>VqFS-|Ir%rh4Q$zEV$7yQ0n>!C{nsB)vMQ~eszP8OjSo*}mcnc=3G0-@xY
z7k?i2zo=k>uBJ63paf3hXEbLF7y67MZsO3RIf(_Gd!v`YXY~j0fr_E(?Z4Ly{0RB?
z>IJIzB|VtW5UMLW01N{TvPHSg<OykN^boBrE98Be>3oa#lz8W&NF{R?Mh3Uv2wh_o
z*!$(rOKi{24{UW!7!d+C9DlH!KiL{ERG5gXk`dm=cw;+k^W&XkN6T3;kwo=%Amn2@
z^d`bqIeOb)!W&-{9v~^o(CuAicZ)G*?nOk!ie2|S<6`WLHWIQKn?Q+V>tpPJJ#hXs
z@baaNd`5K;OHJ~kd_c2GI%f^xD%x^n3#Rx_NZ_3@%ZyFJY|3zY+sMJTv{GeDP;JWO
z<Nj`1FMHhNs3eOFBJ*DhP3MoN(**P8!rWeaDvU3eL8!Rz)3;}VACyz!)8X;{-N(rL
zFJM9_={ugjzzPU{$zz<x4Z8T?6Hl1Y$_}YFctD`THh!$+*OfV~s78p?2;PsKV_Bkg
zMO)h1VxQ{0pBXWoP3uC}upqY=mwU;{GgM=&3{t!skR01(|B$3qYb}Yp7J;j!f#*HE
zPjmfp3Ye(H6~@y0yadu;Ypbt*TZ)Is`#UmL)DghcwiJJzNbzk9M_o=2ShB63&OaT<
z6(;WqBjZH+^`Qrz%Oj4$a>#02XSlx3qYuRJkln{Qf^X*TeV=DU6Nts(UVUUY%>+HC
z58gd=SvMMHwZ5zztIe@dCb|!nj8@?0eML^ARqhsSsO3wdF_bd%-r*=TSZoP=B<;Dy
zUk#z7lddO}Gl4&tw<{Je*iwmqwB&M-^^u}C3L=07z>*!lmRP-8O$l)2kk3~4((DBd
zUT^erWso&UR70p{B!qW8#S{$UbV03&DL4e+k2*lNNxMWy70&$>u*74c_@?NWH}Py5
zt+>3p`GMk{Fj3bb=7w}@k2Q;{rR+5vI&&`#mB0An%Pp@odRTYQ%0C5U%=%^7=>{%}
zIzn%6zuNxfDZ(^=7Ds$VShN#o@G&FG>VF#hJ|cX_BUzq*?8ldM@d815Q-5XX<Sqr8
zp`cekk_6c|1O+(6G2m*v#d|id`HRl*`ebg;szDBTU#kxUnmaNeZo<?+Do~M}JCiL2
z1Zhuvx!Ecc2{UkGLSh;g3uqGiU((4tGH8wPqPYASYDl8kn+L43QUgrf7zz&X(`vl_
zPG@*v8#1;`VN>)vuI_P*np!pR<7r*hvQVZD#hpirNesWRx^77ZdwTvdyIC$S@v{5H
zX8%@)d1>zJ^RpH%BEQEXJX;ziEldf&YJlKZ6v2WD53rPuFlr1us$Rr5)W$U>+Qb$L
zGbW<n!GYaj)Ohzv-Y0xSP~<8*fXE@8mqJO^jHrt!6l&&Ag~L=sNmYypCP>2%2D|YQ
zoh%S&B{-5>P)Qu8BSN}TOEFD@_eoVCO8zRoLZ}|&NFD5j9Aq062|`0ZugWSalla+^
z2&~JDE~lRT^_-9pW!(c=#aMp~{Kpnx?1<=8MlGt7xtc+A#r~nEsLH^OsZG4cGY*{x
zjiRVLuEK>Zcto@auUzTRsr2%}S}f#!LMX;Rq2({Z_nW1E-PQj(ySdCr*b1^S9fmWM
zQ!tbGr%*^JdSp6W1Jbn<FPs>-+js$1j{j!}PlG#uQoyrCYkjdsBmR62JU_im;om;?
z<?L33N-P~z4&`gmWX0u*SR^8~5^wviWjLRfrlUy>$5J7z+%#ILmy4juUcm7{K7utW
zb-Od_(<&*u_MszX8^~WL`gH2q%b?+#WzD1W#tq^sU}fHB)>Q5kmEq9jR2Y_5eYC^a
z81srdC!8VLTUPm2s)8&cOVZu5)1z;o&(dvX?D-`>*@QQU{7*yi(8?Wt91#2GLY!8F
z9y}3U-d#bhHl6!cz4J$qsFbEzazN|85HzFm$SbgEGs2jN%F{w0B?z$*1CM_a#+lA@
zc~b$e(kXZ6^m1A3yPIKFU!5A<P4`uayU4rCVQ!E%{s%niSMs@%aNM1~GHYD7A(m>&
zJnd^Q+yoAlbWy=rfSoLtAyiU9sEv5b4#Wv8L8U8fL64_$JNSQ76FROBvyOdIbHU#h
z&Z|3LHL^+&@;OGZXvHBL7i<AsdDC63{YLb?#m5&JVcwl0n}Eus189XW;NuMCb$aIO
zB-iKMITNS&TZ6z<2;2d)gayQC*%U6uDJlKqLu2f>qDl;tAXY&VZI~EjfMyKSoR55k
z+n}jH)Ke&(BKf#eU_6Im5!Gjm(<!d05d@_tJ9IpdtrUeup-ehMu&{mKpemU8+5)OH
zueaW6aSktggnpCi49{G$a2Ztcq4IY`Zb$7zU6sL-&HID-%uB7N@J|Ddh<#l{b}w9a
zu5<8e{QSTx?YP>Fw|&F_2IT@%Igl|3p|qc~6K=Nv`hboS!||^I>vaqSEQYt>%})o(
zSnC4m2{;V#21zL^H6w2mDetkzPdcF5JrShW{c0GS$XQQWgj<lU=Ax64fjYhTf+~Do
z-agpb9ISYLtAZyUdY>q}b7O!#5YzC%2V*EJlV%1??Sg>hJCRq6-8dHM=Po984svq>
zJD}o`Bps|hAq(@alKH-n0wV}RtrH!KTf_ZyGYQ~MRm36e4_7W_(Cx`-g2=(>>|6yN
z#kTtHg$UnCsmZmWsCAT9r+p4hlrYVFKJiX^IdNO}>*=*leh$4*?>vTE^4-n_7+de0
z7QQ=%N(BD0H9~j-5E9>kU=gx^Izi5bo0hXQ<oefe^*IT-__}kq$ZzA~jc%vly1~`Z
zGzQ6f@a&F@*-VcT^uK2>YP-k>vuv&O|Mel^9oo+%lE1E35}^F-X6a}<NIZqF0!g>*
zCA0_7&Gj+bZ9Fw0C^t;}P+6X$SSs3v4yv6iCWrsM)5X%{m|N#!JdLCH9ph8=ems>)
z;P-I+gfK2SCQpJ?@mZv;`%MNVe<wWZ^=|U4o8Q58QWG`Ngo5AExH)vH?J56=KGi{;
z#P+PV^?yb`#DCA`ep8wJFNuu7_vq|y6%YxVK)(razJ2#;>a8{tG5p&wP|zX|!34Id
z0{R2>3b*H~G&s=LqDAF@^OBVdBMNDMMqCaToJZVHL6sKsk6aF4?_p*_$|Co6?$i7T
zZv_zfv$bWNe8E34(_d|89aK-obDlIG*AF<wcfjt72NM0pqNf5UI{+?4MEO0i-pfrj
zESEL>J2x8cwcz&-R|p@5@k?*85*Ua%xoQzJy^#~z4lkCpBowQ0cqF)n<N2b@H+Pij
zyBptE8~2lJ2m0{&Kld%3<ASykTdF4GNIF1iajM?+q^5kQBDnKjee>On)wtkIJ7CmL
zJSGo~qbA_H!h_VffZ3OKc7}+GX2S8UTbM02q1sbWMu;K;mteez7<AQn^ji%T?Jy9^
zwoxyVvP@r5B@Pzkj+n}Y<-JX50l=RU0Z%9N{k_5XYDAd0^JNu|B8zC_?+tcW6efnb
z18tRcDxD({Mj<U_+oU5=y^=v1QdmdY%bHqtw<ulXSRkntAgX6>3>HOA3J$%&0vWfW
zL{11uV?SFg;+8hr-5=wx$kI1kxAcoQc)RU+5f9mw;+_f=Vni2cPZ<?yPINoE$YLXd
zhcTsI3Ykzoe3oI?WpnQRviVy<zmk45f_nb){kP{S)bD{a%0MBF5KK85$%6CUrTH%~
z-?U6%xoJg^kOHy7$SS*80un?1CNRq2UuH986)#qBRB9=i^K78#bQ3UF%D&RtR>(Q*
zWNb5IVg%79WNM}S_t-UKyV%3>XOc4E-zpej3c1fA0-x38ry7dUExCtxVRsP3oWXbz
zLQkv#+>70;9z6p6iw&rM&l3dm0{zZv+b9>w*Pv7sgz+SfH&DQSODw6@Cjth6x@L=y
z`CSW@dn)Ahi>PH%|5);D>0PyRi6Lm*c#SLUT%O<00W_<Ksp1E8xGnRHVGX@sf0kC{
zCHb_FrIqL>AurEEmF(fvGrteW&QTJ51e!CR#pQAOOC@Bwbj7|VRi~csw**;lzLRf$
z5`LIe8d7c{c5!4o5|NfW1o2`Wa9+)3povr{iALRbn)QI0NwUlY&v0cQf(ca{U<<@k
z2cyd1Y!t)*0SZ=jf&MX(!=@LSvDSMJH-U_Ij<h}xfO0Y;lMtd98szBTw%a6x7K)>w
z@rb~bchKA27H5Q&n<U4ST<>n@{4-i5a#Xp_yjWGQzfIrkPHp=6Rcn$qcq9-jlRpL8
zEnF3$j-%d0ytGO$d&g8fqI<vo>bu&FalW60_=x8^3-6Dpc`^s6uj&G@s^qteQ~pBF
zaf;s3>}@&;Z5$~a=XOm07_AqFdEu`;oF6*{>Ts#Cf17S6zsG{<de9~5f|hxHQ5W+L
zn4|tyuI2PpXc$Ln3-_FeZJXD>jqZ6))W_5LJUE+cK@0fj3PmEMAC#15!b{6;NNAhM
z1S$^lIo33;Z?!s`hUqGL6(XpvFv1xNLo1^`qNIGgT{`Nk3?F5ByfNxKb1tZAJiw=^
zszzP;l1Xh1_Fd5KH0&Y;eh%zrPkQdB9#Dd~qkxuyad=`k*C%M782&{WKMQ`{_^!`U
zJDQl%Sse9Gs(X|0X5CtX)J<AdfknuP&l_%zk$l#hZh$|S2oUOGs9~`8UeSn%T!g}>
zo;>_^TtP_%N#~fux^7ikm`dRUxvy0!Lfb`0ufSspYA5hu=8?MS(EU+7e!I-2qq1j5
ze=aInTQPUXrB!LGC0Na?M^?<!ZBK2dC0c#Ot$EO?^k^lhSy6LhhXl8_;VE|%>bF1h
zT3!yRR{b#mshH<&Pzl=kI2G{tLzXZmh<a}dloh#~5-lhC4@^;SQ=~9;>Gx))-;TF5
zA}bos5up_mTY*v2(@ZcU%Qzh;-tZxz6;~7%T){PSl;v`r&o0D|@<gWR#ic&(E<1Wp
zuwk^k)s}bEO3*MZde5PiwL0%omP&mDGOJnTZ^??$y;%fG9zI@dnPz49iWx&)E`ibu
z;8u=MvZpN$zvF)j=~A4O$5jgm5VyQ1vx9acGjkItW}h*<|DI!XtSZbsKo<ADVRAHm
zY3$zCXWkC=rI-hY4^qZF$-$Q$)6&cnc<>QZk)MI5*wJ|uMA_v1+6;wY&<2n&nN^Em
zua{9CWXgzj9h_dn7FB5NfbF-g8pMlAxF~w594ADAo!PLVw-!xJ_ajFuD#t7SR0t1|
zw@WQ0QL%2!hLNgh`Z&>^`5k1w9`3yDyqaC26f2R=ml`03>zIe>hSV;>D2fml+zS2O
zatul9;x~7hBz$s;X2Y+YGvB5?pXzOzJMqQ-<GU&Tvn~IsQ3SMfh)@s>8jhi1Kx9zo
z{PtGR&i<#DROU)l543y%`Zz&$f5l9x3Id62-Qsh-5>7m53*Xj2a#d%U#4RgU2h6z<
zFoRh=L!j1+=NiblF0j)C!DVs7>XGmzx2W#aSf}nBD@@NhREXc6WTOC7cfAj1PT-!v
zxlXtd8)6(p%{g%zF{d=(@uKBs{<g{TzH@E8_IUGolNObOuH{H&g2S2Z@lTEP?flr_
zY)~V-qwn=utmR0n#<Dk50#py4sNML}fweo<+y@Z#QF-?#%@K?L8Nqk!{eiE?b?x_U
zf3&?po^Nl7qioFHv_kV3<0eb@xA8OFI7Hkk59NlFsRy+7KvZolrUIM)4g?}&05)8n
z%_#eGTCXGJ#wz7M?K~UfhF(%hV{!2bsBryRVJ<e<sBXm%(UutyZtM4@3_kDcx#xz=
zXXvisXItYX>+FKYG9&#zKM+wK&$yC9{}yKKct&1v336AmvD>fKoDtm|N;^p+f_*=Q
zPWGI>*)M&3?r%Qr|8rC+DSrc>*Sj!YdC@1G>1Cj>v(2#KvsyMfjFGJN0__9$JTBh}
z14t1kuu$hkz<HCL_h4UKk_mbxwc+?q&%lX>*cvj9(1}GVuusrhS!&jNf}_8)Q+6|I
ztYMBKYug~l#e2mpGKWd|+tcexR8V!17X>X!kCn&V2KAKu-P~Ln1rpVe;}r9_se6$R
z{>&$K1HmNs=#oyE%8Sd(b9v>3^Bv7G_82(iyy0tJWu3G!`W82uDTzk%(xIH+f!MN|
z^GF_hH*(kc>63QJ_mQ1+nV<SRb9sBu+}c0q<lnUYxsS2oOTQl+&jix+n|WpIGGATU
zVfLN8!6N<QJs7#>4Qd2L0l%vKZvW^w@U!=J{`_#OF%G=9PwMr44%onRWa$o+=f7XK
zc^@hy@Vo+E`ql2`3{0Tg;<5VxpMW9Mf=k?Tg+0}9f7sMG2cg?FI>Tm%?M92iKmjI2
ztlLcD97As4f>!!9*Q9d`WZoXUHj&v;G?x@5w(Ill^P)^lz`YyT_>fw2vARiDtlavQ
zb>&q@`^Q{+ILfl^%B^ndkNGM7HaY{%f1tFxmJa|q4Z1mVsF@{4Fz=fFMvwdF%CU~O
z=%Qtdw)yBqIPY5D?+5)P^XBLI-zqu}IN14j0nx!Lns!yJ`ULU$l2zub+sNh+%mSpF
ze9r#HR)uZ%hi*sFcMi{x8j9Eg8@p~=CXd~t-_2q3Yk-ts(UWA0-L{3CF@C*ho$T#;
zW42b^hN^LrhwVDwUfaMAqk#7Yi|tSO&a>aw_6{<oldZ^o*{Jr_x(uQL$a2O|LoFh~
zEO{%T&g+IYb@?ydTmKj-ODG<8JFEJpg!6yZ97|e~@<q^cO=?PlY<=a+QdUA3SvJ*+
z=Q*zIaxZF1u}!QL-qhtZx=!sa06FGtd)&ucrER`de_OlUaYQ2sK^OCBXc?($GmBdA
z96z_@DtYBQ$NTc8&!qQpxX=?%{l$O}Y!f6;lri@FezLqvV(%B~sUgQNcaf+W?e3ZP
zy38--n^)JJPjJE7O4zNMOz_pA+l83bi(}e%9!z-xcY}fCQ)aM-2|tk@Vj)&2yJy0%
zO6vK-mMy(C0-#NFK^e(Y@P+JtBu?eHx}oqtG_FGhnenZCoI;3#O~WUSF{13Xh`dZ`
zqL=)yjGF8l4uUW>RU_nTH0^6NaDu1(PU>_fXJ$Kw3?0v1#tA{_Df1bc>^E}O%lH3|
zV=#KY9Rff<nnW=BB~@RK!lqgG<*idTK&N@8WC(lM<EmcFt9hkczd^r2#+n)nsbhI!
zW8@0Ic^>eXhCL+;GF@&X?`gSm)FkkCG4T5Oc{paAVq>gLeYox3Sx=52w9N9Dipt2i
zcA`+-%8!7h(DROfoFEKn6jngD2{dam_*70Wg{K(??`WBK!+}2{M`kTIp&bUG_Rv?)
zUsq8n5Hk2aBm;ojQ+vN<Qpr!p1?n^5p>DmPFK1+7E}5{hP&Ggna_Flg565a#K%L3i
zN|=dQ6PBuUBb}e_J^Z2PHxJ8*r~)fH;HejFjr1+%#hIm6oVE{=A7ngp?r^*Ye23;Y
z5mJuDKa>4F&iJqESmZJ)lb6x79M}c2%i!wA{r{Lw$HV5tV9E)pA2)8~`=y){gIWI3
zMNC6x$`R`D4*;%_K`Od)6)d_Pn+58-O5i~jjRV|ZBLEIlH(rSrUU&qwNl4&vv!MmF
z+tDmNGYQ9>hAsr+L6<vmGeTCtqmj`o{xk^d7Qv9yz|oNc2_~HKk9q9LCA`nB@Z_5c
zM&O=05(da~wkAF3Ae&&|f`#lf40WdJB?MGT&l7>pL!Us0Lez-p0;T~L)K0)~8Fwqc
zAGzC*jZ7m6@^NsO$DUh~(KR#_!VT@2uUx+AX*_)9{}2?A2&i>)T16K)V{NM5_NW_0
zyv&NX4<60Un>u4PCeG<XK+C?k--29%a~K=dgss1YAt8qOULI5P-^Tx?c;|oSV$=Tu
z)N2r~!DfxkW1dp}C0nkGfZqEP$ng&GZ2oksXeF64DZkwdweKp<9(bAba;b#DoF)mu
zjL#t$)hZ8`mM|iwjz&=e2qhzjgc4Y$KkAsj41MTsC~wHqEG)-m-^@yNnlfqpNyySA
zxHC{U<UxBcT#PoUQ#+dTr>zF08Q-!9LlQyazzqrI+itl;#9)|~(s@9<qBKgDT1JyN
zjL{N>k5oj_6go$Mv0^@a_pv6o%t6ue_#TVix_)uv9Z6AKL*4NS!@~bUe&r0(4a<D5
z%KiLv-mM?Mj;ELz4Yj&`ydS4_!WV9_|Ln*=esvQ(xc;2ia!)+{86@O_tC(A+G|YS=
z@U-mTATf?Q3xWF`b|a*4Sp%(=3ROQNjp*hYXyh)7n1YMR-ai!w#(Geyzc&kba_i79
zpn~0lhkR=Wi050?MG<*cG4uthN3u|#Rs>u(iXdWsgTjXx&^Ax!t3$_zbekeQ%Y3le
zasH(o&Sp#U62f7hqIK{EuEN}s!Gpl~MK3f(z2QD)n@dy|-zek1Ja_>^gUV*!@TX^M
zw5R6;kN;=myCDpm#%=gxIwH$Xu$Dzg<kue+%E&*eOo50t3lie%x5P)m^+E^t8pbsZ
zuWNNiCSaO=4s@|kz6{MQOo1YsYk7fR8Hg5M*Z&=zrE<^gIk8)0L`D%>@oT5|MKPGT
z)=Sw|VPXmDke8AUeoEo^5Cl?@geBS}1yf_8>dGnQNNwCD@80*u$uA9APWd?RpKcUW
z8K-6ybE(RUE)r^$d0rm{Rf=y7HMoiVtoP`&@fhsqbXpIMP#Wr)axc#K$3BlA%{d88
zFmvWxuiN>`od(Ri0qO%*TlODx-Gt_k^qu?9#2r6)S8U6UFDIZJEcuQ6qDAxe;RUx|
zk|&PkyT&bGygl7(n$jyEK4M0CrVy<oYRTriM!a?Vci~vTQ|jt;=7W9~8cV5-eArTM
zamJ;b=AaqS1V-{#1qo%p{zS)N-A&RK>LAst*a^WvdXmiE0qEGEA#Mc<gqWP0m^&g8
zGhxo6AHn|OL-7_)kT@O&hbk=TGh%1SM#s8-Xi0%kh?8`CL;*@RF&K+-zS`fa*!n2U
z`<#W)>d><4s`ql!q3=knrc^&{Y{X9eaE|grKNV#S{$es5vcb5(nm+Rlj8;H&_CLEO
z6LN5IF^;2AQ=4R_%)CVpJy2I%{c_;Mrn^WD6Js3;CQ3k|yS6$aQ{XyE*(q=p|D-EC
z=zr-Ti_LNPfuu@_!^cTHK{|79QiXpRDZl?BX(gEhn$5;Q*lnixRB|tJnU_)NJ*M5s
zR8q6&`&pa$E};*nNqB$P>$<xo0;xkXdl!Iaa7#BRnL*MHmL++-<ur=?XBmvoCvKB?
z3D{&3hUONV?5kESM0v**Qp0{nWAI(^iYamwYu*QvM2?R;o9vrVW;a4E0)4-HgK6{7
zI<b=ojjQ(EhKEbH7t&|@7c0;}zXRrb_ZpOrCMqc|hwjc3l&i{OfZ>R9lRVT7r5RZ1
zt`M|_0zFkE1Xz^E-PQ?CGBdFx2Q*Yh(j}r4eY9jz0YFhi>rGMTRqj`X3}b+=CF8)l
z=K|yV>*vj;22u_NanxVm4ng|~`7%tmh62!Nx%<;kW)05)fkTxX82Y(b%2a>+PYnmx
zs|nYE*>nntnbsd!S)O^i&I10|ClUv1#wcVswHDIGRWc?V7{ea`py27Unc5XL;N_;C
zR;=V&x?niE1*&Ea_`9%O%rSv%1@v%D59pK9+ZkVZ3g$=$VR~wq!d87iMtSaHgbd8#
z@ykq<LtE<s+_mI)=dK8@Mcu60z2sLR<I);5`57b4%GEsmWmMY(t4istK4xS(Y7%AD
zVH9*Q74_(}cTnwjtILIuFeZh}OR0qmk&pxh%|<?`U^+Zp*0Ud#Sl3#lbGcL(NCyGJ
zDlfn~ZFZ)m)tx(k&+fZ%ZM@^L+*rsf6Q}h-yPt1_VwY(Ro`5-}9DMe>1TS0OsLh}b
zaw*+rT@izb`IpQ`Sc8Jh2k_G`aw>&PER9y1_4rGM_r9rljpTjY2Fo2<cbmP9yIIga
zn|028v=fO$&vO|w^L6>xIx`(cpW=?Vp~gbPjZ;*^Lkigse2P+W{(z#oD$WUmN<cF^
z#;%rzH(m(uYhMSnPGf_QZB7^Gk2H~e{0)cPI3L*6MB3i9I(}lfUqhLBzl{FbDn0W<
zqRXWPmK;5&RtSJD=#V@n)SqH_7<tR4o28g;PE-S)^R^UZ9dLpk>%j3I5;I~=fX;;c
z6($pQkx2313?sCRjpviGQB)mTtJ~MK<INK_xK7KLn7|$GA~Qki*WrlYBmY}J<?ZRm
zyt}#PzpxVO-3BDJl;)f;AobeIWgNDQfj50S333gvgD(ip1uyw+mSE0IGI2@tK8Agb
zIh=-A?*uS)z*=H7ZwSW~-XxH%m&ZNOaFD3%(F#>WVjemKNt`<Qen`-vXo)6@<26P=
z335S3u$}w~7X=+u8pJE45sWmTLrkmS^U`FHO7gdfl%0DJtV(->+v)hc(`Zg<{7RPT
z;Lu??@Rfm$l^1=V{e)Im_L3?7MBv4o)kji~3%WHxpixSbUQMn<>JcZQGt(l^m`~Nc
zI{9T?bk&rkQSjETZ-%gBb&_&(AcD@P_omE$*YiD0=|hQ9fWhYiyQUMhHjE<yB2%Bv
zbYrTo`92ShWR?ddCCHLpfj|%l(1ybHA|{KVi;oSE+lm^p0jM84GUxUU8yX^PfKoIZ
zp?!>qbindz0|k(c2#0kT8N)hSbr8%3rI9A#;ksp$075X@RDS%Cx^%+E43dd*L0+Di
z;D{o)DDQh6Fe$^eq>iL4;k#sxs%b^v@M_c}PNbL%NxM)(J<JLJnMi5!WD;_P@i42F
zUR-X6s5t9kn#?DTy5QGP^0Gw#Etl$%X<CI*tW~SWOXc7(Ko}eJUG{rC@%?@ineqZ3
zg>E1_L1raPN3msBtAZv#Amf=F4-s!Rv^3>X1eKSb;Fnl@gpRzu11ikUE?cX0Q~DMh
z!+}??5jhpmj)c9Vt1iEqrm%{sMAIf^QC!G1zQ0r07CZy*2{Civ6`2!*<bxH|qX#Yx
zScl`LUyVLI5aa|!B*m%#o#k;at19dFDiVpdpi_=t4$sqC`AyS&XB1RUrx>eFaD)G+
z)4Jf8*%heftc3Zwnq6SUYT`>p+jo4b<0t4OzD$uORh&5FQV3`t?$JE1^>(zH-WSY3
zn&g^VO#c(AHzi&IB@1MAKCHvwaVQqZ1}nVv|1EY&0mosmatGGVpu9>FD6i`x)DVd0
z(3hOIPBCdNwJ`yB)6uO2yku6mPVuDaV$NfhKDKSVEeF;!Vlq+Gn~Y&Iv5`SXjt_ob
zeB0A$JmFm}Z&ASX{aBbEgHI^FQwNkkk)*T9pHEDWR|5{Gs|$X^-M_3@5n_G6o_oF_
z`JT{n7a)+&JmFPt%!EPWu{Oyl_HU^?fKZwT$@3xZJECuJx-R?^ipsXzHp%9|0t~sj
zYJOL^OXg?{GMLydZOUaCt9Iee5C>KUJX2S=dm7}j3w~IGc}I;JiH7IeptRMoIv>Vu
z7D^YTPF7&B!DIcFU=rkyqmf0VAPg?1bJW2ir^o9m+a3r3MN#Y%KoGH|6Od7}i=E~z
zDMHYf1W;{*cB3218IZDER(}eNaN_%3F18i>bc&`~2kV7+AZmiWa(idXucz=*3S=9+
zVq80`1>M)TsHPVhd`81Vw}4!111BZ8_zJMnmgQ;0Q%NiI7}HG!ddEwv2-kk+Qnh!k
zc?J=q!!pY^j%Fi_QvAM(Weeu92)0ExoejISI{6|S*;t)iMOTC}QDmcEJ!bZ)0nv?F
zn1g=-qJZ7FI1=^nrlnK8R43g!AUXpWAFOTI2`C&Tk-oG2?!<o@dQLin>WZ2QgXUVg
zme%m0L@ca`S~=k@`s=|#m$1}HPq1w4%v0-uxp8O5DXBriYR*O&T-SVu{990tn_a2V
zArD-7UsxI}8qc!xE)8(mPH|NrK__dsBG&o@5Kl{-d0FnrnzbEYvs#JeZV-FKB5s?Z
zks(|H$`0$8M^f@!C5z0*$b{8Rg1fpoFfE*uB7H%`r+Y2OS|CZZPN5`CI9p?jCy?_%
zHu)XXn}c#x9hbj&+&9QxcRg~vUHDv58(cICCd8FbDFm=CczZ_Cpi>R|;S}Y2Iq}YC
zTi)Qq--y$FbTROSp(+@NWj`1;VPnFteba`~#3sjfxT+RSTLnWGd!9ABC34A><|ILZ
zn4x}xRnZ21^>2W7AD`Fga30}aKS%%)8jCV}zmVeGBX2&=!m&R6zQ6q5@%T@4eshV%
zUoB&nXn}s)AOg3y_>9JL#Q#pa+WRUk0QPEOfwWrcqMg1TcU+st%_>r!VeFs=`ff->
zdVV^ca@x}-HT|o^Rx!YGeHdqyv*)4B2i6If63^i;oX_p%sNO4Gi`&f6%E~+3SDbTc
zCtyPQoN35LzJq53!%D(9s+;8sy66=n=+sZu%0rM}sb!yQ+o?gjR`vNHk;ubu;>KI5
zj@X9dgNEK?Dp7(mxf0VoaZk9vRl);i9F?c;FYaAx2IT#2KQ|<Y{tX&u@I6iNk=pYe
zI`qj9L|x#U^x;6;Y*c!0Ir}lGzRXDLQEYGDRv_dm_$apHOrdJkp@;J~3hx(x2+R@!
z@0y$J-}68y4IsY|A>JzY-O&8wXtZ*L%w4k%#XdBUL%DxIF614p$WWQ-ec^01AhYS$
z2jlMM?XlE5IcI!y!zbSx6z{EwrT0gUl7y<B6ZXIqN8BzVF2Cz;@INE1-1hsWa{z@d
z&^TQk{W;ob{fagz)gU>vO3Ee)OPOIR4d+H$88Ut@LCKCQxoFeJ25s}>dGU|vqca}<
zum?Ta2Bh&~Iyg)vletG4|2+Z=&tyu^A~CNLuCO#^A8bu#D9e>6_3pAE?f~94`s2|9
zpk849W=Ox&|DgB1*7v<JZ<+r<3P0ca=;D=anWg><tQV%Jp})1-N&5k#2WeXiODtW%
zDGR0)W>rQf6j!nWZm1CMI2@4~6iosvsI^TVhTW9fWXq5WJKbzS8)88qc7<t7{$o!H
zbXWV@^3h)HO~B-3m-wZ6tJT$W{%=%8nlwV{J>6HY!nFSh#8G9|A1FCUtgkFP!dmU9
zf$PSyc=v&qu6EJaQObN~x?ZUrjh`mhmv(FZ1!jXZwFFJCIO>ll@j}jErR&>ZCc#(h
zc`0Dx?~r0$gvzF<WP>xi>1F$q2LK<eo*2+b?YV2o>AKj8A5~GlSr%<E&~0RdXx?o!
z^HBD3BdHIn;KJ7cCDk(#y{{(548ZFsGAy&um7Cx-TK<jchzAe%D*>DBn2);;>SC4b
zY610yI>v!6G^Pyxq*dry;68DX-8B%&y-@lctlbAKi7utyXmHC$WmrMN)>6#2PMdLP
zD-H~pwt&BxrSN%8TFm&@*pr(Llu@!1x5c}hBl|K>O&sr0hH>@E+qK0zlr{0oSiAMR
zU;}N_I$t#JP??pDr?hpx?;2!Iw(T_e8{0xPtghBzR@eQfX5tFV@kHD(%aBXCW^QC+
zHeh@?VU^~~+;^1Vr<;I)0o_)^C9A55eqVI!8a%sR8}x^slkj6a%N0X}4w?($95s;+
zf_GM>lnzSF-s1~(vkHzFpk8LT=M6!FS<>_!h@c?`?ni5m+}laQK%<+h<;=kdIxgxU
zQqe2&cT8<tF$37l=b_uc<1n%@jN)lv^FLM<${3`+A_<mhk|K2B^QyGxi)6IPp=6Fs
z8E%ork+qiz3?w#JBBgBYgREC6q~Lw0J?2zNnmP;Rg$blf<+aenQuR7UQwM#R>xm|9
zyqU@O;(;T956KJk8Py(%^<94pg<KCu8_C}GkN*mgc9AcU5rA<8dO-bn^V76{%EqJi
zLn0E3x&)(Mve;G!>Mp?d2JU~0@_+{*`;EB;6Z*H;SVnmAMNTEY5GH=KYG`O=PEyOz
z&|La(`E_ql<$;uP`05YzOLZo*y=|9ZBgfTy7Y&7@t?>dUkajJP7MIxf@u{+#Q!1JD
ze5$so$m=J1$3l9uCc7S^KQ&*k&wKFGM(^AQ?A*nh3)UFLh^s&U8b6`k-d19+086j{
zo(n{Kzm>B(av361i@Jpk`*)<}{SkMFgGxp68JLHV;ZH~bow9Bmtgpf)bRpv8Ux+op
z;S#LB*v-_E7Dy<53b+($1^0^d4(t8O0>g2KHiJEdF4l-(g^NO9Twlt`r`T2<n>;GE
zFnMZC3w|b67in&?(DTsao*e$wI?qyn&y{uC9g3ot<IpJn7{yiW_z4IVfGNj<NdCPV
zxmssj4#*TOTv?8i@jc>y4Se~E$*WjOFU~owgD$b);jX6L2-GY@#vgQ0e($CWbI;0v
z2+!d?d18ox612)7hw7)`7()cJuOjynipv-VXB?1!%V7vxnr9-CkUa<#KLr)_3Tjhz
zru^US2bmCZxdCC)8G<a}&;WmqoQsXgS^<?B#%OA|_*#xBBd$D!1Pws;Lr!lb16CFM
zH6Rkp!lf>Gw%foOTM4Imop!p0VxmWemHwLHZ2;>swN=t_Y>rk?!D`Ik291uYNMD1a
z+6V3?&_Zo$drI<lcs}*xreAtQK<thpj-wvK>DJ1Ww@Cszo~?O(smWK^195(bjmV=X
z@E=Z#{1_W?Q(oZqN&ivW??QZLk5F;XKRj5GOi}aZM0}W_#Mr7r1|G_7(r`{Ku*{}5
zoyEO*=VcogQG~`RM3HZg5HB2$I>p}rI!FDDk>tSKNdVEqad!E+PGZp*k+YEj3aI=0
zzR2rWjeKNK3Pp*qw1=`o91>J@%EEw=5^1m|>sQ9xwFqS}Wo6IlyoJ3w&5%%9J)ooP
z<F{+efHHXtla=)~=R6ov{xB{HeFJrNdfjru&B9q+x=UC(^0?@2lDEuDkaT^T5c06&
zi+GOnO?>-kA#BPc0b<}hHa5pU-+Y~bQs_6`uH?eH$PB|S)uO&kV~)Q#HJgasc0!MB
ze?FJxOXyQ|Rvyv55_CJxv0D5S*|?XYCvKv^Y2v^Y6kZ-|iU_Zi1J2k`A~i}SZzmpu
z;xFDgc;*jT?kF<;_>0)7LC?l%90Shvm)`uh%h<wQoiJzjEZX25dnI_~rlp^ie<y#-
z!KW#h!1`ea9+mc?`x~*2InX9gVz0Vlx_Vr(@@bFf!}0%dBY-N$MTwrez09KNa%&nV
zpz4!tz2pzA*wTqolCQTn+H!B?eRq03Ao;O8HJ$=KE+t5LW4sHW+0EC^o0~<SjDvg>
zCq(%5!_S=Eii#-(NT*_=0ZC&dHt{H7(5#`Zy_O)rq0?(fh_cK(Ab8%Sl*Kg~^(2+q
zL24$W&YUjOF_&{M2!xbsBI>fT3OXj#19nyzKC9JrL<30c6m6-=VO%XO`icKu03j}D
z<4GJ3b_h4Fx$%O@35T7xZgBx=b)BRZ0L!HKjLhu!?9WlG-`EZh##;ba)S8f(?}XrJ
zoH49FW}X5i2UGZK$|yqOB)2$^IJ$v^;4VohA2z7e>mKJn3)Cf%^e40&Ty_7!zqVZ#
zHo`kv)weFFOO<eQSBRS&^ThDkg%M&DfBfBW23csHy}@Q%dErmLuVQtH`44N8eZlQk
z9P%i;`1K#cq=TskB}})#=ge7)#UN&KQq}g%F|%y+{re_Gm5?TSSeSlJW{8`6M{vqj
zTr(D#o4#8<xGXo!ajBOZ*_O9UV|D@9D4wL-#NL(qmfB0dkr>1uvGVe1LzkQ46E8<p
zUzhy^e?mNw{<A(ke~PTnnz&73lN)@Svzn$42+2{adt(i@sjeVcN*q%z@ab_4vwcC-
z>$K)$h_zq34`A)-bnSAM(L?ZE8TSr1@~2i;WD5&j9Kbr%OIVFK*aWh;yd#sa2eN~S
z9QV&BX`YTCH(ZZkP;n`@jO~RdX;|vMuBe+dDii(%dc*1O_B;96`aBRE=v%58FZi2_
zFF={kk2^dMFF-%bJ&>>Sp<099Ky4U42>*n95n9u?L2(;9Avb;FUv@#m;K09GkflMp
zz%K2CNvKFE{-%JM@eox?a}#3H4{Np>E7APkK=NU7o7$p;>O#UP1r^F0uHTgVu*c*c
zxkK{OM}@U_=;p-eUBz{+N0ol~BYzSLP!+jZ7R`G6F*4;K`AZo<?JTn9owT#;r^#&G
zB59Xn4}|k}hzR^T^lY5z44t)Xr0IY`Tz@j8SM4x1vUt{N{y#pHjbKQuEqkP`WVb*b
zfW+_eW3gncOi;TVU#LF84j8wzy$AkJXar3K<_nS4v3^;~F)F_zI%3Q*s-r#S*D?x1
z=3v#&-YK2hkNR`^w4ztJSDD(!%DqcJpGbacd}J4&*~Qh>%2{d7>E%_*|5a}Px;E#W
zpY;nKnJol@<zpZ_uLC?4VOF)f?Kv4Tldwp;8xX=w>5tuQF}bHM$cJb<G#u|8GU5|D
z_^<if5JI_!_(obADg6&70@M0+40`gp<{mEDYNIm`<q95H3!&NBv|^y_52Kd_-_a{)
zHlb}kftR>H6GHTNn6aN{BkGOx`zz1C(X_vjuv9rYwc+B~Thu0p8;D;Dbe?3feTt&@
z9;@)0cc-<l8Ovg0IgzgJIS)35Pf&308=XvtX$Q{Li;>!FB7h(rHgw5QdGuVBcSWn0
z792hf>lMpe(L3oB4$TTW>rbp<ya(m(_e#EtT+SVrJ(TlhOfM>W_q-=ENP9RS#(el!
zKUF~@N{D5!fE7hD|Fu*DAdDTUp1@}mX{$iSarmmDuDn$Zea8l)*%<BUX~e&5L;2<M
zwijr-aW=<zZVFczfl7#$p?1tSDM7=C=gRs>$tJ;794r<*(SbB-G_n}Wzee-jDSAuJ
zXXs=x{Nsokhch}r*JZsV?_57w=8%ZRcltyzC5}55Mq3eCG*wcknRoCV^3Pj;r@^p%
z9zAqs9;^O6cYGxi<*PWIEx!-{N&30yPMH!{>AoI*^s7xI+I|3CaZ$vb+tAsxPW>Al
zOP75~ubtR&RtAM}==K~Sk&E6PSaA)h^2DC=XO8>Fr=JgiTZYt0IZ5`n?hQ`YedkFs
z=?&%td^EHJA@-EeBaVSH$%JPYWKh!iFVe&&vIE>$t8?$)n&{zerabBy;1ztZJoJkz
zO^B*KBov}=YoO@nT>v{s5R;4y7j}x6LkRK@0eXu6#Oxl_l)x%GhgUZ@QJZK&bJmGw
z13|tDnR=ujg2YU3M5T8eju-@S-E?PPTl-;<n`R8=r*-2KQ)BDKhRd+guk$4JqDK<q
zV%6yEHc|D$Z-z<MpJn&2;-2SRadcH)8us&Jd&amN&Q#wvljKzAvpz0`0#V!SM>G;%
z2BuzLo^t{sd(7B(S3+<rIyZ)ig7<uqbAoi2+`{iM;AhVBTwDYF0$&#%S($&S?Xg4m
zC@7b<MiL*qwEPYrgRLFMN>6}tzm=9B2ytG3N&G9Gp!d@9DQ@4$N^f$S`3SNXTQA0U
zS#fssv)`>EfSd3K@kbs5Z(_27fZ>|?R4+Cm-&MblsYzm2qTDH*v@bx$UQr8F5hM&&
zsn}*{gqebdyF^W8t*<f8EsofAD}S*7s9~yDRHY|?dHQ34V)8>8xtx(Ck43|}+`x;n
zn6Vu1_{-Yz*HO7D9UStBPT6&%FZe%vrmzjxUa9-xmIGKdLMdx6Ppu}{OiCXy*2@4C
zVCIxkB<E_E{4V36i;kbMd+u&da03wC%e~~4;|1#n%R%*u2P;Wxmm`5xrp9x?e=1d&
zS1(hZUU_EzGii||+1?-6U^u@MO@?rgH9?w@<55}l3Yb-*|AT^AWh*jIq;_tFL`>gn
zfWA>|CF%UDNChCe_i)k_99PWp$9DgM3NxGkxK_@#;V;I%6_$VYpT}_S8$(wpQOPtY
z?8iC-D)!z03;0IEyai^CW&N?#C(1E?JPH)Jj~9SnomkpFW(_eoQ_j9VH+fuI&bmA|
znOY(%?ch42>o{~#rzotA%Q`(zI-MY+Xy=*{(~lR6hMzRKM4@B(y0BO<Jz*?sFmO&@
zSIoJ|f;;8Yrfc*0uH{a)OH2s=93i3q@s94@esB^oc=pI;lv=5}`b^sGdWfC@aC-o2
zZI`jElb=Vwui>3%d192jHqI9uo~;%Nc3e1;R$z%l*~NCVD+58Y_yq@Hl+G4T+E#75
zR1izN!iMC<m}-kCtU<xI$9@i%>2)K_)C4g@k3vBKVFu$Sn?aU4rB~Li*fC9Q84R#<
zR7BTVwYAn!4Mua@_yRFgWiZb4e|k9yP2jR<!{m{N=PHZ}qeI74SBApNv_?$~##$S_
z`@2dJO|t&Rb4<kSC&512evdxxa+!t5WrdA=c@WEpGtPAxB_ne!7BJM-)AtaAT5LgA
zyu{l?1!h}ej;_XwIB~TwM$6wrmp>2Eyg@cQZ*9!yS5*z0|8<thlv@@h$e+jKy*0_*
z;l-~DO^s^bf<`6?()hR!Ds;d6L+?Plj{xZ`-}<P&{>`#4Xu!hA(G4>5^DO;;LF1OH
zwgXL_1PUkt?MU=4ClxL!7gfVX6ZzP<`7jHWc)|YD4WNk*p}H#r2f<Uw*Zh|WxDk5;
zQ4Q0ym;OS+I2u5<;Anagkb7Y)idzHJJi;wnUt;-?9D{YeYa<j4>4cgHQ*b!MV)d-e
z`VZzEsE?$>wG#~!>7DU;ZMv!c61-Sr^~U%?UM`6v{jk(GV@7;6xU%SsP*MNpP^k^^
z%~FyVlx+zrpCYwY4d)+fG*+_6T&pOh0y$Qpw1jbagd@d>KqKpO__ng}dV<%>1>nEm
zyafL$3#8T<<_{?YQwm5UqEhF!4t(x<TOgF@xAc{gRM{D=vV4S<m-VEYsjPGL3>L)~
zT2@%P+~~S#J)&j6b*F4HdY|8A|NEKxi?T`w-O^+Ivz5!kzir)A;A&9GI3S9RE1SST
zccN{j4fVAw^*c~a3I`u6Fdp*Qqn7n7Hegi4E{ci@j6`<kPS3zHPMCl#_##PIJOe+_
zD`sAtGc6R&(yOfU2^rr%O>@1o-Kgk{s5OaBebrcw^#X7!7T}Diry5SAn1e21;k6ms
zGbkK__eRc0(od8Sr3_!z;+*J0lvf**Sg2LN(TH~gFY)#!EcHvCbT1Xmjrmj|ox%2O
zS^>7OB3JAAm(*^@F&3B@bEwgN<(_`D{Fix3h)lB#6*d)-W^{bZgP#44WbOg&<CDoC
zS5`f%4l9V}J!+qCfK%PvJmrRje?P7JdB*Q*r3WoddC0>1dH#dodbzEZlU*CsOT7U7
zB60UrSaCM=<9u_6;F<7WXbO)Jh~|zty<#N6JJ4b|4DoFIq)t|$2rVF@rXLAS&?RfR
z8@Af({YX$HNX%m$B8qVN(zL2fF_JbMmFC@=5IR*8xxgT7w$|Z~)Cpe|6t+#-V;|xY
zK_^QBu6kNBxj5u{%@6PX?1!flCXfn?@X*vhPO=^Rs2oy#5ZlDKuhQkTwFq`mS^C9;
z+V|;`)F?9=Vb+n-0{`;CD}v7ZGZ+rJ2Qz+qL@C^$2|edj&RtNIv%V8=-JFg_jNK@&
z&W7jgmd~{3FO;53_pi|zn5#-?o|SIBoV3A{1zjh>$Bu?gA@Vv_Wx?Xn!IchZJC5(<
zaZ|9|Tx)TQA@S~zrIahXSz*AAJ8n8$yiIt#j6VNxeGYz_hy_+1ETxEB+)AH+1f{p3
z0LcBc=mPk@&CMmSmYUy8=HFsWjD$LL6gUMVr9<*M5~dKaejhkUcDbb#cP)kalfE;i
z>Ry$~U0&cqQk-H|82%pryI;wfoejc^kbT~V&frhQY4K_Ftj^sSAT1LR^+q8jTgb*1
zsTxuTN*we{X8QG3a1yH!-y#-Qb?+atf#(*HH7@vZDQfKQ>I3lGzr(MiJxfl!-urkZ
zsSnU{U&#olC?_&eu}$CnLFDl^7oW8l)Ks^>jSKO_M$(Li!G>OaWTnBCYjJsq5H^oa
ze=KJ1h0g{6Bid&J0PNV#gY6CyCXZ@W4Z>D*z;T|Ekv4P1SvKg%#8IGwGfSv%a7SVL
zaQAtaVw_7DC}g`dd17KmVJAg5amZX<NtAG{NsSUx5^noPOqvg=CJ<r-W}*}>mv<ps
zM`kv@bfzX^;2O@~*fB;Z)J>Ut3A_|-Q&v*ur6P8(RT6nZJ^YFrt&vz`4Esla!>-oD
zTgW~h&Nvf?8+E8Gg{qlI96O!gfWZ&<Nv*jNoejcnQq3+!^jpgse+o>GR;7b~6EQx4
zOeO_{h9cE~*Mj7@3WK_DtsFQ-k6!8LQ{#J}%r{3^5^C)dT5q)wfM4tUqVh`je>0xW
zWqOLRmhb>FaUv^z(rLG>LN_zwc;>%{bPaz}aZ=m(BMT|GuB|ULag*YcLx#ThniN$8
zH3}Qp%GA#?!sW>g^AbL`sZMVry-H2$ZB`M9Un1ZV1sm5O!lW0I_%wbqA`@T5Zr4Rx
z&=#xHTp{2~td8cn@-Pz%X)W}Vo*h|sX|IAw9v`U<>qSaePogp2dV~ddwv@FjuEYd=
zoN>Gg`<+be+;Q{2;NA2VodeahwV*gET)b@m8XZdj1i3mAf;8=X7<}&FH!S+vdkI6K
z%wcwuyg0+5I6sD#3Iix%8k5k9i&Sup8U;NeqML+P7iyDp1jn=%dX_!gzr5xFuW8ED
zwDh*=_C1{fj&`nrx}~BiT8164PBaSmUp+=)r4HNh877lY5&nRcf#2;)6NsfO7qu8?
zT2z-x6P7|Zw_USH_pmooeF{i`pjhIoXfZ>cj7&+ch+O`ma#EnRILd&SYCGEb)D~ys
zv3|(PdFzG2aFqA|ypU?Q+hJiKI-MsXRa<jsVldp0DVmRaHdw+~*A@7E)mQ!lXKrwr
z$O)zP%kmYHK7nB=1OEY>2^qHsX2uKrrQ3TFO{P@-3xq_W?_FH7Q^@1@1Z+OsEO~fv
zT{7&7_AIMQ!kMJL#$3$kZXuc1LQp*Z(_d2&j~rZlg%#9#mkr0d5#}N)q$q<W9gnK=
z;q7UZ+DaqeJCAjTCen1kyGJYWt?>rsR?)b{bP5FK3EHHPH#PTO>vMZ~y$>hSz`skr
zpW=O8e?&3A`qdK_K5y&1=NeJAmK!zUc(}y&o)RRn0w{fhaCu^<UpggnT>FoRARN8$
z?fj=c3k(<ZSQyG$<c~yy!T_k{G(DEf`Of=m(I6Awxz=>RPD78yj{h-|ZRmE}vstQ_
z?<n2v*M)U!eUzu5=Oo4VSO;69=U8&e)va!yMGQA~4FlG4BsBtn4^b9)91)b_o#;s*
z(90)VPRFx-_S#RG8-tK>+mBPXH<3G|pCribE3UDF(o7BP-HvOZKtJ*H>%zo>{;kFN
z*TpX5OwzrH27MSkk*NF${l?u#i}-!s$PFYBx5exOw0jQ$_o2(S8s^c$cb+1BJ|fsT
z0y^4SO)lc<$eG3Nf)G}hmMzN8br`tj-rjn^{GX;(;2eYhqL1m$dH~VKOTm3V)3O-H
zC5R_2$?i_tJ`G8ZIF{Zxa&EBJ-YA!nXx!+pYn2PJ_F@i3Pc$RgcQ>DcKYIkPHJD`R
ztr&U)TS8W##y)YceIEFS7p%pG`hDB?*|vdY<O2u?xVhO+UcrQTM1ZU~6~#htj_!(F
z;W+zhSfRPXQixj43>yfN;M3A}LU;)bL@bp_d}V56>Uow{!goOpWdQ<ev$IG35QHk&
zk~^O1K3wYiF_*ptBy=@(m-Ze+nK&GtjgP`R^u$(;Nu`8C=OgQa977B!ouG^zm$3$j
zD82;jy58Oh`XTxdg3Y^m-3-eLd;>OVyQJYy<|iNm5F8Y7W`bD)_XqI1UN)M`CI!dX
zzY(Q0qP^VK2Xqli83yl7kZh0hl+l;j(hUF*AquXF*UkfE&#OHh-;IoeopTDN6hdSY
zD~CP-;<q5;qGi{QRzc5|$d7)6)~$g2;}0OZdCHdS3f51-t2)N}KD0cxx(#mZu6zHt
znwGB3@jENMe@C=jK)X?dMx6FYocP_pmeW@Hzy~x`A#ruZr9LqmEd?LiFjvzh6-`xG
z6#dA&ic&U`@cpaYPJ22t(j#3xOu_y0F@VFV*m%z{?r-uvCR_tlBOKit2)T-gzfncs
z{{Zzs3cq6Req6Lr6~zlfjYbHVa~KhTVk!&Se{1-go?<vkcr-Kw^g3BuGd;!&44_jI
zUUX@m>LY^Ro}S~yX)pO3W>7GytUOGROb_y6zeTD?13MO@^7A?Wu|weG;L-ZnA>hi7
z9X72GbK<$mU-5H1knu@P>+rF|0RT+0Z`)|Dc<gYZcLgp@1a5s<U27`IkC%i)iUYLB
z5{^46V~@*U_o6izsr$$IBdKuFm~N@>mB0ffoLEk$aHs1xm^6k!lX!LbCwQ}-ya$0G
z9M!x7U$At+yU}2SSzrjHOW+29y1%?!EpS3yia<Ax&p^5aZf62l1e!2!X@3OLC2)g4
zJ$?<`BvOm(r;nEhP*3%0`6fnlC9R0S4fQX&#f;-PnhR6TQfP*yFtjXO6E23SW$7O#
z4^}*x7B$^h@HSj<II3FXJsEAyk93A)#C6-(1H{{QZEZK)RVQe_`pHjV5udVMCk?Qf
zBfcx$91~Lr-nhL7geNLxy;wEP>|WwvuyXAu-C6+5Bfw|hl^o1Y4NM!CYbQK*X}ODy
z5a)&E20B@0-4#mnM%eA-26mOJ+YX7X)JG$iH@<r*?+X3qkNk;W^0(h{whb1UbV(MV
zvP6suJPGTnvSfj6p`~T5xp_3AFq2&bsSsUokBDBdkH`}fgIxsaaJV4xhvJi-;JhP0
zTm-2SUC??_se=#1rxZcD8!l*FD(q+BwA?C4zu5&b0!dje;E}VGmsY&MFBzgf!WWp~
zil{3)7OJa@QM1Pno;$X{91T_ynCAffI(NL-PjN7k{qGzOjT4$Vmx5-)5kAFcvyehC
z$ymXj%SWC&eye&HE2Y*6)&a`Yty8iUVJT`(VO%{olWBUog;gcVFurfInWm`QzLQFt
zX4S26)!!ex`N|J`B!1M#UdQ&UAN)vYcrhhURLqwck&+8ktY5tno=m2N@jHAbyF$^g
zdh4x_dZb&VCR2={e#A4}F7ugN#2w6l>c_nAq^-Q&O__6xE@@T$HQ)OWzv*+%q5FYE
zr-jqZP@hc6DWv@rXg>wt;CEOu*Tg0xp++E-Ofxqyt||6L8>q#}1X=X3jTCw#U4GC?
z>3+3DT%QAKor-GfzXE)$CfN5&6sbGGoI*O_gajrEfc=2fKBtf%2AU^CLMR3d3e>9e
zEGsqHFi`Lv6CDGy?6BC|7RIYV^#X65UaH@DjkiEABk)h=+src8HaqQ+znkR{z5$8j
zoV>yunK&6rUjhEPA_@5872yA@m=$~7Z&B7+G@BJh2fzEh|MzeB3n@Af+_ppB42_ru
zMWYJW<Gra8T@XZdfXp!MK+wCW8~X~HPND<Hg|~LoGjq$*py-upx>!-717C5uCZQjo
z2AEq`5!`i9G>|O{`~q}-&~z!$fvt#~DblaZ`2Z!SS<5&yRigju{b5Jc3{VkBi1vb_
z5}V|baR!$}ePYlGATFRC955gapD;Vkh<oGUwcXS^8vutN+#E?4x1*zgYpnn)wC2)k
z_?l<ctZKiEu5oNl(~U1MD7vljl2j=tf}m^r{>#w5Z_n)+g*T{DK9V1;2|>R3EjRf8
zv-d7Qo2^+{7?4CoK|=s9lx5loqBg&N_e;tGD>FnxCIUUD6cnd(m<t?mdOCAPgdj#x
z?vccJLjzHSSP{!uK>|uJYI#W_1W~c@60Q;xOUhKVO4O8^=UJC`t@ZBx?{9zIfA{Hg
z*i&_;zx{t}zk7fCvaZj1)-7lHMh<mUlil*eZ?j1Yl>Kg?><?dEfBZG0%Pv6K*GCX8
zFebt<&%P*_Lmgnht*j2C*w?mmr~`@wcF$qO5zKoIb?~O&j(JZ-MyPDBzmH(vOQ_>K
zw1*Y2>Rn8fL!CxLi=OKtwJqLl590_wwn{x3;`%VFhB}Rg78JbdrbH~5%fR;=OaLSj
zL@bx6F_(b@CvaH7@9HJ<?P(ViP<Aw&cWajW(~tuD?URAty;$p5fegiMKwOK`u1%9B
ze_=WvQ@6#CO|e(2-;OuJQ851((b(80N=}nb^c~g9=rX?HDRuhedvw`L-}GHS?{h9T
zSz|yU$|Mq68GE)n?+WL%t^0<zhv1G8QTFnmFb11FJ31(TcI=I=hn5PB2WyS;2e~%Y
zL-AvB43;Q=hJDT@1_SxHbS~h)#%vxd));KJwkgw4tZK`WWQoBV<<H(zT}hHyTiZnU
zxl{fe0YL43c!|N#I88!T#EKvk8XUcZ$RKf*4hzh>CP!<E)~sKq9UYWFcr*Z3K-)$i
zbqi$2B@aGe20`+wu6Z#6q?(Ilmq&l9U?bV4+amxR`67BKJOy`moy}vaS<hyyqghYq
z_$VQN>`%Gdxl`?)3-^C96Q$!*1#kiUs0k#}7m1L(0-HskGJuo1ik*U;%mtN#I#NNU
zNIy?jtz!RM8Hy;H+)hB0P(h`LD$e_hGZy;`;cJ{fN1<KQSuOqffhy6<6EG5Vm$bJE
zWiVXbbHGR-%$MM5NUJ^l7b1}*Se#G8ediNX=G`I|mU04f2^Q}rSp0MU)erp8qm%>|
z^6#E09c>UKZy`Yk$UF*I!W<B1A&0>dPX%OF3>Fir!W<A6JWf!mXNbrorMe`6ZAI%)
zf$wwPO+rK_xz|Mp`HJWPRdfn|zQT6BN^hKlCU`_hg@Bg2Sm<ENuT}@dB+;0oj9cg3
z@Ip$k*zhUqJ=5s`vf%xw(3p$nT20?D2vaM!wsKY&?q=Tk!T;-Qs;>FMKvmof_y58y
zFTEt!xfPmEXjZ<GUOjOyi9N@Q;Z4Nc3m`>MnF_9{NSR?WTu~@*TtLeA9e(@g|6hG0
zryE{vl>$&2GrJT?d6v)?mc_m@Y^Dn$UL?^N$?nz%WFd<n!+<6THV;X@o<R<#b|qG%
z;dR0N1~y!x)fHMfyA^48T@cg65KoG8fXZ$s$jILX_d?Vl9pJ-rq~UeJ(|${Arr6V!
zx*PTtel;V17qsdtj3kIW)Ix`#7+#m$@8P<rIb9$7Un7RMNit05!O<kjI5}O(CpNq(
zcFyf8*QP$r24{u=hoY!yziWi3u6l|d)ahL3VtHQ#%WDtvwU&1-jB(!beYi%v$)Ed=
zKlbPRs>pR}?ZfdVj#@kKq3NVJ1XiNHvn)gKQoHA2(1Ml=AB`{_unTIFQcNjz4;{*c
zx)cT;^@5TLX+4)t*^7ju>Zd5=)VkD)P2uE|0cme{XlgwF)zIFGH^J1$Q(r{NbtyE>
zTNmdU?2p}wqVar50N_=RL7hRH)}LMKQl|}Msqw%t+;xT`+sIsJTXTcPK#@di)S!lx
z?+(yF%4$qlQ2&F(#q>4dDB5VqJ*b}g^UU{WkK`@RlXS%>Oclwy(afsuEG~Lx%2~De
zYu$0b)TORVeri)|L`i&m8>Ao9!0EL|@)B3~1TV#U;JQtAV`A38)wxW2W3E-~3-IOn
zaX8MqMZIxca+!CN%l!Ag`dh!|E5gCh#IidWmS)a?R_5YrZLI)Wb-FPUir{TiM82&t
z0JNgyNh^PMjMb?3YNhbJ2KP-p$5Kp1URDkbsHpMx#5qbX)2psNPa4otv$7$Wi>b#m
z%&>$%;L20O9fGBpx?i1mYyw(GxUEYu)n_4q>fhXkv}P`*qOstlxLe?F2PM*ccMNSr
zIx;6DN^9m~D)BBFO&;J1b{A8~2W>~(ztdKDfj|&6;QubgR17b`4`IxU^Q~}N<3I2<
z4_ov~==F5+Oh3=rPD=_ON2a%^KXL2)Y36g)v3X`pL0zgiovxK%^%RdeaP{)5o*KUD
z7U5~9-UmbF=9WZ3(xhsnbk(Kut2UCa)}(mZZG^8M<<{7NX1K7~32|aBe~LrfOg_t<
znaz&n+`?ujB#C){ab9Ep7mF03>$At^Qeb`MeXqRd(L>2hDtz~i(RjF^ao_O}ZHUp{
zd2fOr;jSAG|Jq0W*Z=1IOWaik4MOSxbjUTd)dH(W%SY4=;Tb>>+*N&CowFVRvfXYl
z3$lj)C8}OA=$9M?7ViXe)&oFSon?V3JT^wd&I<le3V-gOwwP(@S%QAWob^EFm^6jL
zB4o29V#Sj600%Uj5=Q<zrkGO-XQmOY?66}r%*krqDT@$}g;-xLAU?j@3as`gvIw=0
zuO>6-g;@W$Gmzb;*-P(U8PrALmL$}2@GOJ3&_<farO(-+#IyXGkXNnOHp>c}RD+CD
zdX7O!C4~*-+Q2HI__p+m(t`|1nR&{`PLKlWX)@={cEoQdJ2{^_jE}8HpZDB>FDmy<
zVKYOav6;&O*c<`RjofE{BKU9jwQQ<S70(?O?w>mnRrzcye0=KXZegzqU>l%o1?RW0
zSG~CGRS)3z?pRPX+F{aBIb9XGIl%GU(%&Gn+JrE<t+46BN_CZTf@CmGo29(cXo(uH
zhWA9N+v(bS$X|3xt}Qq$sO!>=hC>_GfaYr_<3ty??b@7S?yB4%3v-oZuHvpRwKu$b
zlXoQn9e80E<|@_-f92_ezW93r9ieC<M@KkD?$ns8Fk=Bxe!<;IvdCa}a9ru*0v)jj
zR<9G3T#-Zm8pRdLR{e_fHrk<A?3yD=0HB6`MLHWU*toDTs~(vCdXAFH^K%qGoz5^O
zUs#$-zk+)$sXP~4Z>(Up#w=vbXEi}d<(c4d#}W0!TkO!f*Bx3@c})^%LzUdwWO+OP
zc_TK};fQW8-L6wM)yI93G*xzYZgY9=N+#SXt~d>M02f7p^Y3ofC7&d~x|9z>2C<M=
zz|CG4{Jo?Y>kcqJErEoD6{c~f1eVPAO&Ko;l-4TQj{RZk0I5&=3!XpiuPLFFF_Va)
z9Uru#7<ifX2PXfT>6}_hZp-QAar{4Vn@G>OA+}+g{uDk?MpLh-6=Pa~WLXvaOBfot
z5E*6B0xh4hJoWU<<tdt%r+WK>kNThf;>(wl0&}NL-Fb`d0gx}jbb;MsP`*#G6dKJY
zdU<nF010(PIDp^Cra?w{mXiWUuT-#2wZ>cu{<7VWt(US3Cqsd5s3Zkyu!}ekFuL*d
z0VL_B`I-PgC@UZ$L!;h^vn)^ugrg))VoG8~=vfUSC39?Ok>ga)ae+ciHyx*7_d?Tg
zzCl37q3EGGmage|UI>f)g)8>P!Yug{Rp<{fOxZqpFxzyr|J@tDu$$K)_%Zmq<F9S(
zB}ZB{0Uxr%m3>h#F8LWa2rhzOj<|Y;j7(Emmh{j^mSuFBReI{3_?tcPm_5}$PAM0L
zoP<qZ8k>718r+`P8_dyV`#drtm~z%*h05fqMmvu2-Gd2PsB^Rz<mR{qA&xvwfNAw<
zMJb^8(GTbd)hr;AoBexSCQpIHMn|<Gb2IquW%ASkee>|+@X`S%Rr7!^y;U2D^`*N3
z+YLC+RC0jj%3zHfEM)E!#)$P-9-(~t?J*&1L(28?%ImBkJ37EmR3n^_wH<WjE4i|t
zrz%?hq7{9#vZfF%`}I6xh_PPzBU@*(<h&OdSs-r-X)iMVX0I<2LhaM|iws$Rk@>M@
zkJ^ijCE~B`Mdn|<QNK;gYA-TY@)sF`!xx!<e1<RbMA{0otk`kgIKXw7Rq~dE^E-KK
zgK1Tmc2(LVWja;eA-i`Z?aqT|Ng`hQNiUFgBj12bMmk|ke=6>oCM3eWlkIR1k<GyS
z68nR>8_eA42TLv_oxg0y{@w7ee)tt;Q(>4@UXBJWFV|12!nCVGdM?wc>JIt(Vd@j6
zQ>F7iaz<1-ppJ!#-!I#7{yIb|?SH=6m)|cVsM7IeJMAyixnh5eo!XapU{9|g?_cZ7
zG7K!1)5oj1$C%xfvPqbSm5M!>cm=}xG>aaaxYq71KfqFZ3}kA6vj<pgf5?BBJXmpu
zTehg>G{TK$`eK;;@U+q`a<f;bA3bOFEJt7a3xEH&|L{MJ4oB6ejtVmEdOx9wF;}u^
z*S-LUGKdx624tD(o{%5F$ZG(Uf%Qdl!VZRbKKpV(UIPy7-UMV<F2<|E4EB~PxgGao
zx+*yP`X7@l8Yn_-xGFfXrwedCTNRK;!@I<f$%Q3`t8BDD8UsC(gECC<wJ}CeQYd?5
zWd@ST>A<BFvoCeRAwW6%+F)5F#R9$ZwGk)yd0sS<VUyh7y#%)59{!*BqGA7FDU&xk
z);1ZLhWMlo?Q?+!-|E6X03@o0`2rPAdUTMc=#V&wX{Lz~I<{;bXA+7NX^X4!3Yr^;
z{ow>(2kgQ5=DZ4lJ=gFm=m6WuYw(?I(BKEST7U_qyheJZ0ZK~WqJ&U4i)x%mbJ!yu
z+Hqc*V*v&)z~EQj{Z8R2Y{N5XJ*7s}Ol^6XhP5H?@S>A{|D^xohu;7H3l~6Jn7o?c
zjeiKQkn#~sCo)Q6u<a`tY#JFCY@Lx{TwB4srVc?RIdDOw{K2&fTZqWg24=*HbOBrt
z!`^U29(uO|nOt3w`oaa#9OY<Ia58j)bOBuOyyf6e92#u1q+=@QNEe_9p23+bf}qCL
zLWX^XU(LLO3!*8Cs2;fR7<AbmT3mo8xgrssNV2P`9$V6FE=i_faTd-yP+awrbOBrv
z$XuMAta$)JxLY&d9bk_*ZyV>iIS)HM>7n_W`|}P?4{r~q)z_bX(OFPSZP*U_0Lj`1
zv4To%HBt4*{%uioi=i1ohZB%idaWqP)tQ;y_u1Dqq)w3?20oc7@EH^$fUGT%+NDB7
zPo#uGM2XZSWt9&o-ej}TPfesGg_q=Tpmb3}+Y3lwaiAj7lh&f-FbGaKqP4kRfIZfW
z7x3aTF3h_{w5YjYw6q7^O?%KszVm~>=mTN|h}IU}3-EgV{<uYM7pC~UK5vlZoxl|M
z*sKxoLB<qJf)D5g_@QII)(H53UO*E(qElDk4BIVRn94a)*Sg?o3Z+0Vzy;eNE+!*1
z7Pqg=3vj_UhzqkooI$^V4+^FrCUJpzP(6@XQoI0PGe&VC|533Nv+b~2tO(q7+e=en
zk(;UZxV`-$46s`5v6x*~^(?h*S?fw(aAZ+gevV9>2c;7N!5wn{ccD6Z3nTq&4F4Re
zqZFy9w-}+hurGQ}b*@piXZ%{DJY#uU34ebtEZ-XxW<}rqm)`wT|Hucmk=ojm=2=m1
zUIPyc5kx41U@(xW1#Kp=kjMoQ2{G#j!7Y0IBz<aMK~OHE_aV+5{xO?)7Mu=*D$t9+
zqv0Rm1}EDcRs?Q9E0^(O1Yl)928s_gI|?37oScZ_T?nc&G|AKCppMcji3Fy`jQf62
z2e1nCWBr<gYz_vBmI?$4{WX^--6f6jj*?r0vHN*mRIpS+st{Q;%v%ZGg>t*8Sk(1L
zFzmj7mj_wgw*+ag5?!<?S(fu}QL`@ZY~F3#<Zb(L=|p_^*m@B|*^Yg>q=0cl(zA`4
zGx7oQ5@6Dc=I8w~LsBfgJ`Oi~n0mQ&r|q5tGV6mQrrso>KRCn-nSt$wV=Akp*m{@T
z!Wp3C0qqY1Nip^&i7DXd2*P8F(efB~)~%Ty@S77xjo~~gxgpB299@Dm`++xLGXxxf
zufiGFp<XgQ;5SBTg*De;Pw6Go1AcQ{t&wPuqn{1B64z6(27YvCWsV+@qeBmM=b7&j
za6NmC`H^$!?~#OUZB6B=#!G`NPL-Vh(x7~uUK(trmj+wzm)!o+K*(Pjew=z~@O^n{
zxIOjK;QR8@a67*=D9z`WhMU^JJNBx?-|VHCsH_8i0p8&hJ|lcDh29OH))c-22LTs1
z?SRU7scZ{SqVA9@!-G;jW>Vw69+6C=^L+13Qo7Fj*UGGx{^R(ZA70{p2-Fkib_DpS
z<m1gr`=eT0fS(t}fh%66r7tl2yG#e?i0w(Bfc7b*;~%f9><>!$KpNovjq4|+>va9P
zQnr@<<M=zNl;{1a^78)Nj_bF>`R4nN^7XDDD<Dw!=XUIm3zj$a@oJTIg;n?_T0WAl
zM30A%wYb~IO<e1?iKT!!h+NGBEC$d)`orYGiko-mmG$9*!|eUi6!Pemx67=in0Pz;
zuF$V;(OA3ML%hSdTmw*y-4*V#j5AL|<{7hm*<b&WkNNmW;Aq*?V-_od-aqafpm8|b
zoIQsCR3t~>E=Gn1(PwBYp7$gW;SAT4c`UJ_XnI5X20#O~lxZXf9Yoj=x+CfX#fqZ4
zY{dr9K&LeP7MhU|6s_imc7O)cF8zuLK1!{q_zVOM9YO+5ssR3dNv|~l#5j%Q2z&<k
zTPifsViv!Y37>K9&@;1~DseN^rBC3D^w+4h<iJAq2uI4@C|zhQ(G%`Wd{XJx8k`|b
zCSgiZN<dFZGNlq{BvT47MFBS;Fa$MO-l220utPDHPun!|w6z+XZ*dku^HO{_2?Wv)
zRuFP?mK0zgo8qa3vClwc$?@Q7hIPlqPMf&2DD#AEFLvVY;TbcQr<HJp5SFME>>YJS
z(OguJ9MBhi>mT~C(5G6R@PxQmNzsPE91tQ<>3E<gZeLjr$OS>}#M(6Taa(dx6+!CL
zCa5h55Zvs*MO+1Wf0`h$?gC)P^RBMCe}zNSMRY+dNPY;3)d+Yiho(MtLF+=nk3sUJ
zKSw_JE_mLoNJ9!Q7oCHeVz;kw=v)>@7syG2)DwPAcj!_UM{OS&b1h*L#a2$Tl*K{J
zO!7>;*IViEtCFQG4sEZ=FY5iW=0$y~`phBWx2MjFc1;`5qPheER4QB97%JJUhxs`a
zYJ9pY89jwsw{`~&)*JtO8?K)GyF&VW(WQ5&ONko<Z0>sXXz$ep{Y7kX)?fGLs>gZD
zkEzEm{;a?H$#07u<aqz%4MbE5xUeMskXp6D$||@j>Jge{FB~?T`-dHB{o=}nV5mph
z-n~-%aNcGw80wL_O8R?%`^K;`b-QB4P>%>lj~fNL9FcO<gkK1TdPE4l*(g;Wn0aiT
zbO?re#IN40G~q_%lKQ1CI9u<?>)$kjs*+5HGL}dVmC^Rjx}*DAHg(}f!80I2X*4KL
zPlDpAWkl7iZgK($d3>}EXD5sq(&WVSstE|&l5i2PrpjlhO})b8C5jW3kJlw!dPGX^
zG+x<h41n)C_s#&}aup(2<B)LL<m$N7_5ijLaG@tvG^=N;Xf7>3rfB}w@A^ld^&tUI
z)S99DVO}MN$HJ-<yr#88p>PW9D^oNsI5`MOa^cqXkK8`9`*4N;eN^WmR-~fw6%p5J
zGaYV$je-b4K1nWkgpZ?M)A0oOAzhphq@r=b(*_)2;$FccQ->`Csc2l#dIRAKffBXC
zF)k{aPPb&{CGT)k<uFpQ>NH7KGHuyTrYRZ<*hXf&tjTsywa-~O4n*l`R?J1wlvKD5
z8H}E<uJvG!qS0`WWI)n0>(A)}ZCi+7QM8h8&hSp?(fD(Itxj5?`_B)$Z#VqHE$a39
z0@}M9(B60b)-QR-cSnvw6N!D!*GLY?iisM0mnO~qVMnk^i?<G<he2|<<b3z&2B5V?
z{e93CPTS}iP2^q4Ex{&xG-!8+rfqaVK;hwgbHx$n&Ybf-?7(PE+X$wNK^9q(bDkXD
zpggaFtG(9T?O>Lp@IxPuTc(?ZDFcSQW|3>o7i8J^*-T7Je?iGNOOC?V1WwY38R0wh
zx$er8L2#k2Da{Ra&2o3}!^C|!0GOb#i1<O8$n)Iv3iBoPr~7#3B$@9Is6mM56tYJf
z&zvOl4LT!#BR&aeAv?*7u7;ZXnYonaK<HjMk79rEe)(4VcbV2oKV-ycZS)QTi0J2;
zw$M)mJzW%`u>Tx|Fp-v`7&hJ&MkN3Ru7USGHLm|f9+3P1uFLZr8#2R#q0MvscBOe_
z`uXpF;5UEVKS*JZz>{3H8w@9pYuF8#_NhEv5Jddim<=o<hAveMkc2%7e*$mNQ4`wW
zCmCQBCG0W5{aRAHh>tL2p~o~y*yAg%p;D-02tn-OToU%U;2E53D!4WhBs+a*Yzcen
zp%L?=@K?b{ntpD-8X8jrDU|&*SrjXZYHyNW&I26_V>77XB$*IeED>_X6td|DU=VxB
zgwP_`i`SeHB#c!rnePrqFNg^`;pm#H@}tjIuA$JJMYly-KvKo$ZEMPozhzCD)<Nx0
zRqj4`nIqbYPb&0Wl1P?3!VS?pwf~EJ_0pJqhmF|?=hDlL+8?g&0XbEh*@TQ3Kx)?~
zne3^)pbiJw>?NRkjscx2*$cO53Fuz_4D^QIyX$!f-~W#Lzv&yJs-n)gTYYoQ?*mB9
z_GN}&ATbrg5?*@=8K&a29MFM{M0=*j!mkoAoIxuqhu&@nM1>_@*rIh>A`1-P?Ssx7
z(7`d`6i9?1oaNsAm>keq%M=7L<*|={3Wx{LCh1TB4_Zg?a?zTGO^lLnvWRb}3QRMi
zFrIjyGlrBnm=aq^%$kCcfwhIso<`cpQxrvue!`-#jO6Ht&qzL?JTtL>&#D5X&o!w{
zMq6;q8h;sCRgjGc(5~S3)A40HK(iX{$LY}TYfZ|Q3-x!BxlmlqFrEnHQ0Q!gQ!`=-
z$%fi`7c}$0c+Ob<DMOXj?gQTW<)O4RC3t&<1|wJddWty1R(NR@n)7zWIb)?vGFqWI
zV-$72qIfU1VpM3(n2Fu3D4~i8whGN23z{FUUm*gKP{jnJLW61(T>Em4;}sfYZ4^@D
zDe_smDCwRzV1>rLcmEfPXsOU#qsCsZ6`Hv^>B*`KOrLzqcRc=Ee=yP~syll}3XXyf
zD#Y;QohA}oX;(C~^$5b_8X10_9Vx)5Zl7tL9Vw&+h~8t?SxDte-Zwi^V20+{Mfyi)
zOLoLLW=Cp|^u1=Mw?_cvY92c~QhPM-n;;0RJHhNoP0rn}neoZN+f1L>Ipg1{!P_*W
zE;%uHd%o%ne=fS+lTLjS!hK7LJdT{oV|0?mfjq-3a<?{_MfM~<agF?6d^7fmlGD}h
z1;O_sAo%QgzSeD9avskw=P~aVEjf>8lk@n3U;e$n_|+(4D^$|M2i;l&7wY_T#Knup
zZC@F{%LN<%9I)pDv^!0;3kLrjsL=WqX{uc?_~%$0=?+a(?SjEShdOb;B2Bdm2LBv+
zbh;I3s+(ZrpF`WahB)?>6|r3~_~(FT?GgE6s+(lvpF6@7cgL2n)+K{~4xI)A$$<Id
z<~05}T>ZYoK*$z+&ETKg?N9v=k|MTC2LBv-u{3hBuP#sBQ4_uJ&%KQ}{)K<;b<96E
zH&C)y<ARgXTQCMnzx&(%_Wh6jz(#eWrmzo`0z$Oe4mvHzGtL-A+ecJ6Pzt~gfV(~F
zrUXjc4cmQC>;)5+>Pj=$P=Ps6%Awa}+h{<DR%@%v#)>&mIy!_1ihVFnxCTlFAp&)Y
z70qMC8Yndg5x8dh*y|Pu(H4EMU6ghUglM}#I9mfh(Y|&vxP%V(*}<swlKJ)o+-FQO
z-yd?LYqlN9)WOm74LY1SHHeWcm{SJ7J%_Tb9u6iqwzievSX(d+>SPTjSQEWqeNjN+
ztS+etGaen2O1d>QyX){NOd1lP6%x8#Abm0LES5(%y1bo+g&V7^-x`4GzHK&0uF&fW
z_$tSW{H$Mi{3$V`{mG{RS$}R5etd8+mE*6|UjCgEoy1<p0V8V{pi*=)HtoSo3Qo%T
zcWRZKfA7&p02CLN5E*b&cR((oW$3{)u_-j>=*KFZzDh+ASZLXf{V|sYPhk`cz&e7Y
zZ#rQaT$7HkgKXOILBfLmue3krnUp4%3oI={+8U>$eZ8&e1DhxZYK4JVY@Ifu&-1+p
z%J9<a4|Q{fg?XCl!xF|WVeF3&8ugF<vd{n0eILfUBc=Y?6%CPx1AGY<+VOz|p-?`y
z2Hc(qW1%C_f-d|Uz?i`hSHTEl5mQdJSVkBN%;533hsOo3wAK2gUP2r?!dM&{Y^Z|l
zJMPG?Ru3IvECjbZ!lBWNiQad$=dmIkDZf0EO`9o<<w;I-ZKg052cK%&6i3RBerJ7~
zYP>Du?32U1tE!pNQOa|EJ7P@vXaBGJIxP0%=K{g=VqO0)Ld_-Ne1{2Vpa{}?KA_)t
zQoap)*e^tiPmCg%xBOTj`j<cD*SzmnT+*LOEux#UQ#o`Q{arp|tdszi4w%f)tHpF(
zF%D`&z%z9dXO>nami6Zz!>plbA-FjWlvvT9yA8)IiJ;Zj?5v==v;M>&L>=wO?)d&3
zv;I7zpr;KT7+lf+>a0I8CXv4yJS-r@>{qP%6T`10$YIk@ujGsVJnhb~0x$<hjOf-7
zD}GMVpT`r>Vf^99@{!}D*sPQWgc)4(?ujH)heh&Yh}ATtYgti%-Cv(7uF{2HtML+{
z-uauRDXtgH^BrQIZ5Y+{z^r*X?=?`VfAyC>{FA@oCoQ=>kZ_Q2<PDwMJ0jZGtQG0T
zMWv=3WX|nDPTK?bB+2e2b8c@sUzl@y6Zyi63SHH$Rcne;*A2^+IUleypXis~`&Y&V
z$ybGJ$^PPbuop(RyAy4FEhwrr{-vcqkQuv_VH2X?lws?Le>E@M5^l<E&@yD&9~c?3
zJdql{wR8`9hHOcR(N+oNt1{rq1iSWVzWe3R{T$G*zWiS4VaL|P7k8$RslW@-P9Kge
z^aOW9Pw?Np{n!2*Z;y~pyT3doX1!pkhZTH>YL4tHm}~)td)uc_EFvX_0Rn@O4m5CW
z#lwyq0f<=8PzoFd;*eO8_RR(NYv$J2p}Fz8T?o>=x!`fLSJr_OJNvs88PYXDOzV}v
z=CogfOQ9Z`{)Y>KEf>^2h=9&V&^LC14C!1jC=@_R%N0-l6)um2bWL)NeivPGWd%_C
zijuj9^|;%RpbR~%0L1raDIuM&iIN@Z*4Qes9^4!W>0I(~Is>7CA9Om9p&|eBr|<w6
z0;%nO@*dW<hT}9N!irDoO;~-*HZrQy>tlK{cgatb+i;e6?hfO*7psSLhEqW^<S+M?
z7K=!Zult=}`_j+6BHBYAoxG+ICKMz`V+w~_?&FCZP9QA?6a~8xw*vlxwv82YGyzR<
z`^@(oOa%A%$jtYG6WDGo;RH$)O?SnibF_B=N4Msi0Qpe;9fBFj5hUd!Q{*d>16mHr
zE|9^DjFB%$jz&{HHb=f9Ih>~)$b;b^`FwZa*Y1?KMW?fti(bfJFn^B|Ux9nTiPmfM
z6na@T<m||-so)ZniT2f%#%RV>)jFKPwr-i(5{f)N@u2y?_-5=AB~!9(Bp_RWbaxM=
zO95GWu@R8T6r3d>dnk0}9)(c>$w2ZYAba0?bTml}CK04bgr)C!^d6UyiS3*ha<B5x
z4@Zm80^48_{fH~x;@-j>KfdpaKI;8LKJd@~XNl8(yi*sf0<2#lI#{FmOc3JUvuXjE
zcfp=O|4z$)J|St|2}(fL1R1(e5d1cZPLCBOATz<-`#TtmDgl|VxZ7*h4(82J{;{G2
zWF|-q1Df4Pe(wnS5R`z-1VPmdH;3^H=EuTCC@sAbkhvfRvx(t9!>8zfj0R+Wk^s4?
zk%re{F`j<a0y2}tG&TBAhz3t<mNm=4H6Zh&Z)KPpdhO8>QBQNWIagD93&DYYX_g(i
zR^6_wL7n}~MwXwuuVqt~Awa=}?GMxVLl^dc$5xKNQEC!(xBwJ@Ld2_(Xb$L9=A5cH
znUO>lM?W_Ct~Q#3C+4)b7w{I%>N7c>xL!7I`99~z2<ivVpZuF1Mboe@<ef;iEbzk{
zGBz?LvLQUGysNx?E*PV&kr6=zRRoKAJcGVk)Z=DNPWvL5)#K?1o)$Uu@yK4_BAC@9
zI9E;*lL5(Wok(_8&^%c^o<L+NpoY_CL-a#gv8YF>OK|E222b|Cx~Rv~S*b5E7iwTV
zih4vtGl2zUua>d6<=C39GNng60e&|n_Z@-56DvK{Yta>~+nQw(mEt;w|Avl{PpZ4d
z=+Sf)#4PsV)wF9~aAeWJL2r|ZlcB_P%pyE4fjU;&mh}EhsF>b=a?$63La;p;kd$}e
z(^GfIFl&@qFhD6C@LFCL;fYTB1GZgY(!w&GjxXB@7mf4Xr{e?Ie-%llwo8P<<7=7}
zo=myE9w1=v-n9yE!A?Iv?DV`_)EkYZP;@tiqTljGU;PoEc8Ng<riwEjX2c~^!9g~>
z=vK8^<|96THoS+;T3MPgznMc0i>b<n*D6Xhlc)rBg4ys+auQ|3JHbgb+x9in(mrHs
zr=PF#@SB;F1#K&jM|MwNzIu#u$!*4rf6xDgaU1(&2G2wC{@;Z^=!F<1Gf$Vk2LAnr
zf9f}X<>gT_Cr?%Yq}n^#*}ree3Zy}Zqbf=vtC^OAxHw9tr52RfxU87f3_=ghEP#Y~
z5QAhj6Nv@X#Cye+)eHy(7=zHoK6VkqMK#mjZGGFjhR0A5j@+7f-}6^(*-jIfPI;cG
zghkOjhnZEW79d~+OO-97WY|CFbF+te=Qj*iYo6IyT<~YZ!t;J#_}jkm<;Z<$dEBvD
zRxH0iS?ib7q4m&D*7|81eMPv*+P(<-2F^Mwg>1UuE_j%#_0u+n;6$yTw$TMA>+-aX
zF1Vj6%V+e!bX6XuySC)hROOppY#-DjMm3&a$lvC+80rGAha$YphD`Y(X*taKDL#cM
zKQy4ff&M7G0W#dN?tt4A8K4e^%?w)M4G`FI-d~=awm%Y3ASO;HjKVh7PZ@YV7HR*E
zXqeo=nKytq=4%pSiZ5xWBl)eC&;mj#F)pI&j;jmQWMlc?vRZI`y35|1CEc~)qM5>6
z%J9GbePXi(QqMZmz3=(DZ@ZlCLPIJdGN!vgKh%YnISxIowgyfH60eW(avTbP9-zij
z;@;UbcJsMV6)Nd29h{C}@UWRI!-J9uk*`#Rb{yMW0@fUd0-4NA8_;s7BPmNz96HCL
zM@)4ST=X7uc52Q1VjQYgtfzeoV|Y7+xQj5kOa4!7={OQi4>|@M?iQ8JyedDB$;96%
zF<swT=(6vcF8g|OH!p5^4boj-^Tj{#tG+7QFVrmeF8vwgX29)p^5S|L5RA5W6$II{
zzL{p|p*^xoAFSG&jWx=LV0P()Rl9Y5gkW{)odfP5L*JohLNL4Z!2!434t`8_=>fAr
z$32uQ)eMq+CG5y9eeiVS$Mi60DQDZ6U?8Otb%XqqAg8iq0R-cS-hhcbUN4zEGL#;{
zUO<fvl9wT|TnV`Lpvj~pM{>ei)T8Iy1Fmz<dVwn51LIsIuhX!q`Gzbfsx5~IB9+e#
zn<E-<j)J^h<?5lIL9fP00&sx?zd_-^b2~Nc#lH~d^!Y0KfB(<#`>4P93$N(ZxWKvD
z#`Yp(s@+_n9rK$HS|=OZJ3`J`6my%#J3`Jmu9!#2ImZ?A8k~+r>2!VhQ*G>7F;91~
z=UmHl7ke2_(1zTOv0i4yJk5Gp6!RqOWxhSrte55f?2cezrLrHeUd~qGsw!uKKnK2S
z_^9$pHS)Dx^+k_U@!KDsJtj2w-1UejK`^BRO`qUFMg|$2l+gh*<uw@@a5Vyw6#EmR
zbPXmGqZlibB*sYz-hfQ0+todt+?X>;^60b_5Qs8MMvBy%B_no$NfQvUE<sixQo^%&
zy%Rl8nGT%j1tRtQAX4*gQE%@Sh}7Lcr2gUm`HTM02ShNZLFWLQJR2gVvx%j3W6(cA
zqhvuXx*^~KSnI}MY#S9z2<|z(8L((nbb8oC#x6A2#MpedoHMfU5ZUjM9*>+e0(_by
znPCnw+rZk{t$1wnpbbY13QQK8D2OOXybwEmHe}Fe@Lw|t_$t`s3B=bE+hVoNPl-(q
zgZhGwCt9${caKd@7>e{3qp~B&Wv}eah;V3W{cX4q;S7xOjOA%1W-i|w6b4ZL>!<wY
zpZ>iuv_Rk5UKyS-aZyzl6iBa;N&>z0u>qCY3#Q8mWk?1@(Q%wcQ`6l=8SZvUiqC?1
zpBK-{@SvO>@c&j|SL{|S%5aaFl%l%UZA5(2aYY$|WFKV%>bTQE6G5Tla-#-X&VUG0
zWoThz2o_}sx)7>%!G^I$U$Xo~8G<K8b4&0Q*pOc(89=#$J)+%CD+icXSFg}TwHcc2
z;Uf=V9%Aafh7S)xxSJ(B5%tjFXD0TzWuhJic^E!AN7%#GtNs`tAGg&<i1)i_Xb>&&
zbe>cu_Twt48Zbyn!Gxu!`ex68fl@z04zYi<r0>J-#SIdMM%@C=haSj?f_J-XH#WTw
zM*eu<9FTf{(}R73Mo;B7g>4?LnV#&M!|G@t?9G}FY+W-w+BfGl47@zCXjba&yzqVb
zFTzX;@}S}+z`+$&63@0&*j@5?^wAxgqv21IWWu4{03wcLE#CsXVf|H-I(l1kgRvAU
zdD_-z`=z%EbH7W%4?(Xf*E~R5mES)9EqK)4f=BHwz~9nafGr1y)VBaT_>;|#-nJBP
z0rrf)wzq(P9gd%fCpA9tna%&jH}NTd&(HDA*e6QhE%<ckH~jByEt2=w0k*CWkcCSi
zMf8IJSJ>ZDL=IzF%1S~Omm_LPM74+-qZ{zhru|RX&34d%46#2(N3iT07!e2*jEHOp
zMrDih&9g1Gn;Sg(d4C$QbpF^Ohw!*yd2@TX!4sKI2S2CufNlBursZ}x-;8nD?r(Nj
zFwP$W{SNtnyUopRoey}v*_G+z5UBf0Dz07s-R@?`c1%7GH`{w}*{yGO<@ZCN?q7fZ
zZhy1QO>4XD&8}orAW-)oT(JCe^zmxUgL<{vCcfPr3}ds$Y>wm2?$|cp{H9$}c=sM)
zs|g=;(}lT7h1<Y94|Z}}{6NJCd&ev9dROH>h%Q^YZ|&u2f{r)6MQ--$^xo#Ip5^G_
zebVpwJ@5ZG!d=Zh^tH?5YQ^qV)GpB>TDfiN{NBiXqdEr1tyIV4VQ9ET<fmykLLpn)
z!ypp21?IF9$=1kvMB`ak<b83${qc+<C|;=j4ip2OAn#Zcl&MG}xE&09;#1**;0j0G
z4(E7SZH#HnzQQ@?6ge!g)dbHQju|Y!y3{TKx}e2^y+r45f0CtkdD`tb;FyxYc=l`N
z6gg%mfdrhAs22|^r#VHA9%b@KKoT$!wbuTFuCRjc30nIB?S#hB?f@Dmcs4gG&@3Em
zp_m-?5Xbs^$1IXcKiAm{X8dru`9B%+w@}C3A$6Qlfv0FXz0&Y7WEho4)7@rKjkhUX
zM8EckhjuLdGNE_ZH=p_Ht06UGc?quPEk71q|I-inGr!_nqZw1#%{{o*EsXr!fLBaI
z;mv_&*GDf<NAu-&L9nEdE`=cj0?tm5s<#PZ5W1*d4E`QWPo=?iL4YF2+DWF#;h0t4
zCl|!583dv9uJ_>g><&%U>w?fbI3fkHqPpSs6@Cm=uL~abYYt<l;0|1N^;c8%x?rmh
zo=+<b)2V`@dR=l4P8<otPj@iqRP|Z*{9h-SH$Cx_s$X;Q-NPSIb_)vpx|-u83j6`m
z2B=70U$e7EICRt9YOs0Bk7=-<^Q9mBNxvhUN2REB=TW!tsydzx15OMAW?z{Gb3qI$
zR(h6;yu*9UucE5E%sYG_ZzXi%CEno`(kP<p_9x@5jB}(0^K*obg@;F-h<b!&{ggJ@
zG*}Zn!(SD_9X^Tw7As16(Akz(M4ezo5EL8qECe+@Xp)ER9(fx^tVlRnvZWnc(}TX|
z8Ol!AgtG4rt~6IBc|5PE6}jdLc_g~7>FAQ?GD*wwO8n;uoH#lSjQ@0vlz5HAF61>Y
zx|!<Zjm;>?er9~G-Sn#6vYTmt@m%8=a$?febSGBN%O`a{a^zchv5)Yb3;VxgE63j`
zg#?ScmLuACLB3swxR4g3NY@B}qJ{0~!J*IMPdA-OFzz(p62i#sT(6sLy=SGH=Pf^`
zo4?@`-*@x-qI6?Q9$JdAqi*49tP$EXx&{@Y&6~EbOgA?{6m%sF$53Y`xuGXQFuY5o
zNz}zdJJTBDPddTyE^!K&y9jNDLj&)tfqkqP-X&ICZ?_r=WAdUFlS44POJ;_w4uwOr
zNur!%c$fIq>aPNn3<@nxXT*x(UE<K_(U%$z>fRQvhhXw9vE*t4MGKvGT)`9VjxD;`
zcI3|m+W{rl=)~+L^UcASw2l05JOu0xDZfWb5WeOa{QF`<xOjijr3B%UMu(5VWt-jb
z7v=i{b_R?A$9aO&ne)Kw(en*L%LyY7jw1t+WiOfU5ON-f4=4%j!r(mfEdofMxN%<3
zqk-Vlm9O{6hGQ~jP(vG%QiAZ`4lExsDRQ2>!Gk3HRxSyeG*mO>=m)Fqr8Ubv+8voA
zf-ADd1uMV(qOGF=Q2P`q72Wm{n->!zv!dS{TV$&#w2DHjszo)S)g4AE3A&p1+azPQ
zcY}E?k)*8n^n<M3DEhx%SdZMZrUp{(TaxQ3S-$B9QN#Hz4W$sk8~*GDQKLAJlkKQ&
zK-r}eM)jZ^U$(<~q4of{VEkYfKdvcO$WY5zPA`uqtueVeDQ#rOQQ6psG3++6FGBI_
zZKJ(2*V@JfYxw-IhVyPw?_Vrf!@I#6{=^UZV?XuX!3CktULQa2QCSxs?S%Bcx?biL
z4&rC=0)TlwN-hi*I|Orpb~>CG^g(bdgrG%`K7j3Z|9F5|6YGXFTpz?HZyG+D2iogl
zSe)bM!|_0{1Y$#s4pOfo&XEea9U6>E;#8b=8|&Q)!5lxI5VvaRzJtdp7B~b;{A@rR
zC{Z{n{e>*?b0d;EV**l*il54=hsD1=U8pws4q{l3LjB)}JmZsp=l{kxW1lEB1&m>W
zYn>tY3k|B^RkD*oqaRjTy!f=qx3op9ob&xeyb$*MFLw9E<%O7cix#{4Y&e*{?w@?-
z2Yz1k1u9C+D{qDwgX`^67r=!L!&2~ak{LC$J8%aCW5T)LZU=)3#O|6Px&}F390q6v
zgerE|1SeS>#O|8l1dBt*KfYQ4AqTq)+`#}aDt6b;5pC-5HdxC80-^lsS*B0yhDLz|
z$(7Ab-5kLQbXLdBlK<O%T^29Q5D%RS)y||PY}@t~^Nr%DmkeO(g17+pOkEH+hFyVI
zv>`sEK5FWj=<T@x;-qnL$RiRwAjZXw1U<ax>va*hjbeA2B<{F)Q2Q-Fn(9G?WO{%B
zbwxE=9uA<2wa{yeaLx1t-vA@V=M9e$j$RSmS3X99wTwHB&HNZ)^9~;)td<`mZsys9
z59?zDbmmjdC!By*4(Q>RW=X2O>_~Atc}@&6VzW>F0O^S!CnaBcq$#1CC0ld6GF!7_
zcBW=)3N%vkE$RGaJ5G3j1>N3kOS32h%I}x$v_H-_Lt_CAoyL+1S~B*RWLRu!FRo{c
zIJUX?r2wj)EL#L<B{N$r2dt4Z-QNSWGO0FzCjnY@VPYu-!`jL&)8B3-Mb}PaTTY_@
zbQ+$r4W<fU&x;AX{Q95&`5*cRBlg!sjK}_#mO&AP#bXvpb~`r0lq7thf1vdbD5r=Z
zp!<FOfhycr1ks813!t_@qT;e*&i|i}pl*?D`3A7TPLL0yugC)mR)-~pe#M;qKVX2P
z_#jA6ST@1dfc4;=_7wpXU~3JN>O$^b&N1iy0XJ0=s{?$n{?Mg910%@ECQ^0b2(7YY
zsm}nn3REa0QR%YY#W+i;o%?CV(Rb{z$!pHCaT@7I?q7@?U5w!ecYt)4J4g<wA9QVY
z-%rE;4bN!o6Q!op1wtwrN>e!`la>~jA}LL%CDd%w4#+o@oWAO<$mz>=s5O*>N=rVA
zzAzgBpqF}4I-qQ){XqectprITOHThnxR0KcGWCTN2PLO3O)L5Me8=PXQp!u4d|y+#
zK>9J3H2r%Xz2T-gn##G5(OJ?3&n8{)@jv=yfBBDp5a=Y|{3dBs6WEiRYRlv#n46e6
zT7$?#XCM`Vdlu_8O|M1p5R_=ku~}2ucL}yka5DQ&Q{B!H01Acv0@%=Jz;l`&y%g+3
zWtx6%Ha*TUr|Ip`?BiH*Qd;@dIZcnY+Ji#Ub_ens6v2|FM*_lHL(tpUmk3Il9-5H3
z3MTX&P7r5VU~U-C#%m4_{Q#G$KYG49jU4ENqiaFm*Su)dExGWxz}H$9gyzsB&?T6+
zMnG(Ag|#k0?TQrx>j!8Z6AvHnUv03nF&pfAz|yymv4@`y;B4*VwUgB1sF&<LQUvMo
zzA+#SkU}T4CinLwJ>N__aG*K-LCh8%JQ3*uzd<&F!@_Z&x8AbjcMnHTPxuWQaEMu_
z<ldTll4N?sZ_a>(;@QC?j^XG1OQYSe`X1g`U5%2MW7Pd3aV6{!=-M@S%LzT-{qrbL
z6qf`X72Y?_6Fo+Qw?VsM?dTXVh!BDAEGCxr*HgP;U2=;=rL2j{Vqex1+<+gQg+y5s
zuNvC0>RT(20++-uVx+=;#<c-q?5lh8-Ww!a@_U2LL4y&yYukH+?90e|Gw7p@_XaEa
zd&7_M7Q_=ApX7Cbn7=pt7<<^aOb4}(PyOCZq=R%yclf=CxTmo!gHBnrnilRX2-I`}
zx5Kjp_=E<kb$VXiDU0+29>mxm=@sMx!_h{5q8^{y>G){;DV?rJuUwN3!38VJNoQ@G
zjwT`U=}2ZF;)X$|EV@UFqyMZsWzoEvTZ7ptTYkT`q^1+57L4nM7FBcxryq<?;nG^H
zJ*;W}!3E39DOBo~JdF3!s&bL$Sv;JP;lZ`{>=5!lSZW8)h@7ZzLofvB(fMZ2tabat
z<iVb8(r1`O8XE({e3FbhN1jWj#LLL@wy$^e-nOeuQukds$o$^BU;f<B!HO@xS5PI`
zdYB5AuRO}%z49o3$Nl_pBfGV)%3eHCfmp>66M6hfe_H!Vw}P=&!m^J4!w;B!R~Vr*
zOyA&&MaphmuAT7MrR6R*Ql2+RZ_&NMF>c${Cd%usyhX43yTb17kN)z1_>11ww-|cl
z;(08aO^hVt7OjI~<RjyilZ<G7VOJirk^6yQNiwcZObHU(Ej-fD<*_0)y&w9Bu02f&
zuAO@|1ap!Rxk|1G7Z5aQeWs7P%U8tkO2E!xd=A*57c5CeIDRS!KfnI!c@B%aEA&Xh
z%P7^UyR1!>%2cgOc{hssB2QJ5@|V-PQ5x&VGV$?+nD}T<dFaq*(FwS^usoG(Zk48@
z`In#frjJ-hHA9=CUC~&JG~0vG00^}J65|1Dykt6!9pVUnBm^kr$_ELMXe3($l>#an
zUvY9ymbjF*;vNf1V7Om<heWI>zLN>UhhmE|I1)~4b{<1@g`hY|zM`io&{%A_ln|t%
z@pC+Y{oPm>wgd7E`kgKaihQ(BuwsuH9`(@IX(Z7D9zN+tu9?67v{J8)TuVVK;bF{<
zt|AbVXD?}>lu=hmVtB?#Jz<b}-l2<3qy`b5^`J738b<M~CCiWxSUqbb44!UqJI*&3
zno;4ns)Qj4wDfbN388uRxLPQ1L@8Gi4E|ViY*qXAP@TTJ=U=$h@f81A{bu;B$!|_x
zthndm!`2fU_x_qQZyscOyoz=m-?w@5YjK4`bxu0<w>$3dee@Zh{@L-P-unu+Uw->%
zhu1Go?mZQA2Y*Cr=$F?k;l4JNxoe;}`SQcFXx95n7r@<g0es8v`VYSEzl<(=ecf)i
zoyf>*ZqeV%C!}D4eN)&XjI1@z#fsT>9<~!)O~rOjaW!SziEdMK(%}$j)gTUCY$vD+
zB|bQyy-v&aAy{nZ6#J8u7i>S+^`l$aA^nqTNB2Ue_@BhC_?puMP}#FVGFf{i_h2K@
zglhaCE$_O2vD+wD3M4J!amhRI1~gRISlZtpbm}U2!yuaqBiriL2yzpqXvZ_EIiIR)
zqpQ$9T$>r?LGyo+l^y#;$zdCP4qTQt`a5i+e?ZJc@amzfKE2pT@1<{_xr<QJPIqqJ
z7}mV}cYgL)f9g+Ym0x`UhpPFmCwol}?5e7HVqjNR&65MW(j7!<XLS<ZlEcUxuA-W!
z#&A{D3|yFoHPB>TL{(KYCNP@h)<_0i<)L~f6$+2ipghGcKsh3dQ=_!1nt`ZRN$k;^
z8g0MvH7BQq<*0LdT3ES1lheY=4Z3|=m{l(AQm}JQ`TkIGw`yp*O63-zDlYT|2h`^3
zZ+{_7NLKRv+zdw;m`C8$kFBe7U~18hkHe5XJ}RPn%#_66E*g~{Nv5Gu3L~We>p&F0
zh?~MdC5BINCrWq)AS`v)x<e_!2o+xJkAm)1(_~OpDcrRv-cFYgr3@2+B1?|BB1lC)
ziKrILaN3`s;IzrNIiPE}T0(5+5Mn7$`cwTttC_8$R5xcB0Vefl#yAu$G0u$TpCW{!
zjd|(Q{?!j|E~U<n>mw&>AR{K78CBtB2eYwlfx84G-c>Lwv9K}Q^*}J|urM8KDBEtu
ztiod4BI>@qZ<}pQ)1UYNewBKTRe|}i#r@HGd;OuP46HG05#+D+94~6R&^#R!SCz->
zJ9Fl#j6Q?5WX|4lr<pVO!O1^?9}K0iu|yh*+{~+?8rF*3GqlRnD6J)T_WT$$Od&0~
zvktT1xyg4I@WGt)CTj09(wplQjpuj$zrXjRzcd0gt&e$t<~8Bj1#T2-m~P^m>d;eL
z!|G1H;(mWrDn_75JK86m@a}?>C36~27Yvp&nC-r9P2=f;=c(E{ji(E?Mwa7lYYZCp
z6@Cl@v?jR0V0)Ilj$1IJi8&5I3D8{9Mv9@hzCB&&qQQ@VzXH~vEz*nqnk+i_nkc?2
zDLMLW(6CBpARWEH4^{_-5VN%3_c%%J%-5WR6-YtHB`0wO!YAmGlfVKg`nV)GiEl#|
zAe76u2orgfr<1c=`W`ERmin4_JQy1D9y!$6zM2WI1X@AEt5w887+vB%j(+zv`M}p(
zFo$Q*Io)Bb%cN1pRt4=RWw0EZ^^;G~URsKX%C;5oI@wOqk7UVGFeigWa`ebIJ|9rr
z=QfB#@t<jbflKE7`F`j9B_Y%HXFF^zA|lp9_?7mDK-pim)A@_9)0WfA<3&Vpi}7oJ
zJQ=;=YUb!IZ(?83w+{cC>lxYd7tHPxhja6mXXKZoMOvhv^G*NFhrblu66zkfMKWgr
zNC;0EVwQc4SGsD>RNR-txyiUMhjWv0Uk>LEThA@-smtXF(Nr1iM+xUv=;tIh3zW!%
zACtp541FVsD6tiLG<epyucQny$wDCadr)xn#jcVvv_V-|a)<NnmMkelQY%=>5cE&I
zBrQ_AIV}-5633R5;bb6NsyS#(un{36k*q=tyzbKyf!Bw!s?9^w>vmz`Fct4}s%Bt8
zbP;1twvEh+;PjF5)l=r{dLt4Uxv@{<S-Pay2VjkbMuBg5HVpE{H%%^nOz3%WRw7Hs
zh}kKV+32q+LemLEcDHX8=$$s_s`Yu%)IhB-0q+v<zVhyO3L3w`z-gTY+S5F}0&5xK
zdANh&!1W_cP1_f7?Cn5&e)oI=-v9g8{?V`gS>ax2kCnR@)^U}4QP+cU1`MfOFh(vM
zFxWthqIYd6(9#7Fn%T&O0}6wkAl(ZWOueX^L7yj;jSC*z$OW)HXxtZvrhCx@+sFmP
zu^JhNAl-{52pEgRNN8FeFhSD2a6vS^*~kUV#O#|^#l3LJ7`bp-8&h~3Tigqm#1K#$
zxd4u&zV}Zmk}eq|7mk}jZ><tDX)6uNB|>NpPaQOzgY%^K=WD8thG&KTR-?A|^Sqe<
zR+FB4?;JWBnep6rH`aBR(IM&wwDGRyIJC7MgIjvcnCodg7e)$yr~7}Ar(Lij*G00g
zqmc`XkMR6_1XDg?J&WaC0Hx11DgN$X_R5d^FHuoeioTxSa(`fJ1smW=BH3{cijSZi
zfcH-@&%!~4QJw7iDm<8Cgj^7WNYeF%`E%WnWlf43S0EBiMwkhPP0}lu6~#yJ6%o@5
z7t;wgRZ|eQhNU2U#lwpEBmNk~_Q<D{6~#xe6)_qV-TpL`=wRy)Ek1$^f__^1*@4*P
zFp;vN`UozGA=F4#Apf_M5fD|9sW3lF^zsAAiG!cvL=Dzt3c@Afm#_f_Ywow*HJ@$I
z)ehfM)7CF78Gs~!ZdcRZS?M{kc{Aenp>LUQ4fANGkLBmAT&1T0p9`(j-P1~?o)B^O
z_2EaMTT<aIyIVKsM*a5KUoaO-)IXoz2u;~DYN&nd_5_A@-Yx1A;!BIj-L#1Oi%<Pe
z@Be}n^~>A$0$FsFqR5d$X95<uvzFPSPnv#-`rWEd8R~4&QEX*XDU9kFt>4|DvqeYk
z0h75zkUqeKMMsAyDIj5ex9g#u&K4c>wCO}aa)Xgk{hGz1ufhAJ79Hi1UNZYz;PD~n
z4*d!A+xL>$;X=+~!beGJ8U>eIeUvBmC)8z#60%t67UqMTM}2^q?IgVqpsSBJk(hJw
z*p85hdBRJGPyNdA&DbYOPkR?=1kZDsw4pQAPBm$sYQJ4|86h*eDANvt9LqWRuDUAK
z=v3^L;%(laPfy;T+mXaqlbrSk77Y(qaPdfSI`1##!d4UDpv26@LnL$IqLI0ZdH?Hc
z3fCj!=GmjgWmsGWvB2ZWe&dUILlz27fB4gW=tC|g0T9`P`vd?CgzN)LmyMDkzt8~y
z(>Rp`IAF#i;%14&N%2&o;bM}GN0cUL=CDg|5VOm0JnfLpr`<lD$beT?%q|0x1V%9l
zlE;3<>@pzuCI>bx?2i0*X~m1ykoH0Bh8m%+ww}JRp2}=}I(w79YRh&q&D2rAHgfTO
zp?=v&);=3N7~skhy}ynnnif5`x)4QBT3rO0mkge4hrv~VO0%C*CQ3zgaR*X3o%~6`
zjRT4n;dBz7-%h#M#z*gX*zuvgV2lg%ZqX7>&v#$0L5=_0zwDQPU7Ecxj?qJoRyl*w
zT(s-aYT{xWVk?LgU`%_#jL}25#uyMyTp(0{Z7keE;&j`J!H)nAv)Z`%(p$y5c0uPy
zU?>QaS5_<-y~dBQJsRb!L2Zypr)_lbThJo_Xxy)uF?yhiBf^_tKFkj94y`KCuMene
zCGg&3bhu&%;@AbF7wiZ?^Hl~UAg41%4?{7H9bt$3d^!4j6W(lU0zwZhxLVzrVXO+j
z9#|2UB>CMZ$*&J%?L}BJ->G}(1vAz2ZqZ_@pN*;hN1uQAwC{{CR&n{B`PQv9#w2mb
z9D`2ef?+oh(Aih8ZZy>{2m(UZY8jm#E#3`5nraus7(TUbr#0pecPr9VyC6bMV%o7H
z46ByWV?~<kCaC9#$}_ktH0TULnrausOaq)6<6Vpe>{q0zZi1M&pqXz}wKd+26=|wn
z5H)0w%roAFgVGC%sdh=s@KRHaY&O{s%Y_tE?UI-Y#SS>GiT1i)lBU`vG5G<IG>Nv+
zyVgtQyK`9Yl-U9kGB_YA9sQzFSHo*4wiZq6Ux%1?`TMOY>d_edS^zxHA^+DcDx3NH
zcFvukp6W@H4iuWsP9xUM#OsQHPsv^ZY}MGc@)5!>xF*=`d#8vIf3DeW;a{xTZKjIA
z&dCSp-kUD)YV`Dud}ULxF032Zn{|8k7qkB2n)P|NXff;0#;o7}xS#Q+hhf&$$8s3E
zd0h8Vhs9tR#>*oNg*gI00H-ZjHnhpIRjq`<IRXbRQQK(Gd$duDg|UOdQOFTEy0i#-
z0~aqA>|^>If$vWzu>Xpn%u6nZ&Jp+-%)=T5?@%=E&ap(`n+>U6NCOPZ*$L(d9F`ZM
zuSDSML4u-2;7E+a1T$7&bxU3}tj#W|Ewy?tB4&P}#!1oP1B<3#W@z|8B-VJ%D!r(j
zGChGTto)0{SN&H=JJw~|pM=cGv<O%~+tMCA4((AYr32$DPf}!xpE7aXlTyL>U&Ref
z(vsplfb;1ZTdo<J_&Iz<n=M7VSW4g^)4d^)am#e$TB0TSdP_SmI$P?%^VRsBL&xUb
zqQ%nAzeU&Nx_|3;eB$SP?PYf19Z7L%X%WtKHYZ!!Fv*|_>Fr{+v?$u{VZ}&T=Hutb
zEp3?O!;w@f2>L>>SXvAa#5tnPd<*P)caGW8hV4e)zCXueX`AidVIV=bVzIQ%b|Zn%
zuUITCzBl8&$DrkI$&%{^iHTAh?-BM+_M>BRq5{k@2hT>p#T6?3=*JD3+-c{rHWDJ$
z4=VlWCm`E!G2s!Mk>@Xx7d--X1jZW1^qXP0J+S+C;2O|%$p7h*;{bC#FVH~Rhk&Jb
z!FIRF^$~7QxCp()7Ym+U1U{I_MHrZ9z^C!Rr*O4n-jK0@QCo)9gh`HY7p3P5R3&0`
zn@#R%C>g$A6D|WQR?-EeN<j`Noj<+k{DZ}-ZpWYO7rTmE_>D$2{Aa%Xo4@|22gFz3
z@IE4%&l`d~D3XX&=+iWi$m8i?C003mm60Q&*N`^^IaoBoQ1b(2T$NR&feiBE)y}x2
zlBN^}QZJl3doc>9g9>ZW)03>0wI+%5szzBOw3bCrqxx?Z(J?lVY(}4k*iw~Ur>9j-
zS`>$2Rk}TF1k#=Rs^^G)%sROHS~hhd>+7|R=Z|1o^z>_`r|0$n*^9cQOkdoT>AYLC
z1ai+Nko&H`|JiSTzet%Xg3K$Sh9{eD)@2~q1gAQ7Xn|aCvbB~Df(!0f8+sD(21mME
z8OSw3v<Ru-4uw96`0T5`t9PnrhJl=~IMFh5F`A+MQX^QI5&8FIz%Iji)waEG&>zod
z`oG9)u5NNa2YeW$Ig<-7?oV>z5jd8SV}Qq&kU;{=DqrBZz3tsT->m411LvJ<3hl98
zOyP@b3g_LT#S}gpQ}}Ov{wKcm+hVNoZLzXX8@;oMX<+HqoaRV9GRMj>G69TKePS`^
zG*25TGcvM08?9CZtU0H7MBFN%AeiI9MAVYgT!E!SQh>l1_hrVK(>&;K7>v+iDYO(W
zOHT8A-dOc;AbHWcs!{A>&}3G-=?ZFyCut~}nf1Wa{_rld;!Z~y2YCd>DHryC<=gK6
z{5$`*`?}kt)HD=F>}pEYE#*VDx+F(I8eb!~Iaxk@zyjfO9HB77R#Urst>S{M?hOHn
zf`9eq7yrudyj+->tHf3-)CQRFgLB>I$Z|#rBt2xwqaYaKyZ1gTv1n@;(RNvhP0okR
zN-X*xM$}?fVy(B}u-XjDQCW#i4_?d(zi2HO8@wnT*OnTL)`G#{#jXhfyh)sF#v;lQ
z6`D?k=CT>$9HoRuIo#L*L(iAOOv6Cp_6!t#AqqYtb*m*DbYRq)-E6h2`gSHqkSIV`
zj{LXNh)pxwhp4jXVXlRE=w9O$oqQT2r_!AnC8l-t($s(VP5r6IF}>VCe!PZ6!Ovc;
z|LG6?-&TL?l5aX$9nXqmvN~SWI`C!C|MVoKle@TcS*-)GPD6nj@n*a24MkP!Hn$!#
zP6lQ4tk$9X04kHJGuU4{HJI)^I4QQEQWJd%Zu3qstM$OjJw?6!q7rO+qkT}t6-5z#
zp<3uRHj_@#=mIZH4hRne{<mP;R?=Ag;|1IH>~-8<eCfM?)z@4~MK1)C3&G^Du1uBc
z|8>gK|Lp&EU(2Q{SX7hkL+@ig18>n2=l(Ae=v_h_pq6z3!HA!p0^I>41ybOrl5(e+
ztUKfs`T#X_EwJ}#QA3ilk5mRxL)(1*fB}{Bm+chUi>DGGAo?Q>82kWN3mBy9p}+HT
zunY8eeh&7|p}+HPQJ)=ISR?O-HSz;i-}%E|7{d|A)8uKg@GuWtLXo*mHWA#QiL@pr
zx+WWp@sqY)z-w(tU}QydD3SnRtB#anmY1(Se68=Q0l7Z^?AFQu9kWvYo&Wo!GO-_5
zN=Q_5?1Am{W|h;;F~dSx;!P!823Og<bg5}%Kgzs2$;4LqY>o!@4=?a@tq9|MSNYch
zlzPlRSH>9S^Otb7*&m5X+m>7#A71xBCPZCti|o0*kOp3C(Q9an=G~&j7R|p!*R-Mh
zt3UF8{PFkv<oA0&j16P5-MVi9_z9{2;CYTKjFm-g;((V|Er$xO3XcPJNB0DB-w@mY
zzbl!f5TvtdTkJ1mA1B;POfS%ER|wJ#b-}}K!wwh<dL=dlX^ULY!deLKKxfn)ntH|s
zK{28944^V1F)=c7zTzySmExU;K9NU_)<fWS<y%^X_Td&|<A3&l!=}V1U$(_n4<9E|
zUHkTt$5xLYK6-lk{##R1N=Ic^>gEV`4WtZ_)&v<>KOvWzy9r{BFdiKUHf}Ay+Nj#F
z66BH?rlez{4m;!-%YA`lJe;c=%xgFTsD`#gRFC?Di;Zk+I=3_?6dM^<Iy${5mppEd
z9Bqlg$oM_lAmox)J{6DZQ-RHA@l;@0e=7K~kGJtCJhBw&T|5<^e(PhwD6uB+q-2wP
zoI!J~nDPi(>9rK6=mL!+gWTd}yuO(&z|xFPowyuYg-O?ML*<p6CJqVCI6<`jus1JS
zeJ%_M-eR(EZdJn2VkqpqKUIG|U~N^}?r!kv#R+4MFw}G2pNc;3U$YEbq!mti|LqOI
zsp<SB4G~1$*dcqlLTjCJ7&HQrw9u>NZX;$MCmV6^0k+Wj-I|-RnPy>f%Gmap5*}=2
z+Me<s^jY@R`F%Qe$?v}7m3O^sd~5@B9smk0LTG|Mr_iS`-6r?woiD%l7Vc!-MP@7V
zBacHW<$Hr;3~85D`d#m&O151&a`7JMI`eqNmp}Jm0{=~oUc8+xOeQw^udNf8c%m{7
zto=xCz3)AbUU|Eml>D^zlWv_Hw!*kb{1Ev8v+oK$%sF>uT&|t)*rnwz#%axaqT?^;
z-4#mP>`UF1zwC8?SBSIuyifWA|KUHpJDkmi6R8D0vorTEau%Y13`Q~=XM>52n7<`1
z&W5uyO69g>L8jn`65)Dt+wKU|41HNtZu=(WT#)4eO5r3qtT8~VJ9I9{9*^6-P>mf{
z=K;lO0SkiIbgg_SyZv-y-Tt@*@3-)rYzO_^iUo5-&iTYV5gAcdgOYV=teb>M7Mu;>
zl(*v~E6xTUzl0E@K*@ZA?gA(&&i11~<mh(v>os>@KB#M+yY%cYj)u(aE4tL=U``cz
zaUhf(A&S;Pk_qo!xIud~3MIwo5Dt>$Gst!r`KNA=?wu@v77GK+g;^Oe^u_1o?2eMz
zQcoa!7TJ#TN1-XZFnW31jTjl&4TrcnDG-M0d2Xq%VlT%%7}}(#09gL!-|~~c?2lYR
z;+%mnfo@@=H2ImT_RZ<<NqwFb|0HfwTuf7IlW@qQSEg`=S@BQ8471{&L>Xqqe-|Lb
zq^X|UxCB*$gK)J4t52W6a2KwRSWzCvb6WyOR8NZ%8l>0z&=ww8iBtyl<Ql0c$zw!H
zjC70ExR4LWn_oyBSkJyHQpWk_NDW%(DpCvWw{Hn~y*#e99$*uc7YR%#VWPf6LL=3_
zoj)OAUM`r9@MpgIYIy6<UT6K9AN-*|`yKyUOOs-pH+U?)$y2vLcdIORyyS2>q=C}D
zvNWj+B9p1GW0d5Obz=M;g49_q2sT{iDzV~m%dAup<ipkkK{+dF(i3uG_0Sj7SNcx&
z6s^ols@oA@k>=#wlC<<c`@bUJ{hxp5|8`#w4nesw89ldg{#Dlj{_nj9$D2GG?0i#D
z9@N0e_vrNm-n!{NOhKu~Ya(hTz4h`IX6L8_WvHhd`}bN0MZv4I&Y`xELg^G&XONE*
zlhzI|cB^g4rD?CcxP8}qBKDBR`#L&x`ibA_dCSvj$x4GE=sQ30BfsQd1YS<HPtWZ#
zNn)8jk;(dc_C)qm_4VwD7>P9kMwDH81aJ04j+?zkmY|IS?RE8*vL|vp>|79(oSk6y
zM9`$h=vRm~5sTIpvnPVS7ED+aL9#?w!5V_1D+DzIF1aRwb_uA})|dwdWoJArr}Mu5
zIVA)=LjXY_$_r<_Vf~u<?tqmOF)D&@48tCBh2`60t|uiyl2+Eds7sr+;s;exU5u#O
z*4ox}<8>Y<_MTQ~T{<i|w+#nm+5s8>>J}QVl-nk6=;8TAg14quBe{Bet~N5YHHI8d
zkG7Px<w@HkR4m2#zAa~MiPE<3Wa|3%^t8@rZu#8zzVhfZKK<ple|F@(HK%^JW1QzX
zzP$}w0C{%<$or^&_Hn=NpG7KK3%~9MSQ%K7wlFmWL2L$9XIh#jc-V7{Ap{R_3B-PQ
zDfy+h($Kiz9z&fZ!;I7{+<Y3u5TqaAf=4W<hu)p6lP3h}2e=@5jWi5EZWNqnbw&CC
zE{KT_B!$5_f*Y+bw$l%A!NZXgpI~6YjAkwKap+X&=L`$}YWON!w25|y7C*q31bH}O
z8Ig2kV=O6tfJ-*&n!}dAtNtAE16=ZW!VE82bLU=2S~K6B)0#JhN8g>SeJV+o+p|4!
z+%zQN4E6U>LjQJj5TR>ge|NIx(6nZ`K}ZG3(Lo71K&ePNz}G~=UYl5fOX#39v%C;>
zK5kD~>-Q1c>2_`L*T0SCsy%%3e^qo|hFWEj^NG05{$%O#$Djl)3qL--D+$ML@rmM-
zK+HawqA-FKmI<S03Ij=@S;kEwdvXo9o;l*o+RYqziQ^zp;!fF)oH29GIcQ}Lykw6q
z<eUj4D0P11z)RQ*fqH!L|6AxMN$PYuC<`ZF1Xt^cveNc=KdV@9-txU2Wi0sl@Bb&h
z{>vjPtq6I~@EBemX&6KgRGSD(*xQYKjD2Mp9v3_xIZ2R$TLgQZAeD>@HX1Js;{(R7
zuE+<(1!Jf@A|6C;<<L|yO|Z?BhpvIrEP}KFE(jPEj0yDH`Lwa23-%R$44(xTJgh)Q
z%E0Y>1b=5)ah58Qw^ZKnikIs^-Xi9&J^zijd+^oB*XbyZj2hyio<XA7{Z0ROA@TwO
z?3y&SCJ93CFr5~F9ykO@TBkHKzxL<!fokzqE32C`(!xwS`D)KfC)vqfSbj_={hhz~
z-9PJdeoD(a>p}yagwfxExkXLLhOGJJ(IIQLl{g4DYkHAIF=U+tBqrS%W}T133KYSt
zlQ3aSd)7~z4N^N*Fzcik1a|<?vJ)&iX^S$Q=p-nme#NYlfIQfXBXvG+ZERcoYWk)A
zt1&N*E-{qXc17;>@?+%DYmz{Is+t42Nj=F~YECuW!v+!{4%Gja#<BpW>t5Hx$0ZN+
z@Nvro;o~E5fqVgX!mu}lX6wVOPH)qhb7VplQ#v_XuJ!z1m=1B_?V{ea80Wk`GwoI{
zKTAeU68BM@=+o*z0-P#I7v3e$`#op-;Q7SlfohL%^z<a-=$vc|6L&%ewcb)lrbqb(
zHf}BHL3$8cX|coc7o}(U=CnO4nLkGrY05E4ric0Fa0ZlyM+aN9g#}46J<T^q#Q%`Q
zLkhO<-dzw!%zyjw0MLy|p6d0S|Byav52=8a<r%}@(nE?Zdq^RXA5v`kL&}foA;m8K
zkn-b;@Q_ZxmU|SjdiyyX=uof&cODM#b9Ltd1Ie&i;)aWr1&+76^OB`+W|gpERwhY2
zakIVm7I^=7!_p7F02{^y%Mg|xMXadcyd2-y6ixwai|0FzPuOs&O9@i9?obYh^UbYv
zKm`Mvn-9nrD(}zjh+lTAn{9?oZ;v;-^7|oB_LuFnzrdW+`JZogr7XA;za=0*vK{;5
zg5}duz*DQNZRKjUO?<oC+(#J%itqr~^7dwjnM}U9!?!ffU=iWB!%h5-w$!eyTwJ0C
z3vS8IOW0#a?Ou{=3y!61>2tM&uWl?D<@esa>pky%=jXihy#Whu7cs6joy2Bo+wB|P
zm2tVY;MiT^E(=CEA;*~%_yd3LQ$P4Will&M7Y2#p)*W{^!Goz;O1^!Tlt|riBWc{6
zc|<&;-Eqe?rZjac(rfV*TX!6~#gVJ5hc0=?!x<ik<}!_b)YgD|lM}<y9S3H-dgxjH
zMT)}5KxNGb%}!@Hm$Us^b&H=%obTmL`xk25d%FoE34;K)7x1!VkR-TB1_?M1;LTzO
z6hlisQE(ttK3fd9tF_VUT3m=UX2s#JMx)_;b3T#9-C}@I-7N-Gw!;8ccSJ9aTPZZ3
z@@s!2A23<#K|d@UgpmWd9(ZEwg~}}D#H>~`GUQ${lC^y4`%qi@>0Vf#qIr4hl?VU)
zr+v@&hF%#5o=hTJsaLFMh&mIRFa-o5W7NejG#s85oSNVkO_&m0AI>(J+`fVqpk8r7
zOgKM6P-dMDcrmG0njpp*NpubNQd2;&BK3+3PV#(;r)JoXNt#c2hD>mp<&(~wAA16R
zd%aH6U@3=6uj?_ZuFYJ_`8Hm<j*No(Vp?DIXb(L3#lydVJX3T?QczHM*C?0)ctk+^
zNg)p^Jo1pV2ni8RRj_Z|F{^VmoV`I$py9sxtG@dqzwx4mn`+w18g5b*(sOFB`cyAg
z(QqiYNbP+;!g-uE-06h8p(JsTk?gT(S;HX}dejp1YH!7AJ1Z#Htl<pQi?q;TH%SGL
zLXPG*k?-mTNzc+-s;Z&{oP4uqS$c8T&U`d)c^a?xy0HJ-`GVahr4nJVD=YtZ!T7%g
zO7!k9{^(4StdBVpthT^|ZPgZb01yr%^~m)b0OkKLe&ahnd2<O5oQS#D1W>)LqO|7D
zdYl~LIIgtTz@~PG&Pr=?IAT^>zzg3#8?h*@snLkpEqj{Dh<v?YL#1U3>-kJUOmU<}
zj>+*^8k4$Qtaxq_N=k((ZAz*>V#yatX)PeycRqT@!v#dkJa<d5>PKWXn&VOu6T|c@
zcQ6(R{h0R^K8=>!t%MpATpM$6&et%B{m8HTgn#@$d{6@`Xzr!Ym6}TnuK@$wdw^=r
zl^z<1mLSkBvzc?Ht-uTl1QtYRfM`d!$#Sl=L0<RBnbs6&&6Vzt8z2ghR0Tw{V-hQD
zS@-v+t>Pq(;B)9R#3}IwOscV+LC_{Ddrk~hXeve9ypM>;Ag9RG04Py@w&+KfL<Sku
zS3Jv+gBK7j=FS-4bq9!Uzh=HWadb2=*1Yq@$V9E|!^hTe=$q?=mGHkM;w<~qBPaZi
zGW269L;EhEQ5cCCJi6e&-Pf||dn2LjqU}$PNfk=1*x66$FmH%e?8pOL08pgo`vy@Z
z9zu3Ft=BdPJUtSr7?3&H08!{~UwXnJ3H~;&c~~=M?H-;~4!D7@KSAF{2hmRE=D1yR
z+633!GPhVC$n=ok95%>4@__`cAbR|(q*!`i6YwGhWdJo~{%-tsvGPrFw_?JQYaYN!
z(ydAVz$MWjdr(yO4%2~p$@Gxl;OmqAq7$xDFInyp@}(O3AGX$2m(DZaBTQ<u-wqsl
z)mHOf9DpwJi-S#jaRk314<-KAzc~2PUL1lpjHR6)8%f;br+9I&Q2*laPagP>y*N0b
zCw+0IKpj0~S}+qi1IQ;fl~@Qu$dmv8Re&2U?Ery(2PB(X$`FNlV0^=K2ZjP~K;EAZ
zR6ZcL)BXT4WQ;gb6$Mlx+-G@z2?yi&0s=|L2RB<uxn2NObTlQ~4r8I1iTrc;9;eeG
z^dQ%S2&yQQ3J~ab$iIKL6C^_er2sRrD_n9AsQYs}uHWu(v&*0ofE{+__d}rWFHv&4
zemeny#P!?lZ*~P^4}rSB1kY`MT(EpPim3NM<iS?1mT$iKO}nHo0oy>P<`l^kYyzLs
zaw}MBmswx9*gn_5IIan&=BsxHD+%5P6lhMOm$Becz_V;#XcHVF3dZHyf<u>8mtJrl
z2~kiBi|t~!ix_u>PX3&`GA`E^9J?#rWx;u*yTW?xZ~peb@)h3`)d~e$4uIBYuy9CE
z2XLqGa0)<`dfhOZ(_JD|U`?<Mdk5of4|%1gZ-QumQylB|OmDv&nkvl&C)-J=yIc@R
z74j<)n+k#hac=A@t5!5Yr_kMlFhISa5Tt^0L5vDu^Z@g<JF*qOoTJ1pAqlrrCHIV*
zlH^4vh~Be$IZ@Zp?OKvm_dFw4o8MDWtIY*^ArPzn(pxz;CkdBwEc@A#Ga>;%az-@m
z*KD(dw&5x$x~7>+Y@&9d=5`PAbD50e5B38^1G_OU#&jGHLi6ZvLTK)i)ydjD<3Xxb
z7+;=Bd*<>K&C650?Qj0V2mff)H8eJP;?zv^4zIVHK0lJeBF19cJ3N+1Nl{Vi9p0P=
ziIfz$;_iFv@CH*>yO1o!t$2Ml>~990H`;9b^hk2U(5;MyVabl59$W*mm)@G9*88U&
z(@XH~*&Nfyjc=&bjK!BNSJFPXPE~z7yH!|s!|+8e)b#gbO#hCgNPJT1KAwzd@(#<$
zreqP~DWcE+MH#ur+AXB!T_A>T<Q(}(FHKUm5_Y+=g?3GEJGkL+brTCWU_nnrYvLK5
zcH8Eu+odA&{3<f@Zc%Tt7Fv_Lp*8uizx{Q8^SdvZeM2LmA~P~KC8a}wUO%B?L&^}z
zNY@l=Hv0$^NF7Fe(}vAPB@>(!0rEIbLW0zBZ=|!?hhL^N*GL!-GRE2LqcxuW`83kt
zkL?bf&HnMUVt+pJ#n`1k$87fDkI<3eikrbXR<n;X3nWozS#g*8v%8AS_AFrh<C<jG
zon%T@-?!oxP7_qKy{jc2t-WFQkH~6k3VMsS{<7w*m`V(=+TISguhxEJ<r#~p+>|%p
zpf})V?#=cqq#|VEQ!)3paY@?PK~(yf;TlKd6p^nU;j1{1eB$XQ{Mbm8wHSV~mgFew
zDGWa+YM@E-dW6_KyTPC<hdR&8Zt%D*v1!?~aVKOv0r!SrESq<W7SsN0#<u;b_k77;
z|Fla9ODmtEAhnhPX3Dd5%n&=KA7Q%C+djx_^8NSB-F|b{f-IU(IhdlXm=l(V&00r8
zoY9<8Q+zMIH76`F7K|PGQuOLb7ZhyK4vjLnw#b9$qR+n4w7cLD{9a<(_aH1&OK4x+
zyJ!j3q>Kf?YEiV+LqFH}cf`<S8>zcEJ(g{md6F%!pxVamCNQr$Vtq%>W7^<xB54%B
zwCN+Me{oz=l1;lnVh1#86>}l<3st_viG7a<ppK<r_l$c)*jSbewxnn;UG&n2md~Hg
zU<z!B!AYA^9`-nw4D(Oar)JORi<db3u}}C@pZ5(vsbRW6a1Mvw6NkEm8su)nHU(T(
z(B&%j!oET&LFyv18|v)9hycn0L9o2oo2g$xP}GbG8cQ*pZfFmyIW$30GcI_<2U&4_
z#`qt4(s5`}GbTvdJwef&$*|E0N?pWPbim6QOpBW4ixox9xS&%mBQZ$sn{sHWi?|@t
zg#vd0xXK_Ov7**ROcGAMNlLs^my{RLc0^pTQXO|X?!cGRNiu?P$-|l>5~13`YHSfi
zI(oi4Xdq^Ji|_^9$bQXydm1F^bo4>eY0Z3p4yQA+uQV)sGywK%<{O0ZM1&;aJQ<Mo
zlI0F*9E$S<@ppZSF6wtGOjW*h=yd$Oi*r;RJ7VU_%8?%FTBWahd79I<im4cje>%P2
zZSwaeGvLmu|BHlYH);a4lnZSn3p-*q1ln5Yh5!lAqydod1P&>+GecM$r)&FW>?OO_
zOe_h{=a=xDcZ-&&=-EU?ANtdO#&`bwa96bb(%ltr5l#JDPCp!dnly+_Pz@qSP+@q0
zn4}P-J#<0bbj|0TNeL!VQ3%o;y5QvC2U^1>I5mBN#;^%aO<$n9(gdf5FNlw8ZS_Ff
z(xpQ7c5L>7xWC?jKRJ6LH4cJ#aIg4x{A1``J1;nXTBo-)0sFTp4In5`hb~FxyVEW@
z0#T4-(*;VB`SzTUHP&CW!!WTTd6mPUzJO&%Z}k}Xh5x6<J0nc&FODgHfs3LexzRp$
zTc$bi<xat3$KWinYzh|n;s#(-r#U19N_wy1G6<B}5h&f}TtJGw5R1c*W)?4lA2fF@
zQ<N*(Xqc_0qherD{fKzA1r4R#KECgZ-m~`8e&<Jg#GCuU503lP3^&HQt=G(sk~~o&
zefaM7mE|{F5JnpWyo{h%(ymejX^~v;yg4AZz_57BwiQMLu_ASf3m$d|Ddf<{Eg3|M
zARPu51j0(0eNKlBe32?h#o~fUlW5-<NK6fK8i$SwDS&n>jFgjr{B$PoML9Gb244~O
z7a3k=Z~-Lk51lHcoR4>Aj8BB<2`y=L$y6bQBt|pnnqY860;n83^{iq3Ao|Tsk_m)P
zk`6;VPaIW~+?=vmBrm!FYWn-Uu>RFD2F{lXwp2Cp_U{08+J)x22pj?X@M`BhAbp_g
z?O#pRO!qMS-)>Ra^zZ!NF&SNYe2U(P2e4E}U!3Y_>JTWJ_^ENG_QKqv=zaM<h9%DQ
zm;9xl`jtQXC(KS41AE!$8FNB1(#1O=gf1D@oR5rj@o~o~nME+CBQY-B(#2a$%<BYm
zHnOFQ5wXcwxsxYUq4KFtEzXB>vHr+2rUut!q|H$9EO1K~bG~|a=#(r1ki*l(X!z_?
z+P9>OWvE~M*|(&NL1EPYZc(THdm>#7Qp-N8Er1i3jC3(FwuAG`w<prYr}ZG4uO!@_
z0B`AHP}vX8GvA;{7oWg9*IiDTqtnjQ)5U-UOB5fs@}k<O=2Q*&<2qezhM?hOmvr%4
z$i7{;>9iX!*q>!P#eR}vX}t+Aa=Lg%a7o?oD)0%+#20+b2YmmGZmR=HEzwPSvD?b3
zikQiB=%EIphk!%ezYT4wU@Z_RAJS3g`Z_Q`*)JVIV0=vDa0t;tw&G#M)RQ>;G8_$V
zYOE+`!VitgpQfPJ2Ul$R73@g{F94RPlc52kw0WknqL>L=5km@;coW@LtgMwotC?^~
zOaX%5ORsz10Vl3ffa;%mxA_~f+bS!#rQ2%hwxZT}0`>M4pY(J8-Tysc*XoFP6{5K%
zP7Vi9H79-)pEY~I=t?3$3ZMxL-|7qF+08m0j+&-`J8Ydyb};Ce>;<FalYubu8Sq_u
z-zhs;$eRO_#WV#vI(ryK_JRT9h7%@&>q#$ozXA$Dk_wF|BhE2<!GL(7wTzneBrT%l
z@>DN)b<&B}m<nSP6=TWb1!Hmw=T34>%-ifPdGUggL^-IXIM4{yn^U}CkcsFFwbOoW
z@Nhr+MdhjrHM4p6c(m|4ZwH*IUz%$R65s2hPmjLV<;PJ7o>1_A#}W(iH%d+M-7L*k
zoD5k&bkbav>k53&lQYS;Ic@TtO?8DQ#9Z5RdqLqXnCtnaYfLq{+bPlWZc(3^d0w!1
ztq`1*_`?wLZ=_<u*M7q<_`+|xR4h2}&djePY}p_)U9!vyhHUBR4LDpicGwbbS5n-3
z-(9eAxn3+5a744%^$W!U<n!6d1(kCaZoP8oTr2>bfsjCgvpH?Ao?|W+fH{sNWfWYI
zRI98=yY4>*D>4QXLBP4IU?~>Bol)o@=AGd}b&^CE_?ic%%w$b))Yinlp$mqR7z;O$
z%*BEe{wE&I`M^ui9X;P3z$6I8WO&87aGhi+=D4Q<Ix(BzYA1FXm`)>_?E9P+E%gL4
zbkY7Y=({dH1CL~XZ~`w!FvbZyLi4s;fB#o@dgLBMlATHPrTfn=MfB551i+Yq>wG@5
z4HZOV0N2@?bPb(EKMooxoaZ|Z8t99R)aN+pf}BT6s{UYZCoh37cG{H7<AB9Cfi@hu
z3l9Fo6U>P#Ag4M$apTsdsA=Ft%*{F3`*OiY=?x%D6aV@r|J{%M`!PyYkr5u08BT&z
zotabt;%fs0w)p+_l~sCO5S31<9Fj6RDNYEAv*viFxzI4^FxEz~B8WfxL(83XeAaPA
zo<-ub5PWp!DEHXmS*tC+ryyuJG|Y>0<n8t!18^I84!PpG8#AC37%q6)9;m!2$a7#=
z6f27IcF6-2u^t=a6*PcKk{KGdU$J@s^@-e^k*&Jq%mAtpB8KKFqbz0KYW{AraYt3(
z!^f>4i;q!~ji@F*`5tW;6{rtm5BrvSv3<O@kQ>M1&zOp(Dw^&FrjxW$L@uyKPut`d
z7m~Dhws`}_CNfy^fo3S*-P-g--<Uf>$qldxouou2`T*YG;3#0}njEQGAD8q@-|)E;
zYm2we8bi`~riU8bq0(2zM{sKdFiA2!)i+p(<7Dw*!9v3>*P}~1-p=z3T!OxOxL$Bx
zilk)f{VE~Yw^<-SB~&EgOK(;BA^+{@i4m^=;E3)#=RMXS&`fXxd31!~vpvYz%E<u|
zeDXX0FP<6sWDB&%8q3dltd-f*ckUl+l6X2g0Uw|Gv7Tb~?Ai@<8f!B5gOItW0!55n
zm>q@sGW!SBJ`%E@r@LJ}Znb9?1-)Z&H!d-Y;(Rl+C7DGFv!=`wP3Paw7nQfW6`XWD
zYj{68jWu1r0nunj1xlvVQt%S$%Y1x!`Pu=WdfaNyEQ)cf<@aA=7RC7<Qez{TMUnYC
zA}pgGy!R%Ziy8$x*$)2*7c9F=`gpm!^gypx+r+n^Ep;d-zXdC)Z-VzT={oR!g0s|x
zIlWaVOdHTs2~XR~AS6w>o#G}<@ki3ZYN2-%Tv$C<GF?>~Vj4*%x8C=jN3XmcT&Syr
zjpSv!wRI-(M^^r}cCp*~4w&IRnRi#l<=TQnsHHBwuzIGuLZAQdz4BEL|3tKdXz<XZ
zJ2BOZ$VupyJsZqx{GL++XrLs+3cH8Vb=e8#i~!0E3Ija>57|Q!b3y<M()WdXhMvbh
z9YLMpc?;Bdm9rd<5)m{dy+XU?f@p|jeFZCSkMz)sAQiL=o;NFkHrW;m&#x2A37dd{
zqRpaDQ<a3x9$pl}2qZ#Kj~__pgbiRo+G29v4#BDg-3gLEkdw-qC*wV}Ux0ut@!zc3
z5eqMml4!@(dU%q|w+Ar{=OI9HvxDDPuaUr!{34w|IUs@2dAA~Ts9a3}_lG1%0rheY
zak>IWa>*k=U79HaPfvf(B?@;*qExN=CiT2@^ouTJc!@)nR1HIhsMYWjXG2!oVIJ=@
z3y<fE)NjOBX=x+&(~~&ly*zWId*LhD%l%pZSN_=jpYAa?a|DmRCQ{&O70+zID~e1D
zLn!vX-)!%_1--IYm22oaW>=1xq-!!afD*xVjpNhzEw0!F>=9jAYArv1ww4sm18G)G
zuAFR#E2JS%^X&BUG!F%vcru@q;wKrzc_7wXEwYg6u-`z-9nD&1^Dd-4vl<_0Q5T9I
zAf`a~5T4}dE$XvvOS$Q8*!%v*xBtW+`lkUS(Humdu|zVEot4N~0ukG1IZ}d$^Mc65
z%Vt)>L~4>F<#zz<^BK`df~t9p3`<Jypm=m5vjkSe4t*jrMLftq`Y>rYC4FuwC3le1
z@nO<itl6Do%IyFny;gq++!lj8ZA$H+@svtWa-gXAbSsvOWlWPsCU%h4${EX;CXJwN
zAW7~GyIyD<G7FCRt+X8_v6RzqB)vdqD$f&YxTeaU$ksR0six74Jz7)R7j92g;C2{^
zd_OB!L<S?39^{EymgwnoL>|@l|1O-pZ+R9ll1O4mdC4`w1NCWI)}n5fG=<oVW{q<&
z?C;41`$AK^u>3icq+Q8nq+-c>&<hcV%@xDF;;amFCfwmgZ%_T0|MtrdfA1p8-*F4L
zCu08d`n=HuMnJ~t0yJ1VV4R5gk?8=<pN6v`NEaXkNj6JC0LC>&4MDm9E(rLD)t3NL
z?N_7=&;&sjsE0;5uot8Y;DRRv+7d{UBCJ0&T>uwEsRmRVw3sW5eiPejUm-I<7r+G#
zC{IC5lj{V<1#n3-*GLS2;aEMNSW=Os2C0W<w1w9NNen%yXL-?FtBJI*{L$s`oQ8T}
zwZ{Tr)^;dt7CVR5;{mz50RC^c=-{C3OvVJ8^i+BB0i2#nzb6f)DJwsq5A0zcz~z@R
zjwDx7>?+$4yY{?R$l6@R_lM{f%uNwH6BjX^ED%>Qf@Pd<iT!~ZDP;^8?TXqE2eR$2
zUSe$WHBC@Y$xK`yd)s4ut>K+v*Qr(g)w{5KA2%{%`wegYM?dh}L*b3bJeY-~Gt1AI
zBdLi-_e&IF=l(aK6l|tJsd~G$As``GVxAq_4WUd9Ys3Maqn=});|Aj;Rd8j{`B*W>
zJm@r4#&S%hWmdVk{w3x?+$P{P40J#AaaoRe*fuI@O9XO<{v316v!`mLa@r$nS&P&c
zl~a4g%LmaYslQ#`byYK?@G_OpF@^nQ2pStxC9aSB-zSxc{kYOJ{T&|0@|rIb*va&i
z^lFy`6myu=tZ1>86GRRlTJn0mWbe`i#W4s7=IW(+%a7@$5C6lT@_YYAG`3rc9-an&
zw{F4XXd|o9lBdZA`^wN`E(oWJbswFipqY~pq+V)*fOts>;D8E{zRDpOl_VVcxY7PX
z$glcCM<oe&bhA;K%>(*tG+z`eMkNXF!e&M2FH|CMS*pNXaK08%9npbR<+UvO<(3!S
z|Lp(9`y8M22+uY1K!hH$Ik5Bc@9N%z^G!yI;MIUG$v#c@D)mkfXtWA4L2Q0{^?Dzm
zC*cqmBOi}XM&4g6SojdVOSQYwrNa5<nXh!IN;yKRSo!#3#@g}4R<$khz?(<&<~Ird
zvOj4;OUl<_4b%ovsqmiY*<VYXY3p69SC(4C^CQljcZ+)6ywn=*rq=MGzw}4{+E)Zp
zLi>!o)?i&n_rc4o`GXTiy{oHgUzvS#L2HRX&G7&NXbriQ;RAw_XmC;#AufS~{^N`1
z8%;1MZ@{*m;j3HjqFtZbvU*@V!rTt*v6}0+<fUabh+FQC=Q~JN#yGiYRs4?IY$%>*
z&&ds(hGc^5mZOPD=P9ADmn>WejM6WXRFFxwLKM1oJI0)g9*DXHms)F8%8DYok>eTq
z9rD*lIg}CKLxsl@F5#NQCzT#UK7>qsQt2^{Z#|hSXB@ek;{Pt>Eq6=a@&J($AL6T>
z5_{|yr{u*Y=bU$o7N=x>OZ3|7SdK*=DPs}I!(`wWec2a&$&W<Ptw~FVZ+g;xe~^|f
z;In`-=#%!P`q5?_Z8iq`i|oEQC7#^ht~i~XGb>I8jSN9CdM0>St%>tQ6#zAezNnF_
zA1Ei_dkXGA!6P`rSTR>W_WKQTfD}akRKH@bew@JLCeisBgAr@Z=Q`U+8z-hGj9u<3
znd~X?xZM9$^W?P#S=IjJbk}AA+p##+Hw<5V@)iBx_-5=ArKYoc%Z#If_mdbq2k0Xq
zdCKjvqR}Gs=RM<SiNT*=3~oy4f=9BLyJutWe(b;ht)Kj#U&8yfCWPnTp^V;xGf)9D
zI+Uj-NaWhrd1`<}u6<3;kI1#J>G2WF!&(i1L8qqHN5@ALyx&udkI-0d(8yWI^O`xF
z(ZcCP{<hC!Ffoi7MLqJE!Bv~=XnWb${xq*V7e_P0+b6_Rq`8#)@4nohAbF{VjfoKg
z2Jl?@jG7qhX#PH2(D{Rs+hUfVpILsgvgMK*znj$fw|w}weaVl8S=N-mL~8tbvpF6?
zlZ0e4lI<w%8osS1lXK?vb;0I%26dH!89`>HWoJvmpmb1D<3`eplry?4CsX4m(DaJq
zg0Yt9Q0!99k|Xexvjg^ll;q5dl$^dUtXAv$bfcoeGP}{&Rjt)7%y4|7LC*ihH}Odq
zdFu4C8-4p1I0&zXqlFS9ffHm->dm=6&8M=4pC}-w9Z-<ICSHANj9$+zaV@ix6wu@R
z?-YlRQIe_90xOTJdq@>=^cEhL<nt@<dGt{5-fZC~uA8s|`v^k9_He$N)1MlI9$OEW
z<nxm?NHPoXMxG4yNgwjcw|{(?ef7cI?0XQIqVesZX)Jf&O}4-#FMhfOPH;TN?lsv0
zM+NKWIN1VMqVX+2X3TrzWCuT{MB~U}OI!RN!A9TKR-$n?r=$IEIU0YO{x>yfDaICC
zM>9D5;Kot*nJDX;r41Udu%Gcsg<cEnS+=$dQT=s@d_hg5>^5K1l-(9z;QC_jyclf>
zqvxki@0>h&-Yr_P+w*VHHO1Ut@>##=WB=q&j?rLJL+y*Xr?by|Ih>JeR$>Vm-r@)|
zE4{`jmm{Xbbb`5<+a|O?%;;94^qPyg0AlHoU=aQa2`X`>e&}4x1$bK#)Cg5EMxmZ#
zF6J6lA*;T_%5*N~qSqajYpMEf3Gxy;4L$V5sFMaw?a)K?lI1PUF^jb_fwA`Qux3TF
zAe%rHn3~Bzr|BhLKd>{rxOMe^yTxVGzw>{`Wa4j>N~K*7I-5UVTK#VJ80#Z}{uv<m
zzRsRo{chOdholLamw<>bm)z3~dh>4%;8zf@=m?&udUGI2_`g@pF#gggqXAeONG2!(
zs43-!!_(TZbyML({;Wv{oUdubn0SWJ<%rZpdNgqK^!$<-ll%^l9Q^kA4-p6*(?itw
zCgpy}%?2Oj2!}x2uOgWrBJxe9hX_lThltx(5dQeX<NtOi&~4g7^y&W844$F~Xc|ON
z9TB{C4d#>u-`A9~7^ED2e3MD{3`HQTWW!sKE%ppUAgcr$W?XPk60zMlcDTT9IiRxy
z2~nA#A@q&uhIMp~N`D#0C%a{#WP(7s;j$fG;}++e`^CWcxGim|5UBf0q}93}gs~}>
z(~gPOKBZTyZQ@%{ZyvWhaFC%10^e@Wu?ZedY?7&G#czk3*}dH|JZs)=sS!;P7t(L_
zw?`NhOK*K}I*iM;e9N#2Uvo5#Xo>p^JySawhl$;`Ys>U-S7m>iO7Xn%D5rm3c{DHm
z^KS0uXM&BiS0jmRKQgCN7CK3}EASI16K}7ByFxtT=beAeJAP&~NoYJVObKDQH_I`w
z?KW!F0uOUxZ7aGdK*(e*dm)%pLRRR&ifFsg-o6mbDWT|#28>Xhnh?w>q3Dao2$G&>
zDW`<sjT7OBCcu>d7pc@W|AZftQ$lcwb)v}r4F6d?nh?w>AviuvGNCzndmQjpiHm0Y
zK})R((4Ztofr&wB$JX{!PldrXGg^89Lzc7DaNi_BFRo7h9t0PC=1SY_O!B+~u2hm?
zAfW6oy0~b5o~I3DMG{cddY%^@FLj{D+7$E~<88X!dQBHc9keT26?BK{hdpyI%+1J%
z6NWy*OqBq$H%;}>6FI^kMt5LxBBW<IIRRY{GwU?j34zyl6*q$dF2aeRQ&^l3C5;Y>
zY1s~|&r(jVdyy9s{(Cq<Qu)c}FK>HuBBZY-mQyB-@EU{wJ%L5iiw!3teS4@3#tUJS
z5d-<7I&Hk@ZZzMZ6qI!KS91(TknZB^-0g@kQ%m8ljk;<}mh0JMxxVkOeC4<N=}Y`2
zQ8n7FZE|`T%kiqw4&=+73Cu1+5GP`=S8uJdi_oe@ht<aB5XYgjix5>KkUIA(CQSme
zXRjKakAokRU4)=b1Yo28G1)~3)<jrq?T(CdEG|N-8o@~_*XKIZOY<p7gYggi>l_QK
zMtja&jn|wjaj6=;j;lr`ZXY+-RPgSrUug>%>b&>ce*b^+siDWTIp4GOx&?k0Fv_A^
z2}x8ksY`OwzOqoq1r6uT1kc(iWkZm9tO=rv8I@%SVqUuPs)Zo+m<s~O1r8+kMXwHg
z^O1}RLFzFVJo^wVxapjyUIeMfT<`!5D=VVMom4ADkb2Ao5jrT<3Sea<o=bwWm5zGe
z!TlC#)5o{C{H}tUf{Gkx{%Yjwrq{Au>>Z!-_qVO1CtjJ>dr!W(O{D9{6YCI)fjA`j
zw-UuA{}yo-m{7u@#z?>7PY=l9#0F-6xCRhFpO>VbIL!HYV)0^s2{_{L1hQR2Y{IN@
z=2>S}xnx0KlfEl^ZZ9YSrq2q=>RcT&Z~5Neq>lNc58n2nGuk^bq+E2&owavv&RlUu
zd#8>X8?WE-$+030`LA!Q-@Wu!>X;^oc@hA(VnviCRcqN-mQHcO<7vm4qI~$#=_xwK
z1!wD+_TIM-`tsgUd#5hu=>plJQ$xjJ8(QuO{Su$%XqqZLtN~^S%%Wyqdo^Rv=e25P
z=_7f5eI)a4QLn0(K9alXBl!#e&V$E)Hl~M<_mS+uqb%bbFk{N{=+XWl5CZR1IFdPt
zT^|P-xScu`dWt2Edw{2WJZ{KlPz32x+xqz4`$!sXXdGJ1ogF&*NC4~9_&fx~+?n8M
z#ZjWPw7VhA5nXCOG=74aWZ1?29GMz$L0~dCVhSrB50;gPLo2|;uaD2#21*B0l<Lne
zeIy5r>(Wr-%n_)aqyRi@&FD=*!C}ywlJ8FRrT|L>C`h^J3h?l2)Ou4eqjw;g?@vpM
z47*q^`UK6LSJT`PGO8)Jz+(*Gp#p=-LT|=ZY`mVJ2cGL7sPwb!QCR>V*Ug~nX|?(K
zHXH$ZRMLAd#RUXT-ia!+#7}U7uVrntSNmF*Vs7LzZ~5LFGIIIEU-+y4<>y9rMD40)
zN6gA1DRLr#DsmZs9`??DLW42h)iKHFa_3|oBou4_;y@k04MYWuaDBxCD5nt>FzK<v
z$igZps>0Bb`xVLJSUN@RsmGU<$OWgSL-R1Qu!pSW$i>*@7`cFOraQE#ay#?^rC4LI
z*>-r#Sz1bUNCu$|aEvnnXl>MS9$wQ_lzn(L_0IR|EFzHjG)JaNr^v+<BmS@OnJx*E
zoDdRtQWQ)jVUjAG(lQeHnlWOURPf~2{w6(8#GdP<89IU)5#RlpsUQQHwkKj%v5qR}
zJzw!-ANeOk1!;k#$D$mZJrWpKqNEEjA{UzQ(sGUmhl4rQVw7BRp(!9HBh>p;LAIOI
zN0Th%On_}Uw4~h}k}<`9PZi`VPV(PV1vSCj`R^4j?1D#}f@E2sSf>k3kc00GzY}`U
zn7wV0d$Y8fs08W`RXb}-kwmUHzN?H|DxW<s`-?Mb8OFBle;Xah9K|(9=2qoqS>T+O
zS!zs6jp?1g{^ie;KHQpKrV9JVU;nLt?Bk-tQWMGUMR~R5uwHBUdfJ11SR4=gYEWa6
z{tY7fx5kJUf~Cf^IoMd;ky*-AVSea6M#T$DFL-JZ$L2!MOK+tLb3wrV>6gJdLPLl;
zM{#JXFc&=T(GaPEJM*GKkSfdtX|<qlup$}+`tlZSWC$KHC5eJ7%xdb+Q37I@MA-`G
zCc*gC(}YFmJWd^!uEv_$0YWW4R}~f-qiMmcXmlwAjrJjXWP04O2Fq^I(Ur$!^dPl<
z<;Hi>V04lybpsG_rD6wx0&AD;<e)KKk~ZmMv?!6opMbBsJ`S`;_*y4yNi9FW)bhMr
z)W_0GYWZ$b%YSqI@W;L*Qp<KTU?BNA>XzhCiKpfZxG_?G`>I${2p*YTpdbtzj1OG*
z5DZI-Hyf}s$(&+>742RT3`>erIDjfwR@})@zakix6f3SbY~Vv<+~GVqo#u?;e=>U*
zB=NwL*F%RT<&PnF961w$HTqFIVM(F24o7qG!6u|Rllaxil2ZN2B;`G_(tzc4$ClKx
zU-Humt4i1a#sr0ir#17<!G%Oz!2n}-IP|9}z;s^|S4R60p+^Tph9tG@lBWajimVAN
zeSe<${<PHcakF8UVYxp7n(#GoBXN3I^N0}!^|#Logw*~9@I|+W@sL{ntvLnNq0oJD
zOf+i#Rczd`$PP&OE#p&)^;D3Hwhz;s5aD!iT_k_Zt5}bC7aiP0;p`LHkO5vD81B+E
zcVU*`Q{&aq<d^~a#H$y4jf@CR_!=D<-10TVoad%Fz}q*aX-=}pd4Gxj+x}<>DBgu6
zivsTsU*$=%%=Tzs>s`#qAko~p>b$UgZy6aM{l+){kEd_El;Vl`@5toV?vpwTG3LJm
z>88rsQy7|M_N)jhRywM6EEkkhJTd=$WV&Kb@k~O5bBbpYBAin^O<}R2N7aA)7(N#M
zW1a>f9Eu_ImAu}n2sWBJ){V<K>0af8qKHqr)>ynF-#i*uP;*v}W0+c+2zu}==C>5q
z2dK8JmT0)rTRPx-L*^6#X|%COpL*S)yvKbl?%H`|fZgRb8W^mrV0YBJ8FdIXb5$FW
z_M(NBlFQ?238k^bC5+M-uI>g(!3Ub51?fpbB743sXq6`h_03y;OlkeSfBtuW_`|{#
z)%3e3b-a+Z$1o$oBwU_Y?SrT<O{{Lkwo4PMk4HGatjcY-lQbVEOk)*~Y-|uUm6rdQ
zmZ1XFm~e<vzN6A|L5z&n*|DeNj^vU>kV>lwwyNCu48OSwD$2nNeV8q)c_KQHy=1C?
z@QJgLQ@|h&*5o7WN5=?UG><Z+Bw&kt^ouT@Dlk)*X|{Dyo2ttKZlK%M#|wQWpXR7I
zJ@#`^o)bP@hhU~In%0G#6G&})4dz&##)MfGA1f(e>3K}bSGFTXGw>;|?ST}GbsQi1
zZG!@JD1SJK#ahUA9A6@qv`GgeWsKk<A||>XIWViP_QdMZUX=^lcT7G0=%4uNfABj(
zJ*uAV%Xa6zjI?1jZaZkw%4NGYkPkoiq*0@3ZbJ^()_qK=M^6Lmdx(cMYzx8c(;W_5
zq>&LWBWkv(uOXOyIslXzuwunM6$?Yg5X?Ru#=)r`J#3(HJHg`9Z9!op;VNcu_ve^>
zy8Tfm({jG`ZXhV?(SP>-bb>~PD%<Zi@M-Hws@b+GBwE+l{lP}}Jre4jB-Nv@*&0fa
zP#;`MiPW3qp51*|6LGr1#q2BG9ewV-1`6h8QMq`~X_V5%d}5WWS~Vi;rbm-5zINE1
zs@T-urU#i9V|OaOoln;7V>0nKb6qF2@6thjhaKc_UDF#6*A-Xym|Ry_n&T7bW_t%N
zD9<O>&GVKY)6Jjo{lDRV{`W&SD?H3~vw_9H&w5Z)*Xgt$@RL(FyWru7h?@%XM3z)}
zr|m1#%`OOi!!#Wt5aixe?}Z?BvkM+EWK47v=8{?NEd+U|njnU*s_Tl*lzv5VT@8GC
zgrb%F<Z!~K(tG%d_^Duog(^co%HSNub#>V7c7IU%cJP3xS%`B~*VQCJ6vFGnCBZGy
zU7rHbJ%alTIY)RE@DsFgIIStJtF3un?P=yDm@}i4B=g--7XwEJZ<3LVxa38ROEn})
zN!4Korfqsnbz^mF^=~<KX@9vE&Y_1kI4w`e{$T4cQxJMnkAYEZS=F{*5pvxpN=&2I
z7NYm-2tOndlAK+>w8`0(^y(8hyZZD$D}`$B#f9a^6zXsL^FQw|{J{WtsAhJBYI+(4
znw`!A1~!626qD~$6PS}UNExFx$!=7f-6?jXWcq;mnqW7|&h8|;QFeAG$&IqJi*&^V
zw^63hp5``6Nhr9oQ`|<`*?k&rqo!c^K~+%ajjGPF)TwS<>yfs#E4$=)4+4erf1SRQ
z&HOz-kIBT}C^4-fmwdpBkPn#W6b^jO1wr!s5G3<%QEz4z1j*eXNIvr|zu<?zD@?W8
zd^gqR0R&^=X}g0*PE+kpvzlrb+@p|(Z~?Iopd@`iA5FCjl4?U@pW{J5iDktS`?Tgn
zq)Pf|s>D9%w=>Wt8XCKEtg+9LtuJg&TpKVXevG8;Jy6m341tQyWG?5JW1l@x;u_I3
z3`eY3VxRMI&k`#l8Wa!u3t3{HkVLXcVf1Ou9Q&NkYfQmn?1LO%f6XN?)h;@k7bVdK
zbWgYD#n?x!^cZ0wO`%%($m|a+stV-zM?`d0K-ulXG{j<#iPnY2KpT1rN5`8UGv0Jy
z*e6m=OYHMHj(rxx{`?HPshun1$HlPUjbZ=Y|KvA*)te)!sbD9s$Lkh|yjqh5X#Zf3
z5~Y<Ib{9nV=SHBR)>U5w0~!jj+h$980=B9O3vv;pVfPhb_gNH&*}~vQt)AOgNR!gA
zyI^!<pf#cwq+xf#^BS!Xl2`<Gpzp?@VQ+#fNxZ_alfSI{)imrb$a0@n*#Tqi1;wz3
zq_<;$E?MjG_7zJ$jKN(JIZbUY0goAJ6Uzk>&SIBDMUK?Us3HS4p_TizCX<>j87&^f
zN0l{a8+J91+JBpDa|d=(DT8M|?t}I)qw;CKy?z$Odp*_J9UqHXe-X^OJ<%6z#voq5
z4wIQi8q99H{nj7;rC;z{e#(U@Muhk18w<kr9_S-g2!rn&(O{<CTf#|}p*FSi|F~VT
zKNmUxoMifD8wLS-MdBn|5!i4lXjWCEIt{fFf)Xd0;Q!CwyTII*U-e-WQZSDaSt%4u
zXl_Vp3GN~1{ivu?Iwp0B1Io2Y0X1_O+cRcl=9`=GWMZmD!by20(6kXrL@9~_g764^
zq-ij12~tH%l@J<<L<lvgNueeVg@`HzwTRH)Z$18Nt^eNN+2?%syWh-QA4}tV_IK9)
z?|t@TJ%8)B@K?->pdb{lYLyU_ILQPJZ%#PSdH_a}ILQR}Yck5pq30}jmqSaOWP-ri
zqsUD`u*&y8M&l$uwg5}{v)9tUoR*waXw*7Q{T%t=+DY^TW*d2M!jB%1;G-94Fvdnn
z&bXiLb6wA^e?2JEJa~v=j301Vs==XeTCDMa#o?X)ubUsc$1?<=Oe^EcqM=!hWZeET
z)d(09CG0;eIQOdFR*ft5Zp}7m8&P&UK<D~LN=a`_67V2a3GoCl>(j59p63~x!{spr
zgko>CKV5{sC_T_KxS2XG0CXFil8~MiNTw%x1|Npm3E(mofGKH;Al6KeG>*RGFlt^U
zlx6xg(=$DbXL=1{<s$ibo>UA>@+Xxa?MdZFF98mpRL)>}ZZxAU&mz9=pH%*-tv6Ow
zy!n&*&TAi4Vq|Ndfb<P!Oc@g&8Pg^(u>?ZH_#xc11VZC8Rd>h;WF^B-`>)SyOu$4Q
zv>v4WQOPTeeEs+%*^WXW$0bS88Y+3Vy7#svM*86V;`|{{&R@1;e}xz~1ad!INrxrn
z<!FS`<-l+wJmhiyD@;==jU6)msP2%jA7>8j^8T2HR6vXns0ZYBod0?*BaHT#ATGZj
z!;{wK_d}rUFWYH<nf{c{|1evZw$(LV?{xmM9sA3$so2yu8b@&7$80F<+&1y;n($!^
zTzYzkc}uI=8exuauJJ9J&0s6HPw(&D*G+|cLl~QRsdZVocrR@LQX3yIHD$?X5KPIn
z1+N>abeYC9*8$=(PU_^l!qP-Dx9#=~@5;E`(YwN3Y}Ph?UT$Ed(%=|lH<Z%+9X1VJ
zN24DfXz@Ck#`MYm!vFZ&-W8b*O*36zvRFF}jpd`@KZq;tS$@fUuw*fU>#8M-HQikD
zDXvL+UdjRDy7-F93JkqIg6k?Ji{(ZgXCTF#txMOyIo6T|ps#dX_Q2elGt>mdZ}CH;
zIn!M6y-kdYU!6-9K@c=22bna`wG~Ur!U(_^Sj-Jd8%vgw1)?7!<OtV7CvkUy)U~wJ
z#9&Q_Yb}f;D6N_A4ghVa(eRg}r?rB`CfzIbv!_ZH&7HrRLTpJap^N4LmkvOXue<+K
zX>+b@BM-y$5J0}V+MY28GY-Tbke~>#cC@CjH}dZsTw|Bi#x15c0FF&BJHWBHx?5!8
zysrx$;PwXKSbP4%kc?&~Zk+fyZF$;^%mvS8)bISBfBCaMEwpW9AnDQQ7E%oe)}Wx+
z(6+YXt9@nKwh1DXMEFUH0mN9MBSJ9p$n+5<^$J}63Ve#4Aa8)Lh<t|zb!=cjQUPi?
zR*XC{y+F~8?B16=auJL?GJXtl4pJuFVY03&`dE?L)(;K06&$a$2rKa1c888UGIsDL
z1F*mkR!n(hoaB0ej(zAmWOHnuPaHetktsRnAY(SkFp*w=cgiE<=m7DG^+5lxL0)~$
zNgW#6(R#Zc8tRo_hz=?rxDmUwIJHHo9-|I(DaYc|L3A3isVh)971N@R2zNCFiol^M
z&<mF9wSeWahxT!)$kUb|Q<2aAwh#U6cZ7;mK$AP925&+U=hWV=V=Y@$k-p;5PAsZO
z7liU>hKzaFon><ED`;n`NEalIMPT%pyoo70lFQIeC38rd;IU3D3Guzb=~yEcRiv*7
z23*VcjrB|wxa;*jQ&wv8H5+FMl8FO~1W}PLi673RqhAaZIhq-?ukgc5Zx@JCsPow`
zhW@th5|!Sr{c53YZJ>5H4j5l^Qthg%IOe+QH^CpN+aoLlstmIVZtB{#bZF|+8o6{y
zs9gJy5j1)pW4N2}RL4H?9FCKvTw1%%%V|n-JaSr(FdX!5XN8u0%VU{s_O~|w+Zz%^
zfhznS5`m$H?1D$4Zz+9V$j7Q66*@yx+d7VP2v-*A$i_RlqRYk%Z>$)uEU*)ecX9;j
z5UwmH*8*p#<%yL{9xf|}D+|E|8)aF?KpR&NAqZC%K#;~{xe>p!tQfBB0?wo}St1po
z)t2}%$(6;DD6L!%p2(1hEt<Eq8af7^h&Y2+$hj=f2RcyGgq!Gotm>`Mr=b&1N(WFp
z|EHR9T%GEn#-I+@DaO3=n2a869OB^atN)8Uz{QPy?YOZiWqiAlzP*hTUh<e5`+0|t
zn|)=tvGH2R8~8XP{X{0XKlA~7s7J=Jz?4buV2zNsi+Dpl;v9qe5B!9kV5mnppU096
zp&lW4EXfe+aU#i()FXJ7=A4L0M-my6KFd%dec;qF5lAEt1GdE)=^xEAgz-9>XP9(O
zLq$eTKs8a<<`sfdx>R>Zb|7oK*xkFjLVaH$U(oca?__@%uXMYR|2w8n<8PE2CRKAz
z;F46m{iLd@9HgvoDXD7fP3XcKCsj{deoWQA^`E^kyGmU*f<kdm)bKc995AvhOoB9G
z^ML9|kwn2Os9ZT&7K$W_kPSg8VYy%<%R(<r55S_T^+WG>-~v=|?vB&Q;10%$RJAUM
z(cj9d1=Vd+c0*7~Sbpfnss*Oe{?JslzM_^d=&~YLaSTni0U-g-5rWMVjYDe*%Z?4k
z0bD;yt}z#*`!!m^GD%P=g1kT^9meZxPO3%KKBf{A1(xczP>s5+wCSR7TqE({&_MD@
z*BtAQ(N)GB&Ai~qqJx88Cle<_i4>)~PwD@{w-m0x0Ags(DIrfJHIjfB9Fe<C$Pw5v
zaE#;~`1I5rXs{0`y{=i*dQ|Se4r;Uj_g_Jd2(%_0zk}KU3{pl8gI((^N>)uO#n0hu
z8c-8lE#QJ~zzCqv@wp1`(iL-uT`?!!qTXm+x?*mpE9OtW_n&;$Z-n91glwOx4{!w1
zCw-2WhS$@^F+FLuFk&80@m6Zx%uF%hBP*%;HDL~tUS4#BU^P|0Tke!o5=o;07aCQk
zpW|+~0?rpJB6>0gpE$>os&Dg{z=Mul5rK>?`JYquF^_5GHOdea!|TW1w1G@3&ZVnM
zis5z1HjxR;@^h^Vr!^UXx@4QkwBc0Fe$9M$z-Eje%wG<pu53tY&0I-2pbbcp`a{V{
zv)_y-KlHXf8S!h`F4V?*Wi-IOrxi_`9}vdc{xl4xLL@%%tn+{I&DbYO4#Ohco>nTo
zWb1D~TYtVEV9)u*4|wIpuYUQN_dGkBEAr7nnJ+x^{s-~ZOIPoC=X(LIeCDO=jm%+w
zPsMzRm!8L7&%Eosv5vqk?>*i!#>Ee~{uTild%`VR%!z9Hrg#6;2flBLVjq(^(9(fY
z&?Frzn5+c;1Wc0+B?(KD4Gk%!Q4<*_PtAS+MvW*@_E;r8m+WEGIf{k#==q{IoY@a(
z#icDkO}$|D1GY}b3V)!hTh}Ry81P7(6iHS&nIv2HNvo+w?^uCb%#VtVRJS|hlr0!P
zcVDNq?gWeJ5F-0G+ebL|7mocL=TFd7tCtvYGF`zGCxb;FHAw+qZtek^nLI^g5!1KZ
zQxr2Zf$nIYYdasW-lxv`#W%j;&;G$rYAvXmee~GHa*-$vORft5OHdE1%WYqk@-<T_
zK1gXH{r3e9NuA{@wrUDA2vFO6&11z}pJ4`2RnZb%F`Zzp&%_)hG<Wub)LHG&oZUp_
zwgZAuJ@`0uuFvdNd!0^%=~1QyLonB8@Td@@4t0t4`~Jt2`b<NCVgRgR)MLp~pNYXt
zYuElsl24><3W!}RIjfz~cDv+>8uLLO#wcp7sXNt`4<34R{(~_<`=HM?sYdA=^i>kq
z^YzM9`UZWEZIJiapW4_G_O)iP&?)LVj!Nlc$wxzI*_E8N<^rUJjaSkyG9=TJJ;P)K
zgS#Oz?(2j?+39N{(qwlvQgVycT-y-Rn(5h|A<EXyQP7_ckDeax8HVw&2?BUzC6_4g
z71ELIv6<mnB|ZWVEt1lMhc-Rlv(0k5RH!e~2>p4AruAQhV=DtNcsK@YO0Kg>LQqi7
zTkufy8buUtlf(pgJvwM62S-ok$JuTrGh6Z7;W^df=V>nj`cut$XwQH9AWt6z>_tFp
z>{$7+Z=;PD0lRv}ogd?|jz=;+`N164fj#;c0VmKfbGPv#9BZKM`(Z)VUHUl(gSz?#
zRwSO!YG6eJX7*>;z*^Gi=qN2|eA$jPKAI@u4M*Jo{jF>uN)51lY*HE?JJ5D{82}xw
z;37}^FK2j9^8S3`^Zw$FxBaD;BQ4kW!%BZ^UXE{YT5iz5x;e9f6<wo%X+;Ap8d%HB
zC2br<B@Fs$y|hNsIN|l0U8k`>`dioK_d}qbaQ*#jY1mEsqp6jpHz3hiC%g9)1nT}0
zrL^lO{lRfM`gpaDS+w(FXWx9wQ|qKD@l&K#rghF>6TQT36HBc#%lv)z2Inzvq@_=*
z^ZT?}+_Ba$*<$>uURjUwr{vm#V=b6;Coes<m!8`8ki78xRiulKWuzeZ%FLg^x)+~i
zsn^A-FJ8U)@{3o`ze`$u<$+_*u(SzZTiHu@g|Wqt{iEmp?FUnJk(ea7CVOWN_!S!K
zIeH`7z%Ut5d-s`lQ9#xnfFZ6%hP7F8rYUSmBu+{`WCub_$u+DH3v`F39`Hk>eqc!n
zfbP`?i500KToBHTqN<Sdw`e5<b3Oz$4rW-G<^bfNJ9Ms##I!?<<kF6;Sh3VaV%#Cr
zU6q`4f2x}U7^`|9i_~{@4Yoi9AE_fimS8)UeK_tL@l^AF;WdqYqU5l)kRewnxo2Cc
zc-CaAq^6#Xv(DfO<A$gWn1j57SdcKTJ#@Yw(FM#`VYd)3Js(h>n`Rv0aAO`}I{vvM
zx^TYed~VJGFb`*86tu;qosM3fu*QRA35Fpy^9$h{ArS0|KR0`Ft)6-gga?{fzh3Eu
z%ByVyV>UTiWTRGS#jAqD9p6{ZhLj4%t<d&GLE)9CAk^}LeAkrurSkB?vvH+{mzl^1
zqrA|Mxc}`kPuwEzV4N5FG4CrjHH>C8#n;>0Q;I|9R31L(TmJH2`0-C@Sv-Z8_0YdP
zsJ9UDBN7-v^f3Z*v>dVx<cA}R<`AeyOY4Hj3n0*@AjTLW=T;DXw6reBlD!1+7#xSV
zzY0=cx**`#+9nMQpwUy>SJo`(f^5}57Ej=J*rTpUjp>5$0Z`GWAm+D8{NZ2SJIO4n
zQF;LE9c_tksbv(4V}C+sKsJxqCYLNk4@d?i@&+We9GC2e!q<a^d64VO-H{XMcA@0)
z92h+jyO6U6sUI7&DxQc-9yS{e%Hw0Prn^)g3(0c2<aW;Sq0Gf%auVF2D#<LTOM)ww
za7%=t7|R4-txBe+7sPQ8p(OKGnp(0&*mjv7UnKR==_HTFd_I7<N~+F}ZZ0Jrq6I*#
z<>;qBL`d1BhX`B!LnP^?!M*NonmiVoP2&A)o9Q9K(&ZuI_Tzqtj^vL9s7ygwW{-*Q
zYw~!IDJ+a_@Sx6eQ8-CH=YI&JEcu^_DWb^VU`}YbNG$o3{DmZV@(I`c1#$?*MOz}D
zR9r+&GK7mL+mY~)?#kFi`m3g+@N<r)(|X1>5YkcWNB%_^%F_9FjAhF37QdeaZYBQ%
zfpWuSJ5G2&0Ec{B`TbJ_a5&$b|63uSRA9WCm5J*o+i`qcu$<0QhuU4#`^uizHt{Xk
z<<v#ppuBe=d7N(|yTmSW*B|$pnRDSSl1;)i*W6p>rE4p%s6AVv>XJKp4Q457{q|T!
zkFH<y7@MFw;E~ZAmunv4&^4vo-<(t5xbU%k>+}C`{$+1y9<4@zUYE9b5H6i#X)utt
z&&x<o-%>*GIyn-T5E)jJYLY4Ej*M=M=p3)!MY<*Dj$*X&0??Lj#hg0|LJcqk_2-y#
zN2u+Q#fYGr#Q@GL8qI%<GrWNV!W^79$DBLD7#zj@Vm{nTdmBSgJT||OV5rc^@0%40
zhuyDHw1gdevt6q@4H|jlT1smsqy##R$o|h17p4&RDK70)@E^2VljsQ^+n!TA>)jEL
z&Ce6u`XmKL4)6eT)=TF5gS)3=X)xA#I4(KgAbW_2=*9HuvgV|3r#||0droue<b&?z
zP~3-}JbU=Mg6qOz!~dQ}`@H;%J1_sTi>AwPX~rtKA4oYB_dy{w;cvkIIjil3{#CA5
z(SI!d3Iyr_<&|$aEVy6r@8bCMAB(>-;jfYKH~TB}AB(>NfqHy-@7wXkK?(OoFHdtL
zn4rrgs^Uo0+*CG0o|6u%Rq`BJG_$ka6&941u9dMaNi%zQMsE@QgID7gCBH;J=EPSy
z)1g<x9bW56v%}wf+h2Icw2J|z74aN^eCy5kH7*9H+EgP}T#O~ENwVU4XLPDzL9+=v
zI-)jPFmMlIkPJgKraUA&5i4dFBX<l9eoS#Oj?Ib9F2?aOv6*4(_?TD%I^4(~854^H
zPIfU4%e{gFHz;Qe9EW^&;40}Q!UtgW>fI?W24X#PMnI1{aGLV{K^;=(ZNPCr({Nt%
z#*Rbs4}v~M{e@HWizU05bBkS4$WubP`kg?S-A8cW!MEWv^qsqx91-8}l%qMGBkFP-
z*n|fXSq#mHsJo3ENBS07jJkPoFSHQH_~=rTd%yyz<3Mh=Z2F|T7yrsn{P0r`q8mu@
zte(Lzbn9{YAsR3jBn7h6KY&U{d4tBrzCu-l?u83tT#b&b#i$(^vMNaP)dZJ2V2R;1
zK(J%*&9m~DNj<`vO!vZ9JW6Op_re8_uqIDh&Q|(rAJl-^Ou6o_=LXr_J^$AgUs@c0
z8#1vrS4$`rH;eu+%;S7kFdqV1$q{u2h*cCzM6|G`B_I}$Cm9#@fFdC68*V|wQ37JY
zwB-H89gY3F3;>Bc&cV1K<Xz+f4!wg?@#r%MvYjGmaXjO6<myB~E#;;2`(N$pJvX2}
z!JtwV`pYq~JVkRbNSxh&@ZBH!(yxm^MynNl^&g2lR@Pb6KkPI}@Un)@t}wcqApQaI
zS1(9SW4ke70-PBuON%|b5=u#ynx+W?<0oMMJsJp(RsT`@M-><=f_sQ<_+>?E8eegP
z33k%Mvq#FX)??rCc4`_I+%M75FQE~@$2~%Ufs6~HS)X$;<t<^Zv>aM;rA_jH7(yji
z11_Ol-3EiZH6$STpw6yqrt0>afqZ0BD2j|r3>@nvX$So19K@$&Lf~=gucf@kE{QZU
zho%zvFdy8WT-`=jmskQwuECYrADyqZuZcV{@r{tw>E-no<vRp=DS$M2J-3^VXKGT#
zt3p2`t13I7Awze3RGqJ@c?CTpvR8*5E+<wcC<?8vb@F5eq!}lv<-QQku;5M$;nFFR
zPFNZ%@(J^i$tTS1(Bq6uGB}fo+G0l}uF3mL5+fA9q$=8`UY=$L2n#si(MHYVY1p?~
z3p|oowU=Q+Q8S9jiu#gRy}rr*)_D+4yG6Y%y{lXF#790~`B8u410O$8yN$XiS}JSy
zti$~u8#Is;tKg;Pm=hES=X>OAb3RVT+IoU9Dy<6+f~tZ!v5I*qMx2DE&OW~=s>&;v
zUTNK8T6n)=NvwLQ6Ua9LAqnKMv`pneXq8S(!5LOhOJcQEI#I+Oq&9P6H7cD@d2p}N
z!6$7pLzEW%giU<-;Nh}?BDF<8jWGKo?Ndzjeh{@zd>l}!y1ZpDdC2xBXJ!p(TRsVz
z<{Xl>vlXHEzf)wY^l^M-&PLA;izVkhz`!msyja|*xVO3BJ%i6mf=jlt?CFcA8(|Pd
z+i|v^!y1a@az1!e#ffvt-D*o#c9gMEu;||>?Lp874HIht3{Xk><=xTKV?En0w+5v=
z><7I$TD7z_fi1JS6v*BW9>P>Hn86n&fIzyQZJ=9RC9%-{iwt7H`5AMNzSr}VZeo|*
zq2*tH`wpCGMe?Q(HV~HfU;{mw9&BvcgAEDyH2Kj7SX}#H2WGQ9*!)ur#2xWC@w7k2
z2m1(Sv-cbo5}7?WYToR5^{yT;ljqdHfxCOOp|_9PGb|X-a8=ned=6$(Af@5C4j`1$
z1DviOFpcH<t@@$2z)Tib7Xtkb*;O9&44*sn_ECF=1>+glk3GXB)X?d)f!UPpa>4S?
zQN%`b)%9xmCQzBl?gNI2u!0bBo0f-7M5t{OOQHKX-2D4kY@Mg+-9LJ;lD=uczXs?F
z90eWzma%JsLxf<FJ9%~bjHj%g<(M1#*#Gylzi}41A<bd+S?HLw2a1J0XPmRp<~e}~
zW`vc5_rx>2L936coazwSS4daqEOg|xVc_~h(--p<Bd<M2H;L%@Sdm7n3AViU0_;_t
zAYY;;*hccrk)P=VX|h}pd2}1ew?OAiCn&k0kaYh26`ZYJlD=4z4F3HE`&Zxs*jMaQ
zU~b4I+nhg8WeiSJ+zOYBIe&9Zl<L>aw<pc{!|=dfGT)z=^N0Dg>MZzqo@83ZflI{I
z$!j|!V;??Q+JE3CHd{JkN&UN5eeB<*(0v}bu4{%EEp&%+FZ%RI4#%G1P^ksa6iWS)
z!y(P(Dea24p2Ioq7F}{U%0zm~Ejp*8^bf!BSO3+o_}G@i(VO8lCEWrgkHWF(C)4h+
zz+qp(h=tz(K`-n8v1Qej5DddaFfo|X7@ML>#fY=47<LJQOK`18u#NGO2zQHM_zgJp
ze1*9_ToD6$wNw;`4!?n;#gJ&?ih$>llCG>6egjrqtufA#r44pMc7owIKoDc!wD`1_
z;JvJv{096QpwkeNqpq@Bl9Z=PhTp&o#3YYMt`Ws|lHoUizz|g*xs(S~=LVAb?xZ<w
z(xOn0o^KCES<n+=r3g$H{n7LN0jC*zh*>E@U9p!eHwX`pp#Ra9OnR|;^n8af_LKaj
ztSPOnju^L641;ZQj^<uy&@@BPt(or;hQH|?<Gs)VX2~1*H|p;!EOF&21kaHfhEKCv
zo;_%}gKJY_uN_ZEe_kC9hi4N18|wt<8#y(rkfLB=i^y4ozVi|#8om^bIclo&vd==C
zTX1^REQEBLMijh6RFAMW&L3%o8pT(nf@Za%V8!>jbr6zz6g+4*b#_wxc$%|wf-gnu
z>neZ3m+B2QgVW82|HIGw^&kk+x3@Pk+d2F8sh2TESF6+U)@L<_u#hST)(E2?5!4h#
zlC{`oxq$lMijB4)RxC<PsX`$Lf}q}ZWE}=&h#Cfs43u(z=&Zs5W`Gc^SBu%mpoT%b
z7d7qDpb&A6MS(fvBg_(JGSpvPj0~cDo1F<tgn^>1MrKVOM;sheMhB)N8<{=+Cz)4-
zyVe*Vr<sCN7%p!IJFHLx>CZFY9=qYZm^8Hc7fq^U)vN&>XoUd%!a`2n@I0>l+p@XG
z^zkVL1YO+#)h*Y18{_3=<*TZFJt^c`*tY>1>rq^S%1X*eLaVHOk(w$nGic+Yy$1M#
zPJ0P8a%ewxE|nbWK;83EiX0&FaF5o<B`~u%KF!TJ)<df#P5bKQ@uVXm>gWo)GwpjJ
zFS@(&Nv5*Sv|tyw!1aiZb3)Cxe(^g$<MRV95cVSs4G?I{1<AOXdB!W)Wh}!BfFR(^
z2ok1K)F7$hylWOB=T?f>L(jVuH8_w64J7;dZopL@UI08b<WeQ#Lc}wGUWXSzmDgy}
zG47!IG2sR9#{fFXa|Gnja0PLW;RWE(tNmJHQa~206DkCg7XZoS91$j56<`hr7gFGh
zwxb8_Xh;Xzvd@o~6g7fU#CY%*aD}YdIvqXV9b?DlnkWQ!zg*ypesnZnX_a~l&!Q%t
zksuE*jVXsY`>?Pkn#23bfar8z6WB^z99&MY0SvCYsC$>hU`eJIu%`MoH9eni5&R!w
zR^=BBn5+et>}z5kId3Me{G6vzQ~qLFT@nwHqE@ksfj{i4GdQbK(p~+A>w{ALe#{SQ
z?n@aVB}w?+71BjK^=?~6J0x44dbf>CSOhBTNbFy2%U-*OiFs?Mdv*1IZj)!0;PgY!
zsBbt|$0K=0Qq9etQQsjB2zdd-Pj!e($5rx7;8~UGE&@fono%8<?eL5cHsT3P`wK%(
zI^l`95)3|-(FiOz$WNuXl<t2ze#fXSj$53LULN<1-tv_22l*3vA6szaTK%=##J<$I
zXQM7{`3W0+L0z7n4!&gUuX&hWpY80cZ~i|Qf9aoZ8GFrqdZpixQi(AKLnzgavac*-
z?}EXYvt8O$kq~6G-UN@0siK*7!J}iU=tj99+zsp5*kW3<#Q$+<x=}88be<Izstclo
zPO?bY=(*z?Vnw=9F1W#zPBGD>m+Wzz;zqe7l%GM);7|=DsZCvSzd{{=2Ok@Kl>vl|
zgs&gFl>vmr_$kHp*xW5`<}zgJ(ZO38KnU$G)SHhqc}uOnrC&fJ9Y(#O>AF;S_98kn
zu71L6xShRsEK=&_QsqC-K@#UX9E@A4^4lwTjher<%71F&`?Tf965qe}wO{h4AL^^C
zuB$x?*lscR$0FGQm?#HTJ4^^v!4a@kWL6m|ZB?^E%MBvg98w{O)~XofjibcfyV@HJ
z0yYw>X`Ix@G&$tm1KuTxVw4b#fWuduOzKicBu&z(75S!#9MLEFt0q6HbH=#nRkgL5
zJC8nk%C$xR@4fqn**^LDh{-YCFZt?Xhmx-j%TT&yk>)MPOR*eP9_vM#6IoN_+Jg{Y
zhS2BZ@yW>hi&c;PdtJAlEei5i^QaNq4Bz$2z>l<biH%elr2WOTx8sZbZd-bBJTzhB
zG*a4^Xye7J2U7Gy!X72NCxUVQw1BYJzL%u!?Idl#<H!HacYJ8df`M=zaAL`v9-kYT
z;KGMNVlG>-lsN_IRhL7{77Te)ux-*XVa7|JVy#JAq~$0G6v`kUnk`sMu>$)%NJ!?4
zU>q7HUeqsB?r_r7sn6R_q0_&1#mi>*+^%(|%>V5Fc3;b;F8nx3m2os4KXp-=(~R7{
zao%0XEndgu7MCjJT~{fu8(iYi%*EiHo&i0pO8N2s&tLr9Xrw`sikLTK%~++>EqKXb
z7ZeB*kcu{_)xNUynhRp8G08c=bg~v+64;0kq``ARVo_19Lp~idRkc$i1ZnV^Ao^@z
z$FL$oGPR5$NQ2h|=R6_|9;~x9pN1d}o(rNzsVT1gdI2;g?N|uX;JIL%;sJz~jfW0F
zy5}y4x+oDyIP?}+&Td8V++DI^fG|tMra8xwO0pt10BZx@iZv1OU<$J~=OoE|a}F4|
zD+OOf2R804Niv}JqZ{)k*2EMyjcSu*zC9=?l4hFVG$4}uUzG2U4XlyN?qf;xC!AK(
zOZK`8fHg8bl2=oK)}adAsVwG>f&Z&w=N9n7(8nirE5-_UdW>Bu_o1d2yS6hs?lSZ-
z=Y=kkuXqa5Z$KA$ew1u4#ku*li_>s-PQz)p=;Aay9;e~+f9^-W^6yPW$wv`OCC_^d
zh?Jw`qllOsB_9RElqmTa9wtZ0N8m7%!7mMw=zp~zotbNvp?z?}C4Y$j^FRB)@lAZv
zBfQF_$Co_sZRL62@>E7z0s0JofaMNj1b}M^AoKb5?X(o*1#@z`^1NV9u5p%LpO}-s
z|KZPm>O;YCth8(1z+)tA==|8Rj)`ar?ULFYV_$htY|NvW?1-u)Lf8W^6WYoWf)aI^
zU{I7|&}1(tL6-~e@IML95SaIZ5)}KP!NVk(YILU%LyF#^IJ5-CzT$cfObSET)qa7z
zauJlE*jEG;R`SZ5Ifj92WT;=^S4&WAf;bK`30M(4Xa>oNLu*j%l3*djuR%-0cEzB$
z9J?@&0w2PjDM%tCp^Zzv=A;!?(-<u@Zj9w$%&gRH3pb4#NervoTmBoCRzB%I=e9BC
z1xFSg9P~PwI2lSD4=lS{DiAUg%*lNuit6cE)z6O8lTAD4P#yOzmME5<H!D-By;1!o
zDdssnI|@sR@+)7{=vV|42~g1U4X-_{*XT-5bq);gslbiXmhXcib}atZ@A>1u=f%m6
zMGRAul++$CZr|z_4m|<5JGN-EV-e{id7;(iU|umCdI$n8uX)A8V$hHm4t;8i2hxMn
z=1LD_IP~ZuZLN)KX0WPYIP`2y-=mq5s$_-iUMCo3FVM7ZRwQ;qcQ$6o^*@FR&wd)3
z8i1*{6-~{@uNH;pk~VS}$Ht@;<l)M%Nxcd<OAL<FF8?*#{j=na6<*R@XO`ZIy0eW8
zcOTEO-IltO19n}44pkeezFjolYeD1LBY&>OyQI?YE|qrLE$Xet1#ogZfRq2~cYWMj
zry-L&VmM$>0rRHiP0))>NCR60`zN6WMw=W3oTOCRZotzPmY2Erx%PpP3P)>O`oOTA
zFf1<wrK=rw5;zjF?U!>5%Zq`MI7taL;O*1;CBaFX)?8t=K2Jz0&8?VYNBrLlWby);
zeEx-JF;MiGmqgnPvnh-fKH}$%nnIdzGA<VE^nB(y2$GNcqOZUIg;Nb$yEW*rXu*K#
z$Ea&6eQPtYWSMP26>irML~A%Y!xecDf{Yzqa0l8@#AVa8_?UO8lrykU10s1^Fkf*4
z@P_1}HUO_^YAX&+3+94BT{_<|Syp}wV@DTkByFJM8vJSjcw0LY#(FEM83ur(d%vzI
zv7;{uo;gygkq;frZ@px0(1I&KY5{4`!X@q2B!a}(#5HH51sTaPGp$J8&?0wsIw)y!
zFsK3D0KZ{@<wMKJZQj=?@FU?BpH%uzIvd<f+rJs=iQWKUWun(WC~A)N8uXLI5Urk5
zG(tM7QrdvT1@|)=pC31+4bFF)elXK@r8#5JY0msmYR-^1InrzNI9qz{El&w^wLP5}
z<cX_WiLdh}Qkh`GIX@R?%TE}M8|UIqTfVnR%*Fl8pZ*&!{oEuX59xa7D!}44U{#P;
zHlSPu^ChDLD2Rktk9Ol-u-!1S5p7h|r*O{=-W@AS@xhR37}&_fK2}^Dz;H;t5`t2E
zFu^_MEI?He!nPrMSP_)sg9#$}2a+ucqM@}v$Bf7WcP8?QA_#@qt(XycjU*Bvy5opE
zu%IvnN&MQ%rmMuSDTursYACqmlw4SAY?91~JhT==fRf<b&~D@;nePtza+O*I*UE7J
zNiyFa7zSn803(^Pfh5Ute-3lz40$z|#!;Rm^9{1X6f_sWnEmCHb|%}=-c9}@=_IbV
zQW}|>B-lBn>;u|MvnA>1<sKnK)tiZ-YHD>{@+7OH=3^c#8Ytq>yy)LM+@P9?QEEz+
z&t}N}G8|E%UwE1`5~;^WsOetL1(NpK`t%or6t=T}xEl<S35zG6D0-X=M5aN8NrFP2
zD1clzDzk-BPPf)@hs_&tRN51Lu9dmuKkqL8dD<=NE%hb;c{};f@Bf_5TNY7yRJY0d
z56p>TxgWNf(IbHPN9jF4rK*B!Z}7G?tn%n98WbKYt`^n_9)h%{E@=5r&a~PL4xOV%
zKogYWdxJ1Q)0(kjjvfJBK%X6KDZ48AST9G9DCZi20wHW4X6EQ|vp^kD;y0P1nj^hQ
zODe|cp>^iz##mNWzcHTY9K?;-r6XU5@jII}E3eAW-GRGJN(}8|4%zTRBNrVZo74+a
z1SJ$E33;xNiL?Vk15|J$<Pz4Ax<fW7@TW@11PsC5A}VmcB}N6J0_PX!KM^vDFPtJc
zI=n4pyN{~{e1;+uH}??1@oRtn$?)91J$)dl?S8SHue|uxFKh1Zg=gOX%FJgkVdFu3
zb;_iKTZbv0c8e~y^YLU}|KuO|;cxm|AJeF@5Ssy-=P*Ux0wQLGraXp&`@@RuVE~)h
zSC)BoL7)?fh(U}8P>!NfLXe@T3nHe~85OX#Mr0j=w4F_`#leE`&xbzGE;W764;oH&
z@g2YL|3*xKf5m^huVpiT|0ptgZk5T}(}rHv^MCK%-_3Fkjj;Koj_C<xYtq>(WEcz6
zp{VC7U#w3LhY@R#4)?g(RJOBB^ho1Zh$e;4G@rh>RxLp&{!`nMOVdI#Nu{2j|Fehm
zT<dZw7Vos>d(FuF-w%H1v%m72rohKXp~8}3GH@-@U)LjmCBf8CxEt`iGHo<pp$x*8
z)v>iY+RnC6!8rg3z-qzV!3ZHJ=_OkcJ-|fk!qJ@*LMKTh{R)~#@_#0XNx;ni0b++<
z-~P~&|1&{A@#x+Y|GQ@cYdXhCh0<Q@SHa2UvGuT?^0^vM2c4paLVr;@!G2w__`m2E
znb)@tpxEO|qpM>8#LJt~#*_#JUw%vZ041ML${xu@mMTHspN~i0zrN*2B*G<5`wQ`X
z-oICh+)l^G`64YHK0RFSb3n&hXlrUlRcg~S*o4EJTwE60{K>zsfaTVjQ#Vgg8Z0#U
zYL81N*~v~UKc<s@^2<N<{a+h334@H`HKv<y<#$#ANW(^oa+%D8e1AZNsFRvtf-~8S
z^bq7D<$?y31=JeDF*Q^UL3$c4cog@<hs*_0Gv)*(DT-p~5_M9nNS)+@g^{O+Gx4!=
zL4*C0%X~Q?pJSBnf=GyKf&kbo6cXy86F!)4h|MWs0c9Y`$IjQp9BpF&MOj!=1ojo~
zP2n;}vkFTmfM=~R)TKXqzB{Jh1;{l#dcHlFGeb^#uF2X__lxrVX)FOdu(WH{I-O^}
zL9J?yx{MJ+xa3JHUOlj&>eTIFdxxsyvkKF_a@M6$4X_XIORnX(>^RMyo(gIJ_ThNJ
z`&#u+dyITh&T(}5RIA2WpYr)k`dH)iqCh8#1`_5sjrquvPI^9a6fKIIIK@XUW$-wE
zj`uEID@(rO`3G0WQYu-+o<Q0S0FoN%y+>IZSC`tunlZag4vD!i^u7H2g`tmc<^1XS
zrC@M71%sdcn1Ao-Z<ypBXk%42GHa`t`E2ZhqyWxQZ)&rxOJh|IgYnzh)Wukp_2$qU
z+HC1!tO`mMz4aV-MV3HHbY?cGq!_CL5ct4d%C1P`{M!NaP$AfG8#w*S9gG;Of;5s=
zUfdi*GP@!+3soG90pVbAMPjVV3T%em?~y!&A3esZj9|(o7;#AyNQgDT(LrF|BjhDB
z-z0sk3Wn(ohQePnR%NvqTz7#-_?j_R1xN4ikQf!03?7NK!*T2@I&AqK#aNZ)dT@^<
zSMO`aSd~>jN&T_9OzN&=Oa;9jb%rvWVl>01Vh*LStui3xTowyGoiTQ%aGAzFSrqM2
z^U#gzy`sxl6&a(F+^BQLs@&(K6n3&~I5Bx~dO64*_lfA0ar9Tc?|mV4LhQ|m*EL@3
zI66&qEI9tv&w1`!r#WMxBM^V#hcAePuCY?KNMS!l#&Ttglp@sOw5?*1T;q)mlFAn8
zC~Z!*NTKgW#z<w06zUGl2i4F_swRD(Umea^JvIh5g+}v)ovJFI<<!S{V+tnzHm+)5
zMa@OLB<yZKVRvVW=7QO}JIvN;wxCzmmk{iBLa-nE*l+y#e?Kr=npXC@vn834hCi^8
z2P6>45+brnwHobNZGtFKq3#Sp5WK=AD1tP2O>nm$_c`IpFp`}h4PFyeTZ>BeQid3n
z6>0EXaJv9EjjY%vX4_X?h02CJp%{X$oBkYW@O;Jnd{1h43a)HocC1ME-UW96iR1E+
ze*(c;_hTdkYmz#N3X&+N)eAXkL&JOxGotZbS4&spcSFBEj9q*>#YmnaQ&&utuc6P5
zfU{2Dkjhq0ebVPjE-VRZHo0~L<xx_P6BX)5tzBtuHd2>}FVBK!q2N@<ogn{MYJCtW
zKUlU?uCr!x6%OF3&->U!A~@B)c=i0jrbpktJ>8$T-6tIGfj@sSvv+4^PrF4IGy8bp
zT`#=pi+|xwQFK+Dx<jK4wf<&|g;vBfhB;g6$-aUKleg5Gtbp!7Vhm;$9Pa2OlInzh
z1wk>hCV2bQ?AzTIWE3!p`!)Nw>Y*7|wjYBEsT33e9qGu#-|==avv!WCd(H(hGS-VF
zX4V9OEYYsE1KNr;zZ;iF&8$f>XNu~zbgLm>Ur$mpoqm?&WRezTq&$aBThya2iJzl9
zeWORucL$0ey>#?#03RQ;V&&U|S=6B5my3zU=5n5s?m!8tb;H2k*E$2)E^FwUQ+xIo
z?_(Nc!V9AvpYo#o9N)wzJ-xBcC_Si?t;-(vr`$Egb(4$WF}D8;a7=IUrCOR@1U{O{
zMHrZ9R2Kn133!ZTW$O+Zp3>(LSt2}#lkSnLs{*G97lUTIAlOkZtv{IC$wi>+oHph1
zxQl@5sCd@;HlaF-tGkQpDBYPIJce_Ve)c9^oP+>+zt%9|r`@89lkj+)g#YE^{;hxf
z<$)H}#E8e@x&;jQd`~1M+dmHMBtk%JUzwBOf`>gvdodQ@q2AXCM)Dbf#hN^f611cH
zs1uCjGnpIFHzj6uzG7Lh96FNESke2Z_NzH7jYTk$&za&8gHQT%jO26s)ofZ`u-1@P
zJlRsJVTs^5tj!H3|DIy@Zc9c_ydu%v_F1@m$vYF6F<aex8+)^E8z_3dt+SW#Tw8Zu
zfon0be6Od8NPqeJf8m!8pV0bDRN?o5i1EK6zJRvro&4hJ!fl1`4#D+s^i%e9BM{kv
zDxi3}hDbNp9c0)xBT7kM3A{2tL^<kg3$qZ>uq3`Skfp~MDRzgQvh0vQ;us?Zu$+n~
z#jiFrK^!^<B3q1|(nA9s+h3j%i0lz8XehAr!hbAT0uckRP{H{y`y`nI5e$oDhbTEA
zKx5loO3C&3B?`$ch;fVLq|&7B620^A!BygAz*b^rz?SD5A3PjsDD?$A%z*sS$xis*
zA(;F)3BrVs!!Y?V%iEzJHYnzUhr1hsF<sUAzp%4{SFy`H!+b3?WAP=x$m&6~DamYo
zf@c_(t>e8A>Ka-m-Q?tR&ycOzXf_)d+Zq|-=qb*gZ82n2j54N(ve%=$FoIX{^F*Ts
z<5J|a7Yj13SIP8%&oKLpv?Gwj>t7!nz{H6uYHRKgy{qIB+>&)oNlUuq4uBS2bFo_W
zQ8B`)Sd%#oiHZ*k05<zIllqx$)>w;)cd&g8m~#+f#hQp!6kHAG$q2OK%1f-&&a+XO
zEIAoA|Dw}hnyd2CU@N^e*s_=A>iU-kLjKb5<I!<w@zOwa`lW%8zcl<fcxiA}{?hQ{
znJ>*ddxxaGHTMD71p+w5tcaOQn;c-bC1wQ_1%6)IVN2qU6r@jJS5|^fiTzjenW{~+
zR$}FQPeGs_klPUzuQoI0W?B!*3dA8L$c8}OzXsVWK%jG{+-f~rl{y3j>i*o0;|sz$
zHdp)ED!0_E@pFo&v*J6Pau)05Yz@-$IRD*jU6K?K=y%A+U$19t#u@HftrxR3bV4La
zAW-+`cAW5fJ6q>E%z8Cjm){S8x_|xsYn*Ss|Le_cT_E5PsQYs}j*knLH}&!IB*%Td
zTE6*~r`9PcdG9{9@NhOnI5u&u+a{J;XGAy`+d$j2oCp!+I5l6rJ6Lh^-u?V@&j~)M
zQG>?t09H)e3)BR?Y5W?wlUJvIc*^Qojy~l7{^{TIFMibNHRBz63ngOnB2!m0#xQCz
zVEY|(b0;V|+&TtZR(HQ$TMQU0ikUUR1GtFQ)DTMAt;k2rb_2*3-7aFi_H0I^wuu$R
z$ks#S<wrkHU*VPK#sxK_3&HjJQ3|}x{W8muV$~5pD>AJ~ZY+VTk)$IvLztvjw-v3%
z$#BI)+~JR!|9k0ad<>y#s_U{y?2mKT(^yo*b;{@FO^lbi?xn6vvTK?za#|k{GT29i
z$L;+usN5kRHurrl_I>WzyzdLoU%e7!+Sp3;De|2g$@<kP%qr&)CjYTN`n^ARHDw9`
zVFFzzQ?|%O95scSE`X1Q(Ktv|6nm6SAy8Ia5rT^$hAErEeKM{#p2k&zrBmEyZV_x*
z5X(YnIdnFK#<m5&7=yC=ACpa?u@%5!#Lq`)SXPv1(+<7cp!O<OcqdGtMNrcz&AKj7
zP^AwJ3hMsYnocoEFnn>!IV2I+Ri|Pyiqq7A<%yB}(CvkFL)gD5WlS?CMlV~?u!3P_
zADT(l1veRy>?YX^v^7uU1=~@&l~0k0T_~MQav!Y0B=_VZm+4|%kR-W^2r?w&mNq3M
zYJNWwMRz$}>|%H?hW8`G@P6pO`~07M5QbOD%e;<ZRA%787V-r!L$1M!EV(@U%4(%9
z2(~TF)1v@t^wuFLNev_S->w#%-UFkySu&*#TNQ$m{V~A}Og#j_tg`}7LU(9MbGRTd
zUjiP+kOU*ph!rKD;d2ExNXzojfGip3L<rLG+7`ZFvM7T?uQx`}5P~$kE(pGA4(r5<
zAe7KlX9$YnbxD+WbaD`g#f>N2B`3{kGhDqL<rix2mJ)o5mCEK!zifHX<5^HI9MlY`
z9&>D@K-d7gKt#X9_>>RcPCLHtK2c(5OJOZq2hat$e2c*45h6;EV78PTVa+$0<7v<F
zHBm{V*t%B4i>19gOMBWaVz^kkMepbqJ?SCtNBy2J{etg31G>x*EmzHY=rU1DES7G;
z3e*Woi0eRrEda(0ns0B0h0euB;rswA-yK>)Tt76zbvZQf07fJc#X<>jU2wTkRy;rp
zjMX^=Q$h93u&<oTgP<8adOcV{LtI;OGvD*rbTCGyT}VpLByHXVLC#Afsg8pSNrP>o
zO<Cvw#c3H7KMP_2C<XR!5nF&^B;6&-MQ<<%E-Yv#a-o-$6og+SAq?f3xaB?mLOOB$
zJb@O&4`H4J=i3*4bI}{+XLG}F;cX6-|Mp3LL|uY0mTVeycSuyqa_zctVi%PPkA?c3
z{~((xJ;sl4z4RC_f~<4pKx*5vG(xO2?y_X?SU2k%CHx6owDb!_jSz@~v!(};)+yIm
z39LreCTffmK;}VF83A{x5l+xVp-zY1syKgOosSo#rLdRceQ~IRHH+YgR`!F-lC%JT
z)Tnq9uIV&WDB$Lz;mKKfeX}ku%LPbHALr#4ubzJw66`WNlF9aawW2egS$~qV@;~yw
z{+HjsjWLFSk7g=Qw}2}E98h3efaqBhm3>u!)I<;f??;yfR%bw>NSDP|Z1j;FT(9;k
zR-{RE!Jv;^fwf7DX9zMm;DW&(iCG!FAYB$01XU6mh~PHtmJ2}4=zqnEbXi;wDR!MC
z2;kO$xsuAN3GNqk1+d~`W5dQ`MR8eNa=TtKgF#9758bf~uT;=cZZMv(mn=7@(NPYV
zx6U*0EsSp;)Z(7S7OA_yq}zw%m?#w*%Q?t5fxar(E1qBeFO2rsCrTdgi0bHnwL>b|
z)xn61j$o37fP&5vEMY<y$#xjRK}XfOP0un{A`J1_9aTb59Q)IAp&#1_kI^Dkz(TSe
z=P%xE+T{D14S9OeVaRcH(-=cs%Nxxtv^VHnLw<svz@XG$<%#9{xS0r_&-}al-~Y-~
z%y+a4HNiBU%Z@1VrHvDJZ~X~wR|Po~YPe8~=#$-6ASocc2}BEyWeoihNoeswgj8a_
z1^9+3d1wkWu4IY%_KUq{w1EoV500Mi&hZx55|STnfz2@=Uc)0TumZvIDE1LrU^|uG
z%{o$LyEL6Wf|^o+oL;uS46#u9g%@c>A={t4UDhHvo|1g?5bW?{id(<DwN_Xw;O3-r
zr^i(<Cp{?4gh`JNT3MmE3rbW9o^=O0MX13)y`GCMgrcpA7Y}GsynKf8{@hOczYd6k
z{Uz&(f@!2O4)g@gOdw2y&$n7Zfx=vp&aZ)SNOsH5G@ch)s@tKZ`pge}=O2G#ly?=g
z<gKuVV1mwZTB;GYDKT*lZqk-1cIY7M+(D7V!Zm==99oST$Pp4LF>sDALI;mjZGkTu
zte7Fj=+R<xEfk_=X$!ci;Hu_xfSM^tCadloGp=fj;RMJfBT2RbPe>7*42_Ok%&==?
z&0L)|<5Ky*?(4MHSaMUX=PmLu_DH6tSuZ?{x8DzY8p!y9Ilg+|^G_sezA4~~ZA=Mh
z;*swLbNm%w@F#xamm|BW)$tzs1bh<8a!6XD-O-v-9kHVYS=&OwaLrH+f@o&e{?QN=
zPu=iG0jAo5b6%2nAxPWkE4IQUPJx(Xc*r4`p-+3Tp$kI=sN=1Q7lIl3gvmKtXGKhH
zovR_3p-;Qz4xu)T=yox;d}#<urN(~@+EE2eg=s|AIU0fm`h;d{kWDF?ik80o8p&?j
zjzCeZRxtI%U9B)wv6EzWvq^%RLvYNS*5sa!PS3?9cQ{}13eb{Hf{c3fe0v~gBZ*KN
z)!b@YGvA*B(y)?5*r3mL0Q<@}=z!so$b17JHjtcjH8jwjMp28S(D@~~(yHsMEzXXv
zSeLo-uN+;?wR9&mHL0I59=K=krJX?M#+)J(yHNT#BJ2{JpI4sklFXXBaCEgz@$tv}
zwt{n8Z^F7UjxTVd6JmeK%AU6TSXTCfpYm1z!*_?`*X*$?{#K2Fx)*)E1?W{ZhJDqQ
zwE)HdFCWWVFz3A>HH)vf18sp7%Df*xR-|HaK^{;`Mj$Ov#S((lD=xU5FE!x_=!o(2
zg<vUbd3F$jM$Z?5xvUj6sHJlogkUaf;dlqZnCw=l|A|5k!BW;j3KM#iwgf**)p?R+
zR@OF=)?O$wcZ($lYv!`n4)+G}B}-*1Gh}H^Dt=!RW?QqzyCv|v{dwlw0~<o5G0v0a
zie56`AMhEh)H-fJ8c`%C)j3t0D${6)Nip6sI$+XYT}MCkB<n*c>rj_T^4w-sRq$g;
zS3OCOEaMPYNXXuXHqT(0ehj>TXHw>~FPSNtCQ_v+%AG~*tK__~KXSWg)wmuRYy%>|
zOlUwB!_v?{G1E;jT#@<J%j4SoJ~nT}D`>CG<I>lsEkCBOe_;Q+zTm7R7TxNSASy{L
zpZ<#^7PV^;q(bntn8E!{NMiZ)U$!0gDW{yoYSVuY7;go1K{<3zV#V}d9iCJKa}q12
z{{lSlI!a<SHOTtTt*e?#63c2z@bf+*r~lp&WSzdNpvAN=TcBVqVOmO2L+lv$l~!!s
zR~oe2zQSkPcuZ;)=QYQ5s;T@5v(fAIOM&Nh3Opb9>woc|``v*s(V)m<84HovWM@gN
zCHT<9VYRQi{yiYih(<>eYmlf=go&?c0C%RvP(JBa%t;cUC+Ph{aJ57MrzT!<js$f=
zPRb@E12{pkjd6}Si3KbllRg+O4VYY?9x6$!)tV6;vMzgIGrB|PB-R1nBi`b;YB-&p
zU`b-JR3umwQ0*8zFix^0vFwtw%W$*N7$HgKBo+?NDQG;+rL}w{$(+POfN4iRII&`q
z%t@?vF+rp>=$aJ7n(avDdse~ZJguj}*PM)HG<X=MrXpBK-Tf*&0v~z3#WC(&*uNPv
za*1PJ3vrA+zY_*&kaxQH43|#CkBpxGulqA^{da$Ua$NMS=Rd(O%zk<_7cUTci<yn2
zUCpl@NtEXNXBih=6LIf&d(MBtUShx~bF`D=qCw6s=Qv;N`V?f&e;&=zmi*_@ByEB9
zw=pJTS=ur#8eNi0$$xH<A?{C8IuSkpNz!J`RjxLJUJAi#yQFnYZUCxV8dYxGo-!`_
zct$thpyL_ce20!_baRUOct*G0qaztzq2Kl2ek7v{qTZaNM#&GXr|_3h?;Xq&7lfy;
z2~LPD{5mw=uC}+4CDts-!fJAc$8`!^0FCr}`}g5!%2-7_iA*|N`^zw;t6z*4{N%fb
z=O&GHuQ9}K=K*$`<Hf*~J|4_~5g=?z9}ij|B|)0fzv#UzEgI6Bn31*;Ry3q8_-|1^
zPW$he$x8=>KtbxtcI0cxe_MJb51js-_h)>Nj*lI%ejFd?n+br)?^{|lCir-T(K(jW
z%hS@w*u>)<6s#xI<LFCy#pPVL>xAW{+-I-V#PWTbflU~H<(L2JhrcF5WKHj0kAd6(
z=-;JgN7KiETA&J4bGW1FV;D0Q5K9i1=FaoX*3AXH0|;KV@MK>hIv-6RqyDtgT2=^-
zr;jlNpg;6@`WUwP(bC5c9+tj=2MGOA95~9*J@TUG%2M}rS#6+v9<)TVlG(@3s&~N9
zJydcbH1^39kH>qSob!Kib7*Yr4$LeQPilfW3ytM=i$l;PmC^{3OY#PFk~B6hxt;Hb
zj-}*c+t&-UO~sOL7VzU4S^)nlzEwOLN=@LBO3{RXAB9`ZiX_SO0MAg(Cd)nmvY4lb
zv`GC$=?R`ixuUT-`I?hS1YYI1wO~rmj}bQWV}#A=1VVm<+Tz{)RqKXl=3%R4wm*42
zLg?LNKl}d1kI@lAcaB=g`kYz&VvjEMPstQE1K8C+VXjf|4128hIoVTT52*IE8ekak
zvkWi@=yR(M4KNay(W(Q6`f9)>IoHk~eD!#((g}lLztn#x2<=zmaHRcVvI|)Q1nTj*
zoh*L!XS({aUajU2;M<imxNn4ep)t5`?t$)II`CAvfbJbs3@*IY%|!Qp{9B=!Z>^$F
z7S;O){@N#g-CHLiM~M3bYdVG`%AgjE`bNhB!`VSsx2EYm1}OpZv5-4@^G5>Y9MM6q
zE8uenvYbBh%mE!z>PklsH0J<#ljAwGRdNoGV0nx^&raVgtp6DB-YBgNNqhSrQ$p_D
z0-!Am(rjjGELlQsTp+DFaIDGzoJ6<Vk8arufiW3=HLY3h&H<x`c=Rn=Rw^LuOlw$d
z;iKl#)ekbZ+^}o$Nd?R#+J<uLhD>}?f!n6g$=P&a%nc={Rx&AB;XF!OUCn0N0nO!Q
zu7{!?=L;6B)=O~oN)f1O<pHWlC~eXKB@vJgDBDS^b`(Bmql$38*^IHFv{)61+w$?N
zRnfXm`#E}fLXAsQ`~-z{fABZ|_8<7Yp;Fc1?87>M@og^gg5=oMhs|MK^uX<LjXJ^P
z71L@f&L1^Jf)tBaoSb6zpD7dg0mB>nL)Wlw15#Cb`QQ=l51qrhHn`)UyeQ?+HLRNh
z<jxh*u-C^8DQH2NcS*!0Tznvs`X7^n7X0ib7*?<(o<CklBtYE7M;CqyTENkEfF^|`
zSl^I(tQRN;Er>vb8U;tkAd-5TlZsN+&zZGH+39N4eEyN};N<9G5IeL0l*A;Rp1g6?
z;K61eghF{;h)K=^Z5|~ixlig5xFA9)Mz>ayV*Z5R$5#6mZf)H>A%i6eGFxpTgEgp2
zp0K<m$WB{+EJ600U-W-`@dsmGt}fJT()}L!Z2FvFdt%9<D4%gny1_((POxaw`N~)y
zSKx>21hXdH!qt?*(O4!eYf@lLFd|9xo{Whs=a@AqiXv3G&^&7vQtFS%nsm3u00b56
z=Ma_j53UMPE21BPBk%QNijhF34a=aC80b(WC$%26lPa+eJsw{KQOB6J)4$~kfM_cD
zvp4?O#`Uh>DLV2LnNX6HK2F1Qp@2RQ;x2|Zd{apQMr@5uaFd+x44$TAUIi8Q`@ZcR
z?^p)UfkHrf7+kbyB3+@0cE>t(y`0DR?#1c0_Q&YFL`bS>*I1D%%(iI0=?oII#;LQ{
z!{GKHZ&mGp4x1jdCRrRi^me~Qoq`HSi0|u)lHYMb%_=}pk~CFNA|U@UYYyt<it7Vf
zHM8K&6_YBHoW#A&6z;3;gu$HV$%=TdfVWN|qr8SGWP_WrfLq>1LBhud0b1UHPfgt+
zXYK~6Vu=~^{(MIA{_@O3P}uR(lFsg|7#ZiAGoMHfPVm~&^d&vSNFi-Xq0?hjcwO?f
zK96v-aCMjFlfmo4wY-tivUl)Yzw3eryWqh-GVox3_5c3<@A#5WYP0M$t?9<o^PfTU
zx?GYj2MK`4f9CY(7IUY8kfD2l&d*Me#?w~}JlLEJvUNqOK^FvbJ#C_(w+6tmlAPWd
zdJEJ(z<bpdsR(^Vz}q?2j3^#Ls;gj5P9rHyJQ$PHhkgI6X*_*JM2_0CyG2)7zhX&F
zw-#IQ4A@X`TLk&;nw-|YGD$fP2y?}<_|ZYL#L59v&bxh0jZd0xwa^b97AAL=VeJb{
zPIZygo$6mY-snLfj_~nHQY_)$%coO90zVS6(CuTe#QH&f?sh+{{*)ucNlgVExEtxY
zp26QDhLA4YVo>?WNV*w%C^$D4l9-0nKQtLh2TAF-AS9CBTzw8DfopeohUZIXDTD)=
zo|^P*&vvUN5o%m>HSga)*z5Mp&OkhBR2OKZP=7eBnV#+$rUa4}8EXR3*Oy5$l5WNU
z@{)Q)54ZI_#F}E>{TJ;aC>`WmG(hxMDI@7{<;kzXryJSa{uc@TtgpFS;Y1m(qY=}3
zL;UEcy$3)T<o7_}2=dfn#Jjx*fl1&4<X<}eF5UykJ>q+S75qKm$L>8iGJpO?@4*p(
zg66xzYt>-^$?F{SE6Ygwv;!KPi<{Y3<Yhbj!okFP)S&NlV!cd1i1R06uasgY3jC5i
zOh2cu_sazObp85zy^f?$$H&BW_{{+@kg9uf^JO~@IG9*}xZ{cS03_^Fn8S(nCCtGH
z`VywdcAUT9A7WD<FAo#$>(%m2KoF7-0L%djU0c{?8xDa@U?bWlmRj#p=7Wo^_xTS;
z4_1<~ZIFEH)C|T^=0H4of3FETvDK7ZTX3vzluNg+Q{BL<g26Ga+qE^Fa#yyU-aFcD
zdTc)MGw)AaUC+G#w9&ylQHibEkK~pQU&!MZnxtR0Dkwihe!$6hh1u^@?#j4aJK?cQ
z%Ux_11TU=KqSNjQrENg7?#f^Gy1y%g-u~owebv|enW)ifB<_)g=f<Ktb&6tbK4+(-
zQmm<uZEL`D&S|+bDAs&l<#IV-ccWJEbHwCjf>gBMwNLa(ip8^SYtXiduY#6a_2}Xp
zOIi+)PJz9k8^+wU5X@=0n1G4V6@AuHA`3rB%>=^xLHg1lH?gEd7B0CvEZCa>k7~X|
z4MvQ!WnJL)3fkP0f~YlXQt%*Ss%geUlK!!;2`^6Bdk|a==<2liW^2Y^P4sfqWaw#L
z8hkYMyP^uB+a9W<Kt=q0`8FaH^UHLf>x&_}*QWQo#wTQ+;&YuMGl)-IUs(w_BV>@g
z%P>MX_W+P2FF|mU$xDEzUU+9%^ek?Lxbk%eeBZK%M5N@#1psL7mf{@Q)9hA|$EUaz
z;&<iqmmGXMe~GB#=ji2eKjJ<%o8urQ;PhT28JWJ_-YXWTjtL6ej;08EIl|}^R){Qm
z#~f&H`rM!RjJKwy2pJ>bn%OwJ(GQP!idqj{;uiASS5UZ8^Y!TiI}X{S;GIvVK>s=`
zv`DIhUd(_gdR90`-a-E{7(S#37KE@~cWr{y%q|$H`;BM1;#X5MyCCW*+Jy(jho4U^
z8iI<R@#{0+YpEOaCM=VaBn3U=l1MXYts4m?wI4~6n%R$jK%g(I1$clR^51@sWG-BC
zzZQTIT7-}u(nve4DJ6M3IuMp*7(j*}$RLgLlO$gzUvs-ygX~T(yFn~na#Dv=4JNgm
z0sp6f9IsK^y>eAC?_jeno8+gO{f?oIroeHxb+EDji^|5O0pJ#i15idv@4aYlSdA6|
z!b+ms?*_GReMin!<(D$j-Ib9{15Ml_hFitj-q9^e5u(S3*PQ7vzDyyaeuQ~x`s0OT
zG5X!{Y5&}p{A>T@rvpZ&u8+GE9wi(N&2l)g)jl;Q(TAZ~4))p%ThjR<mI3I?4h9E6
z{67ynwC|4L(J+w8!QRn0DmjIqDmoJK<Y4be#G^1WzdWGjhJOIFy1~4uqru*OjouHH
z#A9DVxbqFLTE~S{ZS?apDmdA&>X(C%DU0y4ij4<K@?A@8=d^Z&KJlqvIldYDMCs$i
z(H9)qE#k;vX;ZEMmKIkRd<UL-CCUsXBS6p*<S(9ppy|Uu{3m|<j|9q0OG~cdtk4A4
z8PF`oLLk{66roc=5##*4-D|xYly_^Pe24B|sp!qkfA^}EYB)c1^o2>SvX&E5lH4Z=
zLb)DR21kn(so{J@OejDm3Hk_y@<Ayx)e@nlf#wy#g-<+>6;mk@D_gr4%6_J|La-Fd
zqu&eD`f5>oP$=J9(hc?hzC2kA<@=RtP4s4UMaN04sfsI?2faw{r9p324i)I-ai`jN
z)RLeB-b{%qMpw11cOBT4MLLh9Ki$Lde=l7JZ$k<DEUM_ET?f#g={<-31e)*F8;5#F
zZZJz6t$bxQllFj>^w`i1=Dw|dWkr*=@6j^W^`)wpuHN&`_u`D6dFgsaP87*h%qvkZ
zJ&(PfdDnZR<fWyw6Y_%e-(A0k4_|(`=LIQxiB$aBAHPMYn4NHo7IT)RcK_g~e)QMF
zj!#7@>m8a!YK<gEDo3&1IZ^??Coy8Mx|m+jS6xb^(q`o#U)LA9N~F?8<*a<VLBu{e
zQrWagIcSm56krJENTm(RK@enpEFoCRQa&dKk&2PggkUL49fh=)veZ#bdnrqq?=%i-
zm*l6N4Aa7ogld;CL(Q!vDrr*FUmf{V+X~eR=RnE+V)P>3Y|~tYhnTW67SiaUEmaxP
zkApVdHEExygxT+5>yog{l_oxwsqk{?#m4nWl_t47UTK2aPj5TSKCW&;taO_wTA?g;
zXHnF}3%I*{rRk`P7x1dg|9j>8e(ZO@65te#Li=c*lk#A*iDqAR0i%{cJyH(XJ20|6
zm^OL&Zw@s3TH0O<ke+(MR|Ic33w(&Z*Wm6H2P7ip9L*;Z6Vzcl!5qzl6~)ObQOxKB
zb2N{!Q)GD|cO}TvJHZmo!>^EY#Lz=vo@>UTMDx4Fn(VKDoPa^5hx-=Td&>~)78@(n
z#_ulCym?-@Lg1L`uTTbWBjvkRl6B}&GO3k|p26EFbz`8HoF^u#)So_?A!z3LDu5};
zQ#cKk(m4TY|81_5ZRD{3+ybY%A-9+;aBso>z2H*<w);5nDd#%^_VP?v8HUy+)_lC7
zEW@zB_R+8Wj&GRcp%$7=QNVnK>ziBBshHaaq#86eI4^s_tbh?*amWQ5Bn}+cRCGaB
zz@RTc4TdYC)Y2=rP61;I9ji7#qx6DV0iVG`jY656<9spbjmiqRO|65GIG#=g9~Z}}
zQdw>>=&t_kqJS|C-uf*-(9oNSP65m83UWrHX}DiA-<{naG&rhOnau8vo^Q_qIc^0;
z!e9)}v)mtKeWh52CYoW-RlY%FvXrC4qzrqm@*Tq0d^p%^G9Q?6KnKD+TQpCDHZ-O}
zE#-!MHzyGeuRO-D^j9f&&!Ed}qf_qjp_@T?BjcmWK2VXmJ*0?GyV57ARXWG8Vw-5?
z@_#CJZn01I@=mTRbhm`z)6)UBn0*KYX2^_v;#m#Le+_UW1*!sIM8b6<n=7nYNuwkE
zTbLRLoiBXsBJk^}DG}S<E!8y6H}{*P&*rQ~8m;d&0Hrir1&stYMKPaS;Cszlr1fw8
z+&}jpO`;;<M2lJ2W0Z6c`=ictj2zn9_}&YA(p+B0$SGdk1%V=<%7Y*VUaN8pL25h~
z+-;ePq+gEqx?Ye^UK8Ab`B_$kK2sYPD^lON;C6?GRqCew8b3$$atKo0xgch@BDN60
zWe4~(CLG5Q&hhxG-~uzZsp(@y2?=~j*k7H^iX)r0OOgc@xnAg?TL2WzWGBgtibNx&
z4&?^Ya`1a9DssU%4c8J#3l5yB=Shp?=Lx1aBay@E{l%1iPM5?r!V|$Yx9DZ)*UUEv
zDupz1L|Sr&d3W@3hkSM~GMUaSq?h{MBiV!qR>B?(^t)>5Yy#{rLo#js!ov|+$^PUi
z&#@%tm>QSe9*#{4&;@rxezjdro|+jr5}0%>7%b{RYe#$C00-YuI}$@!8242%2DPMY
zCo>@YVabdDNPiA+UBTyRf9X%mC(I`%<zEE>nT{`1mT7;OwZbqBJ5~4RcI@9XWy*X5
zPiNl8)#A%@HqOJ|nsWn_ONR39GL)y?qD!6fnfE+9^8)1U)hYk!_x<bN_T_=7+yfK2
zdD@BjbZbE?#VClZJRp=1{G)wkO&Ts}4FljOLO(ss7=V%?NPFjk4a<YB1|uX5LAoPN
zaK+h%G<WkgX*9};w015Cq6>xHz~qv7s|ZqcxuDhc@MCruPtXZcCpAH|Tu7P@F(B=H
zT5-}Us(qON@~EjfVj4Tkl_Mg4?!K1I+mg|9+v_pR+8rt0&1ZR94FdQ@>7|$0KiLkX
zLkq_fjAiM4mvm{BFSerZN&_cW37Mn|EAL-JCfL?OgUs4vu~ia<=lut^>aou}KHt{a
zb9=6>J2eS++VZ`gW)kja{_^j8-;acj(UO!`LA;1=9x&Tq$=OMBZ(o^?aX}=&2yr0B
zS}1*sAazU=gl>V>q9BqY-HOyPF4zWxZReY=SyZRvxFE<uHImujFB%)!SJnvD1Xs|M
z3{Wuc)lyL*D9@7jzOGQ!P(h4p>CQ1@A&@K5US6aY2Y8Kwh1kp`rHG%6a*~Eye(dwq
zR#P$KA6(O@rpW%gC?eH>C@R>8;|UfOaw?LH*w*F8lQ@0~{_mJVjK5JTF@TN~6E4W@
zTSRW(CpMc!&2v*X_Ow1OJ$BmiV|wh@e&H8B{}%((rH-rXF>_;)fJJ#v6D?k@w69E$
zxnN1%=&K)sIX7@LBa>4%P+p|yf@cFFr=zKx1ulZ-Pj-X!Qcet@oki6X8d`0%W1J)P
zSo<-0Xc%$msXo_0J=O%Fo<!k+78weyOG*fdu_bvxutt(MED09;K5Zlpg|CSql9WtL
ziTH$#I7=xexFm*xYC;Ca%uw7(GAGs=`fHD)*M!V@T2wV#!gZ-a)8-fbJO%4|-Rjc|
zr0W*PYZ#=<pVuDJbyEzNt#_`ry<|u(8Iq3->e9da;V<~`-wCU)g(bK8UVk-n!8-o1
z(W(@w+gz=Y{wZ30Uoqxtfk?cskkjhBV9eE8%#T6{h>DW(3J?UC9!1k@YgqKsR%~;%
zMoQVV`YzaDRAY@+8A5Qp&x%&RE!pO3%{hvzo@9X#1SD+%n$upA1sh*8=4zq!Rc*O_
zg&$rpT)UX7wdhD&C#^oii)5+KrJRU3B`q?B$?61?^c|B;csi*6_k!hq8(EA>LhJQ3
zSL<Th@6NQF9-8pJ`e5|l9o-@x*9ZsoBN9#iw%;r5WWzo>?qH0A`Z4eO8ljW;BcJkz
zKKQ*;Sd0|VF9v1w95MpN3@`#A7Tc{Mn%!BiSPUR5NYzA$1?Zi+7&r&?yB%R)Tyb$g
zDZd8GrPL1;h*n7s3k2*{umtqZ@r=o7AXln_IiLrQOzEV+6*YiZ<bWOkIwBZ}?pPX(
zFn)ExVr=Gn@=G(ICzV${b_wXgZ%D8s0(v0e`kzw*dJMn=WM0->nrTl*&v$3HUTSCD
zVdvfBNiyeK47|x)2JU@-p5^|iGsp8JqPzP=<p$wK3fK}@3<I&Jqvt!+xJGc{IkApq
z?^CfDnrrB)PU0ZYIq}4UO4*ca-8d1Jr^2rbr(`6%dqHH}A|eCXh@=GodjwA-J#M2!
z23`Z@((`k__NZTDWxQ#503^R7dwA(9M_>7Aw}>{sVEymt7O9_dKuC!bFcyF($z}Od
zKlJ}SeJ>gs^k#TA!fs8fL9BiLhkZ4uLAc8T6n-@}*SRbx2=v8@ViKFn0$xuo<Hn(j
zMRb=1q%Y{&62d&QbCpyRIz`7)9Dok(jqJF~vO%*}v78k?8ZHawZ1ir`H7*NM9lfVE
z?y{g^Ox6VdU;8=NxGb1rGpK-!yDacT2Io2MvY=ei|MUtUb(du`Uvfw_c?#n^%MHSx
z)n(bj59%(b@Y>prSO62}#0A{!I*{#T)<j*3i_0>9n&3zhVW(b#zQz3lB7GDP>1)en
zITdzeFWH1g)SFwvZeIF<-~4+Y{Dd}h>$;h{Oo<}I#B^?IIPuzu0i;b4Wh5gVLCKA7
zF;xeMpAeH3LD5Af*hYx&F>)LFtq6*#FhNv+wF?V}W+}J`iYD?E(at4JSeW6(Ds&N)
z*vSRa$tH-O1B?okTM-mf;erZy2R<4UhX_TJ;H1WCp%g|#&4bPmTS{)260#3Y7(}TG
z@WGI*1AlT<`-7_?<{ihw_dJ>W-&k@uf6vcjGVwP`9BXhYGl^%gHiRW10!B|;y^u()
zOF3UJ`3yvs){TH7J<NEz<VLmTlV3rOtyF8f{c`Z6sVVg}0gaGOx&z=={llxB7$&(v
zN<s1j0G@hGuV1kQkRIO|=Vsy;;n8S-Q#&zArssDCsDrY7A65jK<zICALxd4a=^>I4
zQ0*Scqk*wZ7OLm_823v)xuk{Wlx%;phX_j#A0qzoF+N1^bkBRlv|ae9&ujA&k1N_G
zU<jAHH2!EM4HCIsgW-9CuyVds9;|@&4bl2Q+3?Z5_Y?&B9Wv$?<EZeOM}G(BXO$Ai
z#JnoZTf$3~_D5r1!R*RFrhLLQ0r7(|L<uS*?T`6SWfFysY>NIE*^d3ibBIkv_(p$;
zT&=c=Z!ug7_;9$$7z@jmMifhZbA@jKcLwRxoZk*NaUI)ItFm&j`fZ+4gY!p%gW;>c
zJ;M<{Q*v#=VP0M%<HnAsDUHi;r<Z2Wz4+3zuRQxwT<mrc<7(5*I_0j6%e4i^?uuqB
zByka`i#Qo)1-x$8Cv&*dXZ^>&^oIW?3N%_R^X?SgLZ1QL1caY(VK<lzDR$VtG8eW9
zqVpaA2$WOUb<zpa2WW!mRMmbLIG*ZP#ENtQTu_O_sTbjhsAn32)L$+L%59y7v|p@Q
z@_{M~LAtOmh&lpVU%BFHhaT4|7}yK^YB)0RQ&>$wOK2xZo#QJa!=r;+Nro%B-oK(l
z6WEKzn>Q;AU=r5{qvi*aY?k#kk>+J#3I|^;(HT_FQd~5b+=6LA(E4zanC&P(JYg?z
z^bLBz<>;t5sq=1&xQo`-1h5u294^`t`K^A<e1G7V0p`i`T$4MvJ9@rB7%Hl?2)Ih-
z$fl#`JG4X22oV#GzFJs(lO*#kN&`%!l{)?QGaSU=`B9f{VTgL`8@jT8-Afdq!5peF
zf6+q@-DC4=GXCf<8}Bx9ee3_i9793ob|a!KO1qH^flaQ2axjGNfV|3C@C-08pqDoG
zN9*mKQZ%r*LaoL0&}?<@ZS2)OXV$6o8i((rm#29s*u;~0eyzry`Y?zE5s;1Mt5FD0
z$A<b%N&y06Xpc}i({Ypf1aSZ-pQZe8zeRoW=mIafo~HR64&dKhJ^kJ9o?`zq@>n28
zS3n<1nq6P4dGTKGf~sc#LF6M~a|?VkycfhC;MEoM1jarNRt)P1YYsLm*k*!OkCRV<
z%!woFL1#7hiD7Y$VH<I13`i5MzTFC7nC=|IG~&n1m)d~>gawsa`7z<WK+vfvAZWxG
zap>f|;MX95DYhO?AkZUq$%-7sfN}gBAxp9$mTAp`99_@BV+BbNe|2O@@ST_*wH<LS
z=SDsS|Dj?`CvCkN;I0OuCNYe?Snx>fjIsXfI_u0+(e^r$Jc<mGQ~$TaJiKrK;~r;E
zf?ja4q#bDoX)2HlO)MXLM)LmLPWz(|I%jci6*p=PBmQXLR>O#`cdpqlnGZ2TEga5v
z$X}?5<$IIGddL6#D<Am6e-uD{Eu8eFabxL(H#NbmL~e4Z69}%h1GJhXjJ0eE5Z@8P
zB*_=M;4#7^sdu>Gt+(fGmn@w_kKtDj@PSmft(1!qmR8E$GPp_TGYb}7@^~v<VOE0b
zR$8Y)D;?I%%u0tHs8gky0RF_m(WM$?mnbCBh>Z|1o#&*wZQ8A5-s@y4Riz_|cU8-S
zZs)9CWBqZ$x^da@4re`&8`ISFdH3c3U+C5!hpM%A0}*PaTfg+?J#+QG=U;yQ#j6LR
z`86baA|foi<!73!ON4bh5!Ro7=G|ZOBat80)_ynn*60t$Q;@gPlB3{j9Ip12MOaNx
zA>tI=p;L}VB?M{mT@VyQAOzxyC}k_iEd*)uT@Ww?q%sjWZ7>5(W0DY*`j+#6pggXe
zus~t-hh~K3D<b&g_+&&Rb9gA-IWod(g38$h3KG~-#n8v0Y4TkV%dmT%b52&ETFSrr
zbW<u=2sKs@FtRL#6c2o2nSVQCR7E`guQ!nKO?>jz284ePTFioTat?CY&vv;&OmoT+
z;#ibU#361({a;U`+XbB+fP|2)4bVdlrus-A>XIlkaKberhSlCG7jcioGjd5=lQ;{s
zSx6!~NlH+mPZDqz<oD#7i<PD3lVo~|XPY_hI1|}G?coK<Hy(ajdW>h_vsbSW<POFO
zn$}FuF)$HmaL|VdgWdZz(}O%iqpUWVY%qVQKJlkNc7mRe`wEyue(bPmRQUewEk1Tw
zynpQYrvO?EA3L1rO&_~=9&zF>Ee(&<(x9M;$g3+xB8Be&Ih63d2SE@RaHk*$AP;iB
zU<D9FAua<Jp`Ngqghs9mFajgmR!AVIq7)Jot&l(zVku&OmJ3t*f}08IAXm%l3U7I8
zEz+u&?%l^$?hwHy@F=-qWDTOhMiz7*mwt`RWc)#j7}p)FWEXB7S8?*{<8^<p-Fu!x
z>-%m#n7Vl8+urw?4<l2o%JqmJvo_x0(89?C1pFTj|JGj^g2@6P>R-Y&;1j$(Fy2NP
zC<K!MpavqjBK?>ZO0U&aB>fLlvgB}Sa;|L#G-XNs<Eg>uS{3xUDskxS$ACGR1#vn&
zsNr^p&VCGktoSi7W;UiER+LP!ZNi3v#!`$FpaZ>Ylnpe_a-|mtKGe|gF?Hc<A}6mE
zah!%VAEcw_yR!k$v;YkGXjij)hT)5<u=pu-QPk3P<>2h;sK6k1A%7k%28Rn2pHzB`
zYCxBF+h<$1F(bA&fER*+$3ifmx_EOBdE@j-Bbg!9@6gm`v#7@Lv@X@1zb6xMGDS<V
z_=M%Db5C4;ENlE5|MfTg%7=oSQnTAV#0bHbc5DM@%x>s}<j5nsh(!Xo3L`@>;j&0q
z1gUy;eF&}(#G8;Q7Yd;hr0R9S%^p3@R91ULul<U=Pc8@)lxkEs-UfcRuMnU_kq$N&
z{nt=`1h8xjw;Y00y}sfOUD!A@aW+UBcjrje>w@U)W^VulF^8l_V5K4*j=e<^Tr3V|
zboWc9A{|@-z2yAeJ<MiOO{$JE71<BUqDJg=<`e;&>M|78bm1OlecfEmtb5y0LzA|X
z$a3A+vZ;q3N5_olMv&oVACKg=#YY{G>-JD=&|ns1tw4`c5vzkD_gzZJb^@N0ylA0z
z&-+)WQoEYMDFyg;0?yMF;c&hModZXcFJMD9Bh_1+V%ZKaR_eHEldoy`nYdag>4hJ9
zf<_XBXYa=2Qh28=Kc?{h@ppd8yI+hlnJzR?c*}+MbpW(CfFaVG@mC*A4)nSRzLa4Y
z*DE}X@D;GZ{;(K;FN?xk?wOV#rjjbMs?e<PK#7ZC6%>SHs2wGCR-MAbYz^W*sqm1v
z>sHJP5B*4_hlC*bGkZ0k6&|`t*v!HekpS*itO{?rW-|*VSER=%*QY2vedMU<*JK&a
zl8>hFRB7dOh+_qhD4wS2>_gD^sx~^Uv^A#9@<FfDih7Ky@p?_?@e{nki^h8$YrH!m
z2B1=IT@OZU)E=P%i@V?fdoTQsZV|23lT0`DpS=7_zxdmyxEn}TF9vllNq44NysT^u
zxdws2_zLt<GMxv6y(Z8@kUorUagdkMO?^ahH*8V<z=dQ0!QWpmq*z|RK<GSR%O@m}
z4jM>ifJ)F!-5z(tUWh>H#-OXBLRqW~<L3!hF%6zjH5&dRx<)P;6joz=5DW%ga(6u+
z#H80j>#deLx3C@o(x@+SBH2{$Cp5n!#YAXYh%GP5=ux)0pJ6;HrGhpD%r<ndF~24L
z#xqMT(r!ikUpOR}y38ZhWooMbBaOG=@BhkQdTzQHFrO1JK&--gkZ8~8X3#c}Jb{86
zGZT@RuEhXjaX~S_qNEVa#Q@OokaG_!u7r-Ez$8-U5XAt%^cee~7%<4lmtp`$Ccu<Y
zaAkn(ICLonpiE&f2}FrUiUCN|SvnpqZ8gabrJCweh|AEMN9B*~*#J;ciUG)R>4Ygn
zYJ;DXtM!=ryk=dW*bAUcyVKNkgW*0v>_hquf-m-Fdi<B4rG8a=jZ7KPFGh;r^2OEl
z#Q@!L6LDYYzxbp=C<fSvkk;j)ckRRb6758<*<-!2Po1{y!@x^1;8F~D_X97jK4LN8
zzkT*g-}kPl!>W_yb=c-IEkSIfjyEcaonuMW>w-w#vm1uVSoF4bf;aNeW5UaFZuLkz
z7*bx@0o<)n+7y=-71`dY%>LgVobjTn_L~K@ehD|IdfSg#vV#_y3Oc<b`Ks({hOlQ*
z+2>EiIg0A_B~j7UiY+XT0rQe%hL9h?sRl~|P?OYG>RA>DIUBL1QVnl<KmsSKw;dgZ
zK`#;T=~@N$qfe?{Rd-#-jwml`D^+<<&V(0tZ2NyNs`qwPuQlxWQ}`;Q$WJJAh_<!U
zohWpSRU%&ejko>Uzw=2G1ZD6=car9So?;&k66nFlB-&PaoS<Z#$!xi>UjGmjZR>)V
zc?Fe+fE%?)#ZrbK9bMZ(VntZBkr!Alt?C<sqHS$OOAD}Mu_NlSoTF%4KQvMeqHPZ#
zPUuOnMBAF+VYx%KfZ7(LyR{e)hh`<h&k>3f--}va84W}Ts<yQyL4a*V+SP)j+T|oQ
zAL5eW(-4FTeCHumm*hiSawX+%R5z9~h&`=2sY9El?6AYCTb)hKw;a~e*s$!2U^;m4
z(4E<b_~^CT2hGLBVOr(^A5Q}TpW>>XA`^}+r6<6|>8YM=I6+b@-{OG!S$EgcV?EPo
z3{S#-F8RQ8FFcQ=cWq|Gdwg7xZ0y%e4>suC*v88>+4<W`rYCy_(l%xz(NhavB&8!s
z&tZDB0gndtC?x@oKbZTZCq^K3dbVeKWI6@<g_p)~TCt|&2yAnPu4988gPTJ^Vo6dw
z3H$BnFe98yN_@olm#ZX&;kG8c0=%n~6nJ!%EceKP)WBYop*BgL{uXplX7wS;TL1~?
z{HSjMz{mb%^P|UH@fKju_-lI$_}5qYEjWU0Mhg`<Isz4-^XYR{pATw66_6xjpLamv
zzQ7P5(C?7JtRytc1Y=8JZ40Z&01RAV2rCepW!we8#dKH$h5&(beAy1%0kFlD(G7%c
z1-CIlu!aj(eooJ~CeY!0I(&W8^&=oRv#J1FyvBlY{sQN%JLChdmop%E<AwoB3;=K5
zzk^|5hqC{Awq_FCNlw-P0GHptrnbw+=XPAbwP2ys{;Sy<FK;@3UZA}H;DY7l1Opc^
z5M`HhY%?)&>n(I0>Fzh6fZNU1yS54Bb5eLfKJP;qd~w`#VQ$KE+*V%8ZSl?Ggxxx>
zdAQ(M?Xy%)b>qSpcj1fs>X)B+&$F}ny%(N&|0^?xxMSnND=)UMp1(?8J%5$H;-e>J
z<;DWQt(RZCdj4I)*dwKS7K4QX@7e<N95aUC<&yo5IQl>Q#vk~^Z%dTNQY!89H>twP
z9C}SAh+X#z>z1=xsMNuE-zR#+^)kVr06_AgPxMGc;ew3<Kp{&d+aiva32s4wD{ejT
zSJsN?VPVIP5Aruj%aFid`vF5R=WmecQF;{2m9cKG5EQS=54~VAMt#2H6MDC6K-pDt
zkFcg*$Wme51MV;R8x*Yv9R4MLgURYf7O>reX02N@-yItmFDBM1An}8g($}y00jYh>
z9xbgY%_UFw&m>C8F-xj*;=4h7lA{6-V%!Ov3`Km0A!9Fg9bxQsd9QOyxY7RDVxfmt
z>r6l6;ch?|6R}FmXiWqx=@Fl?`$DQi2*<6S;ujn4eR{@cm;%Mh6l^}8qyDYQ0|sVW
zzOo{@It<4!q^EqgU9IpIV9hOV`QVXEkNIrB!wK@?#oI7oy3pW4AD<ac4`~8Q&M{r9
zdnAQR&3<5nU_f{8dWE6Eg{=ubLMAl1=F%F2<0^q(uH{`3tSv17Tw~02fApk<X86g-
zc*-wA;-Z(#_ozV*kTDrdDig;ie$gBSEUXD=1TI&79pRG4c_RGND~~X>8$N#eOC~{3
zdpfa|UNUSA!k@uQ#+atXKNFFTydT(M_>%FD!^p_xhc6lAqx*C4k|8EL^(8wN4|U;<
zhAYXvMZ8hm+ddv)Jabk&0)ILOU~G;o{xkxFdO&W6KhEC5ZSv>YJ6T}m>Qbj(Lq1Mv
ze~G`spC^IzIlYb&?!|I_c%$J;x_*c^%FjW#QQ{HCGiSvk2oW+YeT{ge5MHa}AHQF=
z(+NwM5WgSs&AR-42{Lj#Lq(YOm-s8~j~J!I!|N^{mhH5^RLN`WXT(E#wLDCD%TpUl
zy9&FHEyU8TWeA%H4cjK3)J^I2#A2J$>#5JOuYSKC?D57#C$G+owpT=kJk4H^WV{q|
z^ikisf9ZF8{>QhhcR<z|4(k@Kq~h;M&4<<l(Yy8)l4LXwO;E{|C^#HEP3z!-8+7@@
zI>0h6=EUE_^^6ty=DMIE!m#4VglgJv7d$$ln(wj;9-UClht>tr9E7GIoFn=!Nfunr
zkx#1&0?7iL2-Fj1Ofi>T1SRX;B)x12lCHsp6d%<k56I$6S16uhln}c$^UXp2k|>l7
zuMl&x_2~KTV04wjI{-%P=1IdD%D0CjP{bVpV#J&bJ27TO%l$#;l!OLjL$}4jYQG3s
zTe+OzKVe5fJ<oiHkhj#48$9~px93~5->>{C9jt1a&NJVm-LXmD;$ZoC9+~8QhU*X=
zv1+J;I#IXXLpSEct1$+}a6OtAfy`=igNN>h`wn`D*9069DK4ha@W9Hyb2M-YKXO<C
zr9)1pPaMu<NwniL?Xb98zGJ9=Jge#WGW#K&@W9vUY!%KoyDp?@I46}Wu6kHPy*zG>
z-lCH-{HebWzX%OtVchB__NC5kGzP`I9O;*}<-@|17KLnGO26#2iISEkQR=DDtV`rv
z>WPgd1uxAMZj2^wQD6AJP=DPH_18DN;e#JuMjNA|COy?>7)rPYYxe1psA~(T5w(iL
zE7gr^f(<3RCYxs!q@8v_bO>mJH)y!5(ikgB0A$F{pv(ZQR*i26(v4~>qRgofP?SE@
zmkB`$iEPC!!gk3+fZy4sO^2ZP{x0Z*oBY*BQL<~$7SoN|ASbBV5?}E~hBu|1&TKc#
zmX)8o98_AkXI={4rIEP&rkqP|JBPLuF5@Cs3AOhynI_3*CR?iQ*I~=xouXSg@4%;~
z?vTScB*GRsjE2{Ex82z|-&~escQ(#1%4!PMk1$rY!+461oi^!6w=fcjCn=)pg9}du
zS9ck<6xR}++~*rldx$4AGefhB@tog#>FPc2d@maBo_Xnd&5SyXig}^urRTBNGw*tD
zlysyBbMFNIh8EGa-^4At7*DOSopOuLnN09YU;3>-^@UT$^Wfu>Hpu86GoI1sftf`b
z54#!BDblv}W;I+0oXIrV8>VbLci!iLu85<2Q_<&v>_^|{k&S1xd4T?;|1sHkMwbU%
z`d*Ont^b&4^4MVVK<6?@4#Fi<lgHemYrj5|W>x~rhlVt6mT~-p8&M5L2NA3;1@aR6
z5X~A#t*uILLt2-A46Pk*b^Tx9i$U#?F(ov9C5J7D?rc24J(82IG}k5RDhZOhL$S8|
zO2|*^U6QUaf7M#cv(vJqFn(n;5v=!F){+J=N3OuboyCPB-bb>wOhz?pOQM8}J$*8H
zs7;|<?CDA9liRkZf9#)q*JuBH>g<=e%Q<xnP>N<ipt_}sO=7JA6SdBM#i#;oyRyy{
z5E;U#B|NFx)3)Mj&dz=)4B#tZf{GyRsS6rF9dQT4(oRrn@^)y%nBWd$oo|iC@J^8S
z)K>&7nE-XJC_{$Ip{Wy_AW*c3F}b1uI+EZc7(cZ^*SFYo7R@cA|Es%?bJ&=@b2FI?
zU$>}i`ilPVm`wbQQpY>T=d+ynRQs2LEs3VG`4WQ|(IpzsON~-$3{}3EyWU)aN#`!j
zB^%{4W|qNjsZk0ZJfFXKV9ngBn-!Z<!u3S$eOxRU-}A#qdvqss=_PzTJvO=Z@bR=;
zbO|3%zeVSSkDvZo-~LBG6y{EA6`p(bs$LAX1JiF`eauDD7(<7Gb1#@{q^%VUCG0^x
zljhD3-A2KoL^>#EmJ)WG1cwe24Iga{R9#DfGzP(WTWJW=+_giuIdH&?*2?q^&7In#
zCn}!`HSM)YXz+`{%LbkX?ye1<J-io#mx71uQSGM$54UdcPP;`HgZFq0-v9M2U$pt&
zm@}j}H#c~OdPA|%c_CV9&;fB4-x(e~cp<<esx~47Y4F;Ljk#^RHS-jL65lz(eTNUy
zses9*YC=O$;yV*Gql_FHpv?WDCBE|&4<L(Uu!_Nf0OYeE8Y@bC=YoxC0==S|(+xo?
zGuuL2sxwVs%u>IiIPxwD9)F{nz-*ZQ*GL4^B-d-Dxj0}X{9sL%np`rtCN>LmGVCkj
ztLTonWN=NOWITY7=i9SEd$@q3jWMZQBf)I>npO-(XofLQgI`o`5ZZWwEn{!)960)V
z-7_ejG~OI*h2Vd++tl+s&(lx`V~RUSrM%CSU8W3X<*xy`cCeHRKYFS;BIo#|YmbdY
z)RWmc{(F}>hhqQf82rNC_QbNcUGP!QUcLLlNby!$?QXZkYszoJtGu`@kH=;C_P71?
z7ysTU@v8smDQVpT27j>t+KJX?vovxQ`>MdqIoCpH-f5PGH0BYbLvXn@KB)zPQrZSU
zlo7q9h|w3QN`YlW%oj-4HPal2ju;*1xW~*PNg%KG^hA(A4#9}g(O-Z;8YZ|lYKIVv
z7@eI57^NXAE;-<$u1J@~c7q^5%py2H(8QKQi_7AY(YA=Nzy6x@jByy%-1_u7Np!0L
zuC(nQ>i7R%io0)nZ4`Iy5uQ-fmu&axYWgt+|ItQtZxjrEv81QpqI0s{zyHhrr@!(w
zk?mHurVsut7DO=%wC#!pGXKXcsfU86)3$GRWcEU9!L8A@Z;_$kipVeS*yXeLpcm(u
zgMTs}35g<@eh0yF4*o$t#zDwTCm?3;qSYMy@8-x3Xr)>v-PTY!^$voqevdY1scB;f
zOSfbR{!v9||2P6*nB0M63I3Ph#1hFZ1~&I==HMR*8I<O^CeOH+EWtm9(@4V>SmuYM
z@g+HPnA0!eMFIyyzh(~pt-v90Tf@i2LsWu)#q`K|qGPLHGvA^{;dKB=V32w!_Xyk-
zax+oF5Da`g9+N&yxGguPg4ej-iQ7s}LH&vmvMT+;o3JFtw?8@O!MSj8J&OTP!l@11
zy_e(L0&PYyIx6*O44Rly)e~T$DGDfx1ia=$mP!R30hAJEr2`7TRNkMjeBPhifgKz0
zX<_bPsm)LEY2ke3NhUaQb?<HLKgarU{u51HiVSbHed)<+P&PDSvDWWz2dBm2YPKdU
z2&3ua29<wJYM$#0oeFxk)16qpx8rOY{^bAuTmJe_Ml`1j^)?7?AJEq1t63o(+9`#s
z<$$W?oGS=ojD@y)`js?*ktcVz?1rHY+Dcec)OOKvjo4<n9BA0A;&uxl)l_K@2|BV3
z0(U$U(A4h3gl$o9VCRsw7~nnzIpD0{z`dz0w!k(WC$<5_F<We*u@NBm7qZx(kOalO
z7Lemg3K-Ki->hTLuqGIN#Q5ffdPzWI;4ONE`O871QP-T*!>Ycfh>2C|agoB)7UcgT
z^%|d=nzau-y7<H-F4PzP_jIc#hq@iK-AEfv(mn$qaF_KZ)VQd-2`CcORnhNfPcamG
zkkqxP&ve3rCSAVKNnJ}{P}(28GX)BUuHUNexgGmUy&`S$rETi=KCTvw&z&jMOPcnQ
zrhWbeu*(U1#*;h~?CsC|;5U9Cf=h*QyJ0s__vvk{7QP_DTda=`dwC3PtSFWWzX(*a
z)q(Bw?@d~*>!JrW4juGK{s{JlBPJ$)#m`}P;?r%()D;uBx3^9A?(b*26aWbFDd~5*
zD#;t~TVG(m3Y)4p7gfHf^9~pcujOu$!8qR>?$90=_9qEv^ZD24gC1U3Be^yW*DIF#
zd|PCX<AnEpNpzl`MZ5Jx=V`a750EaD3fDL8o|EYOlz;FUf9T5s<)k;Gw?!v+g&yTz
z5Z}}23SvUp2=@YmOnWNceAK$pUv<Hw)=l(`GbOA!ND-kH=<U1C%y+z9RFI$Ja)+Te
z5JcJ1a*cK{q)w@w{LsNf5ACH%$q<y1p-UpfBzlO=u$%!_DJLYzY?8ax3TG*8vxD(y
zVh5Te$3>{*a=;}aL8eRY@WUh}ik@6UIoVhELDDtil8^(Zt^9P1@b1qu-yhK5<0n%R
zO}m5No^KEmjT+n!B`2K)bqb8_>FRpcCo#;;`s-d9zGQ!~MB#Y8QDuN*a&N)@;5&_H
z9$nb~4HqFk`FH+rd^7fmQbX4wQ9L2qgn3QTAI#X%=(XpBf;pZ@M>3%v7Fht5Kx)4^
zq|yO}aw)kp6XE87VhZ7J={yn|(&Qh=b~?T^)~4elTUz`JAt%lISN}r#cw;#Y?wg0f
z$}F<qXR|ihJ9BX{Ub{f*({9nl#W>vyJjccOn}6rWKmBjNhV$4lU~e(3KECeq*bQTa
zQssc@zUHyPEe-#M8E@~Hx;Br!W$l1SFcyhBfO4)fU=p8Ex#Q@yMtQQ47h|YKcLbnJ
zvnXG7=>YxP>xTftQw8>Kw(AI+Q3z;5QDCMg8gwsd?Chp!mOt$JC5?Ucz9*9a!_?J;
z>vgf~kH@b6%twFvpIye_+`!#3YS1lK>t#3t3-fM{>?^}aHNowMW9J|U1g?ghA;{E$
z3nGMO4-84I(Y7PTC<Garx*%rOl7#{*VurU?bVHDK-31#3H8KJuz(B=21Q|lOAV())
zMWhZ^7`@U7GIijBn>l)Z7?W>Tpjqq$nL2Pm45Z)$1+2IxRd+pfLK{=Ru`fy`E!Q3=
zDRw>9#8e1Mk^;KFK$1FeNmy$=`fdT<uX6NBYaMp@gmC-}gYChw|Es&c>a-nhu2Z$S
z&$bJ%Mv8`|x|*WVK3+#|=5BeWP#rGBS_pVcc|qYeiPf<S|Ai<d{1R5b;XDSoXQEoI
z8KwsA(aYmz8my4%B?oj0S9gQggu~V_Fb%>tszuwAK4BpS`TtYFJ*O?-8z6#vKJ}+Q
z?YVD`phzRsVcvBO(pPA(%@QM_<o&cz)|97hL1(Ves{ow{T6NIW*SuoRyTW3sZU89|
zRGFZ&G~J06b6(Fn4T&3_4`};xTCedgpu^R)Y@B1xyB_8%d=It6p#*)VFy~zl==sp(
z;~o{w?#JZ3>wdMhj3Rtx)v|Hul6Tz#CZxwktEDRLBuP}6UrA&>mAVKc%`t;GU&%?8
zD5@&+_Nnf=QB#Mm_2}J{dJ4Bl+~<mLByNFzD8xPz$0YqQASRN)CKL+GpCz9+Q7=Ts
z4hl5w4-I@Y0V)~4NB}-Ed<W;7i3dRhc$Pxd<a{VZzNiU)1MH@A%x~_Qe)Ol7`muex
zJ%xdlI?kW+bJgJmnsay19J|94x2QKMmvZRsltX{@lmGO0y)n$P+IKh0&23(g{FQ;l
zW^DuK?5jacv*6%qiD}RwS-tz@?trR(4}7S^G{US`B9I!>z>J;})5M^j-Q1c5;v7p%
zGe^UttcYNtkCJjsv)!@lfai!#5^a-+6?06(iy|dK%zd=phY&0=&33+2U@)?VM2wf8
zU1Azc-62OIhyUycKc~brhq*L*N{nU$Jk!yctF%pcu7ZfBBwUjI=;ijz_Z(%(aBd0r
zsXNbne?WK0<`{|R4Dp+eo`cRdVGt;5Bil%l`3^M?YX=&tKA4o6mj9wnqqbUNdSZW`
z^&ZW4S_G%T=_G~C-Pc4PuSKW;Cil^#;PqV+g<wu><ULvs!f1&tToO|vIZO%G4c=;9
zbJEE=CGJ$G=ak_;>SjG54JhuKMU1LmLU>48flV%%^pB(%6%89@AUQi$3Kud23S>>T
z!=-xUDL!c8$T2FX_>>qGlXuGTWjh^TO3LwrIWe)ss1pO(B?yh>^zuZED&yGgDaHhF
z_+TkU?KZJ5HOATXWLtj10eBTc)^Gau7v8W4+o+C;+eVL{PKOFzrmv_aahHBl^Qo>H
z>+{fWI?Sw;(L9UBe>;##{%YjwRE0aYpYD{E<76m3#JRNg4&;8|>=sz5#F<HniTzJX
zjJVTMK*P&Yy66U(ImvuPAlApF(q@qlNb{TzD0z<9|54*ooNw-;gN;9nP+mNzP-1#{
zT#1oiA8A0%tVvucSw2ew+1xnKyPc2+yTp|~MCFBN-XD`u?qTbdnNLosU%e`1f|s}w
zBuFnjf0b|1^H=E>m9I{@Mdx5(-tpX5{O3OzwX!MOiT1}nODUaS4VmgA&X54DR-_JJ
z379RwnHsaXxvA;iK+m?m`Vh?NUPM3`RSGBH`0v=>+Y-p42JIY;{tgLbbnI(%6@oe4
z+fb?7`37A1IpbPkwhfdDW_tu#Rj{Oc1C)xQV}Bu4JK9+WD0NISX-1?OePr7K7pp&d
zzB_GZ8-9>sVB(h-m~BJLY*3;c{GyzWHx5T6&q0q^bK)oa4H^|iwJuqB3zdA>`oobn
z(J<I)zjINt>ZrUL{seAiqaW_gyO`^2-uoD*Z}SAAq>L7oFgW=GvK<Ck+x{acVV<}I
zB@=}+L=tthDJ*#LX9iJR^=A<EAupbekGSO=+^wYH<L5}qq2;NOm`<-f3U|1=`zYLX
zn{;LF5M+CT|H4eFtFVcft53SJj<^4@k6-^#^zv!&=0TC?I{;}gYy*Zb_Ia6{k_yPe
z1T8vfVziD2GFdBAd03h$*MPt95<lcQSEQuaay|+G0Hqck8%E7L>_29&O@B;rt&!);
zD^9AS7H6m;FnC`HUz$jcB0A-hziRTGOj9-Xq3<@o#gdq-mSIWkFe~*b31qUOAqh^>
z8$<-;3K{_ZMNde<sLB`MTOapGeJUT1dLi#$^@IWqLr=g~omY>;`R3#efOuyC9Hh1z
zQIuSq2wM<nfIi`_(kYjc*WH!8OtYM+eJ>@i+bMbd$V*@RF<&1YfQqSf3uXvQIPo%d
z3d(7N%91F3`^rjQE*Mm2EAnsAI)or=DlQ0i8Mfh(X67S_=NuCx0aZc#L`s+e*LUJK
z0(uWfES2<tBd(<6bo^324xaNp90#dL;)V|HqvX%p(U%8c2l<N-#0==u=wi6!aqe{b
z7)=rr%j7BzxzkVbF~sJhPms=mey$)K^$9G>(T&_D7`qMn33}H<S3eh?sC$jOAbL7u
zT<4vK({1w1j^|Okw4}mcl6xR^zvLeDaSNUI;*UJ;xCQ-wc?>qISp^?7(eKA%R`y3&
z{W_<Z)x(9VL&7NTCJu=`;}h17Y1PZ1YM(%T$AhX*yG4CCcyUN>$06DM(^ua7>yazf
zn=x=mbP5O@lI?uZ=Ejh^nUcnP2VT>@cP%?4?1_iX!HP=fT|5^CO1|Q*5#+%{_A6$4
z2eLb$c4bAdYj(a+Hg`dg2Ov=IT`?Ke4jlw}n9<U$$nePpfq}vIVwC6BsbF8hUz1#E
zliZWaRL!?xMv`Q9NTRb4E`g>Jk|cAbz9w=-)>#N1_x=h=5Zfdh>mHb0dv{TCr9(-;
zbp}Vz_a|8Q#Ko*Gs5FQ9n!&oaCar9iJj=~clf9UBHL~}hKEPvgFgo8rHm`Rq^i<B|
z-y3E-;2&HF3C?j)aKTFchHr3Atfp5ViB()(HYQm|M7K%yf;;p^PED-Zn>OL>^p=!~
z)pvjG*MIOIMp&v96t@CaPr+y<%$}fz-7Hr|$Q-4XT$5=usQ@RM?g~L#0bg;y-jMwi
zE3Os;K}nZc<tPean<ZN=N+B#)%%Tvs*bL4wrOuI0onsycG%?~i8}1b6C{KzXdVya{
zK@4Oz_R|oQB%0UYfW&jU8C31~goI$Jm|>PQ^T|RtV~D&YnHwZPq^f;rpm7;e!<35I
z60&ldC~v8;N^9o3b6D<;ZU!*W!FlG}vpKA^{D=>hd`@eY`?J{+q$?FO3t*FExj~CH
znP2hS!ROj{*=X&<uIGM@uvpgIlLNiGp5+#yqh4uoFtMu^UMDS!>gi)RAJx}ZwDV$}
z?)Io*Y*>n`%?k<{(uJ*PXB#i1&>CTE+G|_(GE!~bYo~jS*{1m0co>_?cZj=cHB0a;
zIgI7wn!{LWl}KrAsLFF#QWZ2A`!g&)r+mgRwD9zxoOezbN>c7z6+qO>7diFaiQPzj
zd!hqcB3;7zDBo$ZvgOsWDI;!ZRtN_Yj%|Na$g$nNMH^n-Ji!QD&_Ne;(AA5x7QeHs
z^74yU&%X;auUF5)1j!6C8Ew*JUViDBj<A6K!IMk~d+$wO^2=Wt5wF%m+}H8CE12V6
z^Ia!Ldqi>(`Dm904XOX?qfHvrb1sN})+0R{Ox?NU@g5Btk0!YW&phq@XpaVc9hW@b
zqmfJeM|w0SJp<ZCF{P(a;HhBc%+<f;nA869Tw}ZQ5LLezC%cpH9*)6y!j8u$U(x@K
zZ^k}RYM91O_J4rx6*x~ahsysF=5Wv^in4aGpOWWI4nv>!?cqO?R!#?0iy8-%?PPG_
zFBJQIF8oKz@X7yx!*h=RBGsBWol1`b_zt~hdyWxh0z<IHruW?=Wxl<;s{08Z1#N+!
z?hV4qw><aJ|Hd?42O}~hakt&fk;tOE<rhe&I=9yzjpg1vH=r0{+|mU1bH*6ZB!?YZ
z`s<461~93jl`m3qXi6#$&8Wp!M1Q)DK?ZY(MT;SrRW0U&kVF87ULkZVhdxQ+$V;kk
z{GH%+r~pjCOh*~6Q~cjCjS_z|-C~(5%%c=YVA3rYyiN&BBtMlEqlCCEu&7~%<P%!<
z>OM9vc%3JccFXk4ncDYK9=o0L*c-p%n}5sq{g#jVD8%ZKm-Ar30(T@vojC?GA^MzF
zPQC5c2W|fb9A&`YoHK9xHQ@P@7{xffe#M-4156*;J^*`=OxtSl$I}V#cze#f1<wo8
zm=)8mqY3@T1kVeg_x*|`>(*#q0JG^cj-stRG1^#OFax<J@+NJf+NNXaxnwb?f%I;<
z{NJ!v@u~US_Te#NS+BQ^mo_~3{U{Wqyjus~?GkOTn>M^ty>5FS&(!-Y=KScd{Y(GZ
zr%fj~IMNfP7a-90)lscpcfjel&`QOl)%GX%kx?ul?w+piZoq_>y>940(DbQ~Yz;%<
zOc$>k@c>FM!USOj_z=uqH=J!PesCm0Mak=w0Y66!GDXQ>xDb3eUaXiC9O&f&?;p+)
zk-?CzA}2VYpou#WvJ9|1)L=uNN<TQ7!cg<)??y*ee<cND=#uT|sHt~x$)v`lY7@<s
z(iWoJ_{H#pYeL;+G+Ci~aIE_%lxBP~t=TjBZ=KQK3mCG89u?pDY52df-q<HfA#LI6
z(^~@kUvx1eiZ4yQ7rH?DAt|~LG-1k9i1*Qg4ei-(WeaQ~336xc0H>-*dtGjzBLB!o
zf5o5rjVX48qtj5bTNnMDtA(kbaKf@%m#fprP)KD-ourNs5NU?%$EIYrZg*^KO0IVw
z9h;Kfx}#H5id%PdXbM%NyOf)wLsP=9M!p4CL#x<hLsOUoZfhPLnv(BM^rwyuP06<>
z`cnszC#hXgRn$$Y)(oQbaEvNKwPdaz+h1Hwo~eoTh~o)l*Qx&RbsE*b=f7hz@i$7O
zw6W`5{}=jK^lfBO6|9uJxt?sWuQOBQoa6otrmdVyx7wAhkySn`?aNGV?mlDL`kby&
z$rC4a%vU$1cLbY#zWzPUhrFPlPp9m@3}m~;+K$(epL_NILx3W}V)h&h&`m>t8{(Bz
zs4jF6@?9hIC|}(+Q^ZgGz~}v^KRuP+*{$a~%$rR_J!e9;H0Z6;$sOSC8{nm~p#f0O
zh=IX>i_}Shvz7GDZpV;;XsNYfd&9HHe!vF)g3cvdgT1)(EV3T}pAM7;2tpWx^n%$B
z*sl%;#l3+6;#hhIT8mI__=g}b?k|tzshiC{ELrJ+<YHyae*SAtx36j^&9+|EPNQbI
zvME#3nC)q^(?c=0pk_}CylM=T6*e(G<yG4m#n;^@N~Bn3SSCSaSQ~vMw#l}%bL|Jl
z7q4G|P-#&zN~pc@>5#>+#b<RE4{W(i5=$p6shb>&h_l#&57^$yC<R-74g-XQ(#e*}
z<?&bsCD`Ofq6CYp>%_mLK8M`~>E_vu&o{KRr$#!bEl)L@+nAUPdeiUx#Q)+`1KFz(
zVNYN?G#~tx=v!d#1j?Wlny<+q7u+KU1pgd@D^4P00ApVvfT4zS!D!n6nxhw_hHHYY
zZ3C1Ds%BzEYB(4C|Ji#NVB6NLJP0H2M+g$36B<0GA_+Ncv)&H_Lh5#l!JDY(UbSOF
zA5QnZr@40b?!&#8PE%170tH2qN=W6UbhM!&6=JEODgvUhNI)$EO<^LKfFZO2Y$6aN
zMG!-ke%~1X_{SLkoO{l>_FiY-)2C-sm%Zj$WBzll`54b{d}FsK<2jTEaG{-+1Zp@F
z-0zQ|A{D_^;g0bYso_lUu)ss2g3C_VB_kaZ#Ow<sw74SB$C|kD6-C2U$qnGGB1vV~
zTpvjWndAW=U`TSxlO>qKntVh}a(mp^lqaO_+co)cn`Eg&plfiqEV$yZX1YDcBknVf
zenj~o-|$JASk>dimd~gUyUMuyOE(2nRB*w&iq<Uubd_v>YDso_RIsr;m+}t}rv*8d
zWa?4ObC7e!AP0&$yzWrUxVn1)Fbo?5BeK7SVlFR$d!7P+H1G0-7vJ&VE8(EdA<%0W
z0!_O`t#O+x+~=uq|NN)?%O4R)*mv6<u<0w9bFo3Pg|==~BoGXM92hg1P~<?sqJs1!
z!~_+=qqZ2rK{#$mGM)s*0u@2fwV?%3?B9kJ?j$G<LJ>sU4NEpirfh|+Jqe1&FhS6^
z!HIz&Xy`Pk@^cgip$Hz9YjCf_oF5Ue!JwuU#X+d&h|o_Dy=Fx#2u`w+ZnGZsfw|NU
zeaIE9ErxIZ!g*d?MCLOMT!P;(4p8v>+bRtx6B5)?T2iw-a)LEZ=)^fPGASO9k4M~}
z+hPA3#yNz#MyM$nolb$eYHaL3M>=UNP9YI5GN5YzggKU9+XH=%`APd0VN2ee5LM9N
z*=n<Gd~$~=mm5BEi)Pz6-F-QQNcO+@b^qnB`w9=9H43-jxy0nKORJSOv98vo`@eiu
zY@-P}KP*@TbDLr&k{zrk#`Iupi)Bm<1LcPnWmh3s0(9ocGSv45(u0GA$Cw@*EIh_C
z;b7r0rw1`?(i&(xfHJWib|trirN@xpK@H`w(7foHSdv_E6><bybGY;vv$vHRcYEA-
zn{wm*87@7>8+4P>qxum;7&(z>`DS&ur?&*zSa;1zM(==6Y48p@B}7D=X&Qzk4)YGt
zo#>4JIJJZ*qm#X6dUEHhq*=rMD50K>AK@OH9rV!#cO<;y@IS)0^MEwXCrH7|KB5d}
z{<4zQ*9OeYRzDNj&#kHpsg*K$|GR$q|M|VIJHh`Lo?Gg5%+0aG{T>b6VSQK%ITMCZ
zmFdUsRz&-T%uT6d_6#_U=531#D$Fqb4`ARMGaSp47*?vuZt~M>0^JWC{f`|gh>A8{
zbTL;!H(>y(l~6JMs`a|E|50nGfRnbM`{aM10ku+7bSIo9{{tYh!X82<j{*O~3$v*7
zb|mxdvC8ar-S|nPX^FG>3N?{_*{Q7RF$!L^Y{cfW{u*nn67X|VCY8-8GQJ<BLuJ+U
zul=1-O3ywHlu~dJ!ix<T0q%+Sx=u||l$YO)=bXNuU4+?1a2MfYKH}5=)_Wbvtofog
zeUH{K7<?w%LHq~v8xq+$eSbKDfmrhVJC?k&U`*c+76fAYey|`w7olXhPzPTZX5*C*
z9;S1Q>HERTK}_El8f}*CkIS|eK^MUeJy>E87okcH)fmJ@Fv-CpgCJB)a<{mCmBGxp
z{B0XP^vgnA6{3F?&Ri94H76TdT^^vQ^5HS|$(hNvTD)z;gwKs0r_n@9O(oPo_^t+^
z@iiMg%CkJ1>A8|HlTN+er{2{_{`<dm_tn4RW?DO!%uMUn62wPq%=)I82A!gs>GD;n
zB$(jwa76n!%kf(oJq=swf;7`r5ZGOb<U!NRpfU;a)i=SK(}o#d01%c0X{M{-3bW|c
zl>m84BS<rCf|!9vbRB-oM);W1iZs(Ecw85575tb|#3*0kSJO;aK}=r~LdYY)(Cv>A
zGi{Qf6D3>$P=GZG0L@8?nKsG8@&ME!C09Z|lg?7iv`NBq(n<m#5V)rK3P~lQO6s^M
zthqQGwJKA-!VePtYm$KW>A3HM#{A*vlOuVx=00dnx6j{uG$r;vmyG^~gSV@OFn-42
z32oGq*KAZC>e&Z)a(#elw`fKaJRUT`n||=Q&v?`MviZwBM+kyDyJwhcOcx{ltuZ|)
z4F<>3?!fggSpp25BFT0lu#VY$XQ%0@4}_%OU2vfRfe)k|h}$}_gP6_VueRFn45sPM
zyw{k`FN3Ve#*LF~&M{~6!BP(YgCyJFjcX-i(=iCMQN|a^4buAgcWX0=!6*)((o{*b
z1hkUGK~^-un(#;ba)1`M9o#{@J;j$pX#|-3&YH2>g1d*o7F=_)S+x{C+QU=Mvp`ZP
zi3wuqJkRS_(BMnLKyv^e;$VU{y%5q2GnnA~V1irgvYN+B-rji0X$70v#dtg}#wYxV
zfA@z%H9-BnPMTr4<kStO8CIBqkt10eF$+(Lk4KLh(+qp^nuPE)Qa_<LbF+uT0Ko(P
z2WyuEW10cw1)WudGy`WZCBd9#SaUoF&Jm*#+gLxP88#@Ki{N_S$(f`y!*ZdFPWUmj
z-RaON&9K-h1OYjgPKG0;8PE#}Dm^9-miS@Kl1-WcGmlgf?Tf7>0Rwi;z1vR*V};W^
zujy8ceU7P&P3>TE0bxqEpf3|(X1O)jb2Z>u_5qwSH1hFO1Ez(UbI;v$8*p~ok!iPR
zHq+B@(J6fe5C85L{q-+!hDNQPnVGh_a4p)SQJjGxEJ69IR0B-Vi}oA}+z9p;?GZt?
zf;7{%VlCPu=S|MXbm;z~{Tf*JMzFVNe?--wJ#>H3evi1kS+T!p4?Eo+`ii1GhE2Ah
zhAWEphZUJA)9=2bXusz~$0&JiGaUlyr>SUf=Gy+wX8N(1>43WnuQG6VQqtFN*(sPd
zr^4`xVmLLoKVf;A7}Gl=%eU5u2<h$f-|*rqu1PieY&*2P@d`!B76KfzcFxa;38igz
zF(&N}s|9naNZMieYMUyJIXh=l#Mry`&@rd#ElsFxwrRkaQ}vD}t*fRiZOW+{mm;Cm
zZCW$tRBP=Q#p1%OQlfa)D}|e<<P{HxH79T|`-&d5lvjjg%&G3cTZ<Tzncr=p?hR{3
zgf!wi4Y#1~yGM_A2iPmJ)ZpmbJvgjlo_18{Hi^p8j@|t0x)3*JXq1K^5#?O|rBF3K
zdIFhoP1p7mOF`xYL>xvx(!Sk!mQBmU<WS8Zdm^q*>2lWA<Z!^JaG;Mp+U-D}GtKuY
z%a5qXPCPYL`%YWFPx~(4{l3-Lc|}XDMAwg%=?F;W?2mheLlkq;`mx}QfOcWe-Gb9#
zllPVUSnxYQ5kPQh*%V(f7(b(};Gqw!!lV_W9}B`H!^yA~lm(+7i<A(Nw8WHhifR^&
ze(Yg|?obM%vy&5p(xIatdt7aFzAUOg!+z`$19)L{NRhBE={Wz{$&cNyCCx_s3pv^I
z!IK}0Mr1ZG6aR8Nbi8P4-*O02^I~4BNG?i_FC0DI9u)hSyTh7j`_W`qkc{_dx7tI1
zl88szizF2-J30&#hr>Y<QztrW#yfO?Wzws(lkx81!jD=B&50E(O@Py?!f!A&G#>gz
zu^W$0Eq}EMFkQ{sV>}$V+?uJml__v$JnSzV_QnRNP7l4b9Y()q3LE%;Ps&TFm+D4?
zy}7veVHyM<qu7fpPFLm9&}NgcKj?i=>4oJKS+L$zE6J&}X;>2k^6|y<s>g4K+R=$}
zlr63niSte-87wcusdiv4f8AdBYuYVpZRyNqbsjFOxBpYW{<FSkjLz5jIzW90<Cp@d
z7;g-QjVT&jGWi96gb14)m^}ZSC?>;Y99bTtU{8D*qrn3vq`(#Gk1u01h)FA~yfMyN
zbw*FcXb{Mi0!V?SZzI+i4T5G%$FKrdR3;djd)q{VfF6_h7YG}SS<TV%WJ~KtZjd6&
z>}<4{vaA0;5n(J=KD6y9FssGBz7l#%<AVI`>-ncCGFf_<8-M2WJtLp5F!pkaktBha
zkDYwt@ds6Z^v(b5gI7JJrR<27(kfhucabzff(n|1l(hQpm9H$QTJwE}*MXe3#7d~l
z?=@xPg5tFoL1*mUuL`!!1;uGM!OGZM6HqQFK~)iS#$NCeXzt4e#bvK68e{KvQQGNU
zP=cx=7#Mqx06&TsrC;G!OHfq=(Y%Og2Kp0us<r06d_^q|6v+~-P}432CU`J9T_(ST
zbIsP&DSuL4Ep7K<O{TQU=3U?m3cGIK(Iu!VYr?~1BukhBIMJIQB*j@<vxG_vJOR&4
zk~dJcRBfsiOU2<)4;`TjoFM@=MUkrZ?qloK)7W?UfcKHfm&XX*0v5yAU&JyqYwu~X
zlp;$#wBeiUu?+Hu*P2;fp6gR%nW^e`+VZU*&Wgex`?l}>=pXs$I`Oj<%ApJ#rGqzJ
zfz7Zx8yg9sf{UVl%huif_P9Vc0)ik`S3T{5RKHaaIWIU<aO93#kgrg+xggcA3HnI;
z#lDmtT#)M51s9k{#}%P4RAaaxZ=wkztFB#0J3x-qM3~^HYT@f9zK_1QeKe<4B)<Oc
zFUhC)Rh4gK^ay<!5Y-I*?B7#X_5)FR(0Q9@R;M%w@;WIEBHP|wEOCWvj+6z#KwW-q
zPnzVIxM_Uf$dNGlc&b}h);m?N%sG<V%aKgGMXhe0b0p`<k^GS_d*_$`wotPXPqYm$
zP-sA@hT#R^Ev@Sw9oXHT$X5ztgh=aX_lFnf8VIsq@QAQ)s0*{M#FVPK)v&=ayf`e-
zFRX&-_H6`XcmXC3HUrbgUZEzFSB&8W_`xw6n}UD}x4*gxFAmz+#7^ywWDGBMM+~Rc
zkY$O|c|N$-Y%CA~>nMH?hj%RCTr`sL?i@B-MS5f8cPIEu;l*LcCT#{HsI;j2;TKb;
zftF3oZeo*^UiN0Z$_>2*HRC4*BE8Oou484CvUROVPO`6!4k;Uv$;^TgJ;uHqqvKAL
zDm?Bs|MzB?LH&zhM5^yzXV5}RsB^Riwo^?<Vd9k8NM!sXOrPh$@;^%vrvQd;T$n-h
z6*=NbnwsDTG6W<Rb|fw8J_KKEcQEww;61>|f(<Sw$~N<mkXK)N*X4cTNyC$7llSc7
zhbj^2^#Z!tX*iG5@YlZcw}1NY_QHyKaON}=f9@`38FIWIV%^;VPyyPd@>LrYqajy-
z9vE+43I{Hjg5m?`D8klY3VRt2=7KRO-fdPpvD|y`i>fc+f+;9gCNu`chIMnn7!;%L
zs1T8E&}y81%ni+4wORFp#i(vLI$w<j#cG{)ZPf!ou^K0Q<ICFt?XQ2+_lZ)2DYZE$
zemaBVsmZqT293nrZ9vCl+dulT_kZ9YJ1vqH8cna1vLld30|W-KU^~b%Oub?&hVm+i
z3FPJW8fPrnLPuOpA|Regsq7AN2#C*Of<qJnv0%F&Vi1tTiWQZW4C)F30p?ul&qi%m
zipBT?E+Z;v|JfP73!t><Q=~6DGc6J&0_?Kl+>og$!AMv$-W`OJ?EMr{f>UXWtNK$l
z_q4-z2Lgr}zI)nWc8Og>z`&QbRxdDfFud*3904$N%|X7l@ZC8jd3!0zqOwl3U~_bF
zp6KG+{<9DM5ASxwgSr#u1r+B31+*oA4va3qchtK0v@=yu8%h|4U|@Ul+#_oNc0iaS
zXe>0IG%mivwroW-4RH!P!VrMNbAjyn=TdaBTA;s(g6kb>Z#e>r(FM+o<JuvJsYGgt
z%fS#T(F?FcqqmVvhL}*jUUveUP(Qf~8bgBT5!j$hrv25DlC&i;y8yT@N@5he;@|w(
z;ssPm%zDHnXZU54t%78%hXOl}=}K~%%T7cW$PuA?cVI`fqqhZ7NlDt#(QHIaK7SE8
z8so*B6oF~n<HU#(+Nl4q(paeRDk6Nle&qp??t1E21Kq1{biAIQRV~X_Ng?v^@jpL{
zzE6}4Rm<W%;QrJ!<BWND5-<<t$sVyX*Y4w)wtQb0_T``Xi{JbgJ-wsW&=hd7jZgwc
zIJa9Bb?Kgdbo4!5gA#1Rj1-1#(3wb;4oK5*7*@x}tkD(U1THZQLnx#X4k{y^VJ|TZ
zgKf|NXSYCH(ySQ6FpqC`(6EhQ48uIUL7$AOE4w^rQdg=<dH`CDPKb(wpPR2`GpQvC
zm47IWCYPp`T=;U=9lPGQvj1CAO9R0Sp2bBdM!-;DplYms(8h#f0G#>Uyoh%twS|bc
zpLa;*g0|d(UdGfGf_h9opgc2PeQ5e%h$TCz7ZT@-5?igluwH@l3vDe7*TeZsUrmT!
zq{Y@xM=p<5KM>5w*P0eUFoUbR@?Zv0J1Re?11icx{J50XwB`Gh)|+1Ujep=>o;y<Q
zXU&JY1xjt?Z=|XUN^N8x*a=s@N;zqk(DnxilIuzm!%5I{(h$VtDJ_iydJlz=1U)Cc
zyo)+&VamoV4W)?iL%Y|AbA+-&MHLT54^y^#jaU(LxsVRW6Te1YsyVcKjc8m#e}Oox
z=qMzUXF5ms8gb}7r)=qpU7~D0jCLWnTeNSABpTV<pB=nLoFxb^#cMnxskN)2N5yxW
zsti@`RIhD{3Y{V&N5AYRvNre3{-S&-`Y)&lQFlQ-h<_Z^L#KR<)`DBrXEKF4oUpXb
zg%cKy6i!$*R8?ST>x2IG{aKAp24H05rbHG&J@P%R$sNuHL2dhVjaMG|Q#Ib4uerT^
z&9qz8T8%khbDn(74}8*3f8jT}<<*`?v%IAP5{)N&w4T$dp~GB_Rr#t|UK2!xVGkRG
z_Z}rzWG-N=T##?H2_7mxz-mbvmn2BbTLt&{pBx&P>sFAK*8~e4B>*un)#@Gip=o(d
zkWDm_T{)~)V1UktrsXw3%-JGkCVTYJFW(5#@|qz2YCj&%0g*^vQ6XgTShjD5jYiyo
zUjwVZL0YMm6bM<7+#cBjD3WVNKj8w6h9GJu$6MdkqOBx5Ayzq>LHGTG!S16+(Nzqh
z<-+WAj|=j1v#4xNk@5W~9SYbz`H|W1p5O2WLaOi<BQ6oMY(<s{HD)G6?7f+d@{>DV
zqNui!TQnQx$HOW4-EaNZpLwGfQ8cdZ<nXo_F(nzd5hgS=WM18=&eyrb?Wj8pbgGBq
zD&{bQRg%UDGYL8~lVc7Kjsvk=6tt2@IT#!V$;TAn!(-@uU&F@IdV{;ClNA)BTz@tQ
zYos`VaHI2UGL*vb(kS_KXxI{z0{)Mda1d)Z^QTA6cQur1$8QFZaC_X_Pw$4+Kj~rT
zVUVZg^GItGX=VWbJ}LeMnj3h95I>)j{u%ki@S=;|MzC&nn`S(2BJ&D{p3{Tv@<NRm
zjceCLrY+yvBtp~nuYbos|9Kxb)(5&?vvm@A)eU}ns!{4-T@6&>_V0kCQbDBL8bMxW
z6WqexN9qoFizVj5HiDjB#11HK>xw;{rJi0yLImBPs;ZCyZR@?BUSyuU1Y$UJnLuC8
zswqnE_Mk@LIigLdjP-FrPcP!oo6>@cpokNA6CyYjrBUW4yBJH&=s;;D8RXan0!JLE
zd07?)6`OXy_`yY=n&cMLlem<4DiM@*BqL-1so&B8yA}?%e4g?49C1@5xrr<=L(43g
z?vG9Z#CgJ|<nlF$1>y|?17<loi0|5GLn;#W7p+!&IIt$tw(Y|qy$~i@2ufKzN7UG!
zXS_%I#gYUOxbpaEZR4@jA8bv4uyumM4#91{o0II527O+T*X?B~J(a$no;k&8TiWWp
z?j2bP#2U4cp^EjBzv#lJ8ZF%m3<c$bL$2K%_I)Z*sHJ<`vKSO>V+}M=irG{?voV{B
z=AV>4h5A3GnFb_gXqO4*13Ek*nIVu5D3#HgzFiBCS=fKiltVaS2&DaG+w-++oG*Il
zYB_9myjZ7ZCJ5yHrNC8>FWF&V4%QITUJhFagvy<J*g`hAny+RP`%>r5?Z!`E=TK2!
zBOXTU0?fJM^W=)}eESD}^oPd6QV^SB$Pa-aAQDsS?;&4;mN*8bOBNlxRp&=y)TK7n
zSBzn4X^|D~wvJ#7OLuFUT-ZsZiV1O}rYoiP9F|5B@y?GC1#0o@o+R3|=76`TjrJ93
zqRSRAb6Z8ugLR}EY(|9t5tMD>o}k;9LyE6P_#ak~<-t+SEsl&IJcp$#GTl<LkMuj<
z9k_#fiQvP6skS+KygfDhji1(G%u~pAxk%e3>dlf3024&Mk)uwB8t86LTdcEw+4VX)
zUPNQdb-z;!%DUHU0Rx1|tT4b9{vvReV6Vl6j1ERy-<`XSqk{pK+4_>geZ$o~xP#Gb
z66ynLv6CH)@=}giuh$?xe(ziUtv~bk9W$s_*7A)OGVE%6JO<jX@$qh3$Q;TVh&@vP
z=HcI=bSAlAjK4hkTZ5LfiGXtWwN_1t(6d9)6ysyy%E_i6ky7E2@IzCv+ppeR=m)@L
z2Us3suNwIwwCZp<<@mTpeq*3*kNl8kJwudM^<npe#ag1=E<2%E)s*GKaG+E*WclFI
z@()BLmJc`XWHpUA7Xle`KCuX##p6<X<vkr)zKsZ&6#TLee)liG-;ochoK5MOyN2Y5
zQf@-;bTa<QU#hTXbgj4ET6CB8;DnQ;AbiVVp!A;Y@2s7`S<>{Bdv(#9-C`hu@aeO^
zr1iLiS-Xomh|;}mIsB#Z>7qBg-67GXZX$iq?EFP<76ChvgzzU1OSFz=!Bk{HR6s%j
zdY)SVIvPpI1r#rFho39>4A|GEw-m`X<^k;HU|^3`mzK-C=BPjU7>g`iS{2_`31%9v
zQ#Olcd?XNwvJvTM476SJ<V|`>+U%66On?-T_fHsR=`D#)B&~38BH{S}l;~L=q8w9}
zsr5Q#JEV2s+(gP4WJFH_O}A;axyZ7(BkV8_AG|Cv{tGX@<H1*gDW>B@&WOg_Lo^os
zbfQg~vsCBFQvE02{vH4KIKRzMj6%C!{r_5;LlQ<!p$7^vm>CQdVlXopD8yg}VMM7A
z^~4D=n7L_~5De0-kiHgkcY9Gm$eYakHmBHY@%3trMjX0OlzEa!UngJ>83fpSeWGq=
zyzt^reD-hp!m)S(jx!7ND5ozsgX1ZYE=HDsY4Y{5aKO8FAL9kjRc}{B8>C!y%6|JC
z%LRLsQocCF3&14^2zd)4$=u!8WWUj<t}rj8U2?uwJKL)xemsDKMnV<zz&D4E@dAL<
zfLu$wfD&~hnB#>7I;}Kb07Yh!obKCKPyBM(sZ9HK>jYC)hdU-f%D>p$u09NOpNG!_
z#Q&}<<NI;7;ok6h9LZ@Eni@{Jf}`cH3huVYk<2do?4rN)&KD(iTV7ng2zpP3ar_9?
zSfln7@4fo+gUeT5iY%er;i2#QFzx%!moDFp<w~%Kt@{Cj&hIRa%wN5H`L?&b-S>O>
zC2T)<>)U;2ImJ6FW=r%)@nmR9Kk*0u;5Uyq9qmXJ0#iCVYxKC&9385;Owor{8Lc;1
zpANfoup+vXFe$U`zM&~~!L2g2VAg19kS$-Kf<aSif^pU;l2-Z9bkR+)l%|1@0o$}%
zabJ<CHxn#vOe{?!rPi!SQ)+_13~F?M38G-P%!d|JYLZB&sdYYXx<gw9-)xfGB?~sN
z;{-x$V{rNE&ZyB<r@mXDRqNSrf5o&7*hpRT)cR~(ke}Uv`ll%}S-NX@LsT($`#y$l
z-?{0i6#GXsK8=K^d9@d!{HwKi=Y#h|jsM_1uC(vScc!kf5x$zD@sEos8Xr<qW3!@b
zt}u0$+H7k%bKdMcd9yeE)h~Yi&whLb$?MH%JAn^uaTOD~5^}I)Yw10kDXAPBXval~
zD4Qq7w<ZXDk<K*$OEbs2r4^%5#w<%3Wf~_EL}$ThltBQeM6al{5r3Xmj7Av>1{F9&
zqcG#bp<mCcfaLugaZEWh#-C?fJ8BoJ1yW;nKhMUzpE)q@_swi`J0zc`m@Ye3#Y5kJ
z)Y3^mx?jui{CML+{FPOvGR;r>nlEZ|z+tiLG=4|7<)Sp10ippC5+KmhZH#WqMV<A*
z%xwFtNr+c&<OL{X=mFvxItWc*UNbzuIJy*7QLkKWc8Y&1i{RN1?hacME)&N$Vol8Y
z=$vPGf-g`*#!_o``78+$2}eKiF}lo;5jG>03O3KkEQQtVG2+KIYwM5E<vAXsw_N!g
z%>y>rL~u$^fb^S$;%n0)ppgGi?FD8(ReOP}2ZrCPy@0_ncyfv>LFI??ux$GQ*Bb)y
zeSfq7P}zq4X$a!}lK8CYnDy=guT|I|!2b06A&~c%G^g(`Jq%(0?ZrA#>a1xE;_<od
z=PxZ2zNxis>q)q$SF3K~+qFRkw?Gi0|A8|TI09{Z=MB$n2<<fuat~Wocy~uOO}K2@
zQr&OY3>Q5~wU(P^r;%K(7hZb!P<+Q4@tY5&o?>+ptDrf9V{&!DZvH`+mXrlQ(m7=+
z<4)%oSM6F|S@mnN>!1%il+mE?Yd<Obw~`2{U!^<<PgGKI^+$5+)puRK^j7&j^3&>1
zx-x0EP@kOMcy@s6g{bTM)uwMd<*xM0)dl-5Eq5t7CC@5NyDQwaq?B}5-l7lryTU}&
zfB)5A^K0+;fKX&T;0GE8Ot(-9UhOz@jC0XI$|9wR@)cYG@fpf6FL<cx9Ai!x27s~x
z<AUPz7QsDeaN&5Og=vp5osFP)3?{h82RZZ_pBh2&d5a+CrU60Ay3=AqcboWR{R-zO
zKCi8aem{886a@aP5tKxf39iv1K<D@X2S*DqzM>?e?9f1ANu&J%eW6-+azXXziX=K8
zlu{Y&0WzOCULW=7OmahBXz|Qf_>ixpdUQn+rE%@2D9OKc&4p8}oDB3jPY=Hw#j4H^
zQje}4eZc}5Ow|FL&h63T{VDw+G-|t2N(;X|-5{m$gE<6ga%atahXf#q3%>z(Ksx%U
zm#BXKLQ`J45$FTtA3{^6gcB+?u_IH3k&r7N+!<_wl(4ipkI{9!TDq?nQ_mX%+RBF*
ztN2Qci@HfV-~~$-MW&J-f;#~MyAkkNGhhuS{&okf$vkHFU+DiO|3#V>g8w4h?y#^Y
zH2H66`AF6Ok)BYg?}z1J&E4?;r9jXE;_%>V)}Bms8%ua|>de>j`nVNL$**WBzwDJ)
zTq^lJ{XP$^m?=fyv3Gfj#^q@$mOu7AAN+uu3JiINXJ_dV-2%TCxZ<V!4~kMojkpBm
zt70ll5CbC1tj*(+rS&98Q(=N0FQcDa(<8njRZ$hhyl2$lSuR<WAlL<|iA?Z#Sd)2_
zr3ik=ho&Mj!5w~t{uqFu+jFF;sDdj5^J*$qh0D|qeLan(?|HXopjziVvF(&J$`lzr
z*U7Y?i;IMRm4bbUh{OtpH@ZdWqRT5qP-3^Te2id7Y8)dd)+tg1CFBKh{~SR<<7K=r
zSWJ@!fk29&WZP8+GzfT$U4xP2^c0e1aUa5R#EE<8gNp@~b9x_Ec{oRu0A1av1a2$O
z%;VPZsrc;Gh$VH^@8;L|sW|O*-@!2I>QL!5DFsZsMXjE`ty{$7KF#&{*T3@7AN7~r
z)+ylC!XiV)o_~nTcDrYOlzcgG*2VL6tSxPw9eQxu3pJPtI$sW~XN%sYtuw*n0+Xc~
zXmK7wD@Yw@f|V}^V=tNQMZ8hIf`z9;WP*c(W<;-5NehemCe4UJFv$WpK$rQthgm03
zWFWd*X~kmK(=jPwk*zsAY=*9gNe&O2N%v=P*i5`Z!^39c9U2}s6K~PLuo<N8<2~Ag
zp+Nea_CRB{96X6H2M>~t#@k~cghuS;vWz1m=tZcPP|y)50gZV$`u)1ZSrenP)?Lv>
zjG47;jSkONx&Hnm`L1_}w#Q+8pLk~7*en1j+Qy!lFXyS{%b6OgmG^7JOKV*KhH9_>
zj<0#}FFM_uqLj^i8D{y2_6o#H0AR0dAeIBLnbk8v@S_!;O>lp;eV9_PD2<DQkZ!6+
zTX7K5Ee^O5W-J)WF<FN~wpt}WM=Hm<;s)hPMqsc?MLoG7b)yNgRw)c&=*R4kbx<`{
zK`1(09`<W4y8Iu^1ehew>}oi<n6D~1geT9PokM_fF<-Xk5izPnvlvuClJ10hm*}WU
z9#_nK;urNv#{0wWSnWUsomC5Gmx#&M+#ObXy-GrK+8jOIA)RE0qe~&Yk&L&fq;h$l
zs3^C;DBh#;z(MkGEE&ymV(>-8eA#(|?gh<DayNI)<fH3F=%VD+8eBoUqF+YKRsO}s
z67@pthfSCtmE_{OUg2S>M)nbA8_73U%stY*kY=<)m4A5HixYHX)|fDhs_gGf`SvVJ
zo@b+cyGIr`8+pV6>N@CTFu;XxQ6kNo6D$maY|~&P7G}-^OeeWUt#bkhysd~1<ZFup
z8@WZT6ENoi&I1Yj?Em*~{=@%v6cPvrNkV6!>RJB_sHRdLEh8;Z^&|ZkCv<E&a62iF
z7Qx+uRRgB7QB-SJq@gZ23iu{SW()KR7O(~M(~+uzFsg8SfYI6<m{~~YNHcAM7|Mn4
zABOUX{C>M4t+WXObH=6vthik_O$VbEm8Qf^*`ti*!e+#BVe>rsZZ&g`<-)=(mh0S*
zK(mcfYerq&wo9g@TuML!SD?zpHOH-?PnvGdRgl01i>O(W$zfX)qaaZ&!J6RZLx|r<
zGE-6|(HsFEn~=aoS295Y@r<bhiNP_YgsV?1evlTBbrayhC4Ujtgp=JqZbFG?M=u>0
zgao22lg{(R#|YTG*u0I+_!wdHjE@m<fTae^k6r~<$LrjXKznXF&(RDCoFRcvJ|qxy
z=!ZAMNiC2-+XRje2tgp0p-bq(b~a<FYexCSE|!GXld@KY@}(}88RaLH#1D6r-`9T5
z@_%1CZ?W25gKiW{>=P5<QkL<l<tteC;0C}_V{8H4SQ44#W{|es)JE4G*B!y=#`;7$
z425l-&gjPOmPCZXF+MKVBvDKLPjX|oTaKYcW@x!7^p^f(q8kfRJ9^L%TpT)W4$L*x
z%LDITGf0^8-En@H4>U=z)|EaT<V#!cUGjld5|nUQlU_MT*XExS`!?<Z{D=e>CD*9g
zB*{r`lKLgx@=MJcjich!f8JPfX;Svevq%2P(oXi*zwuA@o&EK1`aV$##g*1OGOuZ-
zd3%;fKfE;Fc%b725N_c8-K=1mCAm}^2Q+W&n|xUV>;$6~7+4sze-++kEET@pW#o5%
zo<mYm<&_x8b97Qs6Ea=Qjo7<6vV5CHVJ+o7U;Sr3?azO#cLfSW)@msRtpz&_(Z`7F
zx7$)8aTu)V&VsD19ry=mI=t}-tt%;jBIpQd#NjOfSgyATR)qBOSjN)$p+$Gvp>^yh
z9s?lmGOV^iNNZOhal%UgoAWt}?zBU%wpw%nE}Vlz(~l9|X@am6Qgk_NWPEB8ObBUA
zqA8LfQ*WW>syoY?Q1AtF2M(VWLY@)QYBZ42Kr35&&83!Nw-K6~_;tQ11~2eysR6EC
zOw1{Q4J6obRk((NWV}DS1EA3o+=KYD^NZpQD$|mfdO@wExt!9<RL^sFSgRQXOep`N
zldJ(1r&@-k({PSk0^cnf0nyB+u<fs|{^VBRXLPh<6IV|!I{L~cCBX_xi_+B{Pu}m8
z?N>kg@WURtSd`R>rgpAaoTp;(d;aV{*nEqJdRjg*cg3pV4*l3HVQzCRUOd5qzt}I3
zMiz_+s0Y;0lr<0&M(BrQM0#ei(j3~M!Rezl5ES=2_zPM?6Wp!IU4X!h)66xB@<T^p
z!Qgb)h$|Qzv6^57M|Qz6COisPQHE4R=m#u1=FLzNn2HWig86v+Iq(qK7y&YKjs<Ei
zd4hg`KXG7gYrH!Mn~9F$dE%vO*NnFZn1@1q-Z!^3-k+PyZPf^|1euo}Y>H=~UtWQg
zFn57}n+Q+$>+86xzD4;EyP|Y2%h7i;^p9ZxgN2*+7h&f-qUJ{Z`r2Dzo!$4aiSDn{
zyB*3aIAV~l9a>IXzBjb|%dh?u@A0WK8UnXzIgGAm{D5rRNLucQ3=woSLXw_rTdFRD
zqEtY2eMvM4U94UWQd8A~nC(yk!CLQ&X*rONa1H^JXdrFV$fXL#v|Q0cNMmt~X-Z=$
zp{ixZK=lgJQ|7xcOyUOlE-DmN4;tYFRWx1>R3-Anu&-HutNe|j%iL6T?xw1c-3n!O
zWVfW{%DOx!q@SMb?AD8K``DlS#<A=cvJt9+P@xBrV$So#>=r;M%*h}PwnN&Z5uCGI
zcySMfZwq+THnWzpTa`u|90Ub{8}ds6-zb@=QW809c565j6|-BV5sA6NHWLL%NX%{l
zQp^S;m?xBQ+GJtMZdK18X~hyE*l!=rZmG(?h5r9)SRP$##!cho2D4Ovx%xx)aUu@-
z|6T49Up0&Hlm>fD*o->&|EDqt<HOMXD|`T<-BzN)S_TnBxtBq($wc<aQxK;mNIL%L
zoWr_3cI;`lsP*aR9M*YqSpV0L{F9gehj-uV&9EF+xivl%`LJE>T6>b5l3JT1CUU?j
zK`Mu{GvU2}lc;rbqR}fYuyk`4Wt3YvD`drz%~PZVxrUa)WOEfM(Q&b1vWsR^7^+i&
zESB6bl$dc51dq$kImVLPZokup7jRf~%T5AUC=SGaj|>`vH*hv}D3+2_a#%n$iX<9y
z+AEn#Za6!FCHQ+*<TOpcCzagTMGT;ZTq2<bikB#r+`#s&jZWbEE>l(gsw<|{igUQw
zh+BD)c7{{cuZUAkHwYw{a@|pvwZPRSC2}omZULOutF#jUb^b-^79kZVr9qV2yXD4s
zk8t7f_*`+7#Ms!wjv@_eyO5-prA=P`+Yyogd{WL6aWz>`csJ3qo38w1=Sc(RMJNfD
zeqBS<)-;`ZDW~>0>0TwS4vtiR2a-ykuggh)ojFs{ql(8b&QyuDm*sDWxq+BiK?9&c
z4w={Woo5yH5Fr)}c%pC7k{PW|Br`gayeJ$H<+>F03LQ~AVD?@}J_*ViKVjf4Pw7?y
zx8*8QsenC`St-YqjF4eA5EB4*1E!?3H`znYex?dB(e|deiGA^e-<sz&A<9>fiN1p)
ziN@AzAjsrfKjkOaZ}h5-UWThJ9%%Sl^_whS4a2F@lMW~Vy`n4}WHLg-S5W%UKPzj7
zwOf@f-o8RYw&-<j4juI=IE@YHvFyNBqB`}Mc@NsUX2qyYYsd^>h^&|_Nwjz#GJ`3q
zbsdt$b3h2{A_erP*N&DXhT-V8D1Z>9HqQ1T-W>;o?AWs$ZR2DO?OMxv9;zAze8WGF
zO?C?F^rbnQ05eDzbzF3Fj8gk~6L?DTzrL0I-zeFQWP|dBxd#oD>oTph1YOjjb!tLi
zcorw|FlpK^98j3$!U4qyN98NUKMx&SYk}Ai{)sEk5kMX^UgDu5T?A4-VgJ_Ja63e@
zQsb+qqnF1kD=;P7r%9iF`{%#)4}FfOHMK-z`qWCYUIkylN0t|{e1(^rZ>$OKmwQf{
z0aL;j-E)ngTxTnnD}D@u$Xsaz;Va4=Fs6hJK*X}*+Tdd@NC(vp4K1s*D@W)-IvVvW
zoMWtlgZ7j&Zpf6-9luR|YAf#7>^)?y<^Wpx=EsP~P|gvd2>1lJBHUC>=K47*FPTZM
z*}BCgHyhI5(Jj%hs3l_+yj*fh!aZ#zsZZ@Rad0I-#h;RM4r|7{vjgHlj}Dwbdv_Gb
zTF$dxOr&gzT?}ic`vbNly+|9B>(hBo*3s%`p1TTeN>nwkkpNs8!p>Fn^$7y(5cb#g
za^I(-tIbii>bL!!tKiSxutn{)t%A>4$J@&~7G-o|{4i%7&y#h${DN;={=COvdNZ!}
z8OD%vl{Bdb5Ep$0Crzr=E;mZiCOK(R4<Ii3426>$hI_q6-8P%!=rimpY0{EId$VBj
z8H_XuV}d#yL(ym08)?#Rff+>2ipghi(xeTD?OMs`Gcb@ujt<DV%^GyP`79H14n<X+
z^S9kB*h`-MkmxhiF}z?}AgOScjJF4f79GQjppnyuvt+zKPQ=vXC5ppc?km!gM#R*S
zoa|k=p|=Q7J%TF$cKYS{5_Rg_^m`rVH`w2+yaxN8fBR(o-zYI04>mNtNS+Rd0JlI$
zzjrO&9s)>Y^I|+jXEerh;+)n1iZ&hD5KpE77II2FMy!2IrZJnCc!?p9k1ubyA0OwN
zl6h#lI)xcsoQe=0@+J1lCJMz!H3kSwc*muUyM8;p!E2gv>r_3b=H8|)-<NxP_8)!h
zr+%`N<tgi)>3yUAESzMZM_sa=hibs+FEv&)!QFa|A_`)~9Z1$RsB}Rp785KO3v#`J
zNu^nldc_1WDuS6ZGPCYGhvrjZg3k52GkOj`H1)m-B2Hqiia!RUE1E-7@0%d>=w2|`
zNb8j(ov+Aeq6(s?Uj>)eIOT$(_e~Nd5yccD7%Q=2kfh!>$?ZZSK;$I=6;xXiB>6g;
z<Q|AeiPq3P2Hu=}a}w9<5tkZuDe}i+ylg8OZx80)YT&&C8e45iIC{K4Xx1u6N9&qe
zh#;A6&}yX<YXQP8By>SC-XX-iY(&F(ZZ`PP{Gxb^_KPKJU1-O|PgCm{){OTEy~yZP
z<C?%!YMgA6ldX=b{r<|3epl>|k*##?4t2STPH!Gl`R&aC-g-KHBR1UF^nL0#KzLeh
zv__jB)auZXJ&`WiU_g)%B--_ep$f?I+<8WtYNG)XUn3a+lIGO%)2X8|Zdy%}1ZFrs
zs!7P+`1zwelnj_`yTy@wY1kBVYY9nIgMuaiH3+P$QQ@%~ls93^smo5r;gqL;B;4v)
zq&XtKy@+_)Eo!a$91))<BL3pP^_#!q*No$E=HXyspuHeUt6R1}={64sgISn|gB9?u
zdl%}9^tGtDjDNb?b5ZAL9uCHk{FD$FSl`Dt9PHjLgM+7r$we)V_&lvaB}C<i?|#&0
zeZ3n4waizC$?JV5vSb3T7<8yd3zLxoYlEg3hlMbCWF$%rlhG9027xIG1IMOz&5n*l
zu`qdLB#MX08~&;st;G<m&NAyAbV7&Ou1^S)f#GSEl#;4RdYIfd=%hbPMn_>MsEl{V
z!(`0TY?ByLQnjPkFc}qcvcRUih=4LpvWCfsLkoJTe8nPhx<NHe#%#;ZZ|@J2QPAy3
z_J_%+^-l|vah_#PrJd&-Cf_tqJBP_1HevEqi&S2&YgnWo|LH&fGhgsAm6=Nyvi51+
z!a&N^n)MsDogphK0Gjeu0r{99KrW<mAjEpjW@ltGT+l5N5VMNa2mEZgW)3k4dSVce
zVf0}G<O4x1aV0@lcsR#B+5d#x4<)Q-Mb~#&aeGh_31quTH<4C!b%zy^3r4dY5=tvf
zFKz_gB5^iqne=f4zel@bNZj(*0N1X+2D#I;<Yc`l?yM&OGwGE7dqyp~4_tSrsQstz
zuT`ST*656<X3^f2`($+e{$ZD0j5w;3%Q%0QsKbQ%ljL+r^1%+~ah34IQdvlWd(PXZ
zb;X($L|G@n1c{S8Wn87!%{;5evRKOMNmoKRVc8C8Je+UBWg^R%Fy<pLNiFC4&!JNi
z%2EQNyl$c|43<wrB(EH)J16>XJJC0Gi`uwkPV}88(f5Y$ddu%V1^R;Fi{k2+qHD3F
zW$SJM%>xAs!`4DOXh}^FU0MYNyIqnfH(@KJ+|dFziNZ&e0lVjirX{r%9R<5KH{S(m
zNlg%KRG4?jVpy%`x*#p73D$;&-O^YyU67Wv3hp*Gfs71S`NuTS7x*L!XtC0wFPY%7
zF!IWRLiVWkN@nPbwtNsIvp|ntbMyp#G5jxk7hC*qg3DF_Fvgp~hicZ0_Xl9-0>Q;B
zxen|n-XI46W5P*>)12l-h#~&)fxZ~Lw#Ub4Oum}%P>7R(pV4Habs#w<GxR0;7#~j1
zm;1l`!T<bqC$veNYffb409-FSD8Z;#Dia9Q2Elh%>V4+`9Gw%1HZjrri%kc181;S`
z?u<?atliqCsQ0&fjCB%izTS763YgO~3xVK`jls~-7@^xPne={TDkN1(M^cbz#WF(e
zmu`;zrZhzdTN3rYQx$G@r6oH^Mzicxg&XvUB)xxoI@+Vb4opN<|EY|C7BAZ0zUa=r
zv%h^Z{%@2TMkTh<oT%!kn(2~6)hw{Z5Pkt9g6GFU6=UI7tJ<N=ZWYxi;ijKIQ81@~
zTH0t<E{}miNT&#lWI!bbhYqO3@a<zqCC;JY?S+O#OP!b?oI}I&goeNM-}uQt`NvM^
ziWmtEYbWJy1%i+qQbZdBgd$;$5<`LwHwz{gVYi|nnjKclf|PW#79$T1T!7_g6K6Nr
z44Q<cNoD##>bU9sQ;y2AJ~5=)!j2fS6ZK>l0U>b-_&R+SIW$B^IFir|<4~t+es*>d
z_6ue7-7R+AuE*#iY~k^gP<K;^a{LNO0@8jEH0?%b;b6i|=jieFfQnStM0vL9<DBdw
zXe!nFBXxT^(+JJ4?sK(=P-TpzO?T+e7Ms<#zi#RMlYM7@{hPi|l!Av~!JENF?Js&G
zXAj{i^$@0(zskFJqIDx6<NLq#CqD1K12PoZVSo&SpE-3WI72jpRK6-nI}-$vIT7b%
zv>D+H*`nr8g^TP_0i64a)XcUbdchc)U`3R{G>CCQYGxBWV9=~EL&6i5M)OGALCtJ}
z$0al^4}Cn4kd#KjS7h+!f*=JG!Q-k!fI`h|g8RjWvrkd7*rJ-(tSFkfN`kgSi+PCM
zI+8>yS4kKH89mmeuWUF&aG^Ohh<!uoU}%rd;LX<DA)P6`_(xD$wbxRTb|#5%6<z(Z
zChC;!n(6+akf|J9sNX1fqAX&7jJhT+lAh<mpfy1<-XRRb;Z=e)Mgtxnw|Fb1=g8J{
zz7(`0v=64FtV|Mr6|aMPgeH@C*C*Q=QO=_KEoDg6tj1upV?p;D9(<-UH>b4fvm&~7
z;RUVd{b+BK*d|h0nbqm05NA}zIZ+w+*pI*xJZE^Ld^>#vnlcNGn1W6}!c<FB-lvh}
z+Y%cs&0_zHzwh@u(BcZ7dd!9vb&q)+%{*}JkTYucIsy$Gft?BCbTVB~=Bfjc0gI#9
zgz^;>lxVWTQxALvlnu4`O6GMSP3VGRX^P+u1c#zVu^w+u5)?gVD`HHUYCO;}A_bNN
z#nPDIVyh!K@SB$kwD3cVr741iA|KfhaA>zbMlFp=0*N6p0Edrg($kh4)pu1pgy|cq
z<ucYnRZ3yN*Nxa4?8|gqYkn2~>r+_qdw#yIjPJ))hEr`b(&GF`i;!v)XG9-Rq}l|B
zaZ4QdbE@t3Qf)=goM<BERNHw{ZI6EXbzkzzSgLIhToLO%gHVW+%UOcWSABM|$5)~-
zz<+TyZXu@H24M>^)mG+3^rIGHHLjAD^??>*s%;pv5UX*OU<?$&B{B|aF$Q#&7OMnf
z;EygOkU=u0+Tdbo(>S{pI@pSMcd$?)7-KO|f*M<Ml93nFsD^u~_O%*Xv+eTtGGi(A
z-=a}3|59R!M>mgPbU|Z*^z*#jJxq>6Jzux-&8K4YEqm6DTV@u>bFx6bL0iu4G2|R)
zU{B4;x0}dZq8N92kW-c)F)`N;a;7cc7v#L~*7yJTH-CIZlj}m3PAkh|g0OIVli+cr
z&|xEU;4_UBI*!W4L9kp4oyvudHIUp>3LQhJx~P4Dfm4b_6goO|Nm2Xm0M&wp5U|`x
zp+jgZsL#;}m9!dCUs3yRk-@{SnO5jf(s@udjSNmio=6bt;A-z&%3SCGNv4E%Flk-F
z%4i(%Jt;eIo*<dL78c_EBP(&-uR+;;WT8Y)KDvKdKV3nte*aO8%<%D2bg1oXiX6*8
z$aU?b`&8sb>JfM|s7m>t?T7+M{TNv_OwRr`8d)~&JNw%w<Nrpfp%Mt5i|~|RfWb?L
z*d0;L({_#W?pac@Y+ls4c-uO1JxGS<{GxF8AZ?5iAfC#EB9f$}tyxAPu$YLrzI}d!
zpK*ct2YiCC<_aUtn>7^_uLzwBjOs?J0WS|yrw!uq`R9}cqe!CL5DjO1&5*gx*hokQ
zKjQ+FaxDJwsf2!R&J)pD^<6IT>N3SFlASja9kVWZSn`$Sc^+0BP?nI9*j0iuAk7-j
zbJ5|K6W*#SiOW>po(15xxrz(Gh97;4NeD>9^E^Qfn<Pj5A$gK7>w9)t-!uGMdAsm$
z^;7?Q23dR0_%Xa^*w@}OevI!KB<(%p$L2kQw7qBicq{MOTg+RsY_I(_+Aas@=sbV`
zF6uD0tjVk5JD_2j54@w1K+>P!OS0|FAnPqz`h9=Q2fz)E`}55Y@F(nmC!%(LtoIk|
zNO7|U>wjwShCtq5o+#fR=No~p>)pjVHN&iF<l^zg=+*sYo`Rpw2J;S%;pc2t7n{`E
zvSH8=mfLJDHjyK4eZ1HtjyMRkJH+3=*<EZRN8Dz8u}RH95Xk#W5LVBBbGX>-;|Xss
zHtF|6An%`l{|4t9@Be0hu}K3bAdvSL4e7@hgI_oG@v3Mpy;^k>-)`A)w?wIMd*>d$
z-7f3q8=lz)^>^nUwyH4rfVgSG+~je+YiKLC#SWCR<uAPS@L`_m?#)1SLF>y?1s^_m
zS%Up5<R-694|K}vQI4tjU;Wg-_^*71lhvuBZN1b%@Jz4{cVUpO2G0cJz&d21A@6Cx
z2=@E#7FA)@`0xO;zodgAifMr00MaE`226?_0Hw$SYf#v$F)7bK7i>2*3~i0rFXrr1
z!XtaBVB=ECv?Z`UNpjRG(eV*C$lS2%=)n~6OLM?<UPH&Vvaikd1Ru{lFZ*kv3DyF6
zOeRcckcKwgf3(xF4eqP?CtKP6`Zs-_C^h2ag?!40KNeo}3z!<-De=cjFMfG`=naM^
z`2urC3EF}r#!0olQ|xNFD1))ocj|zg!m|u7Ysb-4{l1ezRn5oS?^$%GWY^CDY}Ngo
zBM1sL@*r+2JyG~Y;c<q7TftrAnqYnG{G#wYUjVwmdCq*Ej}2A+iBBn<nCd3QrxfnX
z&{Imcs00-JSUe<qcI>Y_rF4YS7|Z4<#Tmq>l$&RMN{2ioneFQi%S__eaS5|Y*YL$H
zycE9u`q5z<b{OH2MGuEAY4UL3vLz2gw%sLz0|$>V91tF7@^D5xyw;_IL%G4b>K-lx
z((z^6j}I>;d${nJhFwC@gYkkzhfiWWcU;uHHpk@+&%oiViUb}Ka({sn5u3Qyo~?s(
z3ToMkLEu0wIG^Dk1`jsbk9jh^q>p;>ul>fa^}Z%WKA4wOa1a8qg(`-J3kq;G?&T{O
z7uuF8h<T7Ih;dOG#kwH9Bop)jk{~K?@m#blCb-}2S>nh2+JW?4tbnh`N4^R|_rn3f
zIU*0GfxZjUu9%>YdqfwiS_>DXSusJM_PAM<klY1nRZK7pdt4SUunQ(=96)(2AQ42j
zDpop3@`<-K!2*Zio{~r%v_D7sS*v6n_K1W)`+LOlo8+Mmd)#9{SaU5CG_E9Xp^?!1
z+c|o=KXou8&bWOv#PZjp*TIZia?eL_8ti$xLv=9Y22{OCa*~x+^J%$6Gt<G9go##1
zu)sRZ?((nhT#a<ibO6*A#az^kw77e^x6PU{16_q5p|aEG7V6pD7`JwHMq{35jUr64
zcSzABdnMa$uLM`=o7zog2IjUY>t<lbEdo0xK?~cm*bMAA53u9cKK}=P|C>LumKL2>
ziVmG_VU`1;O~iX3vIcdcTBq_=aYsxLG*#qwf*^<vTS2-bCWv4VNJ9$t@E6kDnIP;Y
znvGzV_e<;W_CwPhF~KA3Hdch+g2ru)on4T|&IIvWN}DxyXa%XGOc4B2ik}2~MsaX#
z#ZjxM_GO{Aht|lAmF4(Vq{kpO_Nq04&DUY!7@b;ds`k+!4`r+5UZ$+<N2ByG&T(-O
zbI?jK6#^FN5eqqJI6z>W@(2lIFjERz9HcBCBa%*k()xD!sA%D13K|~O@LZS1!f7_I
z##?%Is=>o1+N(V#N>R^MdEw=SJ-nX4oyI3MVR>rciOaXjn%Uvk{nk(X;ZO6pr$0L!
z#$MXn?n$+fQ@e|t78_Xzc3`-Y^Q0*|T+_&)$Z5;|A)^MyJW}Iu#UA1ZDPELZ?jZ3)
z$fseH66(ORVh`~{NT=b@73H=ll&1cxLpF_bTdrn^NZH{*iip&#iRoHsVugYQS;TO&
zCPGcshb-)BG~=fkGoBzW+GqnPYQTm2G)TtV1JWe)vGVA6(Q{7zG##tj)I$&5E@4Lq
zKU4#*OE_Y|h80y^+sUUX3Q`Z$N0qIIg6b_FPA#(f$@HsUM6WjDqoWIFHV3}j!FVW2
zz_qQs(Z0#|*0WnPo5PF8#T6#x)t4V!zVgzmFTLyXzL*wdAz>IEzDV^`KE{3D`QSb8
zzPNm`lqRut|K0C;$Ey$C_Tt6jj(lfT%ly^Lmv4K^+kL;6U&8i-x4zwX#&^fX9Tl@B
zdZZpwYN~N7I_ioaZe`WhxXqEsc_NX2?e2f{Z9nIoT<U6DVzm0?n>{f@h#M+5p84d>
ztTQFiV<ZChA#o5~Fh(MUw^nAIb=bF4B(goSL5m^F@T{{!nTvYg(eTrL=oE=;(1$Fs
z4Mu9IL3P0xiR@6hlicKf0T5dAW9T51-FRvnfj{k+mc;ZG#X&I1Jq)uJ=8oH9c!MMp
zqb7+V)0#p>YOqU=9>c`SHBX9P^|iv9bl+@E+{7}M41L;~wl>N0nEh(LueSGUxRGUa
zJ6rdPhQIk*Hg(?;Z&A4XgSEX{yye2zhM1d?Z~uaz0U+pzVPXK1DpZmU4mPmONiqOS
zpc#o!@(wZLYI|`}6F!MSS8J9Y@FB;CL6spw6nJ1bzQP5ol?Em-F$kkfbkbl;MRV5W
z^zvB5RUqc}7@iALUe+72DIHAtoPfN&1mv_^G@E^O+NOAB%SoQua>2a7pL*d_{^kqb
zS*ADRYO{|9f^08ZvkxmRMtTPZ-^Nm2VfObx=P-d_uf8^Lmn~!(%<Llz*rp3(0up6T
zrX=7<ROqp<n9Tlur7hw6HK0Mwp`+Ocr-U{^kSRq0Ilf{t`<oTn8<8Yk0U+J1n9crn
zqk}dvL8LRVRq_J%yTL@o@~*7eIk?nAtK|AXT%A<#ImRGeO34eDB(gST%qIrxWT$L4
z`vrPbV*P>2;%xSxTC+bjQY|mkh*!~?EUMeDe)QlE{}ora8uFUDEdelE$yR6_k?bm)
zk#csmKMjkvkKr^d@{EFkT#-;Iub9%X=vk3c6I4Z~DI}+1Ij0y}2b>-YD96+}6VtHU
z#e!)|gk#`7Qg!Re$drcF!Nw3=2)RYV-sUtc0K%g1K(3dQmH<`|mSq=mzuK3!ndPF}
z_9cL;l2t||NOnB|N_JXY5}kS-i-LJ9oyL`%hJ}(XYXXPaTy&|f*m+_AmhuXsJ-XB0
z6PutgFGd1zCT#ajKK(o@Tmv@8i|BS=!d7Fh519b2XmkY3HESyYo5w>MpM`h`0ai|8
zHXu+$Ft;0kwS%D%fTgaPvBA)w6pST8jzvrnt8{3^0I(-b>lhKeJQl#+V>r5p7pH9+
zi08t~jTWQu?R4Iw@`8*g+D`a3RgX_wzE6*T`N#a?`@dyekH2Ou!GrTNvK}93-^hBL
zgIYz8cjsq>TMhC*OKtUZ<wiXY$9|wGH|lZZ$Of8nqaGh<%7twRC|P!VD!(6S@yf`<
z@;EdN1RyEgZN$`3<9F;$ET`>&+}DOql;AoVKib(XC|VIHu`K{GL$VE%^|-2Lhy8iB
z3mAu1kIR<>c{SDM*0R`bSgh@esaa9?x|QnNfJZ*-@$>8P)1eR3l<8}rtH0~BzV$!;
z@5U<|$3rPEfZJJK$l~QU7%PWPE!RyD{rqz=#S!WdEATkvxI9)iP=x0kLinC5j0J22
zV~PX3Jxp<IA&9a{BN$U0&_loxV?~UeC?u!;W2mcZMqqtVg|$<Tx|BPJDGr!*t(ENH
z@3%iDr8st$3<1|)w_cGd8$0xH$?xu|5v3Fd2xtrM_L>Z{@lsCKmQ?}f530e6e>+Nv
z6I5)Us+6yr|Mz65$Oh}BfQ9Jl@_`JVADp?A>qlU7yVC;U+QtTL_~xgLs}MAr`}VAz
zoKox1@XTu!a(T?vg>M^PbojQoy4&LUqRAKm8{G2K73j3ALPvqlwTih`@zOg1=l~96
zDY%UK5sJBny9tQcMUik{qBY9C0w}5bzVoHah$4CE(t#j%<U50aU?Y5W?pnn!|AY5@
z{|CnmxlUXlPGGYs?9p!fVi6qNZKYO$e%dx~9^P-b=rUTDl?D0;(fV9fs{q$^HdET+
zZf3XEDz>nItu>8?9E`9^uMO9rF?>33!d3)RRB7~G0n*o;V>IOatq5l5LtxZ<BbW?1
zh{w(78as_&$W*H+eO7Q_Hj9o+lnl97ATSxLbM$z3y#9gIT`s<in*53gsH{rM5)YNX
zh5>iM$y|6bg!0|b(G%6K-1rgACZ&fO>t}ev3{P+XAAN*Z-*x%YTcO@Bi4_$1UdFL^
zZ2~QdNUK%gK9lVU_kAi*iACpK@xy0S;^Q^>U-!OG|9hYONwq&pa}3>jndMnA8L4H?
zNcFNxy@<YrRxl>=_8X&K>?woAJl+oDHw1M6$X$nuBj#B>A-i66nhau|)u|VeK5cV|
zG0*DMi;M24%$R3I698j(<Qj2`Z4ZW+{F1r>znf7nuF-5D&OykD#yo3H@?tnladm=Z
z%CpuiF8~^yqsP1BSzgfG=bR{VxAFFPmKWu_oRJ-M4m2LGlyKm}u?%WuIKjV}qi{dE
z3Sxq7|Ju8dDdEV);|z*Mcw#%%q(PSwj-))kW6H8l@eHQOxC=4nJ>YtWr`)L*QT!}(
z8N%HTk9nY8+!(kfNQUP;Q2k(>8}4B$AS3-ytGE|Vz1Y)3B$&x^2&Z1eP#V@|)0$UM
zFYeLu-#qF4)QgA$It@C)lwn5?)QigkW%l2$SV|)Wa_U8+8wir&NxyLFMSyxc=Na!&
zrCtPVsWZI$3hKq4_v{Ml#f}=B_MU;Hr@UQY_o<%}laRa`kX!XNYvSMSJ>$ojdhrg~
zS`gfZ%+^f37;D-y_2L<+7eTHV%CJJ82$foygzzOejp`<O8sl&yVQNGkCNK?gHShDv
zJddepUs)Av?~-}i8jIA_R28%o)R<gdurJ<85sz-5fvIzht9Gpck48V(b+G?xD67W4
zE&EBiH)|l_#uJrvaQ%@n@Qa~em8{1I5#mB4w{G)Ry%2SMzuHV7PPr@na&^JJOB?c<
zys#RSPP;3VE@@5OmAB|a{;m*7_<29}cfaBU;5L{e+I(9~w;}3)!^Es9s14iFVt+Dp
zdFZT2f5bA90l1AfzDYF3s%EW|?l9xAg@VUqC}OtKueIjT!JLPURZZ{LS{+LNvu7@2
zGSvIEMuFP~Y5@;M!5sRMDH-bhS}RQIZO$?!Lqoq7Dod?oygS~nwOuy=^J#t<ys!|w
zOvr|6z+%1^J+JaFA1eJ?J#fDnxIF{6C5F2yi)qG;M&rv~d8L{&s@wPd(pUY#2VQ&9
zaIH;54U$;IRL3BRMTq}~xhX>UH_S~DB6QS!mIb2*f{ZrD69V+Rpc*2}ELs0Iovd1j
z&+kGRbDA$HEH)j2-I$0vB3UGWXpBlJKo<{tb0TUZ?7m1@Z7>K*RHI3bDm>;kMA_93
zs=6E#$1gPO?87zJ-8@~Q3)JLIht1y-H@C#(Z*Erm7tn)w*5PT8Y%$0I(#)5%1}MOJ
zgiI!I9=N)JNEMhEU`jl^NdZi*t(az^4{F^BRlVFvrTHitmC$YR9PkuB?2A4{*^Jzx
z)=u2kEz%IEVm0J$nJ&l=Q#YCl0g--$-mUveXjwzCT-g~2JI{^t_|<>m-}_5H`w62(
zA8V2tDf;*%gPsyiw6!2^Et3qkpvP;n$I;e;sfm-H*r~Kwwk9;%T2x625fi(Hk{Nsc
zxoB&*AeJf+bC66mg3;DORq22NbQCpX2l6BXAWL*U!S)E2_-4gqYik_|d73V0Jr;dX
zYhj-&t+Grs4jo#fR#{Cb)4|m?mw$?E@+g8Ro4kFOaT#ur<&&)m!(2@|=UZJ(3vYWQ
zs=i7jZwEE{h`N0f!oDkU>$x9cq{b4Q$1wT;^^msfFV@N8=mVo)HaDuN@Hht=xWn28
zL1Ahzl?VoOo%puht-CeC@KB?|1KciO69o31hdNbwe4qguDBAZVRe0(^13cfQdE0+c
zs_-0F2ctIIl$be4hR6B>*eW#L$z#3d{Oyw;YpHVN#~S61$iJK(YkW8USYyrjSaWma
zv4%)|thuRs$YYFyrpKDwH+igwW+liB=un}MwzU8@7W8ZY8>8lgKD4j{z`tpllN6=$
z4v82A;NU~tAANa=SVhJ)#r-8JspwuEcNLB=)1kr%Lm;7}W!t?*Os@jq*Y`&v9GSGZ
zKi$Z1eC&|UU*2v%9hr>~mXlEkaXA{JxLiBy041Wt{k*0L$ved3-+0!6jJpaaEYqRF
z3CnnbaD3VJ>xb!8X_^=60+>(`_ZPQ(v1E_)W`zL<oeLI!jy_%n*1jjB!>UhDur{V0
zSeqih#Uw4;#3Y4azi}YU*)m}uyLIeVEqLgVu6m%2+!otR(ZEpRoDO&Oz^b6-<vkqe
zm#f}d*VQxB7OlPfpQ3}OCC+)Yxtvj2g$M2mo#^h}8@wyQedkr4%NfkCz5bv6_y72J
zI}x^yn_r>#imI{<pGT(YzzP6#>j91O;-QzXN|RO<1khN@85r!)5v0#w1$S%m9e~Gc
zP5xOTMtnuS&nAcwvz*llwFEwc_R!QBCb(TMl$`*nMtV|dMY=~O2#lG|LjwUUeEKX%
zh3$fvC`$hX{tH3iX+>Hx6Rg;7s#=9wm9N;+3LW5*xPF2HKf+1NCpqfLS6ABr9e{H*
z&QD3TJ$j67RXmIMUlSVC6B?88{V3Tb*oNh=d;xQE?TpE_drY52bU-qg1L?E!NW0dZ
zmOh)XJaw_XA|uOFG-l_h!e05r-|){(-~>1VK?fCv7`l^H4^bWn_xDUPK(L1sB&IFM
zPNggEfQwAwi?*kfum{{Bn1H8;w$4nCh=SXLF$2!+Le4EH92X1`9z)y8?b7)%F>QhQ
zJ1j4gUS(4nQhmi(A^?6Dy*LzHt~wc$l(sk`PSsv})Ch8k0Lm`JcNmZaS*cH8k50TC
zGQ61Be9%tZQEnG-iz@xiZV3{a=Ay?KoX=CKjsPFnk&J1jEhZr0Jjn^rVu?qUvZg8w
zVP}ZeqL}qduYc(F_~-+bi(#k*q4G^Lt}l<2jG<@_L~|H=qaf6DGap1;<fyA>PJo#c
z;Kg@5_{!4H*y`$E`2M#&clgAbVpf~daW@Y~rjQuQFBZ_O$?>ADE~bc~KN$Hbh>2s+
zFi9}Eo9dTh>;@>Tvfrh;x~{0Y8h+_&b!-nE-A&}yIEfT1?ly2x^P!`=d01hPI<+9$
z^3k%81*5x(vGp7xBeOs{D@J$oc!U;I!8JLv(yvbL=6=1WR)+^G{fvzyb+!F&Op_q>
z86>sgF|Qe8f&FH?1`8M^7uR-Sk#9C#nbH4r237qrbyq&YpA~n}HY+?vpvm=L3kz|l
z6TsH%nXKkssDFx{D?j@;{%ML#mLBqOVK>85eSsMtL<%6}Sup@<?pk=PFEF!18FKI*
z(8lGv7Q(0tKmhor@NpG9dzK6j_C@Ks<v_qCU4|?fp6rX=Vy|O4;AC`OD#<gKrwjS;
zE!u7IXoLT{^#7Ev7$Ajb`vTN$c%(%V1B=AIyQJiqZOuK%Hp-fY;tG=C*}lNLuk;-N
zR<QLp{UWI}*EQFWkY^jbN3A}QP6Cr8(>J(7@D^+VW=m_1`V93MM=sbrhx!)a-`WJW
zJikaQ$F~5R_7?D?4cov+<Kp&y7%b_sm#_6(@RqBc2hga2*xK`%nx78&$PQ0hn}7zf
z+N~y_o1OqBpi=@>=f!#gRkl3=i)p+_xcd)Aep>baN-`aHo`FD4AWHyJGuF*?4~*i)
z6B(A1{B*c}7^a(+>m;C&lts)jec0|z6VS*B$5B{JK&M$)n(6iHC)<AgkoiTz-G4Ch
z(?}}E^Ow|c*kAnqdi|Q&#rk+zBKe+Pt-6VCH#>}3+aMc`oHPd$gLcn0nWDz61Y&f-
zZ@Zhg@O7z;+y~oC5pqp=)>P(LCVI|TYp1{rz|XU3o>l<<PrUwr{+QP}1*F2k4H0T6
z_#F(mL<T#e*Ts);+&G=BDmWE@kG4vX5JElgfPz=g#8;$Ru@#SdnN3Z>F4s6cEfWOV
z1t=D1Y~+0kro>mIhA_cO?F9z)4hWthgb4z5PdE#QL2f$dNH@y_Ya4MdtezQblN^TC
z)6H_p1$;YRAS$}%k|zNEO<?uzJXrt{vf$!!SD!sP>FPsOKdJgd<}O*$zb^j>vz6V0
z_fHy3lq`!&W8ShJ!m=!~NBO7jL}y>G?-Q2|@q#%__7`x|nO5wKv|>UW#>bYGCd`tV
zGB}F`M0@f_Qq~lWX^<()OGdoBSR>2#WyIg}U;WB|{SgkD*Px}%vXr0<$rG^r<q$@P
zOO#j#P7VGiu_FdRwNX(BN0B=QWL<+yWFAsbl(Q^gUxJ4#t}e0(jbI2ynV!%jE(?%o
z!%m@Fh(&SeCC53!`P=L}$&;960e*>PLJF>t@rWy)5V$QI_*TL-f8Q-mi|VI&%T<Y#
z1g|R*8|PjVyuRoqzKaX;bF-*yPLc8bC_PMOG|#q8@ucJ`0-#1Qay6dNLWytEJi6D9
z;s|EjI^;#@4$4agkbC%cx(X`0kLZYw3KC!00*BbED$o;YK)u?Xo{5aQ9lHH>(;E~v
zXH5Hf#w))3ogeq=ulZ;%IIN{$W%w4^!aHfD+{4Byv;d{U@+=JBQUuWy1@tQl4u=C$
znVO!gf?^77MGQ}enSm#cXh5r^zM>>%O>mD7vLbTzifMO2F@;4CV~CmWB=~(p*b0!B
zE-0qZR>ahHIrI^YW=dV+f?^6yaJ4OnneE<SEBY0FwIpRt5KwlUBT{q79jj;WD{8@^
zNS2U>Ih+G4H^{~XNlD5UNdWJeKvgV1*Ni_$qJ^@fc@<o9QMe1knvyuT^F;R=lE=uv
z!KLY(XS_XI_zMC*LMvVw=MmOS_h)xtRfgxel2I?|Jkt%rSrqRFb4A+U9`8`?LOdWq
z%;!1kgQ)A!v=vv=uK|Y@PGZ8iri(M1ON@wQmE@X~jS&EJf<If$*_{mkq|5DN*T6nP
zrT1kPl>Q2Q<-5wK;;vX6)Kh)NZ2FiFRGS*|fl@&T_8U2UU|~Fgh^oa&bj6*F^{JCV
zS_HNvgyRYGxrrytZBKx%WE7>JKXR0Kk>dV*;p6_?_Wc|GMK6!#CGTOAFYw86QF)b4
zbxKkmbjYCU>prr4UtIK^AN5n;@P3br6bfUhqXLX^V}Q(2(P566p}H|aN8v01g^?HD
z1tn2mh8%g52g=ngnUrLti{N$-a##i@+ckJ)n-!^R>Y<P9LK4Akr^!Mdk+Nb1072Ck
z4qZP-c^2%@1_0Wk_O3d~1!)ZI(2mtXwy8bGgcPb+9ejXZmePzKja5Gy16#9(d6=%$
z=`PV$Q9I3w)sZrETys=))|g54P@6Z>f4v@bl1uCUW8EUX%2E}crH;zhO}a!(MYezE
z)X}rF38_XWb={~U<sJ7RPTpkS<ojA<y240rZ%WHZe<p(Zviyj`n}fXRlUl(LrOmSz
z1Hb&z<=fu!;H__W7ImR7nw+bfc8gk@GzWR-3G&{4|K9h$*X_C{PA%W5TT6IFYp}2~
zb~`Rf)QDVS`KknYCWy&UNJ_%x2gNEWW0N4Yfe9WL8}0Qypu<^niM}HBgbBi?>fCog
zfjfe<>n4bavP3B(JBi`*3P1A|Y1gYD8la_j62FCZJ)I-%x(OoABJc+*uHlw7f?g5=
zo!n|eG!F&WofXBd*Cn^?U7#e!JZe(bPcm>afs+ntU`@7SW>5QbLP=;@G%7>P4TqD(
z-*Zj7uIAXmx79>9O`vLRJ8=-j<9{mFPdK87LQSZAc%|;y1TZy<i7WTX=+O=u08uz(
zX-n7xOdkJ-6vbQ)I;%~;VL2$YrA=#)m~*kWJx6Bj7R_dQx+itY%=@?h(&v8g3mmzj
zwF@)TrILZR8<|c97agP8+x9%k_5pFZeKUqs4K*c7vd;vEni3_~R|GK|g^eJnh=Pwm
zZDlztcnFf}vlWNB7ip&L#|(Ba(oCD+VE3ZrV#}d1H51mE#`h@Y>QoWcT&ziAX0cj+
zq>kI#shDXyINTSs8?hV<5P18$H5Xgf1mqVNhDYDS_YpH~S7_8st8p~IYlGg<FWp+}
zc7HJ57|du(`MLR8Hg&l^3oYM}qM>|vCG0<CWj|Um(`J@uGkp({^)m5GoHO(;W;&Ep
z!>f#Ps$hvLWkBAXkZBZvM|rkS4ZJ5Te}l>(TOQx??0@j5f5-zKO}JVjv!q|a9|-?j
z0-oKjkolFbO2A`+yFE0d)}T;0X(|_@NlSrcyWDX!6(XbM3M~q`yd#(2Dlv<V4*5kv
zjo6Y@2Z}`#07}qiqkcap93(-}q_!f$5@b9XAS_FY(0`0*Qai`R8sh?}%%H3kr{{vP
zss(r+<`1)41Q=dhC(BhW=vrJKEV;GjNMBMlX+6sYTOOHTLTuFhoK)2+=o?&0wBEF*
zsqG;4gCrl%UoK=-@e+;d+^P>%0XBV!qPr-fx-XOC=)c9dsQiny+4R9zTpRi)J@l<2
z=8En`in)eJGYRb(NoenZnd(}jHp^>sszRMZq}vOTrrn}BM0z|S(i?yFciel$LnO_s
zS%_2<aOh`KGmM^54Us$n#}LT`w<}DI7IU;P-WC_knM`KgXk&mxZ&!?&O!U%YXb?Ss
z{f-kfQ{FLVGAj=v+6X%<#!TjMy*Vfp13Aw&M;J4iNAk6Z6+WQxusL+hWR|W-1}OsB
zNavU`nZ$HxFtr!<y)4NJrCmvcJL=Flaf@Hcl*t5M1OQZqNVxiK_E-$NtqCd^qV*wJ
z`DMbI@%DKBSO$#8t3K)isQC@_k7AkiU$2h0^m~KJ*9Jqj7rJE+EA=@8vc_Y^vg$GV
z6QyST?Jp9gI}VI?xCG!Hn6@3@5=%aru@;ChAWpgAh-s9qy+N)6C}Hn}<15fzdb4rb
zz=PmjL#3Tz`5h@<5(tG>a=rj}p6O8f@v#ZVhuf0?F~Ga<z3~0{a>pOcZQoxRD82Y8
zm#5-GaJ9f6csf3$Jmsf)0aL@OY0I}3jA7O9c>lw1y6<6?7WFKwDkZ+V$bc{hLM9nz
zs_2iGuS!^Dg6Ai|1*5D|SCObhg9bk|wXYp|3z`FI=LfZH2b#j0Xo9$xz`sH90|r;7
zh4_lpz9tBsuELbt!&Xb}Yl3)qpzpb24^ysaUz4mRqDz%Ks?|DyjVglJI<17ZqN6Bn
z|EXY%YdRtx64`v=qRI*+L$-Q>9F1=5UAa%j|Bc5E#U9gL_7^&AX2Uuo8`exUujG_h
z=;A(d%fL@rT1@N1IXHFe>d9JDc+6+S#^hT>%T{J@=|^Z?zePjC&-k4oVloT;lv{L4
zDd>NB{nz}$2OO5KCc6{oEC92YU;~g0?I&{wImS6hfXxv@pl}18kroXR9qHqShz?>v
z4u_6&9^)LKDw*<w??ntl6Kupdr@|ot^6WqyVw?lIk_EySthnBnxvTzTVw|(nU(HM>
zBcp3M`)aQy)>t}L%B@l7E1IX~>$A$}iD!S_p530T-rSyc?)J1>^XBKA-tFb|t``x_
z=*07&6TkG|`Kn*|ypO9bXs-21tEO830<71B2!fauL%$H*BdRQ4C3GSLL9b8*_kaLq
zLAP~?>b1uSV<48O+Bbr3>u?H~JgF<L(BRz&x~;>CN_vIRZnt7WBAuh#I>ds?_k|y`
z#ORVn&}|)lj8-1eU3Ek?t`YQ{9uB=J<PvC-EA0t>c|uMPl3?V4i3fZ9^3b`E0iDQ*
zbqTZsB$4t4GBp33kkiAOi!F1MVCq2QdPmYd5#CN<M|4d9F55NZ?K$G6NXm7Gz*}Sa
z@Qc#@0S;9XpO{s{oNK!5lA);&5zbDJ4tz%YY)Ed)B*9p#4RC1tD4izZ=<yaERtr{<
zag{b`t#6MW@6jIPM&#&w{4{nb#z$BZWp?!a5+T2=39^*@AWe$=TlYq{mj!=$N><ZD
z{plG2JsO75xg#Y1#Ed}s;0RC^?#Gv?F#6%j2j9zA?)y}71dU%50HJN#5m~~Ko(HMt
z<vimq<q4?=VxCa4O*JvNLLXWrG9%pLls+^Nr{QS{$KTWK4S7lkq~puB=P5B5Bs0ey
zFV;kS*F5LQBoSc&^yRcA#PXbPct+-i*!yu0TezAPTGDJ{UpV>Kb)!P0Hls%+olxV^
z2k-IbjMBYPInsLCH!fd1d&;0Z0Uk{E-l8_KJiAfnaiiY&rv2~y^`oUxowAB3d!#f9
zSQ4pmkuumX0RxIr_VdrVoepgyi1cYGQ;pEgGz5=i$C1(~=!hFZccakjVErZF5`e3c
zS9CWD?GrU+&{J%68;wIRcT35+qw!rTMdnwscHt(Pm{~9Z)9`|fxbtIz8wJVQiLwUh
zsX58uMnST+qJR>$y+FZ@icKEZFJr1b^~{EDyXhBusrK|b?e8?L@xQCg?>S`j)N`(*
z&2gBGpPM|++qik#O&*WGcKIWJ=jVU?10P$XOf9mtwM#IEmnj&l?hrx7F;<(aj3|9g
z@Klc`=)*16%TBjE)uRdeaEt8<^R30dtKHz@O<Ie09d6OnRv&AZyUl_W?1)T&MQ;nS
zRFC!0m}w#SO@QZ1s8zly>d^!T`|q3D<v;_zs7G7V=Vf5{w#H<Ba8ZvY>GLu$dPL*A
zAQ|sYO*(J;dhp}z8ScSP_s6K#dVBEG4Z6AqAASAt4wXritOXxRt|I)Rbc?ne=Ye~P
zz>vlh(SS*gMue*E`zTTLn{GT9j6{UEP^qg@o*oSPQkORy#G&WfM~>2GgZ$rk(t#|p
zH6TF3Hy9QT4nu5&?zt3OX|(9U7)U}8T*V#ugvDS`o;VK%+h7u)liLg?F?BMLx{yXZ
zrO8LeQc9{K1cP#UEEptDo49~WKybEq5F7GqdsgpZtA0z8=(IV(2uRyOS#2cFplITi
zoHOdTmr<W~i{?=9@t|Zs`&WMT^MAoZL4_=vuTucbusOoREVbT}|1MvZjJgSW`wKco
z)Q@mMsx}j36ebbge!s%JDryxMq%Ez2$fIkS8B@2~))Jah6U01<g1f~4E;W0;A}y&2
zVp1UponarzJEs{_7o;II!NUTYO9VH<Adt?Hp`ZyOVmwMHc);vu%~bn};_Fn&4Gg|4
zxdZaH`Pr%c1zg&7GEJ8PO{U0{rj`Q+_8|O5ql`wZ=`>UO3tDeD{G2T{oC=gLx=j4C
z^TZ`mo?5gqvSy#xjQ0n&jid;y?(c{f$dc&>t@ao!B-b6)k0d$jm(Vi1dtlQV2Yr$l
zR(3s+@-JN`SpCAlYJN<z#_I9<+Hc&4@J}jTypZY-J=z<+wNbQ%W8gdAzj!0&g_OS`
zHLZ|acP`XB3C=}JvD)Uc2|8ugrlxi!cN@JCK4-yv-xNZ7Q*Q?6yNIc6q{b8Gcx1>n
zE?E3IdU?zn0emgI{P6VvXlB)g&I#NPdTvh@f!i0o;nUvvk*@gF3Y+3DHW?rw(HI2i
zu7MxoB`9AN#czT;GW1gopa#|<D2q`PG)$S{g-R)O5Ni`Pc8x*Q9?=U5g=C97ly*iJ
zj4@Ot;75lzM?I!<j3Lx<Q93iw13;?mBp4&8;~J1AYAOb~tsoV@{p!P7X3$a)5ofbv
z3UZNu<RB_Y?v~wvH2A&vxl%&r2*njKOW`a>)ugJN(4e5&tPADUf6rZtu6bM|k;`8f
zMUBohY9kn=Pp#V7iuSi}W&gKEAzD7q{^A`)lXRk>lupH<-^9iR{RRb?x~d5XP6|ar
z@VrB#K~hfun<7$p)M{Ya4hmI>s$GLaL7~SXoNy<elqbc*L!57H!hi)hNui5(;HRUP
z#}p#DaB6Xm;h8i@*gHsmw)*XKlI^nlsqxr^aE}3ky_h4*x3L8K74Cn_pZhC+Zd@I%
zINEPq9eQKKZVwvD_!4VL#5D+@{jwtXfLjF{)#0GtjOq}a#+bK8y$KjdtCONS^bUv(
z8Wx&!jOx%kAU3OlEU~9ROCt7T@Z^*Z2u#3jHE~jh-U5L^2c7&}REImXXNYeN#o3h<
z|D+~6kMwS#ID48&^hqz00KRY<IkTNxAtH`eO<n@~MPU5GK}C{mV(~tnri@jIHcjKI
zg-cGYZ`Oed+$e))s@4ALlKuRcdXm@9o0+F-3fcZbG0)9n=WiAZbRFTfhT|%&WYuxa
zn-di2P4Z?FmcOB%{{C-x*Eju--dCoyB8GN179KDIE+x=C?9sR?PNs3|H1k-FsC-E2
z8vKOnjk=)ZD+*f=XQp69L`#_N3Pk|7)deLUE`rcOoF`6d#0}|$lc0pdw&KuuT*+4y
z!5y*`$mcV{?vBTmkfjKsNtWlx#0F=8=R-@r!UXp+85G@%SX-;+{$n&>Q6%?BEr{C+
z68Zw550cc=WfR@b@P&EsBk};9H6>qRzh|{0>XN;>GDtmKp~*T`wV0Q#gAdv*QK;~s
zvuoPX{<_oYpHw@R=)Y`4%JqJoYAf1bU$5^Ir9uV5G^qWZOV^L3bR9Hlc$HsU;-k3&
zaeEbrqQg#%8fGxsdBA9YY5D2D^G)Mu3yZu~<fMv@xLS1c*ulNad^AEdn44BGCZftH
zYsPXcMdTGz<W<M3EIF?>3&zOnuwvU94-H?TO&bLl4e)RDlxtM6MD&+ejFA_aukd4-
zUn09k7L19g{eI0RbqX#}Hpqf0^1@|VBKDEF2qTFoOtQgQQgVw{`DV=&d7)_#N2lbf
zJI5fREimz3{fX@X$o6*4czYlRA4Yx=$fjG#cz-Z9yx<+s?$P<}@dg2@r!(G>)odiM
z?Rltk(SYV?#>Kp=n-GB!<zK7_5Mw)CYH*CEiu6|}%)_w1SNRwAeLcxOLHRdI40|I&
z`r-MjxdL&M3WU@cFcazIAu&bwjo7al<S@O0es;Y3v|H3BCTADpJTAu1e$D6qhbvF+
zYV(2Bx~!AR+Xg|(uNKGpRMf?&g0*dM2b80jCKsd)Gr`a{cr3$;T#zQr1ifu=gLx>;
zinL%RSeyKIi)EQX<SWv}Fu~A}2be>%B3%p<^nN^8dG$qnMY<R!=<Rr$eJP5$ptu+&
z>Fs!!uBSQeAjzbGN$#y3Zx6JCrh$VbT?~`N7&vXmL%$oj0Z<h)$x+iyGp2^q69&57
zW485+oGj3Pi>A7o$7oDd?6&3_xYcgVbPrHqo^d_av?oq#t*dDbz&H7>wu6f7@oNVa
zk=G2}ttT7tvfimid}_vZ+VZUdVrA?nk3aO!e1T_Nbs@{R>K6KImP^HR0h~O-FR~%h
zoY_oF>69d8Sp$dySDvVXLd_tWLN>F94aAjaJv2!^kRWYVbmhqrDu9hk;t^N|&Efi?
zU3sFpW{X=df}0}(8zxd+(3K}v+#rw;L6AqbKgN|O8hem&m1yROlxMqQP@eoX8}LT+
zXM@r(|C&)nCkp7%dCLD$vAF`Z$fKyb$426%>*szROM>?3x0%Q5G~9spO1OTb_N)4h
zFbbduuB*YuCW6di$ZHse6g4(tWLhgQhau+)Lw?}<e*SY`ZY^my3=x1F*-JPZEPA?4
z7=jtjFg|=!(Kgx$x+Mi(X$LqjP09|CVokc~bHI_@Zb{jP0ybotv)u|Q%4S8kq$v7n
zH!X-kq&At)(Jd*=<{GeIIW$U>?TT(mX<rE&<T-+7xs3$elH$;t6;U1(wE5!xV}d2c
zuhHo@klYvsCrHLH1jJ;V#Q;f=LFe#m)aJTv^x&dK2i*^5R@K0DLJGCuPcZ1N$N0{m
zyYqwYu4{Z}&Wk{7F>_ulE-qh$P89x*JO#YVMoObk!3#QQ{D>XR<-U{HIr{f~(rGfk
z>i7NiANxPu_-dz%8Q<FXg5VYQ3g{iQU~8?feAP5M0b#>J76f|+0@3)^6?YpY-rR%3
zS`$~kB8{&JZZV@x@a}yBfoOb95a>m%9%3L+L0S5WG`>|36rNH&1OY`!7P=sfuL%NS
z4+jGF4?XP+-jQ5$K^k8Z#MB%hMG?FM?p_LgE-1#gN-oherc0tA+bo$Hoj}7)%g?4H
zBN+>83UJ68oxrJ9P+c+(Af2WFJnPX9AQ0iv59oo;w{g^<s|oIFfp$}`eAsHkC#4Fj
zU9W3Ada|aQ_t^D$%+G1P+DQDR-T=O{HK+!9KD_J#Ekfr(1!)2xdoB9^^1xrAs9$*T
z9S^<|`g{(#U&D}l+AW$x?&-Ja6mslO{<^>YCC@*>;G#jy5H*P5nj+^5`9F}8E?>bj
zq189RZQy+AbZgM+o8TZ8hgRPNhoLw!rKL1h3`22ZTgLzt2gD~4Ty!|BQ9Fe#?<Hu7
z;G*4O#aT|2lws<ja}I0LDYIXMYN7`G1c_<tq<n>osd~KpA`E`j5r`;`mIQ`LPWB|!
zPiWEu>JfCo$~9$RnF6o#$*1H=1aHCsMV6s=;o;6@JvqZJhrM>)-st93noXX}Fj}bX
zwmWj7PUv=%{@l=Q$64gD-<MYMr1m&TWG8si2zO^L1L~yHus_%;PZ{~Ml6JtbKU(Ha
zVQ*0aAWzft?X4cgJvIyOiwhy`dEw=j_T~C5+LzJI95yEu4e2x3`!c&1?|#=iUR4A2
zq$L%KHX6A_vwQJ)+>5t<$-n(c@AITWDX+kYB<#v^4<<<_>QOCju{hK?B`uA#`f`P!
znS$Mnb;{^3kjkf}gLBzIAjOP6IH8qC8f@DgbWhCamlOa~{~UFGbw<DD-;w$+*k#YE
zQv=zL0i7C)=wSC<BE8<M7&H3bzmJap7J-yA`kSTltN=UQfPi4OV@AJnobO1q-W*)q
z3p-7i_rminBLw3QA2smR{9ZQ3onMG`Odq)6yTshT8sS0YZ)0p_Q+<IxK9a{~)k~fL
z*Sd}ap20<D1Q*?qO!KOg?VJgK-43OJDKnVPjsY$zXExQro4UO*Szr4DKlsJ}=6EK+
z_-?>-&<2Jv)^t)=v=6m{G1P?FWzw2$M#oMIk7NvMq`5#`Pr<ciw=L3q{<)k9Fa{kM
zZJ6qTOaQr0VS%?xGyc9}2o~;E3%ms$SL@#{7&8IRpaTeSr`0BB0^syWEWX)*#)oFr
zmrSItWqbx{8vwg&C1WPQX?Xw%>>NGb9p~kt7t*d7Z;$ixY&kThInQ{1oR<f~JG7!<
zzi8A^P(xjugw|QmFK>_u&;<fPk6Qmzfyla7|9A~^UF>h4H{$<BDP#i7SLmrN%$a~Q
zW&%)K2nj&M`nb9WuPrp2OdHHiMz6l~uFLyU)z<RJLzcVkBZ}bFzm<5u4#&t|#GDJ5
z0R{`q2VE|RlmGgk+J5dQkIg14`DBFnAU)4evj*KaG{h|C0z#9~6FZx%hU0xB$6_vE
zc;Xlx42#=CgHzAMv6KrKoH!N}HQwU1?wL3iGd14g)HiV~-k#dx)H`u3=4xt-6GoJE
zCyu3L&EUkbh`$`3I2O}2gA>Puw$85nO(u@1tKyE3r$f9IOZ|}I4;d?#?id@Xeb<;t
z5hujF6#J_yp3@)F(|H`@#+s4`MqrIF`k~zjI2ZW23<d5yOA5e~Y81j274}DsB2gfY
zbTpG8{t%Ck8cm`=9ASv(xH2>Y`S~9tpm9#xk#S|hkmwYK%3y00hJ{kTJh{RlkE>Zx
z7oe1no7k7R*W2pcni*bhkKtuby<EO<KaU~D)@@BF|E4$n%x`<YS4k8RGea*IZ)VHI
z4845e#TV|s@Zi-4g=zT16nYs;Dl_!*jL=K6k6x=Idm3_Y23Jlmt)IQ5GVK=4=!faI
z=oE0}d;j6@dhmsA*VW0o0W-i#`x();K>D=Qb?+fH0F6L$zW`t;835-;ruPG<(Ii2S
zs83)90L$qCl@i2FOKE^eD|$T50i77&qJjsuQYJwUr$HgGJ`$mU6~P6E`cM+|j0aX+
za{fD@97mLW+7$!(;XKR$8VL`f6;$FeG&NW-ZhyU8;GgU}`|IEMCq2P_Y5>dH+F$Uc
z&eQ;>VJ2s40PTXSkI+c#8_oYyQ9MxXJ;vTJo6^T)O8@x7_x#)sx2DuW<dVG@#s}u~
zG)$-f373lwpO~9cgr6HQhtm3Bf_De@=cW`LfQyw5F553a_9=Dpa#jF4#@sZ4h62Lh
zFBcuoGdHEc;tydM-IPLb2*c>6w8ql?7{*{q@oS7kpbx~DfP{l6#snlRv%87OgoDyt
zA?Z%E+j!V4Io+JZ1Q<4+Bu9}1w2Wd4H3MA+N;Lm^<+N_y$#903F>$VD)xP4~A2Y(b
zlZ`*S>~AnIVf*X$+CSNM_Se7Z`$VbXc7i!deiEYO@>F}pJQ>Uxc$gsrGw|@`2bZtB
z6yX<iDV}EHfnWLyzvYko%tzK~@=7*uQF0xhfpH;Xv5yB7pd?-_U%|f8w7a0p+r%Ie
zu#_s3oD0&lSHU`8WDT5Jvm#Bq36e@w82OHi1tAFtReeR8b`#vL7dkfSut$xo5u|B1
z!9AG$5Yr;~1%r_W=)NLNdlkem6<9(Fat=#AG);RI#K1l!+FOHCs1X#?ZjwGD9-~bZ
zB<ROh;sKjO0t}-t?QP+y6p{e_>dquHtQNB;mew!4fUVnOA+<^k8sMwUq#0EHy{?S!
z*BD+y@zsE69<%p2#_XM5b}o<gsfKq#?;ka?y?P_dxA7o>P(S+Bf8&||)fJwiWG$8{
zm{|lIg=qyi>(a|(a9UM(CJ5F}P>m49yjl$+t-V7U3}ULsd_^ieTM;}fU^j!-0phqb
z0wE%;w@3#T!F6Yf9~GXh=t>7mws>PIJQKu}(2Wq2Y|#s*I>*nE3eN<OD~@nNjKImF
z$^z$tqVP;|iwq;K526XolE|p&Noq`9d{I6atE@_>J1<m(qM9k%>ay$sU`|Jb;wok#
zL)m(4tf8mqusJVy#=Ia9$N{Ji1TwBJ8vp9-WPq5~P$=6qUd!cEFf8=9uiyCIFLgfo
zen7(({EgDkfvNC?Prj6^%%wA4BeXN(T}M4bDSs2Mk+^h4aKGcE8n#sKR~+z`1jVH@
z!Tp}R#9R?wnvI~ibSAij8lmz4^-_l-N?bY<WEMsQH%F98@}b40D}rcDWhn(KVz{Oz
ziu_lLOJ{<prRbqSblDPPsY_QR4?3g|C^2wFsV?*-)uppFjo0C@TNNV5AjwCxY$B-y
z>IYs*&=2sbOqVioNx39OT<7zgq+eC(EkfsKR<H8C!5nX*VvBZtCAffbIakO1EgpIv
z*M>B<Zenm3=RD0B^E6Kuk<?^oQeV((IFld$bKm#Hzt^2f%{E!|tXn9JE)Ghvhq>*f
z#7osyPmH5<d|1HQgkn7I*PvO?f}R*B`}`53D=4_y!oA9Zo)|}=ceUJ#dy3w;61bPM
zN{Ml-XdWH-Z0N_PbM(YGevFdqQr)c)Z)ZVIjMraHRlB4P3oDLlCVkr-h^^bF&L?(<
ztJnK$zCNprYP-p0;Kju;fQ+>&9GPd>Fc6q$z!n4t#!<;&szHU`LCL}<l;z>OJ5x(L
z?-(4piTDMOq<lOHJAD6Ut`;bXhM98E)Rm5p^CdjOK;fKopFr6obLlm}@Y9j2W1#AL
zFu9=RIo+Kr@5P7`=-{cQ>X>QE_XVt9^shepjnB9{rwg?@W>9q`9W$gll8!k_QcIpQ
zvQUNhH}Z0ypkoGAN7OMGgtr!V?s!~qN@6;6)G@%PvOtIo9oRWrK`*`$@N!_uk%EhT
ztF`2LD)$GIg0~t~gNz)d!hGydd|Ted^dJVoSaXeG^{u3L?obj%H<83>_f}np;@i!X
zrn^v{^;13M-7BKxRGZ$Y4A;7Duaz!s{W?HuW`FLC{@k_EsngWmYoJZHKlMG|xox$n
zrT_G-LFxv-N%)hI_wNuBxi*EFsZ$Pi2xcWvD{H{Na%~E03f4a;3*;G=dk_yXqH{s_
z*w8@;Evev$&4GEsBuG1K=NN{qNns=jx;EvZmn%h4Y@n!`6<wP`aJi=H1KeW0KWh5V
z&(XChPhr9E6oTMU&JY1G9mPJ#LifleEl24~25pLiYd!*rLWI7WC=8OWO>uK@i6n+6
zPD1kxCOO%$40_PhimDe^o-0)Cxh}~6w!N>>c~exP9^qDow6~fuM7)jn2ybsm!-!ad
zM(oZrLNOWL$JXD2zc|Q@*!X)ocQyHY@X*2mA%F*9v1ILRvqFN7+wQL-Uk75vus@pm
z7$emamh@iSU($WmK}44#{9E6j#Ep_#u^$Y9e0*;E@#lGKg-(m*lW+RXfA+I~rG<jE
z-Y6!Wk?Rz`<90`eljOj;{$#`pFH-{9txR!Fg04RyxLcxh!JHwg9yyw9yiuFXj0tX>
z;ha`<{YkeKvs~mH!GG8Kx2`|olcBP#f|{;OE4u!~Ij$w8N}~F0A;DF}MDybM6M~o?
zCyMsC*)*YX(x3EOmrQJmk4crBjve$Tk=lo)dbq2u&m5p&;*IJ&?Bfyy^zEAQ?v(Cu
z9DQ-<43LwzqWnJ8fi}uhci5oiJgqrdC#$x7`hC{{&D;j&qIiR%6Ux=7=-@!5%mLc1
zZ&kCR9Xlo>s994cV#Rkjo-nsvvx34FmLzCqlwy)85?cDWzf?-AW|u^TFQ=EsGXc^(
zeruV4xnugaJEmticpweD#g6IM|LCXwz0dh*$Baq(++rxJVZol0n;aH<q`-(#EM$RY
zMo=22Qn8Er!d5&W#*(Ovl}L?G%2%+jslYf?iKGu;EAEFXk@NvfaJMJ*oKzxr&B&JL
zpQ8_8f_n_J6ZAClQH8P1&oLGl4-4omSrOFjxo}r}09z7VJjyGJ3yE=cO)-$k&nk)b
zuTr!;(DzAKQhb0aiHX({6Qa^kD0=-gQ-KkF1@8|0W$4wmVk$m>tqI5<<1+EAyF+!-
z{W%`#|3LDvDkJ~G(c=w*X;{k9G02Erclj5kJ7may)QTI)Q4eG&bLQVUWKJT#81Jd;
z(hFFRV!oDPPCO1B=D}}bP2cSiN}_fMjV|}bwZFd%wN^bteCI21x4?sE0iyjyDoq&h
zgLRh7dT>WNaa+S^k2vt8K<mbg_BUmV!TH8O6AbxakBL8+uX=PxxE=ftzTm#8m&e=@
zlt#n*k02LOEK?~<M4_a>Z#Eb7^-uR*%6s&<su<Ij@2g^b?U#J-7k}fJ&8V<DB;a<f
zvSDOmx(0NZ#G3RQmt->{dS%FFU<xU5#<C)_`AO3xl>}=gY7X;A1<?k&LaiUe3Pp`n
zj0>QFKrS=o7X_2eSgeqj6XPO<gmmce%&@-~%%T*8{cF!Lfl{_$Yt;%02t0;u#vZv&
z>f$ZJMrzo2mf2=#8JqsSRHxHvCY!N4;sn)Z&>H4znQR7-FgvMF!}7znH<Hn2!2U8N
zE6APFx#gE#;sAR#aC*#l(hkAAv}?vYbWjjF?GTVgtz^7KFug!3VNGNeP?~DaGu|Vg
zkd7QcMnR3*AywdB_I7*GRB-r3umNpmvTKflaOs`WW1W4>n=V_7QrUQCKo^-&(o@_Q
zu;rCTiSG`)4&?LX?*e*Kj8HI2GX+_bJrzo_V1?)*hE3*1>zI~8L1u5#y@qqF*+iZd
z3i2s3N`K>h&pi77+GM5$GNq_6T;uU{*+}VzM#)wjte;Tnnc!gg#FLr0p+nUZsytiq
zCdHFR>)Zz-JLr}Bk3!`{{+;snpTFUquj;amjB1bjk5ah=n?apCx_@c2p^Ee+zMgyp
zKOoOx$QRZiuUy27JqDq`7Qtq2(3~UIpnR1Qy^9NYcN|>`!8OLBHiEHGc5zTY1?8`v
zLSjht0x4v;43r+bM4X{ec5zrO4-#UcN?AC;{WJsYU~#d9>4!Uo-ee4K&F494wCe3s
zm7br9%g6InVA=Roa5Fp=m(5eb?VCImZz)y?id3zT{hiSU&$2dmO2${m*VP0rBdl#q
z0Lvh-7;#Z~g@}uUoH65#kP&fG*kOc_a}5)gLcAkQTHIgkqt_y_Lo}c3%>}){id}<+
zgijjxr=pK1%xyQnYh>tS#(TZK5L8mFWkMkD&u!ly7c6cnQqMw6a;sx!+k|lsHUj*j
zC@+MmuGkDi*NF63?}KsYV(Uo7PKmI3bFhSid*P*r52ew%Qj>e9H8CIc1*(D%AG~~@
z>EkQpCa=!WbIR&bj%Cfy{Fblyx_`-GA?gsBCt#693QyZ;C55LkrI~{Og@x1=hccBh
z{29zt^1jp+&)ze!1s{Z@G!aj+r#HoYG4$DD2!#fnm@3|0o)n&X*Fs-^LriJ9WT(FY
zX;QwM_QO#aMwBff8fTj4(@fzh!$Jge45Qag`f=3SshcrI0;y63VP`H7|Ei6Qi9+!~
zR34F8`S8kBe$!;vMi=Vqm(Wu{99BNWj*KfEqHY{E<Lqu``5I-4&D&&wO!~B6Q&U6Q
z%jGJymp-E}-}jk+_4oXR|I`(#I-aIbiyKNX9O>m4QxXxuch)`B7+U%4LG%^3f+@7x
zqSHZEM60XnAYU<sR+U?5jjoL5(7|j5ZlM*dej^w|E9Vwk!SikenM|s`y7K$1fVgV}
zsZdSO>3!B#@bVuM!v|yrmmJST(jHWxnk8fS0G(IqeU3$&hc!d^;B%M2ci1`27(Orz
zDw7Xag5pWHG2letJ#5%r!t<n;(w=9$KR)>ou~WX9qe|K}t)oeTo^9h))s-=cR{kYc
zOTzxAvJdHMw>k*XTp;_4NM#;sbjG1ZWxVm}sj%{{jVRO`;-bTVpvn6BJHF}@{^(D6
zeMZmo@$2h=i$)`DqY$z_7*Om{Uvs3YWIeW+Q<4>j)@RBsKu~2gbSq(GNLnlDYb%ie
znLRiw*7@psY)aDCPFUAtRFb|Pv?>AD$EdK5-9415OVI4mnmT740O9RL&-z*k;E@<o
z{?~MkB$l_UIc$OA4H~vU(W2JLf4vqc-lAa(6m9CD1(J%b{h|R2gbB(FQcQ9Oc3iP)
zpzbJr>VD+~oNST+e(qqW(Ida!H4o>tteX1pULDeHM=I!cAA((tk`em!lkLUG#ck@T
z*abZY%hS3Yz1$U~LNA8FLy^8uJexuKJpd0gGEw~Nkf-S&3nla9i5-r%Ii>dBNlYPo
zf_KtE>W@1yh4YOdcP6F;ien&mWSVkfiQ6Hul=D=2c`TE3k7+h(%{Y-GqdfOdp1J#~
zKm0#^{CABuZdVvPIuhYkC-k)|RKT<==zI@QbtCBE36S3l!lRjb0G)QbqMJLch=gE)
za-m_US<$sA(CLRY?g?{<C^rwn*kyt^1veO^+N|ih6e}**>_UeiIh-0nSEYopgGH4;
z=C}i2MI#u@9e<6%!=-yXUdU0kr;4g%TwDufnhO8-Ok~#R4vNM9R5hKd(3(YMGp@?d
zeKLBq+!S6CD}AMfnFHvI96+RnL(|Z89rln^?)9i|=&+A1-<M!{{crfO!{2rdri5GO
zup9kWLAc0#=V2Z*1lc8b+odNH(_n+3m%yt^Npk_t2yxiU!3qf14vp4H0;*s&z>KCT
z8yBPotAc1xltUvMR5B7SNO#Z#_ek8T-~ydE&7rBmOpv5)qL_AATC)tkA~l!^4l<*O
z1~bV4YP3-eR`o&spgOI%X_u>jkLh#O>Ta%7bb&r7PgZGV>xAkj4;KIPWA=Tb<e(ph
z2xS@TZHO*FT@l<ONhF1O84{uBMsz<6!O*ReQy>J$Ej7TD;(>8Lt^;~)niX-rFz>ZM
z2oNnWrEz~o4&et&B;kITgmht3yf*X0KHQkhzVA={mWTh?lgL`?F&$@xpFu1rFJBb|
zrGwDxpo~@M4a-+hUDR<VSil&-g5G+g0df{sV?n9o>NyT!L8;?Ra0l#%R=a><)YP#5
zYSD2f32%>6Ves^#+o98v5UX;9(dY^&XspT&LqX%+8HR19+cOB;q!(?!Xc)FhBV>{{
zfo)O=s-pFDvu<Z6RsQW9Txz0;vufA1BPhloF@0)Vr5__o6UhJNK4?MJszD336hFj~
zBs&4Mn{XMDMu}=O<1#w&{;8daEf7V*>2SgVyokpa7si1sVkTVeBVayhP%SPPtV~@_
zFHcbW;nfEQ7FU;*GHV^ko3uE`Yk0clRd`&n`n2WyJl#L}_D6sJzxmkOZl*4oSpjp<
zO7{^x-E}Gdm#?7Qsb@@Zzd_i_3Ly%Kg@W4!lc&1@h+YJ@G9(KZ)di_#Y(>Hz)FobT
z3n7IIMo;&+1_K-wEQo^&$At?<Pxr6}>!b?8@f`4UK^B6RFs=v%rpaz!F?zZ<M@&#b
zP!Cjkq5Cw!QU6u7#r;PK^^kFXk1Ed3gnPgbjV6j-CO<b{yOP7=P;9pF&cPAopNIji
zA0wP$$`Smilpe-_#)#meFmAz_UG5oVFx#gw5*|27;g`*e!v?8jhD^BsWprGS6g{Rp
zUZ4|@cL|cK4TGz^W_XG(j(F0v$w5e48p-e&U*O?Ya{BGwD&pbj;W@s*GYPYgql0UK
ztPSab7rcV~BJ^Ug2ZoQ`V%<h!(uHl32Xui-dI}6&1ruaPpZ44V+ZmrbY(~^EHcK^E
z9sq2f@wvll_T2Gf^V})Qv>f&l-{vPNK70O6->3524WUfuM!eIK@nSyRnzp%l1%U?R
zj%0a?$y$^rn)C3m#~t{F1#bX5MDq=3B~-0@14MZyhD8Bp#{IK5vBsGB=sp1y3Mghg
zKK+5Xe|8_%f@%%NM=>HXEJ7gfFSeq(A5yFE>!%^Fc_VBR!OnFP-)>k7qc^gZDfSzX
zjFincJhKT3?#?}IRbg~Ja?^ylDXlDZ<xM(J!Yozb=!Xo#^iO=o|Mqu2Fz&^a;i22r
zy6{t*x@^1{xH;0Uh?+Ai4oO!B^$%Vcm<sV?FzT~a)j|6s5?X4-0fmIZUgL*OUJP(U
za8BSrVF#@qyI}NUFhqb1JIDYoy5j`ofh{>jltPOagLXlMZu*MJi`fGYDqhSQv(cJg
z9j)_S(5<l<9sbT5r`byKxhh9M-Gs>i+OiENuH-AJ*_d*gz&4S?O(rwIk831@b%vow
zp;y)fNo1RVlmfdQ9T^7l8sX@8V>*)Q{wy~@zEKjuhK^*qK^RJ*))^Y2bDr@I9WYl<
z8cMgA=g|4>@fIDx10eONEt*jCO`m4RlNC=k92Q)ysF$t9OsY#4VOg}4D%wHNMsw?8
zsO+fxHkxN$*i3uZu$w!Xjk@mDhp6o{>i%!Vyc-g$6nvl*Ye!>~anw*aD0|5e24&yI
zx(xV|bsA&cxoNE1mdd54A)at!oe-}^>&RAjvVGtJz{S1uEE)}{zCwz%u};__?cd3`
zCUb<sx0|EV;ArZEwyS;%gOfLth3QAdve09y<J3rbCJ4Gcf}nE4M{ZG@ZMbtww}{7m
znup4L%xAt~`}Lz>!u6V*aRUA>DG5hS(V7})Y6q~e3hpo*N$jo*($_ITBtbx>BLVEO
za0Iv@ZK(<Fk^9jVdr;yur6!obge_8zmed4K02A(&k)MO@)P?bLq@J@CD^P8ZE)})+
zE-1cEm0W|^m7W248PwMalJs><5>xyz9v6~3<j6W}(or`_5J+%541s^!4g+oifA0@R
z-bSnmY+L(-5}5D^8VpHRL6T4vDE)Ar>HZvcg^3nCMJ<L?G7)y3yFDws{Oth1x6g)T
zB1{rr^WngG!X54Wc3LQttX>hBA3Kuq9_<%Pk|W{h|37>00&Lrwm4$V6kycPbj8GKB
z#A6V}x^A=H4^xS@x7!lZsj^PDl^CFpm-gQFhQ8+>Za1AqlfqaQN+?T7nNmY5NHkHP
z3JC-aFAXUQL_|m+kdPP!L;H$J3M#?)NWO18{xQZs=U#KJefHk>^z8+8d#`!snE#w>
z%{j;88{ZfMD2IAl@r@*E@-=aJYymFU*%99-{ipC}hWQ2cN`@Ykx;@urlXdS$uM_3Y
zlm7)I-sKO}N6J10HA;4(dM5}8gA$@o0sc?v1ofB%t1aQ=gcCHy7nB4?a-tCOmpt<T
z(~zL2h4_+BNg=-cJT3AD`%x70xihisai1`|Psx_K3BCPWKIu3A#9O1&gSrQO4k2dr
zq5Y6D-`sJm(YN(wKwUq^tMt)jjlLUOhKOkm8FO?OQ~%a`PIM{pd=Bnn>L2Dk^(*Gs
zF1Rg-YgIGGmnorLoBD^bfBlN$d0YJ3X2c){#L&ZfjWzoAsec&%hX6B6&eC=gJyGqo
z52~>uLUjE`A^-1OttN}>LFj`MTSR@E&OMbz^%MJFS>oFNp;Y3^3<JRnSLQakGB;-Y
z%?lj{I_#c82cGxwi+tPg{}x3wGg!EZ9~jKC<^eCou`j)NOsW)<z(0tUtq#A=1($tZ
zqF;BtE+fO|-J(l$_riN$yjnb%bBmTP=6CtEmmk0P3to877e<F!d34w-;hVnvP2c;S
zQ_NEg$5|=vjDpkNL}sisEZ@ky+iXk2@?knq4_0PddXgtvZ0RYUXtAX}9c^shZMLN+
z#@%WQczbd|&tNxI!~@`}4l}3%a5?IXp01ifg)>&|_@pfr<L1aK?T;9z@egjquCb!J
z)EMJ*%;2f?m>t#Sy&A~2&furU*~aZDyj%xXFZT4K*`Ce-W2xY0g0a0VpdR~g7k}zM
zPQx3~Vi*}rA7!CisD{Dt2c%ut^XF(Y5Nwz6id@k6i=4@b$vwi|2tn#G7qn(uBnj^s
zJRs}~LF%z4h>?xLD>^PVoReDwsmEOKxWdpfDigG7ws~o>BK4RH0xe-H7krsLItp|v
zQjax3w0w~i5`Y*>2KtKUDIHY=R-pL!`a;E~m|P9Tdp_9J^w%B9s8XtRI<8gvzbLG~
z3~wyS8>KEr1FepWp>bk^A%rLuTmo!@ZGV21jy;@rg*(h!eoV*w`Cs^V{>)ELA>l?4
z0}{SG4(hQp5+1lUN@{vIIwRo$M=uwr%jz9z-63PG!G9o_83}JdE0Ebi+rf;42hIw7
zLQ#TPaU5pdYz!oD!Si%X(+8?-G9m0X>0pfvHaBDJs?7g(>Z;qM)M<F&qG(=!MRP-d
z^8yasU+Q<>E$Wr^CG{))7e~T=qD_V&%m4>I>pNfhZ~pw0!81P;25+;sP~KI)0`0bi
zX_yDrhC&bquQyNG;I)>@@K*=f(wtg6q9zC{q5}s~i@gcW25-BAX{1vPkib|}agEvF
z9S&>tzCoV`F^*uj3r^ax&U9sJvlE+}h?|l24`D#^u94j$sK)Vi4#|&Kx%nw;J4?<>
zoWqo)L!!A%3P+*K>EclVmcqI0X91%awTu@VOu7^pDUR=ZW}$d>pL29Na4h+{0vg5f
zxZYCKgxc0Z<CUtP{Cx#WYR6aFlm0j@MTbok0iEwE+p~GDt9-Fw7Yp|CD}a-MxxBH-
zOTX#!Kk>_7ja0GLt$C{0s@O0TKxjOmF`}W1g3-3iU?CUWgV+oB7WM}4lESwkNDJnI
z)@B#j%yKmt^F_Vng8RirtH{Qtz|ev<!5yb|3M{k+7Ei+zr>3TAf=Bkj6~X1A7o<vZ
z!5zAl34;f7T?ajOsjZqIs*@Cv!k1RN9j6vW=8`CdBOeO<RZ!lQY?CC(S#ZfcU@-Y5
zkNcGczb-l7&Nl1lv7&-KwFN^qr@B8=W$a&GYI$cD*B$rOO{QA^(~)CmMzwcu;+hCe
zE8C&o@WF7NW%#;+B{p?9CwA+HyuePL)kFd0meNE5RIIbAiG|=6<QV;V!tzRQvGXmj
zJ*^kZ`@vV=_W?~!K5L2PdAH~iJKjxV`N7w{=l4ywG(r(AObg17M#{2tVj1^RNu)5)
z<X(|pr#V%aXj)#+#e$5gwBhaIV0JPDB_gm?EM|W(eS(k>9gEbeg&-}juZT#H{9*_$
zVOk6SNqdmGAUa%XQ4i|42Zcfs40sk8rBq@j*{DKIbey`7v=H8ENe}W&y`WfLKQ}xV
zT@tiu<e8q5q$S2LmGaOiszJPtgc=4c#iwHl=4w}M>x`7K+h_mVow(bi)TuJ?hnKYS
zZKjn!)>;~U*Oz?JPyEAZX{6D(M;8{Go7xZt?y7^7Dn6D<BRHlvV^6B%e8tpf*_*7{
zE-1r{kD*C`H_$&|;9^~|;A6{`rij6OALMy5K6YH7WKb}?wfCrqQ|E*bhAcA-LH_{^
z%<NB19p_&L>{1qlK)tTfZ?n5b(Q!=@WLgFB)*Dnl)NdoXN0;RIOI)RNI%fJlp?EfE
z#3fPiU{(MlD>d}9UHB<WX@t#A#&x1C@X68$bVt}|aRT~t46d`@pN$q0V9nLw?BxdO
z5E>RItOg)!EsX&2SK`0@s?QeAimX&6pI@Bd3YmX(ji-*Y@{+Nv(~ZK=+(PqVBk(rD
zky8UMgOlIEv0eq}lnT%kV0IOu9Y>(+H^Aua9+A$u#3Os@C&IZtRv_`{uYJky`a6F&
z2$Z_ezy{oUdECkBudHKE7Jp?GvjdGt@F4Uc7-;Nl1He1tyk_X41?t>8?V4=>z_RNr
zzp@Qze1y@$FA^wSUk4#!tniBnlS`f`{JN1I6m>%_H2mOtYN+-?M?HZvEc>6JeBBR_
z-QEAF(76TezM}u_7VS1EH3XTO@`KX5fK1N<$TUwY5yk%<?|*;juDe%y-}v*M{P-UX
z$5*3JS9%_k&Ss78m&o4=3b5Odpa=sI@o)+5SJX^|3y{a{1xs+h-)LeOVpc^PE9T(-
zWKU&D06JW7ssnNk?%Af;f_rz)<J390KgpFZ!Tm|Hyg0u8lb>YE*ZwD`=<*7g+Bc8d
zGnB^-I*{bs^E7>xRqdJE`S^PGQ#2X%mweEpX0{bE^_%O#Y-GCZdF)`nZQV5};Vx$X
z7uvUPn~c=+NyHvbRqb<(CLSdhuTEIxwq-jo@xI`eO-SywVJC9?nM=TV9VNFv81Nx4
zd&{kBKfBoEi<@|;Xi4IuQnwF)QKa`8#l~sx?!gSzNp<V`DRgeB){4QWH`L7bK2Iz^
z=8pco&-ugu;^(D=C;9*f51un1w(lm30q!I9D9}{&btjr?yFB6Pf=7_cMR2twfKUV_
z;pu{Vw5C!rI%qzfAa7PXHIj{*$6N`dSyrTGc0sg%pqGghDjd`P8hHm@@Sqqnl8#3~
ze9MZ|%uR5?J_+z!k4H3F=&q5P*#$AyhiC|%z%}|hbb^}jw69GwgC!xkI-u2a{(Wxb
zWUA^p(P>z9*i41z&Y=J8yuhYPeScG{onYR7olG1;i3{cm_1p9jGN5<YM&3$eqemZ<
zXM2>P-egpU?wzmR>`j=^KLf|}!YjHzUeUZ;)SHM4Gxm0vvA_6RzT%a?oy;tH%PijQ
z0gxr0(S#7NF0JQb>r+!~mz!BR43%0yQX~zEUeUD2h1?@%)&%!$#Q1K5mcdm}%&ZHd
zqy+XDrv_z5F`78Fm{}KWBgQdowm-F)Sr_D>L0V6Y{OcGy?|P@0Srf$ILd_-MTjPj&
zjbdh95UB)dqXPmGN0F6pt!CCFF-?+Ymc9Uzu)SpF6&V>BSGkqKy}BlwBKgfp!^p+8
zsgj~Y?d&1B!T8#8_OpyU4dbMN&$d>DGmJiJvQ=!nJz`!i&VMIO5gkGw>4ni#cZHFZ
zDNB4J6QYdxqr?N??A$NqNQ`Fc#{<y1aFxZzrVEzsWblPsoQ}WE@!|+z(whzok6+ir
z8+1WLEQDz1LTGyR@gs@Jw6(??qEm*ct_iQ~V)E~X(Db>V`pdrJooDoX21Y5iBGA7~
zXxgLyute7A$hSV(^SMo~z|`J;MS(#ap==Z>P@j-?MjRZc&Y0&GF{?z@+a=~_bk~@$
z5ssH2@t1v<(Pys{%$O%q&M=H3=vnkQb-_Fj7;LP9%VU4)f_dUcL76<<4LDJ&o^@3)
zPjHPfUO^;TVox_BPF^riyg!<c+M=vzux7qHaL9D%93amDIZPO&b_z5g#Mosydz(X*
z&R*`%0d-F*x#=E_NjE~n{yaNjR@dch{fEwk{mXgW=e%TbC;|Y`b)SA9usakZ0BKKY
z7Jnf-6nr|feU?)6Fvv8LrNQ2yEi;|UWYC}1ApjuD9ucieG8}?)08ZrK3&6{ci03po
z)G;0nkkC@4;6O|J!-OEDcN_ji+6(`pZr(9odI1#f4^S|*b@46|qy6Q_AH4E9*;ihE
z9R0Iyy${!a@<;#1hrZ$$Ow7eHxEcA+&C&Q`VQjMfLV1z~%;<UC^_i-4>1Gl!+F;-`
zWb3uv!(%aDU@$7Sco+M_7Uq~v1I8hA<~Vy7P(Ma)-f)g~wcAMpu`0{B%~-NG@Y%b7
zz9x(Up8>3L#WLdODc(h!$c;w6-8|b#TTH7b#!ihgXBgQhw8Uv9@yR0_1v?1YUD)G#
zNCM9vh~<?^W)kwX!=+3%O5(TP<?>oXeC=#3c$o0+iU*oKu`6`O<(*>BRbXV@z0cX_
zEBK4r<p{L?fe)HJu`4i6IgT9<9{t*W&Gal^0XpS0W04%RUDaHo`qWrcDgz<8*?^)@
zpHVfcCW)L9E|1S1T*iTKnI7jWkW=wW^6aP+=)Gzs&)J%IjFs?;$F-yXpZSzx<dr?8
zm~@q%Qfz6p+~Dz3Ck~IMPu-Y!O0iOTO1Yi;u<HD+_LOq-6?t3a%-#P`EdST-?lvij
zgwu(cTYU+dCPk9JC=Haezu5b00D#W&*CeT&{5|+<C4(<H=zK!ajLkvgRE?4lhre2C
z?qrHaK3?=-JDv@xftH59i5XOd5HQh@THN7qe|5%IET<#2((i|vSjFES%*?{1j^eN0
z@ysmDp2Cc*bisR0s!A8E!>NK0A=~kVF{}!&aytIV(N_6*#s}&5m+4k<JbtheK5#P#
z3Hocf`#myM3*-yW*m0^B^kmz_lez(^9uX`|=8{uS)>j*l(&HcWS@zYrKb^by>$g64
zYq;Q8-&&>(Ti09NI4&NvW8L4n?$8G1@ldwCeISL0*LAz43m5x}4|k$q5zgLqo*yq%
z;*wbP)yJ=X;MK=3zeoD`%2(q+5cx{iMlcKQ-YZ{V_FW;i=i%`17t6GhF}ZfZV?QmI
zwlOCBVf7Xb&vD(M(tlX7R_#mtQGZuR?*0#c_2#9w2hULf*gnk%KD4qDk?=BuZb)sH
z=3@hO%?CEDC<MpTeEXHbWFbgT#<t)-nRmp=aD8H|r1?&bBF||)<mA*VA8SZJH>RB$
z19c@Ih-{xtj*Dw7X}&#s+tb$rrbf@`;A^nNhU9Kv^7JI~lIBBm9`PTK_&@a@<K*IL
z`kDaUcDPI!L!>VUNk-~MmxT8SiWAj7N|tKMbkf^WpMDIV3y<fVwglqg=%Qmql$`^V
zr0=CA(6#ZvLv2P7b`vf#a#P7}ig%st<|WgICoud5{dt=0CQOMUwr1Oloj5Vm%pU15
z6}QVyoVR?RhoV0Hr+@56|G`i9#WLQdSK039(z`ftJR$v8W((-K+Ah<lE@)Gm$yCL#
zq)w3fv<Ys|m_%ue2sk@I>QfiQ_(%+x6dkZ4f>2IPed>Y-H0hNP5-ObmY!ReBbwSjl
zLxUsQJ0efeuSk6wf@@wQsf1Llbw%n^7X(m4A_l_|_^+Ly=u?*jTZD!QgvY}IeOc;~
zsbI4G<kWotjEdxJ-KP;_Ft<f3QT??KDzPr1o&H;kGM(A@c=M8%%P3#F>Cq?KmvqHW
z2~r^c*X?c>F(soHG`nH&rBLQPs<?tXEl|CsZY=i1-<86fxBQsG`x}pc?yr1G@Wd33
zbO+MFNqQifmmC9OGpu_ub4!KSRzwwwIA<74HyG=ipfJulvr(|eHMLGjo@Q8gj}m3k
zj3`DivQ}WL8P>hmr17M3;@jR{{q{lM@s(*9n=&u|_{0^^6IV%3ToVNp<}y@LS)H_#
zb-L%aWMWyam^jwvkO5_A;}Y;Nf>+o8AiQ>HzL*^`fg}O|8F+380t@1G*X<R1%GbGF
zrf|I(@nV;$g7{37z$m34^ioA^5K<ste7+-QugJN2=~~c0un)yNfH@>wBOwrhKU5EK
zJaTV9j79(L5h^a@_^}O%Q5+_|<w-z>qlp~jf#8`rHNYx50>_saX>vQnRO&C`I0+TD
ztIW9g^2M`gsj<ALTf|FzBM+DT_HX|$KlJt~`)CXwq&-*bL1U$2A5V>x&-U@;MEPPL
zk4q+Nm{ROXJMVgDwvPx^Yz7dTAorP~Y#&dKlTUdf@T^Zvlh0{Sc9BwI(bzC~0xa$p
zpxldfUrbW<!{jF|shUTFIGUv!|KJ8s?W|kUmgdx@KDr9x(S)#c{{_RhFoMOe&A>)q
z!-+TfG!i0DQy3tr`Ah~}N+h!Z=d+SeD9=tieozvMjMO>y@IGpmF81^dNK&5X^3bkb
z?5X=QEip6V%+Nub<MJ#Q1lYdtr$79Czb@>l2Cf5u4YXcC&rx_|Bc?3E?EyASu(2B4
z^`Zx_3c!X+9>vWN9QJTn0oXJJ0-y<l@Or@vuwnQ)vj&2j5#hfUV6$du5X6c-$dv)M
z9k@`6o3&cq_|_R<!wdl6|Afsz`si0I02|mt+A`;$6sB~M=i5^?mYJM!ea0Xn!4}iH
z&E;QRs`4=k8-wF-n&8tiG4yN|F*}hWxLDHjxW`JIYKC1MjYts)Gx>Z=IuFufCiwMG
z(>(9Td}KQB7F{gq-IV)$_y6;y|K5kEE$I>se3_~OnNJyyVN)2H+7>b}XvZiSs4W1}
z&YTrXx?iK*g@wCEVKF9?l6!lCjC9I2XG^+;xx<RM#vQX@*IQE5_`t|haI>%xmGNb=
zB}GikuFWuz<TQ0F7E20Nq*~JLmXyr$Wr`(5$4pKbh815PNwlnzO#S;Wc>tm<^hx|a
zhe7&_u$@aP;R=`e6xLa7TO_sINXuWJ>Z2Ri!pP0g76f_JaCdh7R%P_k$IbKzfGh!S
zia#Zu&G9GQx*C6?Nl`igh;wNhsYNFValYk%RUq1Q{&Ra6;d*!J`pFqB5&lHYuX2&Y
zOv}#`W?BfP7c>0^40ui5Tma<PrEcaMf9CK0@^1@9iJ}jEf;9l-&DuuY7))USuWdaS
zRk?^i5p|YyXa<mBB58%j2Nz@jIa0Q3^w#cHEC4dN{;-v#$qfe=WB?g$S`}&DEl`uw
zttdLx3WARZjL+kWyXAgRY?}e(sI>*aKB!$N0CKCfeaiXuYBI-0<he0bb5+SAoq1GI
zBgi!?OM-|V>;bSGX8&}18QZuRp89k)_7d|x3o);~<rA8E5cAGp-7yIBEX&05eY{50
z?hF3Xf4=(TK{I}8B_>Vj*d^5ma)!1mO6=71m8`^qpfWOjB`dL$+Ab@xC?^EnxL1o=
ziDBZJl@o4O113vRVy&F;DQ2bAf;JFyb_5}jFB?o%fh1!MHym5^+J__y#fFuc%6T%a
z491PN0oW}tD4<i{xuESijAB4P1Dt)gU~rU;(Rt{i>d8*osy^&EFw|uJp9&0;cfQ;I
znqGaZG#lHxrgy6D^#=a7m8-;_>@zO8zrI539Oc_*;x64(yU<Pg33Vjow8{52ikCp?
zp50CLjn-WCGd}4je#`d<CZs%QcQ%a`jk@PG8<(Ef^=;71je6Qwyo0W9tsDeh-;}Lj
zCxb)G`|YPr<seJz^&f)N(=G^S6n*9hw!nu}uQUXyr(F;!r<H(52b8WLrvw)}1WP$c
zly2<U{;iX&<se?Vv0Yjzd0LZt+RqNAIN>z}_5i!o)lHJQ9K<?<QxX*Ka`s8>OWmm2
zZ4qgfQg{2Ypm#4_-`MmeL1yUMQXn(gPKs7su0W9JSS7uCzqKIu#BaMKdJs6620Alv
zxU_P;omQ^j`dfc|IZc^p-N=zn>6s8Ci5_NK(7~)rWbpm>?8Fg+p@p@FCJ&rtQ8FIX
z1TNTmm4Jb0ZOd&JY;{ib>@b&1b10Z>d5SWzM-h|>ZisS~Q`4yXso7&#i<iI{=~G8J
z(X-p`Sv!gJ%>oTox)n>JXM5b}z%|et>GY&?PnuORsZSCGQElw)QYLgw4C#pwL(e-l
zk|$*1lLtorH}=FQJxR^QIP<!vPN1dd1wMKf;3Io0Ce-u*KDt=a`|Dvg?-unC+{KdK
zjwSsAzx%D<_xGm4<LH)v{$PDnmjkfqmatz~kEjUMZWJD;ZV5~4(G)AvlG+vq+pV;z
z=i#=(V@%<*ZV8w>-M1mg;j!@^5q1Li>;+4B9NiMoa%vDr*YMc8B^<z+D50#unEu62
zhurz@M7M-N$bFt2SL15nWCYIFb05`q4sc0b=NaynFv798M98-oAz%8Uf2@}9nf97+
z%q~{{Zitef_8<P__xy>e<mG;~SqlFV9DOrZk&~od@kAQ>Epl!+lb6Hv7_Ghw?$#@i
z^8q*?cgKasrm<p9UTzl)v_>MaV!gJ(B_UXnm&o$r)Xd>YZ9*Y`)a2!QEv-6mtpiR+
zNnWDyhW0{LMrBzsColI%4kCVLs*l;hoa(b4N&dAV$Jz}@E`>;1mMneITN%R^bJ2R9
zNJ(B|A{*QSJ-dPY@e7sYWgD-8+c=oiS(2CVoOIY4nV*$YGU*?L7ZAitiJfD|S@^km
z4Ci(fQIEnZCEBT<cw_U&p2w6+({o@S1@nIq^pAa_B&Z`E`}cn@vmoEWUY)>|&@onC
z@&`KoBoR+9*~?d6Yz6h#)vJ?b@~$8Gj`eSd@JwHE&tAHIiJ`qn<KY)C`lTpp?|O&e
zW1%U;P#RfL$B5naav(UasF%>d-Kn#pW?Nk38cCH~TBo)+byn1E94?xi5R5HfrYLHk
zh6{KScB%?yMIFO%H!wh*poC}sL&h*%=*rr)dQt(Z<{4`zr+Ze3Z1H4msmA$KIbGyg
zn0-4E6J4;*X94T9r+C7pzF?j6UFu8Yl4~BOVQ(2mYSvFyKSHs(XY@ci^R|NNI+Wo=
z*IsQJ(~f)ULhI$ve&k1fZA^nw;JGifK6>9l<l_eUGa6G*w4v4+4f<fwV%V|mLXNLy
z4G-%6Ph}0Gm}|WsRHo&u;c>OmdG)Aerg=^YIi&)%Yh1`IXck(pX!?p^&Ke?a+Y1*G
zW0nW$#hf*aPKN6>COp&?OV%(t8G<fa`x6>v)30)ehKmx!q0h>o&`zSB5y=HPQ04nb
z)Z>!4d+;6@YT`ciRelonxa8L7TOHS6>Gx~qtZqYZkq5<)(0uku_kck|1Rb*`L8;02
z#$~0sSr?X=b1>3%QTDVh|8W72LAcKm4?nBy%_Af|emp_ys=)suNV?2}y2W`=&r78q
zMr6pd&XJNb0qRn`b}3%-{(|?E)28)*BX>XhJ%9X%Kj+PFX+xhB$M%Tab8>q$Fo*R4
zpBSYDefBP=<d84ak&<YiOp}jj*VZs6qOGXCJTX-QJ<)qX8mT6@#|UIO^%|eL6?4MW
z&^|`w8w~cTJ>D~)7!BIkyqJR8WIZ>7rxVdmy#{JYn|s*qNslUDCMQe}sJu`f)pki7
zxCoYnDe6K{a6vP^N40)0nG>cqxSQDWipIIFnfb&hI?+LqK%s$2bdve*9D!8;G-hHK
z<s7|a&S%39BMyzTOVfaE&3u0h+U7TMj3Ms0^b+@<6D<HZp_2|K+S~VMuXhNOmgI+r
z^L8fhS$&5w+#-B}4!PAsvSSjW*XMZkD3G+=@93PEa8B6iMx}Riq)~sO()*B>e|Wt2
zK}gs$b~au~LUIk+z*EKld-noXwCixHLy0o5$x6!%Y<5E@X@>FmBqWs2S3Lmvkwzc}
zF#2>uC!cnR5w17)%)<~%%znt%&lf&lzd&;B`gImo+tdcGt)d>Ad@bKNz45={M}N()
zd{5-j^vxX_gEVWTr-x*9gLjt`0AV#yWzp;StZkyW<kn`5EP)=W>UPvMv{F?yVhq@z
z14BeQrId|<5qGHP@O3)DYz)xXlG8q@xHqTSGmTY?iV#Dk<02)G=Bg7f6jeMGH^S8J
z<PuT3<N;?DNz59tj9XeW-<_661L3B-&dKOc_0yD+4J}%6VO3^#rdf4)#C`T5>q^(v
z{&!4^#=n_9bv<f-VSAfCy|8EAu<V(+CD-<P1v)sZc{7t?zwuN5t1thVj}O-yO!w`(
z9ls;*ef#bun*Q#O`sy!_96}C=$acY_miO5N!9XD7g%0Z&R;rBp5EN}|g1`aUffs_P
zfbIpwweuBQ!>IL|u6H@LxZb`Z^gLFC5=Aw)LSu1i(Y7WC!T|g^>aoSzCXs}oXj>D+
zaCEIuHZ@jGE!x%vcdT_LVPe5?>1vPVm&4Dau6MggG@;@}ZWr`JJ4tc9O%k0dthdx2
zMFrjWR&DEN7di)P4se?kJ@oH0Q-?l6ErDv&M~~a53a33nk0%#tpFHJ;Nvu`5hW3(A
zuIT)4w_7%Cac{^-(e_VHSa>u-HNd%VN;Ytn>Z;WL@}{MS`f7&^FrI!qSt}o}<3BJY
z(^Gx5#f%%!SMX@9mt^;pCq@Pb*nwBd!Qq-4L{7bAdakdw+RK|~KMvPS5BAk|1JqTn
z6D*^_n(4{DiXzq3a@W7l;%@qN9`SYxX$bBXjehw?;)%K>RFF;rLip(wrTT>8_mnxB
zO;U$4<0V*c2zQJ7>aUATZFEUAZeW!v9&IGq2WQXsC|;K>`U;fmoc9u75UV}<nAqAr
zm6re__UOyFSb040Z=QF)t(Sl!VLQ~B|K!bF#$Ezk&rM%~Q`2JmxJlxm9JlZ}&2dXV
ztrgQ=&zjbXX`^{q#|qP0%e2uoHDo%WY)7Dn5uf7dGtj(RKX?a*yYgL(Kv8FaM(Z`%
zPRGl1+Gw4|aj*2X8|4P`UhDa}9mjVJoWbx{e4R4<cFngpeVxIy*6o?oS}_wf2byb4
zYc0c0FhjMTklS(nn+<2G#`niCSBz9m-yZ_?`1<`f+pBe+*1N$-)<Ri=Ks}z@aef(w
z8=HueA>9I9{k7UA_HK4a94_IZY%%^7lWq5H6SHKKzI*TxTTK{1J~v(1Hhrm)z4bCS
za?|`Wii6fhx3=`E(~y8@0K#nsrV0A+j%(y*uTFq?&gxl?-rwhLUwYHO8C}CHeMg0j
zpI*1%>g~3)D=U7mCJD9+f>gpT2sm-Cf|%H#L3s%BQE7r`!70ezez`KVFa-HbxFGev
zn6y2bU8=tng1j#-h`ox|A>Ye{BlJ!P@{YOSVYMJQ%t^t-bhF^3p`cTeoRzMTOmlVG
zhim*D|7ZUz^4<Ta?=yzksoA;3$kLyi{_lf_$E*A{0}Q|@rKAnSvrXy?P7`J;h5A5$
znWBBgsjBk%?H^2D0t2dOkGr0{gzL@P6S(nNjXN0;g!0lK$JNB!o)@pmA4$PL`AkxI
zF|h~?k0F&0vo7!b_{<*a6Mv}V)gKM}2JEC6%TrOzT%Mx2H?~kLfBz5s(~m?#N58jY
zc>XHxp;jcJvs-aKV}2E@UIDXG-&ynyhJvYrS+Af$lp=1RdK`MeqE}X&RtYVzhqJ1P
zo8OyUOU@fAmETYoX#C$RpsZJz&k;?9FJrl+Sdq5Kzct7n9Ic6QrYjIe%a@t2fI3ZN
zJjm`}b235o$um5{t=B%jEiXqNVUO4suW438ol}U2tV}>dx}i8R60v19RGk>U_S>Yz
zsMYRsU=7nFS&Nd>QJiSeB>DPB6i?Da^6R)BdI%+cpPJ^L^5fKSmp1taR+=P+;HWX^
zwe_Xu`*v!+f9lKM_NTu%vR29)c8lj+_}vb}kBJX~KL?9OLuR|olXk(AZFNOoSr^5V
zjV2|!GQnM&gt|nMygM~LX+L$Fgt}WUK~bpJNQ>75eG)1<{tr4*(&D*bOhQG;Tde?i
z*E>ad`IiB~11WbFp0N<Co?0BLwxsh5!4WWuhwZ`-S*pKIb_o_7)z>`PCRlveCW($V
z0yXoS^|w*XOOt$xKEchJ^MiW*OT$FFx(A6J%)&o05zlN*jBVAUp1Ib+ZvFi)ynx;;
zU%FTF^-g|3hdv4ICHVmbwjfg!-7C+JIPAf`tB7OX^1TgX#PJ<}@oN`f6Z%&X<Ut-w
z6E%oRmw?p^N?h|;2T+bAG&vqn;MoaM{~AL59BeLmT+Cx(Ol2p?8`K0buLJP`;I-ox
zZ80m5PW|hGAZ0<Da>cbE83l3YO>{xv7n)1LHQ2x}+XWq%&@Uv!(5s8V4(J0!`wQNl
z^H?a4MbspMX!zR+ivIO;Bg8?5fxtXMv;K!v)_~`+HcQQo;np(4U%pPsV_9AfYXWEL
ze<9Joes<L9GJ2z=G!dz5=G%jO3>oW?L<{)A+4KEDPLS9YBtaN~kxFY$hGnWmJ!xQn
zkvbSv+M&%YaZpBW$6i<9ZCWUI<^Obb^mexonPQ+<GzK4aIFoGVRrz^LCjN~QLxNW(
zBwSeNx5z@jG3(skmb+5b^Ohe|)&KqbzVdVbR)9mg&_Gr1*Jz7JeR)_}|3EvVxyrWn
z+yP`(Wm&CO=pB$>TrC-L!R>klf)lhGYG9Xr(le_9n<ETWuz}ayt(a9cQjO}bBJ<91
z8cAnZ_h3)aA2w_XEDFn}J;s;Gs(R0A9bO|yzl$5?@>5s9_HL_!xW1E6yxZ-NtP+}{
zk-fH6x|LhL(zMR?-OBT-vlR_|947RDW5{2mQuOZy8G0s=A$yR|RWlc4=>EC^&bvju
zs=gpYw}TA*?C<)_@A+4zbF54D#giN>$~fqwNBW@VSUqVnkz?I$R-D9v6vt|5blq5y
z=FSrm2OucIhXYhX&R#in&av9WLF7Wz=`Vsg7XoX?amf@s8co?xJ?Tp~v!KT0ra4wU
z`kBEs+-8|AOV08o$687uP}@;J4cQJ9V<goP1QA52$dsIqY1p%Qt_8dMEb71W=I6h2
z+EI3H?xdsK2(puovh!Xi9c8i{>DF%cgXp?A%HZ!w&hrR>w-4<Lrr}IlRuJ^AcXpI9
zCsn=vBbx41XMR$}sBXA(M>%`T&S33{eWdku-uI{rJ|+|YMxA+j?%Lw&l0wZXW9pFv
zok~hIw2Y=2TEUgzSxL>@FoinL3mcF%E{^iWQGOYn&G=xvv8%v8{PTXrcYRZ|lF^zL
zPoY|c3sZyarwE1w8bqq2Y`Yv60<8~eaMY}L;op9No-%@Z>n;ciMr?wp1m+Y7tcWI-
z29GyE!G%mPDg@!z_JU&WTo807m^-WpR-z_6;~K@>nc!}Z_R#`KZSZxvYZP<WRs@i(
zf~!GAoj~{|h$?X8LkV)Bf19Rx<J1b_`?;4a)TZQiHLQdtYs7zYlvG<CBW6YQUq>PQ
zw&seU9pEdS?#@hu?!s@b5WZjM5-Dz}lt6)jvY?V=zCB2+Fpfda1{v(Z*~|UGD0s=P
z!+AjAc)jD24)bpWj-n-Fwrot=NtQe0L@}lZ>Y9^&2F<eg8eUJ3QUbBht7+Ei2ylo0
zrw8Xmfc?vLeP+f7y{f-6*Y!|on?Kkt^U2=p4^aOdo`+r!_QgN(kmQVb<l}#V+Fk0u
zZ?XOx-M-WN|KK6EK=5kJ(tZ;a5zHW-OEk3?=iCtK;=A0V@1mM@;uc*%zWJRBmx~A5
zEzheoMw;cl^x|?s`oYh>{Mnz8LUn}{+;?e6oA!bR$hY1P>LW79hyL5}VTcBj`YiUz
z0W|epe8nv*b7>rROBCIAf*IrsuJs6Pm_fenlEwWn;addOYHs7y8RToFz92}m-nO8D
z^j+FDHum<K^@&xGy2}NFy}be^*$Im8(j?s~V~EP&A|+gN$;96Vr=wppSGfd%8yvm<
z`-t!2YX*aRy+RpF{X#<ja><j3sgyG`$?HUYV~{9KwB*>fZQHgzckH=i+qQMbwr$(C
zZQFSBy^Y<C=pUz|PIp8{RCQNnW#%DNc9VMpySg(Qg<YS>I-kzInRRJUfrn4xTMkU-
zCh1Mj!KG>MD#TShGwRO0%HK)8L8K_wj0?O^>!YWC%IzleGDl(G6%=ADf5M4jE&64M
z`{Vgr2ZUk}D773H#ujZ$DbS}61W*>V&q%Xz^Gmz((TeJR<v4E9@mh3J=IXAbexTAV
zuaY}<Y)+m3^fR8epPH#<f<(X+J3L$4{!yz?>Tca1NLE1korS^G;??+u8yP~z=p&@~
zGvKr_;`ZcT|0u}0I<QbyGQvMA!DyG_C~jz0=zmvIpZaeOk0<s-UmMve>%aRTd_!p7
zko1iu>wWc3h?5ST=FcEmd;u}nSec*TnlIFy=RLE$>XkDTxGlrzkVy<N3@>d>BcP4H
zdF{{v*&&Q7haS1^srM8@ado-Sc<32brzi*<QR0XJ2G_H-KmyD#6a)I02L9lbAi^2>
zo6yYUi2lI+A=N=KGy!=sgslRg`B2x<u}IV*ZCd#f_oJ&wzn;&muGAq^I!g40W+4!8
z<i=!<p`?myu`syF+E>wWNGEc~a0wr*sGL319t<+dLeAW?=N3oknhTB+dR|IrN-JS-
zN`IG*PE|T|X4vMwILrSvwVHj)4_!xY7n@di|B*+KZ$}~~B`4S6qEJO?b~t5vMK)bR
z>#rwj`WF*w6XFmt?!?%oky0P3IsbPyxTqPiz3o)Uydy5Q#71UB8c`)Phj&BDx`blF
z%S$y|Dgs_4>&8N8v1qPWcFCyR3$MxzvvfpS#lu&ztSkc(+9h1-hK$Plr|0{r#}3s*
zbL|Q5AJz(<%c$m=y%$j>eHIJtC?oUMueRR$x)JoV>eUruUZz7|g`QC0T<x&pCg4(u
zeDxX)4#@2AXpv=s&TWvyG+Juzri-6-su3D!fE*uxkJ_AeE8@2=j1Q38f7?HJP9{Y;
zlK5Oy658CNH@~pk!#p`pWdf=2)|g{t+^rt+^7FGZGN)t9e2;OzMR$bu8J5EU?~c!O
zCTqD`9s5osp$Q}DTiA3U6!L+sw&WfqnD-3xq;`f>MX*iU{^{>8BNAS6Av;Au)Nt3U
zk5whleL7khK|AMf_E4yF3bDUr5Ec^cMqs1>T+cO?5bS3%!_f)0yq2vHvtHDR*OXV9
zmBZA);~eMOA^NAI-V^k<luDB5;6Fv-Ah%270dzZ1Xi?_;fJmeRqLTm`79wVdYlYY)
zNU<nrTGTkY^iG!m!~>$-V93C`!3b<~H+4B&M!H{YbyhW*4Kc6Gr2hbmcsSTv8%M#Z
z7q!V-M}yH&+XoYc0s6929j10Z%)X9jI4*1pY~R|2TQ18`o60oJHM|)EBRL<9Pm&4O
zIwNebYL1iUt698MISLv&2$MqTu1=V6#9Ttn-85iMoro`scL(n=c|@l#HoU!8@FE}R
zOcN6laQ0stk@=KYU^;!hxNY3~mhGWoX;}{*z|vRK--7QAWznN9Ux)F7IB)sk_NZ8Q
z);n9Ck+Vw&baTtYOdUwRoUtV0`>H%fp^N=Wnc!hFtQ2d0;e&ZwW50@sicK%{{(Q`0
z5;?8+Xfy0aGfnc1JsJS#;F@?LN05b~moz_fQbdG_vXX;t@Wdwo`<WNIOUa&L0+Eh}
zb*|ICtzX;i+eE!D$sc1X5}=R~5{!2if^`Ml%j=Y7NKX`hA(dXM+0>1v`Rz@FNy2zp
ziromBo2orAxbWU8HACto?vv@HR+3N=PknzN`~1Kc0;~x_L=W=3%;a-?*S6R!2Z<o)
zhPEDs<#P3diKIBQ8%N)jY;`EXx%2N2{ljY5Z_zuQ9T}l6UFe4OL%mdf;`KsS(C7$1
z8SC+60LK?-$^7Y=m}V3yaiHK)E2&@1BAd9_*L;;DF0;sAR8Wz<Y#MqgBY=tcwe*;q
z{jN})x8ez=itT2;WKZt+F}ue1ij!aMM>luwBT(#@GY!qc#Sg$ATKnM1e=+m5v4id7
zm+-(FXs+^g>dCLFwJ^#6Aq$rqM37-(NdQxrcZXVV!&`p8tAQf;4oFwZ9J{OGw<5eO
zgII{a8&Jq8?F3$bm;0UN;|JZ`{lciV;IfBx2HvXw*o9yAlZQVGBCmQ8hQFIYKJHwp
zmnbbpY|G-X)LD4duPWtqlCUg<%RxwmYpYIObNr5F_s12?R;0yp6(#;xPUe8IcqO;p
zi-D^q&oB)=R&oEZI(}!H#DPnu!<5>R)AwkyxO-Tvh8b3M$d1ifXfqAr4$=QE?OJz=
z@Ac%3uaI#&4vqi5`!A8X?vBD`3e(Z+!mY}@I9$uA?$p(2u7)k=YbpoFt!g_ePgIYQ
z;4gUXLZVf_g1l_Kc~yV&>A(`^c_CQbbb=yQZ}PHbMmA1zmAaEcGDve~wsC_9HKR}3
zm?Rs`9Uuq;=NQ=4bdtH#VCL}k1WF{_Z6X3>CB5RZuF`mDC-ADlSjfoW;B#dNRklps
zX$R@C%y@do64a`ALS|I|kV`WnUQMFtN~8oZT83x~e4F^nP`+#L1$ICp%M2LId^vL`
zz<P9=u7Itfdfx32|Gnk*x4EKD*_`PNC?}9ilBNU;+h6X106wUqiM@7GF?NVsN?Ui-
z$x+Q(X$66m0%y?IO(UcPgX+_3HMPlJa*C`)kAOC~Kfj?S1Ob{+Ias6O(HZz>ioZMx
zS~cp|z-#gMBI>Kij0;uim4|Iwqk0`M-<*jWX&P0<PBBo9r{5^hOg_B^{7i&LqcVYa
z=vWs~_?kKI-y)zKY~xN5hX!n+!nbF;(xf?kFNbR10_+OyC1+f)bwjkJc+8(eI$o1F
zA0>l8UTfiv$TPs{&K6orDK_z6a29h=dAj_jj2?aU!NouxMByx28~~J0E`4R-xXH7$
z-?0MYYApM92E6?ZC_-0YE;bqt#`o){^X;RXNr=K*FNCi@;ws3%Hy%6dgt&39+eQlo
zrK#$~?9E`ccHd?OF?8sHf-gW04(BE(hb3eZK-4J!wFQRnJYq;(Jy3&+4@lc@TMixH
zAX-kCs1+wcuOikjZ^ZD89s8mKZKwSmV)Su;bN<>V4*A*(Bu?SzFcP<2Z?R_K4T77^
zF>s~<<fJHN5)Vh|Q~yu^N%pczS)_e>pSUu%o$P)<tkr%jGM3>M(PO9AJ0vppR_qz^
zc-Z!N{}&!Hy4(0z?m4XS9wC>3v54t@GUHhYRP_3w<$+~@Ix7+ce#xxuM?n}$9j+I{
z+!k_m4UBQI;z{en#w|N4fjbP-PL*RIuLMZNwrd<ns{StqVi&4NO;^cKQP9!8FO~7~
z?hmiI53#)LGpMOgD+rwh2-LB@lN(mE<nf+#_4cjsY3Ran+2q^CwJRi6$7$}B(5+QA
zK!uCkBH&L0>`j%1d5vA123cN8(v`s`qpa-rU@aPvoLDCRAUGUvH}UYXcd5&&)h*3m
zEM4mcS_nD=3?T_qYOJRa!ev21?>G6l#-2oWd+`8g+M16b_nu<LC!V~R5{+M-j74O#
zy<^<B+9gNhhsP(D1AB%9e0^PvoeQ_`3ma#kpbZPtREbYZ@iJw8P{KMZ0`3i>N!%*D
z&chQ(xJN7!${3Om<u0bkR!%B)Z{^@m%Pl$=sdsz(+>ac7E0Q9?g(o}ZoSga<6+T^s
zSr~CHRxBXK5T$nOW*>w*Dw2m~-?}XTB7<J1noOo!qDU#W8EP{@T|+xM8*bj|cQX#t
zr`*s#crDzlOuY<^>UQp)ePZBir&fG<8L!E`=zTu8a0iWIi59(Eg2tl`q`;pt2=bAW
z*%;nnsd(>b8_}Oz&TSd?bq&b!v|3X}smf&x-M930x3B`bweHrY3WY+qytxk2<Mo6c
zns6^G7p~xrld+xBmtJ%Z3X?XhcMHo_b>H6~!0&_5camMz)%}~VCTFU5NY#_%jX<X&
zkgj|`KTzYpJwHg<Ax0<FtMV?g+)Ew$=Y{wN)Nli(Q+1pV?^7qEf|qEC&hxzBV6NNr
zRm+ucx2?06_lb9<;L{NM-qFu8sP<J*^On+0*Pd6wzbOp!k*p)|Pc(;RRM5&8-7oqj
zfHcHfGH}YCAeAtLz{03eAr{9t43IWDD?|pMXZR4)a1~Ecwt$c`H&Cut07mOkEh!Ag
z>PYuDJ{_T;gGHQuuQKJN+E*<u4%*KuuSok!+IUntEtth#Zn$I$x$z?JqPk4K5(`zE
zD(Z8+M{oOyT*bCkX=Y7HSPYLrjNlKp_YRR(imb-ZN&clX7476*i*JZ3G~%0nz3KfZ
z^FKBBiZ-<lm65gwZ&TAMCGRCYT3ewDAyLty4|*}X4ChxgA6JGFNfaFgNc1!LQ+~o>
z)1gx<nYR(V&n4^tn!=$XenqHg(uF-%v(5^xH0#DmO@Ph~41*yOu9OlwXQoS4K+?HD
z<r;dCE$P28{br6TNI?>pTAhKC*29eP)<TO}No-IFAe<zh%#&*I6WAGZN_S*x+bO0D
z?y45M)gAxg8de?ia-HRp=$Z9Lu+VT+YAbhPzK2Ayiu@7(S3%WzQQRBskWmHyM1uzl
zM-UtaG7|&*q-5!tsXO-0mUNX&+%izNGRgo-Ha|-T3Wh~h4BRV6n-}}1NE9~Hszf3;
zmIP61%aVlh3vB-#Q!-iN*LPAuKkSw3{h`qfI3rAYM&5P3fj{pC>}<O2+-dvX==?Dd
z|2<RhNe%f$mn)?m{**WUg}@m&+Ca;OzN$f|SWX9_w_y65C)Y|Iw+;b?WYk0M##x{7
z9YzSSVgn@r=x;i~v9S`UKo*@^vIwMLxiUYi$s(q*ZD3vY{(5k(Kw7h5g$5YnHW_v(
z!BSaGm^KWMO32;@ZxcL%A3`CQbwEaR_Iv9ZhAAlsYH5Qb@k~J@A`D)W;T1_5ws+ut
zi=RP2*IHG($qL-!+soQj+2qhJ%tjQn!ilNg+zweTgqm&}tIzY=xe7`y6?@=PI`!M@
zC7)3{90ln!q1}qyL2<)&uvBz|^K=Di+^$^{rz^VmJI$%Gr_Ib#$;v*e{~v95ACN~y
z+ztEAJOcqRo<{LfxAub(HiXf*P?t+dno|v<-HPS3R?#lmcA55$EJ@d&bKCdMoR7|4
z7Z&$@gM=cb)u15YJOkrm6T86n(qv#}#Sd+h@ms$rcSD5cRcE98oJ<B<mn~3v*wkst
z@x+Isq3Vr@7Dio78Zl_f<J8_-#w&Ha<DW7$Up-p314Fm(pykIXNDzb+ch!?1{{8Es
zTYo;CLTUuY0>RO@y}+(o<o3tmy@AdnPgPlnH!c2a?w%8HBClDF!6g~!S2caw@X!eM
z+E6g5&K@8)f`FDKFN`a%UET%GZJOorpxO$dL#4UYCtcP}iIn|-;asnge4u9iN)B-`
zBXt_9fG~WnzNlfVl}i>89%I;~GGrPv7)@r!QC6Y^*8XDMgjmgwu_o%I$}!y!RHN`}
z4YQd_-fED&y7{fw;GnT<OB>E_@qX~QGn=qsmMwDp#jBQb-5~gOYl_K(G_$B7ILw7@
z6PXVi_p|aAYODjPM<iYzkX4}OS8R~zHWBz2aX$7UW`jGAM5k~(8*-1=aJ`S@owxWf
zyhjt5$@eO94XbyEdPWSIRjvL^W6rebF_SN2HnI%oLR;(CUbrtr?!dIEcblm_kOV|d
z21*?o%U`h(ZMa}(!g1WRwrFkY6R&1Q+Mxr(Yc7d~4KrcB3$x<^6tj)kaGQqi2f}TZ
z=FpwlFfrZ_cAbRRz4!m&afml{!X>qWK3fMd&cATL#2<?~-~}02O$R=rv@h|#F#4?X
zKvlOqGJynFG0c;BP+A}wkz^g6g!6<sY4Y&LrIjq(;*3seb+=%_7=H&3{k*{bXqoX0
zp?~m5!0?E|%$m|N&Eo;f!&5xmD1mdrBLT~^V`qdP#eH^cr#%ob-lCZGJQKn6Wr9mW
zBC8;{mQ1_HVYo#YE~mrR;)x`)troz?fiQt%ayWbAk-+l&1EeE0Ai|oVd*h7a<`p;q
zbmOWlwXQIp4kQq)a(C@aXM%&qWq4}GlR2g-<yDaufd|^s1p_>;tPIBMx<lMI;sp>E
z93odU`rnt|Iq@}`StXY$Di$J+9~Rx=g?^vTUV>zQ9)7p^{d&A#Gsn>X9PvNjRRs;9
zkPF23f&r*Bt%aHmLGFUpltIC9Srk~|C&4}UJeT(&6m~bzieqz-*aMc9RAb=b!3l(_
zNOImVXlf?b)ET<DF|1ij5J}0aEQRR1xsg=ZIvaz@@utrFW_CBZE`n*<%`S5B@MN~j
zDvLxk|F|cFhLWOF<8E~7<w9G&G$n?DkFm)iI<sklVCs?2nZ0@k4F=x#KLO3Eeo-RZ
z0grV>?Szn^-S|E-kuVNZ=C}3lay@*7+eeH-z)WY`A{6TpQ^L(c$-=5fso)XqZG8;P
z4O3pn;o)nWc8fB~A?kVCJ$uBibBOX#Y^?>mu1s$aQ}(00z$YZ}w;D$&4{j#(rN4nj
z*hffz>K|Ro|Lq!t>(f#5WC7<`Al`J~o!-JtS9DxB@O2J7Ff|Oh%-ICMN=yPjg>>Od
zUr#GMqu3ewb8v)$W5#Q8xW7ZeH>p(E$YWQ${-))|HP(f{80&<JXZ7Sg3W`n5XG@6}
zcLng=L`1R=iRN`HD||SfdfWGaKru4m!qrWWA&4kqKT`rFR><m}nFC5}S2F*07F&Fg
zf*Ee_V<1>0+r2?6?;jo^X<h&Z+;fe_<rPjpk`UD%o`a@%Eo<aI)Yg0#(7(kF#qJ#G
z@w5LM!a=<l-Mo#l`_7RpVK#8->&EW^ouG>~^vZCyfhjSNdn%3Dd6}R_t>9|Q9v;UB
z6GMd}>SpP`0!ZNY1qTvntr2ElfDC~8<(@q4Ho%N7>=oFzlbN)RrWkZ?RAImYRNG%A
zakIf+f((2MBao@Dv-aNP<7@b29~SHpDPrX}9`d$scNxo7<0hAeEC=Cw)#x%^@*hXw
z^@S=(!eLzfB97B|4}a{lBnF}da>m35oSWUt6E-F-<=#;&3IkE9HkR?IqRrtdt{8Hq
zj{hh=)7#vdx}zA(9VB)D{l9T8@0D=ju6-vE8EOBJ)N|tN51y~jGGJ7y&cj<Q6Pgm#
z2kPgxtj%~I=NL?3wqUwv4-60fy35&VqHZ3=8GTVQ5LiNs6XG8_sP_9!BNQr;?QWhj
zaD(8-dunEX0#xGEGZ;Ba=+OpuIJQbCJM#Px<+=6sxRdUA7T;k)#Wxb`V3`nH<%S5@
zzcOK=4unR;j}>Xi^TZ^N#1g0l=~4v-8v@pX0U|BpwNtR36Bo$yw2%EmeN0_Tl)m$1
zAbYBdVoVqo?5&^K+!u;dB72V7opMjsE+mQlXLwoU6W8Vkp~h~TwVs>yovXnwR_#qy
z2p~3~`H?DIN3z>B$IGvpvb$a6LbbcogZD%|_sfg#Zd+MW?B)Eq3`TPN<lyM9+=wH0
z*G21getRyrbY<bu_L*DyIY;(0NAu&zolO5PMEZ{CS(%p-`$?-+3b==jSZviLZG~vv
zD!&-mLx<b`i#F)8>lsFFgwuZaiGMHjeDjNSTXsHw)RJtV$M>!#8LYEg=7B&YTm|ZL
za}Wq8?l(h+F<oUq8yYi^JrQcI(aEj&ILhP>qEWyL0U}f>R!V#vQ(ym)#>I<r5$175
zMBzQQc8HaBX$<k!ss!u07cod9kP@qU{s;?5vk{&9OBVJnD$2%KmA2UCV4>*f6EA_2
z-+<idDN&EEl8|Yul_9D^jD3plTKeKi_g7ABecN`wd;J6CqRsW%*8o=kEaJ%jh|8MO
zvjy+H;8AeSquaRrP$PoHmAyWW#otk8i$E$a+;41AF3H0&*N$>UB*{+hsgR8zs%9Xi
z(f!QqXnc2Zryz8-zXr1z4G|ED`DJO`*~Yp+=Q@b;<_g67HRo;OBj?jO@$hiiuzzti
z`xTjw$EM$)Ang<wLWuVefsY4--BXoC!9_+6Wt8xM{7+HL2kg__LRNn$I8DI2un`1)
z<)=^;IV9BH05G<nrYek4AYlDg8M6-%KK>!8KlW@;0rKSQ7+@ff2t2-E?zI{UuBjT4
z4jrg@9}3CyvV@+e(nuMerbq{*s_Dc0W1jq>GQP;EQua_S?S)m|NfS+oHHB-dmXp&F
zBc@JIK0@`(dk^~8Gh9AFOYx4xef!*}vu6;w$|Qlb@0iG@E7`s_$q5qerd;DK?apVQ
z?=d(Lavs^*n*H@*0JxnGvqi?n6`y1@+H9A`1|$F<bA%=Y)bJkNhY8?kwEwt7>=_d6
zX_Olnl_z#NGWD?;AoHv<$DB(v)!2R=HTQH6>-(~KW3AR@^f@|pvYFrmePOp9f@ch%
z!rY8i9lg7D!3+>FYGWWCOAb=AMB-vjW`g$%<pt7i0!Q03g}^+3YZ<ra?{79Jz9I0y
z3@7eYC?WioHU3k78pt%1oH@*Q{0mU5?5gqBk*e~{a{3u|u^uc@zOrWh5+c~iv%RQf
zJo@hCk>#3hP!jLAPNc^vZUSQq;dk_W_!|2@^P?FaLPH`UR7q}%j~4z$7jMUQ(yB;>
zJZwNSJOU9Z1wa?&f^Ks;O$IX&#yiZnZq9}7q<XgE%;eDsP$B%+v8(T42`~s2J(6u_
z+rj7~D-~P~SuCTqR+L&IK(7lX#3IN>s)`Dqg&Z458Jl)(v?MNexmeS603#VH8E`s8
zop`0hZ)?*U5UGAQi{Qo!S;Ud9YD{27cuHg>Lghl%u1nvXhnjYc>i67zv0XZUIlF5(
zmkyn#un;PSRJ5vt<QoepIAkZnyzQ{C9fqM)`Cr|@BB@eG`Q>tS3@TH>+2%!)$!X2&
z;LyEq9Xa38*C&qWFrOmPnb^cN8J2pES(}P`C!H$ZbM5y3)pnfsyr%GdYQ{pe;afsN
z-kYB-$4z!}K4LBi1myxfL+5WJh+NC*DQgX&WY>&#e}kC_OOIyY2lzt(mJi?{82IPf
z_Q>i%_6Y>pSyWl>@U-YvYEXjfApIr~CN_&v(+PIPPW<&f7YHIbGC9-JfAv1*L?Fz>
zBF<jo=iv&%FOdsF=#FkiHxP3lg`%%CZG>GA^YvR+z)0wsUZCWeBa*E%wk{~Aui%Wg
zrkdshVc{!|Q#sX5m@B><E~QCPihU?$NwATJG!JNjCGibII1yuL?E*QW>Vgh)+98+^
zo=)!=YzX{xqkiSA1aJ~kW7OOK|Naxhl!eGV3yeLlC*4=rJ4mGB7DHX7n^s&97G@68
z8C;mwndwiH?Cn)yAYhd&koCsnf53Ugu_db1!N=ljNyM?MI&Rg~6AEDAqR~2HZDPR=
zS5^B2N9TqCbsA9c<3HVCVWk@V@2p?lSF3`T_@5?{%m%>P6qVAIisQ_>CB5%Uo5)Tu
zP+47L1yG7epJ?I9*C|jp?h5Nf@p=8Trb1hP3p68Gh;eP4Fgj+uJUqz$-RG!IAc_E7
z2SSl8S8Q@#{l_{X2!&;AAd5B(`){+tT6gFc4`y)zL@PnY;9<!=(r%4o21hBy24y-s
z+c?wPh&ST7VlVwnF@}-ftacKr=ocDFu0dE}HVVsi0zGYnms%L`0yQMcHjt`L@p`m)
zP0v2A;H~AARm=Zti60HUHQ`&tt4XrgHX_`<-Y{X_KK%wyd3?@M(8gQ%+VU7@IDt2x
z`a%p($@WEfH1Zg?kKilucBkPcAwT(XM#z`oDa|@Z00ZL5`2Zsz%@GM3<v@PxjhulY
zr<{fk3>d;JeH_J3O<=kSmjlhLr(p9h2AoV|z48gz#h5$B^bM88BO?V^A8tl&UqdG8
z7h}=WtgaXq3SJOlU5a6qxA#fbL(Lvr?8Mc!y^d@YgH;8zU-8PDzaihS@5=~=88hF(
zKX79^`Vh{=q2D-jOQTP!{&8!fbYF#C(&g&08o5)-Ld$q}<1Bf|TTBlYkM7D)#ky_i
zUiWj^fAC>jjnq1O@CnIijcL9QNpG-53u=UoG7r=b;FH5xcK)zCpI~)pP$QpbFnyvC
z4?R8k9!2+FV;SM`hq205Bl?OG%S~is(i9<{CCDG1O;>FI02Sy9m?i7KKk!kA)0ztd
zh4u$v%GEC&fn&m|djeZM?0X6Dy8BuL*Df>!w=|vPbYYO*iQN11VpwS}`v6&AfH17f
zccdfRojAuFH7B%a;bvc9%{!HvbTRM_J<>@r2tASs+)Bq=8cIB5!EU2=tkPWVD#RU|
zjQ%8X7tHFwT^W!6-D&IXj#-K5{4NjjnVX%AARzvvUMXN|8Lkd!bCs-Y;82<STm^Y;
z5X-W=^K1I_q*5<73yv!S#_tv#R;0xUDybi(g-paSP!+Z)XYx7Wa=x^Tfmlj9#F~sj
z87vXz%9iOrpiK~eLzN2r%ZlJIUu@DRv_!20<X11lk|wld3~N_Hfp+vEP!tycf$^;A
zF^(~0gvIlMmA1k9Sm=4B@vH)4LW*zCB4!4MkE$mGq9rpDG5NWlOiBx>5V#?%TbW8Y
zu_~-x8W>wnUqC4&;MK^qT5X-D{dYF$7`3b1Fq6|PhPtAaFT@}ZrGsy8{?=cQYY<c)
z8PG+?FaPkRVGpgR(yjoZOiYLe!LYEsl?kMnXR^A$V?Y2lIfI0iS<C;Bd~*eF6v+S7
z(R0fGuBGT(4*k@QoJ2=jhI=dY!XV$DnhkB{*t8#&8q}b&HAa^TBw|>|oUWwS_vlFs
z1}tF7HMqK6jd>n+r=Q*eX-JrQTXZ4^M?2I0FgSZJSyZ@Af;gomlUsiFj>vYm-6HHj
zkrx{4+PRn*^B1y1R9p=&G2EA#^w3~145|I`hQ2ppHx_a=?y4{#C=8QILO)JHP^gHz
zN5mu>52G|q+UEA#A@IRQv8J3pum36tO7HnL_WROR7SRz-e_xW5%0h@1c<)p(`&=^f
zt=7*Kmcpuy%)Lh6M6p~H4BilwQd|`1*GZ(!arZo|o7E5<u)0pAqEbDV0cH_pdlw^+
zT-bn{EfLkux(>4uq_FKlxc~=s)3tXlb&$s_Ej65z+`da{QX>=K8H(|Qq@FxasAICk
z@432V-0;-tMZ4LMYw&f;qZ2k5VgWNJ-M^T%DVj%UAMUmrQP?{i&4y7EAfCJH6axPC
zq8QC3ij<D`g75aeA{Jlr6{rT>Z4KM-I+L<6Jnq_XRL|`uQf+$MoU#G&9ggtijU;F1
z?d<3Fz}L9EaCf$qJ5yv`G>z0ol|r7O$6r5RjDtfWVP;u#PBba);17ze9{*Uip$|n_
z{u<~pdoQWurbq80*{Z34yO~H{wO}UKNEMDTIUk57nxls@AUN}JC|OsoD+FKY_QlqW
zGGotF0`O<pA)yytLSmlXFNl?G7a(sSC$sNZ7ZvC{1E$rFT#twO@mzHJE*J4jmQB&s
zU)3?lcZ&_jY+Y-Bo$!9nd40V3sZ+4k96SXDX$PIbjV2+H>(d9lkhAWHquZ@H2spjy
z<pABX`%}?{h7d%sq=hVkL3{?}@bJV7FIdiwz8Qc?24&D8Sb{mnNGS2N3^K>Q0J8GO
z93eo(mJT96PtF_oWAa$?_s$GxJOs==En)b509o_@ZT~Xk{|H+#ehFSs3n?sSNE1Ef
z>0w7n2Sm-L(s4?66)E(NMrPR*+#?bC-2RXNKs>KFZ_=Ya2q|u~H*_N*R^Bqnm*CRL
zI@;8g4UT9oWd2+x{_Mhc@se8qU5&8hND|9qh{uY7wFIcendKdxPhtBvl<-T6t`VVr
zg(u*^HgANC06w9<W-E0f8TU5;?aJk_>n19Ogun^d3_SzsprTN--}M?|U4(v`1C*NE
zta7oi-<3fyvUE`hM$y&;uTxjV;oyIYptU1#6XBOHG7SEG0NtrqDe>w0SJ{$3^BC@E
z-#)TXoz{{nP1&MRA`j6AEk6QALtssTq*mgfJe(5@{}CW1j-!M#x({KQcb#$^rO7md
zOtOU`|3{&ZYU&^pWxGKV4HboI=!&Vb(@(F_qBFq8YN%=P`*_y~;%c$&H09A7=h#GO
zJsD2iqO67i0rH74g-11g!mw%6tYR$9wEX!Er=%tkWm-Fw%ZWyG80lFS65aiSNQfvH
z`zFCazWl4mBL8UZq@6@K%IjoV_>rfAfB2@}3vSQBj1NbgH7qQ6xOS_|u4n?7XXCaZ
zqA-~+R%~?2$mQHf0f-lCJhoLD>3gzs04IUX)^;6J2=q!+&FKQ57TB&QeJb$&aI&DC
z?bpG<#GxNY`E$!7#6_8-KolQ^Rbv=~YfG-B#{3E?ff!L{Ncfjdb6}Jk-I0K$i(Bun
zIf9U>WtJiOln3}a1K6532cMR7c{enX%&%7t6Csf`YPIUy-Vf}j>iLF^tLC<Pr7R`?
z9V1OF?h@SI$f|hX=g$8g|Ke~JNTgfzID>4Ut9<Z0afJdq0za97%3KXcArXR~-zE5U
zljQ38fO0Te?IIl>6dXgoB3ih98oc*f()0NIOmH^!8cA+6{Uw>0jqwf!Ers<R{-YAZ
z7w4_3q3OEiVt>y6{o3+9SR#X7lHXLKpfF<=Yy`_a0AjNF4xxu92xWf}zONF5mq2GC
zYcA@+-MwVt{|ZShhh9>xjxN24B}>G`!Ifc-aNUUwo57ybU&Y?LJ)|IqRzjypPL!Y@
zj2g&it~8L))ZRm0bqx<%6s{9N+ftsguOdSD43p2{JavMBc*CrlS#JGx8}{E*t6Jur
z?9ctH**e=$)1i{Z;eGV(xoW~hc0uzFi#S-dyRQ@7{ZjT9A<XHA%J6^r&%;!vXH=2O
z*kfLal}~}8tA?Z%m!jMPHth`TYdT%1C464OOXYMv0}t@AISj1ukQ!M2!SOF#TbvcJ
za_fB{;nD_;umVY+Ls_cJSaiAO<x68h1O_nZQSN=%cd^x^rv{gtT2^_m70dT1g8dlX
zL=N&e*Fj8ctiv15D-u7Ykk@mK!l0otd{`*xoB5Db^=`YkRck{92@s&ezqU{qNC7N~
zygTTNXaRU8b9>;2sy1+7&+9}Wo^Jxptc-)gpS&s$^<iaR_Wr>-NYMnVICxEH62=yq
z^DCX8H!^u!;;FjS$s`?)sP_zg$>9qogjaf@3c)w`nVFS4-*X!+d>%}GBDeAf$Y$>L
zZ#~n`&KV|9p2LFB&UV7{4c?&Xp|FKuhQ*ZdKWT(%c-IxY#g+ql!r5+tWwT#Z#1f|9
zLP+)ZPV{})SELIE-k_u*+0NR?*@^8xXP0Mh-Rm`s3|cEZaGImxBZsD@`1*v^moDIN
zVl=U-GTmkg3BM~^KQfQ60g5S1Z6x^$zUI`h;WrrPsYkzpGsqG<N7*QeOJDgimS1zg
zwDX^92Ae9=2b1mJ!fYF}7}}@$Q(mz#r|wieNL=^Mj?2eAEM}}s+v6hHhK&?wdRRCN
z_wz96DXV+2j6a<G)1Q|eA@s0+?y^TX9Dn9-b8PO4IDcW;F0n#aiSgRX*T!NP=nVVA
zkP&K@3yLXPf?0e34<YJzKlt876P6W$hUf&sxo@<&O_An>JF{c|RjL?qS40s+MhnC(
zzVo-Xte6xNiZeGSV$PB_i4{xGDq*P_#4Fqc`cN^dFCVME_>$||Hi<K`f*3h3-?f+v
zwHAtM{4QZOne^th)qRyCWF^|-16Q?-O>NMa#bU1?*%YP3E2mBtVabynU27@FbXZga
zKK_=2*XorBK3!VjrewVd_MPWXvNNeyztLgb+!$*WHntV3Df0|<i-GE%LbQApq=Lp+
zHLc;JD}1@^O5+N?_xCKXiF?bRRdVnqfu_2Wey7NqGY?Wek}%N40=GnKbR4YWer*Ig
zvJM%<)Ac-wHmH!Sp@_(6IC5a&vD2hF!_r$O4RqS~4%C71{iR-Q{8gjQg<YS1pCn5d
zVrap~-`NV$gJIoVuFu1sdJC+ZvPuV|NMKu0iNQxg1~q`}m)2LtZndcjng~CpF@C8l
zJ+?J0hC8?-$44>(UA1?7mQGTfoJfwJ&@&rD0z$!l#-BlIirID;@BF2;2$Lm?fze{}
zq8d_$t`qvDeetEEWME8(!c8ToF+Wg`>+x!%Wbllno!E8zOc<!5KG#Jq)qO(CKaBF4
z@>6r<N!D>^+lSzn-#Zi5c2e#^)DV{jM85H?%<JL7O#w=5{ZWROv5WUJq8xif#iR^y
z>64JY%T&3-hR<6ae_mJRW`O{(Q~;fAl_mdl8t{727SZj<XGpdD%qAH{Glw!L2T`lw
z(H!aTXp>SGOsez*!B?IRnv$vFkx!W2Dv$r>yM!Yq6|9!CltVFAx!TU4yu#z^BB>jf
z(;*5@-X%vCQ!rSzorW{gH0i@)s0qU^<CFV)g8nsvayQQp)O_-&;DQr}%MMcTPczs&
z?nSDq|H)+J-(vYb2mCq%laDNv{OBGn++5BG?-VwBadYTXHOhA9(Fn@+O6S|v%~uA0
zk3odgl}mY<W3N&9qD3^4_VCX%V2!ay5)|U<L9HfB>lp}X@2!ENb@`RY7#{jx(eObT
zhT?UipF$tS%yg(49pYK8R!t7p;a#e9#DM#-_lVz2!_oMX{s+_z6|LvBQ)=MSTWve&
z{#1&RE397Om%k!=tdc0C68n+Fsqdtsdu_&(O+%}a!P-_SMiF$Fj@F94g|`w3NhNFD
zoGj!^v`X$D2fJ+Lc`qA*l^MNdT0yd3uxj_YKj{=r%d9Q>15Z0$n*=JF5<7x0qb#Mg
zI;P#i`luHeAWHLKJG?tYwU(^JJ)(8)U1tqb0TjwhCo8W5?GNkM5{rEn++f<8*W*8B
zt8LYFc%bE}-fq53IQR1M&+<+?iC^Z;*S&TebnBjz6j%W-&N2W{l*OREh(QdPaQZGq
zxHK?*8ks5tA{5|ogTLi312_b73Bc-cBd`^@*LR8!6m_++*v-}P!@{jf4(z+ul<K=|
z7`2hF>_D|-Czh&7Uz<n@i6Q!iC4qe39)1+&>cJEjr!aNtdH<@3pRA`s_gsFz{&g83
zw^6h$p@)^TDd`(a`7XHmdhz{2f#o^RZ^9}ZB;jlo){LQr+tWIq{UA~g==gKp*`LYe
z@519!)`j4IVb;bhu@Ts3#@PyNz7b}2IsjUrC6^W0QECWA3jSONal8vxycUtFHUbFD
z3+_tm>#>>FA=P3Cd7YoKnv1?)XbqQ$wWk>wvk0Zx$>!;&$@Ji8sa1b;d{UczXC5Q-
z4^OEH(T}D4xyZ(Dd@5H5mYI6iZ2b@$>k(e0haF3dmp&V7R~cQ|!?)OJo3=f5zQdgH
z-nr*H<@*~yiY4I>4VTq!QS@>vlpO0Y#=vb~*7N*uw-71;iR{@PfLRkVit_p2e+2$S
zbio8rDy;J{sG#JmeP1!Jz;^Sz=L{dHLrLwsKJwYHY9OY$+)b%U=-U16iH*>&3SVXa
zbs=V|B5vYD8=$OE6fc7G%^c$pN*=0n;00Jn=^)KjWn*g>{)$C17fJjREwW)LdET*(
z$kBDoOLCz|1z-@cGmE~_sZh%S*f}Z$>cJ+{mRTRJASI1~|5L)90H8tpknFB1Ic`>I
z*vuLf71Y9GGZas(*&d3OzQjSCM`zmr`ZS+Xd5If%w}RfCz1!*1|Ggmq0Q%yf;=9|I
zKj_o(G=hC##+wvp34QYBHw+p&*)8Lx=><^%%niOsl&9b@0M^gJLhF4(BZAWR7ZOQL
zO$eOd-nSi{L3XRX)eqoiVE@z7Vn>94!-2t^Tr%Xy%3bGWi=#pHq2;r&^EGFiVNo66
zb2{0Dd`v1GZ(AdbiHqk|4js990>)AQH>vUzSk`DL3f#8InuyZzqOYus{j&B%KpVga
z1q+N9zLHm{GIdVOZg>_D)B?jB0PNHn40}xy3=26x8pL6%<=AMigm107Xt$62y-9|O
zT@}@6=%;<e%%YufyOr@V#7k+eE+o4Cq;6rs>LMzhTBGFN(RJTuAt_Z{RM;wkvrHxi
z$fb)$(z;GhvczoVr5DVAIsR~5Gd5O^H@EXCYuOmBN<T86^!`rO@=>44!9+po>=OvD
z_#jlDsNUu5J<mlhu<iwsbnSsJ@2^ee;4v+K@nHAY&bzejJMv!ExzKgiyA~8$>~y_A
zO`+gRQ6^h>;j)dTs7EuH5~D^q;E6eI{^}rznKXoM$zT*1UVuh;-yP5fdL_Z5H`b%$
zxRh0g-bEY)$!?{fb)|X|hGvR{_ipoB2Vv?zjA1!H6dH>;aQR?;MZ>s;vGvi;vXvB)
zBS9xxc(M9ozu|T{NxbC+sZiA8p!2n<a5>B4jzBSLsf7`1oNV5mY+x{>@bBST@eBM*
zOF1g7auQWfW&lb>Q;nmu__gRpUwgh!jQCvTh<eIUShL=jIXMC&mC@4^5m=`k^N?PF
zk3LXt>5nJ6Qe%ty=fi@;rV<{hJt3F0vIZT6x#v9Zv@|Mh!^y7Fla!RMEd1pX4CL-s
z$btacRZL!0F^gYoLG#u|bnT^f&H-Gn^vT(%qoIw)7PEf1D9ZB{zSn`7uPcGWK<qK>
zQmtGN+BA(2+b}R%H1dt=ez9Nz9A?485tA)t<kyI@e8_fbo;LOPmub*~d~?vuT;xL?
zXThYp{4vfh*;&PpdZKLwbP&bl=sfkgM*S4b)@geSs&g_36v3`3RE1BuxQKPomPp`8
z2?YoL=D_ph;fVZFo%z&S9(D}etpp%q7RlGAa-X}rA1)x`)<e7(u^#yJ?&lOrn^b9{
zKe@s&5oBI>9?#fida8MXI~JO1u2KJtqbznSONFO8YOpO@Pz|HbcNnd=YIy#W^PDZT
z`Aer%JmdnCmaDLCKGJzW6|>`}qxMu+7*J@QTA3X^0DF3_lRNG8l6M=!UDG=<{Mt+$
zpC<(MWRZNHcpuk%-L;4zHUJsmWpHwAwZ9l{j#h_el0x3Nu_FQQW6S)7lOqEug;+0>
zCj~LP&)6*s0+97V=J|(^JLW3LLcgML9L8mN0ambs90T{;Au>uDhdM5`%3eiu-(TCj
zLyqwFWgoW1%=W-4>;ThI!hf7lQupEI>Q}ji(Xpa%g6Otk5KuG{WyL1UyR1vLp`%qy
ztxqS-#?@OuzOnGw*2%yhdKV+_vlX52LXmj?>3}mba<qdm1}>OQSGAQ?f!itKW+ma4
z2c*_Ty&>9d=FwY&P|$F}LXvV?J<rc`2H7JNp<1$?yAyxMu#kR+*u!)P4EjaUS0#y+
z$;*47w%df{EC>eBxg)qv$$Log!D~iuDT9Q?qJ`=;+2i>@b7u_a;3lN}s~9#~YDAuw
z4<yM(7|=nI!LgL^?7iQrAz@{r8v`jw@+=TAj2lio6ia+O_l;uKe;K}Ck6_<V0H=K*
zI9#dWJfof<4jJG5+}C%U#b|!|+dplotQWf;IpA?jex@_1_`QF7K-<NkKCXx36Qz2k
z#rup7p5pjE)P}+gPHo~%Y4UAGZNesN_ZwWrI&kjRgZ`2E^9_&xa^QO^FSqxp*jW!4
zN20l6u*0X}PDB{zf0zgfn69by!%2d+rV;0^#CBBXt^^2*d(HQcQ^Jiv*??v;?(u}c
zG0y%w@z#6zO}^mek@RZ=r^RX!a3Pu!g^iZpXH>H@U~YXsCq@d2gPWRI!R|W|)8ETe
z&J<+)%RM5>&%+@Z_BMvl=C5;!qKZ7COuwxR-I+R@z|~IMZ-E78e%X+ZcEK`W83OMd
zB2uWFa7+>H=`nMpU~(gvMUBFByiQ=cJ&k`;cC7*;k|V?uc5vnJhElX~g2{P@N#y6M
z@9mP^U9edmVS3Ok{~qqhJ&7~Yy%=i7ZnuQL_+M|nyInhx6nfTM_^!p365ixCmubPA
zobeoDXpD+M*KwW<L?R+*CvibT>WMs>pMAWbBC|IN7G>3KQu8<=*p*ZU5sjke1$BUn
zy5YN(6@nzJ25dy!h6O+_1M^Xkd0R%*{L*p>#C%<MxPnDaQ{<pBA?T`%5<egz8XPuz
zbZQll6ZEMF<28k&`!PfsW5dl~xd@0gkNa0LVOTX$vLAEGGskP7+tx}uEoK>ISIcD9
zGLchf;=`LLy|JQuQ2ykIgCrR%43e~{s#giDw7p*kwCvz-+ngK!%D--(I}FZyZq&Tz
zM!HxptKY$GT(_FwkCAR&2$X}{lDclg_>*%<oDpSR`jNjJ#-_!CiPF7qQ<Gc7;Ai9*
zMkFYl8_eBzlV7NhF(^+{D6-U2Y4?+Gh(SM)HuHRjaESc{EEeu}t)Exa+Z6a{;duZS
zYfox;C(_w0m-$M0yA+$WZ10>XV+pM;zDtfcYZiWVi~YC9SY|yVap{^Lzpc(XZ?6rd
zZ-$e7&VKG~y+(H6waP_+T8gF}nbIjwEo#-Urq-%sh1#*+U(Kk|oRG2OCd{P2v~JL-
zX%#o<dl}FM(09_C8zC+7kyscS75@}q2LxBUq-zduHr1>l`3YNK?(a{Mg7o(O;mz#@
z)KmD#oR*UxSrOTW6~#*uDa%{;O37?I#Ogc-(N4dp;s%!usuDVYOt7NbGj1X`!@#jO
ze}JyHzj2u;rki(#>9#Gu4X$^|tL%F1w-mz7&$;zi!|(aTo6JpEQ_cKa^lF^J%Zj5d
zTAnEZyqyr6YOusJ0%Q||Pn}r(sGjD#6ytpq41biTM(;%d-?iV(_E>(I&in|aCq3j9
zzM|{%xlQl3$E$}?5@(<df81YP>|Xu0pH0w_`qjbs!T%DU^FBx)n=Wz1v&)-U1rLbj
z0AxWn>$PdfWWRzTnroS{XD)kA*gEVKDW{NYi7XQ8Rb_ymK}0s&1SwYXkC+U_l^V+F
zDqwd6AYLpP`0ul3u5k+dipYPd<Wvsh8YLa%7CzQH7_S_cQ!`*AsS=tX<W(fJ>P)IS
z4^54xL0R(}omMa#^;t5^Vb6Id$x$zA84V7ZVe@65>s07_evRLBkrkUNve1~02~jq(
z<;HX4!xBn_Wq%?{P(`D&P3p4*mb+EJbDhT&CC#PyatP=I(|pF;#o2(TIJwx+X?d57
zokaP1dg<(a#`s5yKe-gWXfZ{u(#ifY_nR(?V+36{ru<|6>lm{CZyeK;mki~`Z<^Q0
zoyzjY<#%OQvm)vJy5M_{?enw4ANbxo7K|BvUJB1u4<Z7pQt~LF9`f{@@z0`-mP+>>
zEC+LWgRq8#Mp)k&7ooTT3D-kp2X-+(j@Un*{fpH^eWu)VH?F1C0%v){C^_PH?9p!)
zIRD;r(dk*)3eb}G;Z9W<iuRDtF*gtLV0aF%Q3@}B<j*NJL`IFlJtpHV;3$ozUf-NZ
z%93Rart@McAwf}ZH*DhN=zS0^XU~JvgwJnLTzMs#F!^%Q%16P9?lcR?Dhj>qHFX8`
z^iT_m6Q{7ZD2ZBA?jn&8=R1-lv6W+(SNJ3jOvpPcOw5FIr6;GVR33JaZ4dS5adPg)
z(RjKJFiUCae$)!&HIA&Pw2FNIqSoVcJ|IvT;SaXo$b0~?hW}%P@Ks4y2j_Qlskf&&
z+2eim()aIPck#Q#>$mz6_JViHuKIp-@bBudBZwsUR5uA*-M#JP+d84^A0m#!PEocT
zL3Jr)Hci7Q4HQDA>9dYzrJ004jKJD6B^sy)$=T`~V>@UL<cG!V^P_p&z71nxE;?E;
zM^n<~4Or0Cc)mK$;&JXx0&HZYo)SW-%QJDc{41Jx<3F^f91>CmvnFh&;NWOl1@Sp)
zw1f$<_7aHDf!gv$lWzXcaitQE)v6T>>_p>kL2ld2sGY2AZ=wqMa&Iab)%N0d<WJNO
zq7u?^X3Mp_bo{VEuIg3UsU3<u(vbv@?PTwIUs5&+Zh05r4xybEYVNC7y`=A=oB7Ba
z&pl}?fR7uupN|aZJpP}O>>u}>lw+o7Bbb_l-T1@@5vJkZ0G_34V&Qq_;aV-5wOV{B
z%erF29yB9?7Om&vBDC$??jEQJLsvIoDXf6oQuNgOGOCGu!IF_Qk`VE-cCb-f6n_9A
zmAVX)O*20h4o(Nott2OD+EsrmiC`!AJcP|+kF-Q6<)xWfdAO{^<HXY2${4x-HUlM1
z^Y<?a6bw8d%#|SUW36FMc2acJ0O)Jv#i-JJjIVWOTnTUh_4YNmY1z%>;RKBKUqR$%
zXB%F8WsK5#>dnaY#ER|&#P<&%OhlW<510TmG@Ex9zUL`kN6_)^s^II)HOF#+aT5&L
z3jL!B@i+=OI8+IHF@uIcA_Q1Na$vnl{B1c{RgxS?F$(>5rD6IOx@UEo0W2_mb$LXX
z*S(!aL=^}kn8v>6{f0uUdAY!+7RLW_?4v2s@^$Bn@iyw>i2@qeM6)p{naak~)6MZ!
z^h6m!Tt|Bhtjez+7``EaK3vpKsZj4N2DB|pCwc)J;oB(5(8qs<JnkrO@Hy=v#>u5J
zk3sa_=d;uX2;&u%EW9MOU_rQ?NIGHiczm{4&Tzd9%_bk5GrMr6KGHP^?F~3})aB@V
z?(^GjWOQ0${UWqNOo@nPWyI%a2V-6t@ju2VVjacak4EC@d<Z^xvZA6JE#<^KIH`%d
zv>8y|>iHjdd)}97n<C&_t5_QW9;6gODKQq_IRJQ=BJAs{$pSIRFD#fs{tJcw3rSGy
z7td96WUCj~s^Hd9?)+S$ERulvC^3+wNQdw_0EFg)1R<m>`g|o`0Y3>5^#Q_Kaz*JQ
zqp?W}mZ}q&t1%d^)Wm2WSZ&v+OdCp*ol)#(3_mw3?N?<#$i+`a@}m%9<iE!2OfQj(
z5_O(k=YD{Qx);wgQy5zp&;1-9>m*p1f*qoHI}oC;m+!xs`S1V!hywxsdpZ&!-s&&3
zE6gYL_sgHdmCng(kV2N7xCjtrS*KUr*8nwl&medgl){2=rlYZ?q@KTL6ZF&9e2+Lq
zX^SM1_)Q$eV89`32FDX1|F6ZJW&kH>te&b)PYu?C;k@@+oe<22*B5yXV(#ue1UzjO
za5=24hBC21vcfcs>9^%Ep)JALqOO}V=q29_n}28*<h76P$iW?8sp&|fi3Vk{P6SV8
z-MBiA=@>BtQeMkg;8YNszw~S}K`TC8SJgsVkix*1`2_^T2U4tNLd%a$kjq<(%(go`
zcH=$~OWMQ#o%7jN^KRYeevf{kb3-DNt2KI0cbCA#(ANldh@RTgs3XcNWY=<p;tG*J
zr><9raE|B)vOuR|La?N+)veCBNK6L^eO|^M`d9A_@f@*a+p(BlEhym>RG1e?7erVk
zjek}ZOKh-Am{$m)DG|w5Kkj|(K9a2mz9@cKo%fH-c|1P_8I|(CJb-?pPLi?SK{o%6
zdvFxVNu+b1`$D`oXlsB1A%~t#xb9YttZuSV{X=j8Bw`Vk`TGWWDkTg0C7yxDhVt=2
z4yC>|Z%B`+(ojz*E|mvfXXxjsh!L)DbjF#Y$9-5W55^Q-3){&cGJNHxpVNw->z41}
zw<K4YJ0*Jh`qBVE_jc5qE<e%R7_fi<z&3)$1QK4jQ-$rsQ&3>0J~k@UF?blBf*Z6F
zG?-BkBNZz3xAVi`TzvsDi~=Ra`#))yzh3V80<yE>MTXlRzR{$=C%BDn(Ubd_zTztc
z^~5~HJrbWj=X!Vy)J<L)*pwZ|CuJ^B`BOZ9(KRcZ+$JT_*S5aoYtTBj>N&-Kao9(5
zg_8uUwjpC^#`+JOe_U$8Qxg)gWwkFq{qi4^m{Wi!a!k-6pLUZls;Q;{S{D{E&=pQ+
z=}deS=JM&la3E#<UPETnb;5G$jlv{uW&PR=>5fs8Vg*o+eTl>~4&$$fqs)p1-Nr0i
z0(x<P8Cl!;(qb#HBvbNChXq%|tE~iB7<$NCY)<z`wSXDW79FJ)4_AE;#%m5Rj!H$D
zB<6<e>C%(hNNjUgciW;};YfIU4=_o_6a!9~O@s5I&1nUva-~%6mr~-Gx7FfT_lny%
z3bFmweSZ~@T~p?*<u1;p9eaN-<jWD?cku4>3Lhhp=Ln$}N^t~({FNTD=j<P)-Gyc)
z8zhyRY$m$v;=hYZ<A}tx{}@&sZ1-)_34opp`aR~6coXxQ(#PasR}|+)0{@4puYii9
z3ARo`a1X)V-Q5WUcX!vtT>}IHEDphSad&rjx8UyX?*As=d;fWR`poH>?dhHA?XFvO
zyQ`}fxzK;hb}1AHX@MMW*9E0toE*$ZdX^9C?_1~%n+4JG*x*9dX<PL@@!L;0d(IH}
z3Z!v&yR&@X)LkjuCjU}_6Z8z_NQ^~@0mwS*=rppEE&Z3DcQV2Ausi<ui?4BC7F%}i
z8WnXuT9a9Q*j)dSP?K7_q%lP0^82WIk-5#Xuc-}67D%Jontm#WL+kD=_$gj5`&m2u
z%ybDE%A%_KboHl+`8@36FJ4rGbu5~6@J`Ct&knv%BJU3c1zT=6P89k$cAS%q0z34V
zUL`h!us6~#J~WdPm%PChcn}|5{HhF7Btt9t2F^JW0%otUCbWgOwW=fi6OOZf;dO9+
zcBZ3aynMb@^uX-EjZas-NJ~<j3KphZ&m!j%QkCi!XN-v*mPen6CM#cJ2&H!MLYI-E
zp?<HpUU42XkJo}#{sXtq_%+hpzdI{<Hl4avb0mtoRo?gP{;itOdn9%3X2WJe+_IZr
zLY_v$++8l_vwE|%%J(BYkI>bR*oJ#SO!rk2Eee~Dq0c@3-$-J}s9MirB~;uQ+`kKB
z(K;R>Ic~2OIoZPWvKYtYIO=&TX+zlRj3a`>^hAE@ESjgHrlXZB{$lwH+b1r#anE8P
z0B)#7%(Z}Z#p;FIIWbZls6*=y4ddYRM++wAw<Y~XMM6D#Av^JL`K(#6p!RN+9z%cO
zOtYVg@OHuWqsh)Tcrxrf$MoyK$p|<^*43r|r@lvrHd8*HP{4Rm1nOrhHBzN?iN)#a
z9zsbq{q2T7M^=%t-_d-8FZX$r>ere)cZG-B1(oi=K_QO!MizRPu~Q$+eGdsA_x3%X
z=ahRke9BP*YB&Q_vM|Mz7dR`6IJ_`0zu7~k39l%$wbdpWt`oTnZHr&dAj4m)i!hzw
z2FsV!Wg}+_X@oL<?rDepJpxr?_~A;@asevoSDI&sSd!AArt^V~_jkt9F@It{0!|1u
z92ZSAorfQy3j1th81qydo#a^^Ou^q)oLSh9n&8<H`Y|sM$(ci{-g!cvKdccnqBc|H
zZ+*C=<`kz3&G7CJJ#8z6#po4cStsb1x%h}BM;Bz$@WWT3HFU*Khw>@*)|`>kqUxJi
zydY)JBcM0lC+|Y&A|ilufV}}aX62Z3xPi)0;KfqYF`*)xc<k013E6`!je95*{+zst
zavw^_lYoo;Zu8DF<dCx{oIA=t$S0ycQc^WnDLw`420I*D@Y5rJDkT(RfiJLbY^?6L
zU*J$(^r^ip(+re|<CZ(pCN*LoMbKKQNvSLkL11woyPr^-fo;L{wx^Rw6}r}DT#(Vh
zy;4-gc=%|9%*fEh%&^&|73}W~vo+~aid0P{4o+yxiuC}eKiYZ;S3kzhUqP#hKYoOT
zveoS>{-$i6{#iSt+ijo=(+OcnaCj(Mw?q4>!KlQiIJ%9UtX7waaqCzsi@F&_$?Hpv
zz`{-{O0Los`V_oIS-)*n4RI<l)mlYjc{UQJ14cFp6;4ErumXr2tye@yjqvkSkcM#=
zN*u6Xy|;z<$sV{D(q=H^cQ#2Vtwm`45eD!FOFDG6Quc>#Wl~W@7cj?0dIpu^Hmf*|
zV?EX(@f8ina+hB8=MR0+9`U+NnHw2ezN#A|%Cwuk97^)a9y2L=qk0=j2FGW>bXfiy
z4%Lq3@52ZcD$l^TneU%qt<JDxx8vm+i1Q*UGVkIRn{?^POh2h+yQN#@Q$E4*x#B;4
zu60x}DRbJp?JS|f79Tiy`#2gg>+b5M)7eZYkq>-=D<u+mHObsE_Rz6UKP+nh)L8V&
zHAP!wH3v0xqoHqzRKYCvJLG6yg&nXC18|oa=uGwh^GIaM0M+lqhCm#|P&Lr(Rj|iD
zm-J|<TeEB&{H@}!s$b2sw2J*z!->b{=(tDLTcX0dlsJaa$~J!hhmt;uy&+?-N`Sqv
zp3s5P247CoDyc`8W8wlD&hdkn=2#A&8U5V6EntXmWMkn+_spIPJro<hZ=+VvF7o}S
zPrEPG_;>E~tPficw_8%#$$KE7OaewmADxcYRU4<arf%ujgk{|+ZP`Q-<G9vz_Hs@)
zbj^y2xjueBAzvX^d5*@lm35c4jwJ)%G?Y)f?u(J<9h$l}ERHn-;Cbx=L6|?=$M@?S
zp4YGIbQK(sP2e5+d@g?NR^*HuI7Q7}S=Gs&tp3c1V0K@2vm@l|)k9dk9c$q4ki5e+
zwss>%NDEG77?nL*3S#D8i9<u@JZ$KAnU+>EUeyZnQcRgM=FFM=+-C8F)3jJJ(~(l+
znUI5gcgT3^yIb&`yY6Us4H`ZQ7NlTT6EoD^(`<<Ul`o$ht0bLT0s~$ZQM$KrYn@Iq
zPnJP!|CdLLN^~I>I%bzM^2boSQoxrbiF;ZKr$B$$^Mp|1Be+nYrtBOXR9|Xdd0Q{h
z=h!M?<ge%Pq5i>OpFBi(`jEn>t8d$-2K^KT6!VHj_W`g7G#Oz<C;GM(fm9WY@0?~C
zV4tRBp7F=nL*I{mTi@ueRU!Y#HA|%B=e|IpLkwzT+EDw*@e^6WbGVHULnHn1sH~F-
zN3=mSKP)wyLI|p<2O58-<MB4Uw4A<m>&o;z7;`_En5o6*U<Td&Fj@A_aW$3#1}jL7
zl&pL?Yd26<LKE04pdD=y_5R_l-w?d-P1=C_$oMv>|N7Jbc`x4;Nw8>JgMwrP%konr
z4Aj*GWihm2_ZgV)?HoNzWRG$oW^eIC$Y2rlHO2HZaJ;ifm(<Ev{WBaKp49UJErELQ
zv_;Cm2dkw43U|0l@J(IxaE*x2YpmaC3m67DTG@96Ay(O0n&`Wu6W5w5nwE29lZg7Y
zJJDZLZg_QLo;NK~0-*?2rKi0dhAe1C<V78)BTJ~|HVs)8%?4(2UFyd7Ik0jRXRk*M
z)XcTVCJa-zXYjDw{=`Px_3$ycc%K_^-Z&9iiJEtpUdKl$K*&LTIK3Wg=AS}E9c4Wx
zU&4wqE<Aq?Ox9@UltkcsJI;6y6L_Z;NiViJ?m?_fFY?py>SkF!y56@p_B}RbRG^g#
z$jU#HPw$C{y=CTJSs*6)Qj1-d5PpWQ_#KH81@-r=i1h+|lSpL%?lbzqw@(vW&__O?
zPmlcyOJhq|qE#k-Kji0DOkTbyqHajE$(+N)&yBk6J5R|*Ldkz!9aU>BE-07p4w<tG
zRhYGi%xjdMA2d{%-onmzik3shVzf!l(b*qVaY+wF^6JH2Y9K5mwXskR_aiF&K!+g3
zLh{+hSxtc@>6Hk!(pmWMchawvP?(Ro)Ubl}NnGMy<w9%|E7TM(QVKABPk~MN!3iX5
zS>C(*mzi+*1T(l%NKp?i_mke=<0L3Pr8IT;+159h3VX2JP!rGVtn%4CGOxb)`iyh_
zcy#+AEImNIy{N0ZEeKKmIpSLr_K1r-#oqF{e;GNa_8OI$Nz2CY<*r-T8~|7IvoUJ`
zkzFD@4slu}UaI>{1j4s9YE0|bnl6+L3xAS9?Gyhgfz${Ga3l^h%<!|tR8{o?{-R8t
z^lO+Is2DYm0Hu~{kJRm6t^7>t2FeFYJ2%W^{~sTts@}yci`2ytl`4gaNGF!$L%2SV
zB|bq*lqS9&s2jZYeC{D4K@MBQzU2tLbsXYg{pAw{1yMgzV<)TR)Zre~={{>fB$>Z|
zKRi7&NNcEpO7@YDV(8|BzTv`G5DOI6g<4!|4A~4?cv?z>)jAzh9hGkmcoPN_?<?bg
zu@@}EYYakCv!rHElDF8LNaHBf8ad7%;c{eYP$(cF$Dt*OYG!TR<YF}@-b|CjpC3O4
z5}PGKr^I&efAFm(qa#un3wsb819d)iru97n7$%tIYq=KrW^_P3=BQ2??Q-IF-`+y)
zJ$HOPJ>jl2C8G0=*E13?a7-Gbf70&Zf7-o|J#E~mGwI!Wk#UbdNA~k<#X7lrChdY;
z&4^rQ@k?lD`h0Y`5_t{3O3871>3ILMC)M75xmV9*n+Xw73-RpZ9m(PxM{-L~S?|go
z<UJDSwUR_>-k8y&_SQi?i%bvX0L3f@nHndPSUvu+X6Z4Kjj^o<6)%Dy7x(&|O2Ou_
z`8vo#coZI;!}WRgs&Sp~qCxUWz1aUsbO(O4oz}T7g&yz42-}G8e>YNq(0}Zq(GWN<
zS6I^GKhAnFBfNDBMCl=Xi|cp`Dfx+o0Ov=Fl1YTei|^biV4eC)%MD#eC|YWzUZJdw
zq%O#N!C=1+5$2mEBWCXl<EI#tuE8X2i#e2Bv<-j7wqI&raoT}Iw~6ptXjXTI+5{W#
zap*;bIw;ok6?I))rE*Lt+Lk=q>pyX=4i~vxi0T!RlVquLapFiE%4N7+gsmMA!|?Hp
zvN7zl*i&(&DS8vst@<RMcb6m_C0W+f3dOo}5oC9pcj!0)9A7j2<8z%5p(a~;3rKty
z_XT2YbmWf?)g#?~!PEvC)CM?YJPBRfXfgMMq%wu>H8xwsi5fnZkmr!$?By|q8O&u&
z>UPaM``!e{rWn@GHhM!>u}%GypRIiH`?JsMH*@FV!`6^!K@TTEFA7^V1=LO22xf7E
zFcGs*D|~O|P-;KFIeg%tiQYS6!#9}#)T(g8*AAfcnOF(gg<YGsYLG3|QbG;BV)4*M
z+LFqLChq%N3E}9VmYppElL#EZZfz%q^fr@JFs;?#JRMsW;?y^sLpA~UC8kOh6q_kT
zm9ppzFQus>y+brP736G=VQ6*c;@a{7PM0y8Kk(rIB4rCV1zi+#R(d!3+zXUwXL^#a
zWr=*x-%w4EBeo71vjT+ts9jI8x_q(|iJ~3@eyCktUY)Sju?T7T5o|rmSE&!Q9Ul+|
zt60raM9(=1YxZ3%?;cESYn}C<&Yl3$71*4aYO<4OI{k--^m{|VPAdyh-h0x5cbl1Y
zMZ(T>$_)!8I{CW9Zb)bL86rrOD1tR}$$rO;eW^5!5oI6C(C+;1MS`+Fv3_W2sn~?0
zMDZ_E=WcZI<`75|QY*)IHsd%d=iV;a8SjDZO*CxKd&)R)4I?gAWl9rD^Mpl?heHN%
zT&)j>Zhj^?6U??thBI<kr%Xx{lCV`%i>{Az{bo9}^I6!jN(G6-hw!OZl_gE+j%FXm
zRA<jnL>5GDek?ZYhQ>`iYff2G?VRqn=3IB=E8^QnxeoRam;Ya1`L=+RAi*pGM!5}o
z9={Vc1ibUL>5IhX%ja@j`N0u7^~dh=mh|RJ#<!FAN8OCuYf7ca<<}I%O$m^0@>uW-
z+*qxRp&;A<IcL)^4~$q;f3_K7EJ>N1<Uj+dg%5CgfGUh`G*^hgCb(}Y0R1X<f8ly3
zA_>-0f2vinwGcBzayiQn#-b?zOukaZa<aL5cdT4dKz^#h_9(s|zuSBgn&rePC)n#G
z+Y<46QKHFF&-{wrq?0t6qV6oESYN!e<o2kc>{qrsNzF?ZUB{Mgw?uZ-Q^aYL$GgmU
zlAyv19>p52#_=D%cS*+ig42GhrPr@bx|DwTnz9!zxoyb;{-F`9VkYaWTViJ{3;J9-
zWr3;_Q5|IS5B>$ga*IT$!myzzsbAhjzmqrBU+Y47X;TP;zI}Ibz&@KWO>zr+D!kcZ
zS&1CEkZ<1_dp~zRmq>yr5`kDZ>ikg5@XPB{(&1!kighfLZIA*5@rQ6rssu6kxs_4;
zyQFLSwPA<0bM;aN2(yL3P^-8I7h1DKzZt$+Q=#*vw?B)flTEh)XqeV0B2+Wwa7?1E
z*b0?FP3CNs5)!l^RR2EH4LtjA_J*On5LN*{-q+H4a;&luTJ?6zl8x9wgz;^ps~62(
zw;d7-&ZjFV)}Icg2Q$g2V(@V$4Soh<sQsE0IQp18+nH~X%qBT!k>w{r?jxW#d1`hj
zF+Exer&f*nWNA97T#5tW!YoA~N;g>C*$#ooyM_;8n__zS1oo894+$cUoAzRD4TQ4y
zXR)go3eY`|fKp~zf9-hpbMy5TN_I#7Mtm6;ZoBy`0+dL3s&q+cI<5Xu4^I#sgIe~>
zIcSUo=9{AXTu>?+vS?s-r7IDYKqEW}TXz`pC>kOu+bSw;Xtz^WU+6bT)V9~mss<`P
zwC_EzVBWnE&-oZ5(A+=b9%wg)9irmiJc15__4gWtBTK`HU}4L^_b<M9S>gS{hiy$#
zQTBL3M$H_Pfd_Caisi9RoMUB`yh5#)6%XvfgAgmB&L^YZ8=NRt=A>$(*hy)h%>5F9
zVu~7}Cgm1d4qDjk=d%B@$%o#yvuiZ86yB|^XpvhZAHfJZshEg{h8uQ~Pej+}iOLwr
zOmucr%Kvix{i{>Y6RB4#W$h|?sK;g-qaHm$>)k_}{zV{>W81~jEdvXc6U|<0?Yv&U
zB4g`5JfZi+?7g?poTAaH^{-qS^DT^9sKYOPI=*3@8L19o3Gg_#V7hw3dtkYz(28I-
zqS;gx)v~nn3+2S8T$o)KZhj$2ONL-qse?s{0Yd(E6sNC95D}g*pIlYGVY{e*2K>>j
zbYX)~Gf&Ui{__Wwq474-?4lFOCi^q711Qjq4dRU3LPacRP3<PqEJ=-zDhW;gk6L(;
z8CEo3SB(YYSOVwKOWd<S2zxd$j*mTBp_!wB?Cep*?C-$9FnOAEZT?v?`R#;R#;*5%
zPvZ=#_>&??YHak@3%YT5TM#v<4-&mqP-XJZd>@Fzcc%+h_zf>{AJ6Ma#P02g@F~}V
z9OneD->?~SP}q;nzRA$<kHG>1e2gyB!e(c!r!f0z5j@58efL(K#_zj7kiTyDS*rcO
znFg$Wn%^|SYN-Hp+G$WT(Gp3uQo26WDNo-XkO+K_?R@4w)7@%LYJGnkv4q#sXLb0v
zas_+t+~1V#$TBV{<CtQB;pAxRK&nXAado<w9P=2mkugA=lZn@JDrv~~j`7U2oEfY4
zz|VA6F>>65slLR&qokpBf0%p-QuwHQweuOQI_g~Y!XO-n9mZJrw4s0DZ(rKLfW_I5
zap$XbqerSzGp;}GA`{9)3arW^P>&Y6N5qW}p2_4j#~5kZ-t^tw7}%%ayDfCU6ldJ;
z-|!=?c-4<?;Wbbmd6)Yb>+MY#E%WmT7B&xQP$K_f!7uj?k=aidgY3Q>*GP)rSlCE0
zH>iQPoDkiA_sNuZ^3zAp8H)A&px*XV4?xCY)V_%I$32_f>s1OSy`$<8i^zef&!Tfa
zzsqdLL+f6W`E?6jyhB#-YP^mme(`_AoH%f~3}3sN=y(n5;EaYE6X8Nzigl}??6d6H
z4X07c2aZxp5?XA3PylLL3nTaj`Ycdh<=Lk#@TQ==Rc3u3N(5~g&Hgx~7XIRr`yNdR
zBdObd^Hb$#F7k#9vyD=rX}nROtArA{qmI*=dII0_Udicb8HAV^f5~N;sRO>}#@y<=
zYfJ31eZ_~MWAS${YM>W%dWw@LMj9QEmBP7JG2xBp?xM%<i*I4_Lo+u-l9_O)ejcoo
z!w`Nes0reDcUrFo9`Hm^6}f)9?maWl2Nzb}shq)UK*)=QIA?Lk%4c~-)SsVe<68FZ
z&l1JJ?(dnI$!o6Z&wTqxa%QAbX9m6`xQdR@!J&aDKmC<^cutBxtn93Ol+fYPhji#A
zB9C^0hS73vAJ*Uyu0(oYVru6xFina~s%@{09Qw)-A)H1jrXymegvK{W)Yx>}LE~y`
z&u>=hE&9&;cL`XL^xB6k$AGKBxNi19^?{gX=<^SjP8ifjCTky54-m>;lYN8fZ@$>M
zVjN(}ygXLbl(|*aQZsq=8|{N5VaMcUoFk;isy>{_zv%m300pb!DG$dE=uc1Ryq!x#
zC$RGp9&vT)>0=&;*@I5a!dk6rZg_7Tey8Ync0(+u)6-k}Yr4YQ2^EJPg)UBm(nfxR
z%fbrS8gnI*YA?k)aTn+DvnPpE!`(ThgGRGb{&0Pw6mudXz$7V>%vQ!!;oN}4`^41#
z_8sRdckIVc7h+61pH)}9Nnv)Co5()77G;XP!;kp%;?F}tFchgEr{QnY(<T~Dk4z?k
zYKl(KD!t!1ow%7+O1-b-{AxFcxIJ!iKfXLXG?s15h*o}oIR@_-iuXxiD3taY(mH23
zw}tvKH>zq~7wH|>R_s3|CEPn(#ZjJ5=CZ>cr;Zi$_P`v2h>d%-7vgu!AeCS61~+?Z
zy+EwT&Rlq9CY9Zf6rN=v{;)ZG7R6rI@GIRrYS_msg!9)WAhhz?<!JGvbryr7UuLb@
z6-qgi0p_dP15=L1w;5YW7XCX6rw~jP@??tAbqR3bXU<Q|7~VR&keB5$fU&P1bg-Gl
zmr{t57t4}wrqQu#sr<<tVDXmp$fd4&CXiyv+CYUG2fiMsGy5IpxH=8L&et;di}GoA
zur+@VVrpg%IC8Sj8d{}f_qk*TC_VOEuDVDiW#Hc!zV3btFJAXv3k#o10cCsbFtWUj
z83XS~w%1E{!BJQ|;CIq{I-W;{qV7npjoD7ZeQg+7cwB=zH$?k4-$+F4A4skV&o4v;
zrqJT(iJijaMjyLLQ<OE72r8q^`clz(7_%u&KoGqIiRAknQ)pk>u&4Hz@x1Q}>1NTE
zTA9-o2%0dc><=`{LEu?Q1XXEF1iu)k*i#rbCS$~N=`=#trE#3@AVRtU`XrkmY-<2F
zws!(!fwZBmeVYdizFED^FbWUMpJPnOrS^A>`BbkI+dC}y<PO2l!<W_g>%J|`OM9T)
z)a}`Nm8ic&T`2Q-)bZH8Z%gYE#t-KaLaJSBQ{HjLo(&^q-XxB0=XAvT`u&Cw??><+
z+!yxsjfklpqj(8RduB#>Yz?b<jc1!fvFJbQZj-G`w=8JH4r-ITDGpk<sGI6HG(@Br
zR-rf!`}1TEtkfCXo|eK0e_Ylbj4t~0KGb3Nj5X12JKA(rwRDRx*a%~843MrF%qX)|
z`aW{{j%dH{X=_;n9SLi1?^_FP9fDd7Knl^zb?8z{494M225dgUXmDeDfkZAp;f>sb
zVoDW)`_3{h*hQj?>tV~WVJtAfdM)8F<mQ>h{X~!D7*d|8Xum6iMVZW{=^U3Er3Crv
zXGJn{L-^t1QmQ%EF-q(}k!c;wD4}vg>?W)AmE?<)>+;{LB<|WQN8e|~q~G*#1aY96
zU1T+MOpSvU&}<H4ZsRK1vC%%qn8FcBF^4sf_?g8|%%$X|EI!n@-UzfT5cg`D*@fj5
z_C5Z_qSE(u@nKuDtJm;NH{+`c>7KrJkn!RY@s7;TVZiQrBw8$U`nd<*Og57H9V7jh
zvCp>K>*)Q9LT&Hd5#IoFnHqBAyclZ`blf1#2XN$wxu(q9ydVOhR~vm9Y3+S~Md4O`
zO*%Z<={fc;HQ~}Wc+_AZkxe@Mr&6IeWC6Cq6)F>wY83xk7ly`xLc~oL!b$in#_hZ^
zr!I)S5i8RRF)hpl^rBWBlr)DVLy*=|%baqUo>ffhu2_gv3xpSM0=eieyJU0(B;C(v
zrovz~q-abi#*drRxI(d7If2V00fksq4=EoQ7t_>*aiskyh8(><=)+wE_|%^;saCMA
z69xdKxq0=BIm(J-cA?7dIxBaeKE{H5axcVdPD1hJqT`^%^by^Dap1sw(#W|-RB`^?
zZy(*0%5*8zh7-;T>q{nZD7Q@lyjiPCc-?kR0%1IFxf8@hOF1#2RB=G(9P^fVo74ci
zfNYvNJ5ayf!+#P`Je8ior7_2R{CEWn4I{}k5XcH>9Lvv<{=zls;1g3A7Di$dcXjy*
zrvGvpixVGNPO;0Jf@>;tzJB))F)StoV6g{L2f-_F+=W?6#;2IKHzL#lyZ@!8!e=<u
zM=|<a|6%%6D-=GC=TR;d^0tOBv4uQNG;{J2RqppGd<rZyJ8`arY#teVujul{lihlp
z8z9=)ra#s)k|;vgsUwr9q)H3yCjre+Pe=!)nA1t`X82+S@FihmQtE#6EL1B>P{AM3
ztGA;P-!P|yLT8!9@6fih*i-?P$mi#A;%YWoYW)JTB`MN$PRmu{!rsf!ME1FNp?ZMn
zpF&3(bB2c|UD#5;s=@_t_m6U=6%Ss~15=Dr8buQ;_iejDz84j*>-yKfvCOhusNMy=
z)<2es{(j*lDGdJjo36X?J0ux21Ok!2!H2KkA+h^DhbA#+<rs}q1&1CdZ5Pu~g#Y3;
z=)_YHHyJA|Bq}^OE`ENVWlKbEyKnb?zaQsq**{s_YH;bOXfHkjf6gou9){m`IsMKT
zCD(c#lnLG#>AVIt*!<kw&UGwX;BrPI`Ufd4_<3)mpF>KRhF2uSj!)NS_yaZC8(Kyq
zOz1pYMq-LrDF1$u_$)mD)AVbutL&TFt7S6o(19oWpr^38_B^Ybs@M=!#1wxoQokIP
z_11e#Akca?dZtZkrOf)%ISG(S{Z&HuE*jk5G!~VLb*`T~(vwCgB+jC*GDVu|g!A_H
ztL2?_v4!F?yjEGVtj}D|jlNzN3xfCld=Z3HMFmwy>D8@hw2vN?LA@>0mOGXtSCb0#
zSqk+Rtt!Md#1uQ*e~EnIH1w|XH-@BeO@`yje%sp~nZT*WX|!4=^}M}<<4=KQ-7;ix
z3<RAyM)#<~yybJ7O(5y&);cU7mqYL9a}Lys`>igXtFoS|rW#`~*E*R~kCaxoU#LSU
zh0&DM#~pYaRzRKH8r*M0ea%sO{O-#>S?2_lGhN+Zxoob!P@%)Eo&06`i=Xvm3DE4N
zu60s*E2^0Vopv`~S;E}(i)@#xEnuR}U<9bIThV%@lz{H}aGK65jqC(Fsug(cGvQ0Y
zkpP*NjjprU@m`bIMs{(yk5SQkMdOB=Qt~2vq8~8e*g|W%Ozu<lJzu}#ZX*=3+{H}M
zI{GzE6^Ye?-Eda$;@)_;n0ako{Z2LGsxM`@J)`_tu<w3E*eJdS!S`CoX0fTCgs<2$
z)F{L)U3#8rt^4i$%(Nm@Y`S=N{fi{$!A19zcUZI3B7iYr)ZuO<VhVJ17QuFKM0c1_
z66QJ3omTRDil0RDj^*1t!<pojW4M+eEw8|yma!}S@%Cb!)4(j2=<CaO%rukv`E=dm
zgUIlN=kH~OmuS4r-SsTZIA_I_()UZsB-Daa;5c5Gx@vn!cUw(ETLThg<T<o$Bi<?l
z2cDFPg0+;t<HF0&wzT|VjT3EyqxvWlY#@~e<s#L&TU=?~><YRSD}w1t_#(!X9ZfG`
zR-dC@M%>l=wTk7{H7iXvvEEY@5?)lvmP=qJiXU@mkyu}8-#gA6k9}L5UZL$4U*tRd
z@79G!-*t$g913EnZ+%;I-^`nJ2_81~yk5@erJWmo#Zq%Jw75FF7MZZP;Fh{0)GRdK
zxN*HZ=4f$JHv*Pq2`YZepG=F+F_u_sTQWfOcdQi7nZjbfTjppbk{i5?L|E!3EKt7n
ziPbSkcRnQI&X$}BzVFI`&!~3w&s0X5G;s=+!I|f|!^=e8*>;aN&jL^M=NE7hJEBCm
z_ddB>8jPp)l_G}Jm#|MNiyi81OC<}6<n_VBN$(xYB@FkKLW}i54KLGPjBA#~rCn-O
zKO9QRr0DgBab&*wGJ35mJ=5utpfFx_C@5QKpEs77G;p52dYeAm9gaY+t+%wDt0qKw
zGWWgvjOCm@kJutC3Xc3S9$~zf57CIX4Y_?k(YuWp@uFKUaX&4ymfK@92n)(SWX=d-
z#6bLFF?gWopJ1-IvQ<*z6;QeYH>j`d;-(9svn$SEGZYI|Qa=5MxDn2Qu_2Crf2HMm
z*G~4)s@OK&G;E39dSz7}51zr~W?qG%{(h1oqPjr$Z7!xG|L9E5)J0GXL;os#xR~Y1
zipK3W>^`%3V^HC8wxqO3=i?ARC}>uF@sc1)Isc>O^jPaO!Z%yarje-KcAXOC9p{NM
zmnjmh`LlBP?;djNJhsF1yzwiuHdf{RhpEtvgH0J{Ab9<VnT9Cd*bNNeRoF_jmg+cM
z#g`GFjoqxqqtlS-Wm~m2w5ji{VD;hzx$$F6vNq4#eN)3QI1X_Ovt?CtDU(H&mRb2(
zxjiHAgBHy-R0D0i$Gcs_)qANBy>!DfGt|2oY|T=BkFR?2yRK<u>INv;o>$rxu`t|u
z1`^_X<M8jtSw_?$!oe}@AK`1cRUNZy;;s-wuO;rWTfWuMH!JfPHk?<2iF%Evwz`qc
zA-KyzeK&^_`si|uD}e^wn-t{B3?+3g7c=ncz<70Y-EJik<ja{bTU{M!@??}2mjex3
zVVycgrF*&6RpoOQr{?{OVRH^2&#5*qa}Oe6EZ&XTf-Uum7(I!(U8RqSY>kPb!}IYj
zEg6!(tQa)!meN{8>Xy*2Rj0$s`Mm~fyswg<(vE3vZ>r05axGU^-xJWocfXZfg#_CX
z4D64Cju{>IS%#g;WAqx+JE}{T86asZa#dT{8*@lZ^R8yOO45Sk$SLrv?9{F-8+1?V
ztycT;&SI=2N8~l!pgL!U=u9vrC*P;6RuHhrtA9H_+nYXHt_hdFv}M1891~vJ2E|Me
zy!LU5-G?7LsQZ6IM<m;KhP=Jpt_LZYayIXNa?ngLVD&y^`^Xvb-XX($)RJ!awqH4q
zd#45ep2XFnSYc`E?AcR;=vAgGW_}8?{bNNsDURe>501L&ykd1>*JhPC^*G}#aMC7;
zogTivnYiZCIkS;y*~S6sy}WjOTYS8E%ZJCZ3$bd>LDj?hSRQ0r>tmL~qSaBt{8nGS
zy^e4b|10BkH8&gyiWi#$dad;w*w#RsGov|hK56yL!ed##jMTQ$CH0Ja`vL#+!>ixc
z!?$j{HxCgA8d<=L-}D1%URG`8A_IAR0wQf3MlWcZ!d17LG@gR(Uvh@cgH%VRE2_<H
zdS;*^#^afai#~I(Cy(3kkb8T~KP?K_>CIW1a`F$91|MTyD=fq(Bwg)X1^FotUFV~2
z@cIt9{Y9pxW|ix+_j98d*JD6ATydFPCesrE`vGC8Yjz;g4A==pJW$TdMcRSe0P?>)
zS6sqsjWriPrFFf%dmsORvX=8|s%&Ac#kZDdDP?eBE<Vb(*HV5j!$#ANH%<O-du2TE
zb*Yga!6OC0rJ*J%jjV%b<VH00ORiZ2jFdEwfb&HxmTjmA0GuB4>{O#NYj2*sDsrd4
z0;j(g6sX#*WJrY-G#u+Y<b`}<;@ECDsz2MkTip@dob)O4YVv^=Yw4lCBHVQ-p~zXK
z<pJmP*K@4mr-qTqDSw@v1Elnpp3JXhx{6G?E^}h4QW2{NZ#7Se+jdCV#oQB+yh@j2
z62|)lW<nF>c)0NrA_utBn2kmudZ@p<4@UYb1S{CXWO32qM_SDKC&;bgPQ@gs;eiv&
zF7DmaFF$rXZZ}3PEe9mzF0gKwVe@`~C`}1Ho-8I!izH1emuKxhL69F;W`3?nE-fyP
zSI<tL?z8m6N=pl%q2*x!$TI}&`sKw3<;6owja!~B$4ia#Nz>|ePcN?U-t9!eLF?9<
zOG;B#G}uZ>7dW-BvrEH+t3@K7j<Q=F3b0u9fTS<u3PedWeo9n+-?0WSwnv$qZrZ4<
z-Kuo<oEKD|oF00Um(R;X-%r8-zuVEAhMe?(*E@G?f?Aq#;YOu%>+u49QCzEQ7=DS#
z;YKdJh!zjwbyR+B?Q~`BRp+!+&-5^aG%cbuE%Lz4xzm%<kmA1ZP{@Wt_11%;;q?@F
zO<}6%iN^HwV#ivEy!8HQ{ye+%`kuXpmIDl`4gawO9BS>kPVAJn!{0_s|H00`k%Uyv
zWk9~~-De2sGdw)G|HzKrcKxj1;`gsz(-PhuQg7)z_3!_zngP17+aQ5T)q-=O7Kaa+
zHrX_qJOZUov|2n(7cFYwXAEEK?m3`mqbuX9{C5ID4rHWTN|qXL-OOziOgm^Nw6&b#
z)m6!HzLE36swAgR5655arlbG+e>!mm9<*+1pmnDfh;&g0fZM`zBTIXFdWczC%Rz>A
z{D7XzJT9Ynkq=+?%=arjKFr^Smj-%u*jzi?yIr7w8=kI=EAGpWsvOaCFdd~Dwzi1T
zb38r0>0vjQ_uqe-pq6qC-ewVmfs>#{K*jw`PE};m=S@E2ecoo`)oge72EUAHtR;Xl
zB<g;hNKfrtIgy+2RSN)9#)rc52;AO}tLXqANZA6^2w>9XQkVijsNTwCn4uyTeSi=r
z`6KD9Uj`cMF~Z`T;bk%hVfE|70T?*Kw8-qbZXIP*&XeX>YT)WDwcHQJx9xtUH+lXs
zS7L`Mzu18n+@@jFK7JC!rkb8=b1RsfDtAK$KS2AP*%+0xCtzZXx^@~x%Y&$P`cLhJ
z@{F*E#cr0@XmMLQU<v*)dE-y*#-#I=C?ou%WC@Sn;Qb2e+s=AAwe#I5B>w-uGhA<i
z*GG&oi@5em=%7&lnZ(lrJ7ntyz<94?gKpGRK<Vr`MxLs4P-qH|8!QF>1;5Gvd+dsP
z(6C$z)JdKHS}^G^GPAikup}f?-ZX+6j9_=BZZcC)Z#p<esW%-%tnLu7vf~aE2`1C}
zGZ1jWRsbFoc*LAIt8E-2w$A%iby$w*;)AMbfIrKpK4v)RY}QO5Vor2CV)e^@qfeB+
ziHJUD5dqw|_BTZcO`)VaG;;SX01Law<eMaYFV!E8w{Z!z9{EV|7`kjG%3uLTCF`J7
zekzF}{2ES%f+uy&8uQYN>0@$+U0P}y57U?Y8wM#k=Jc&ffHmgiFd<6euWSRSDWuqW
zQ?VGjr%TiSDxsoZKcl+N{V^1~oC=<dBY%ILc@-~a79KfI^i9-H>4QIF%bvdSFt--V
z3nWyr>K)>Dzw{eB0Bp9jv<+G$MWjB{qPg}R0{mxYC~Ot!y3OeqdiiASspv8Aq-G{e
zc7@yF!|eOA*j^c^=+BPY;XxP^*jFU+`CM`NT>SJWR=c3q{*Uog_Z!gM{7{B7VMmGp
zNnG)oJ#sE!!Nx`HO?aJP{&e<lU#K+Ut7`%cBjv#*M$IgySKCD?Pc6ra9K~y;H0<9S
zDoYu+^VtQEgu1uCsZUSrxq;>@`zhq%cbYlLd)$XU$e18fK{@{3SG%F^49=Bmfz*`!
zUflxr+%Nu1$4UES>IH~J0S3F}<ox27UqG>|50~fp0ltWu-^i)t$YJEj)Asm2g3u=H
z*F`5#!4TsPJ0UrNr#0>L6}TTD9>qQZE3i`W1w^XXiD0#q&v8y!(P&CI%qeBe0T&2e
zqgZ*~Ae&s8i*Jj>9Y_<gDB-aInEGkFmRXROGf{6sZZ+wM%1`g@l0Z)T_$p1ODaq;6
zt^L7Wn6iMae1e)Sqe_$?uI%3k#23)65eOU)vek|frbWz(2lf~*^DrtNTqML(I9EEY
zGg3P{@9)GruWtlhk9l8vf$s7Z?85uK=&Oy|r!UO&n8o7r_w=~W*x^v|T*6dINV>Rj
zVIt25D;j%NlmX$2d7B_9r@^$-w}jcgo)z<Meu%D3P{@XJL!vyB+XzlGj!R(J^^5Sn
z%jRMt5J9DjRXOy_aT1qFCL9L{%`tq>3R6l#jsc9}e^c~qM+@q8aOQe^1okRA@XJ@A
z%u|C(_lvPCY^XA<zi~LtINz2Pu!e!YnmG#GhesyDp;)6N+O61fx1_FD`1y09=&53S
zIISh!)Kjo?F6_fWM;0dGn+%bJ*g4a9Io%NQBS$Nm)nBr?_+@FxZUSobmVkmZ=TAcd
zeg54w&TRLoWfq4AdB_b$Y~yY}0AQi5ckG5nf;Z>Ou*z>KICED%4FUbb^2}%FAkX>U
zeQI>f;_#+i(F}|VfIi|_9|}5r>yvi)Gf>)r09r!ioy$6;G_BzNr9fncmpTbVY{tT+
z2(@I7nVQT*<QaFSWrD!X;273Ix1GRZI9To`U=Y;YY=G;up3suD0OXBLM?xpaA-&Ds
zHTwhGYfPw9-HUBdprYQuT#RKMA~$Ei$e!`U^k#-MXHH&?xtL}@#`@E(S*<h6nF1Qg
z5z|aS@Wg@<HY-Qprfn!|WqQMb`FP8vbdJwXYwABtPQDUv(CP2QkZ+yiSoyl&N(%-V
z!cCK(d9l#x)?h%ctp!622!nji-7!`wPQyEIwcbdXhQ4DLN*g!8-<U%IFJWY0bO8uY
z<7<=IC@99gP1kYAOGB^yW5`WKi|Fr=HT84|SEY@u!X5LBsqx!D2=EsHbj^g7YGK=4
z_in4CY$<?~R_n0?hbWW?VafNPeaP+~({&gy@nY54Y(c<jECMM~6q*R3!oMdNFpQpq
zMhcz*r~YOxcu3^p{xfJ|AV5j)$qu3?rr#tQ&slZ$U?oRW(O_jj(Bv>gR!)JSO+PdK
zc#0`@!asCy{^MK@IsRi~1cU2We5#L7*7g9yd!R7%2w|NNdTt^zRX{#%BQt1DV7XrS
zSHv-g*^L_BSrJ!2FlC30c2>I=jb1nZwI5ojNAta@l><mE?Yed&u4p{IZ`!5z%`V9c
z4(WdMGtkQnnn7nEp}fM?GXs400JtGdE(Y7GWC8bMA@rUjTRGYA(L1f82`glT>gzF5
z@V?&tF{$otWep~5NEofp?M=(fGxJ+CbZfiK6M&}H^D1BaVR(vJ%W-N(jdQeRRh2X;
zG(4%W9aipL{_}QtV=X~6sS(n9U4@h@Qj~l<9-q&`yZcE58xL8v7U{TR3INSlKT=r$
zhcwrgow`k1*f!1-PYYY-bj-JT_DUhF^SPf=C6z5scZ1_Pqe58sN0xp}m}xb@4FxTZ
zB}8H>`elI{KH`+~AQXsAI?me6+Q!6fb20Zo+|V?BlfiF*Zzauy3V_9QQu+v_sPz_b
zz*fh}sWC1uGW9fDfV0n@z*u3e{b65%n7SHZk=tuFr=aiH#d2`7h%24~+)W&SfE)9`
z>8pLXJkj+8H7$*t+Ucv^$c&zvAEU(=OFi(tfS$+%9n)7=K<|zefI_|dmr&_T5`P?Y
z4R9K!clZ}p5(4ggs<N}Eii3oZL$6TZWzO`i(RIKMu*a1OJ9v9O!&*dI{93zi^I|YV
zpcauHpOB{s5h(t4)`^Lw>~EIHXzG~91yQwuMc_~Y>#9V2n#fs@hYtLkVmZ$23=dy2
z&RUYh;K!3lxPpMgotu{dJ;`EW2v`Kk8?`r$&g%Vfg`0&^bV*4MjY()3*T~Z4aeGSI
z_6&7_LA%`%QWf_zYki)A9cyLxm-v7%*$z_~#gtv9t|GRqtlHYWj9IMUoNErB5UH?|
zjCOE;@Hx09kB|0EKp#>{KT-`tJ={&z<fdD=_(%&RZ+Wu?LSHXdXmZOO!*Pw}j_9PB
zT^%EWWhCXz`!A_MDEgOpY%`jFGBwi$vOl<^n6uL<hS*k8b^@ENNW&6d?k^~zsWk~~
z_61uQl<evJG}X1MYU9mAC5;0PrChLz!3Y`$Ov=m!0GYS)Y+9Jb9?W&VbTRXx@7rH+
z;&E!t1a0ro()WbhdG$tGQORZn0)^qh@B%GN`#0ZX{AB0KG$2@x3Wt>Sx{c$INNRn~
z(9)dK;3lqaZ_M`M__Bv!?eMyk%33KJ=DMC{%+_47z4w)*eX}{HM68cEpu;j8*+wBl
zSwn4m&J$zda{+i<CEwU>f}WCLtV2mFsgdpxIIh$p1Xm5g<^MuXr3p)}f)rn~3%ObZ
z$O}prWF>KrP;x#Qt(^3qT`*+Ad1iQ++4D2VS^hs8rlv<fV`7msBC0+mXX~GNU<K;t
z%c@~qZ(v4mYj%eJ9}xWqrHuvui4VtX+BUBIANMM)0bW6=*5+qL36;*XXgnTP(Wle>
zULCE}folKsS^5_|<?ab)ayE8)uxgCs;xg1G&_`U$kehipdp9Qqn{L~<is2mPevW2S
zRP+z5%h*<pYqx=uev^OISm%d}GgS7rVE{oFaqSigPsv*jzG5hY4=oJ~p8gDSt8$bu
zrLYsUu!W`8-vm?kg7QyqCUUknI2_`~Q?Yd{$R9{Ii?!o$YgZq=&sJ~W@ZXAlJh7~|
zgl*@VQKP@?I$ka&U+|{X&V8O8tJFU{GUKujh_{=*-up2!r0QUX<u8(dA*0SLdIPtA
zTc;|`lv8MHRu^_;_R>{XZyEA@Ze5aKa-ZFdO(yEX+I((5qDt#VwOZp`Z<2+NKF%P}
z83{)_CPc(nd-GUPpP#qAOi`EbMI$Q>k4#r)ietj0mH!Q@CjV+I1v%PNxWIdWljDBH
zJ+*%iB<v&ui#(vRtrr2-&zA%u!1_73F(I;IpYm2&&(#|XI_Be$UuXMOewZbuhcfRr
zne#jd^AcnF+{>4IH}N(GXV+^wX;6m(X{{Y^kGmDG9bF8|ageO1;$p38pA%(m9MS(u
zbrY$*W-ZoLC&GK(QU<BA<gg>zRX6s8%Dp$zI>3%kmu6%}3Do9(HjncQR!vs~@-l}s
z9k89YRp2HLqrAZ#-i&OBFkKdKn00Q`6;9UV6irRvymM8+rD=v4rQMyEuoWHz#=Fhf
z_XU3`X+qp)NU$CY#)4=`u(Y0;2>8br0H<Ss$XZ5H$Jg7+U_4Yw0n1zsZxh9~AN68(
z%37wDdWJk}pA4Criy*r%YDDNzzzd9Pg|I+1kE|+MTF>FxS{@4#^<%N#b-*(DnE~YL
zD_M@2c<aVLU(x_+;JV)A?~@g@>g{XH4*-Vy#PtHQye;Sc)7krI@BxOa^ch*x)s*Q7
z;BqC~!09bqq$(k-)+S%_1T#GuNj=Zbn6T10RM*ck06CBD9w92We7@bTxB@natP7(2
z&*rBbk=M2Q5vt(ef}4aNXMXXre6Bk>gvCGeNKfxWC`q?AXO91e!@pQ3s%w%IYXGho
z%KsUQ);ycco?;6%3FzzSz{${_FCHztZbo@Tg{(6r#$LkWV5G!ut67$_>pu8504<+F
z%u<zShJL9p2BrKp@q`XcUO$qL(1$9|C>>=!j}jO(nKOAg*#UN7@j<O2_`^sHf`faK
zc}0LtzsX_bdx-*GpGM$+qxkK{s%47*g-y-@ih;WnF>9ul%QtOy*)hs!_%a}}-Kz<e
zJ>9i&E`dTyc?R6?a-h!ENCF~{R}G}m^8VR|&OV2ozwwkA?1c_4Vt-j@9yvf>0~mMA
zz1^(4qBGRB=jCE($91{7ikh_Ls66zzF!54muDcN)qte<LM0^@Ig<A};;UW+6z+G?x
z%VYqjhH`-5nM}BS3+6GDGeIBivt-4HuhHOyXT4y?l(fTl#y-H%%)Z8;d=!Cxz>mku
zP+KRHf*YEcFr)^K{b5}PD*CT@Qt)1o<n!jC$^gfk#K1h!^ZD%Q>=IYjygYx_Ca}IN
zEwDb0RNWg!Kp>Y#Js#fz?i@TtA?OKL;vIz2;49ILTyb}Vnoau^vP&^Nq=FrO>6;2T
zJt7`VeA9l=wnLk!wH9{fTygyu3IsGB%RQ%qN_RtFOP+)Lon+u63DH~EX0y%zczC|Y
z81pP2Bfvi%UX*g@{3kbSrxCt)PY99y6?8}i6vhn=LObbS#vuzP*B*}^{A1zo(^bco
z?QZ*P&%8PluSv)2+kP|Q0b1-2o#YqRB&Hj09G-r>I}RKsKl>1H=6FG2uCIp!bm+KN
zlpUJ)$74$$QW-loUChX)pw5B?W*QC8S~fskNRb&$cKDRIj_U`BzAr=JWUKR+Juy>a
z^lsaHNpkr7f2JEd@Mnx5$%YS4uWjPd=(#%8xc?GJBKLd-k!6lC@bXovk<-rdn|^t;
zt(~1wq4G4g{uRxfTd?W#9sLYsX774N*SUX%QxEliB(t`Y3_0s^eU=x^7`3=Nk#psZ
zxppn^p1>Bieal|HkJ!0yE*Ac-!BL!sZ5SWiwfw;}1z6OL{X+@1fN`r<^VH$403&5?
zLOp95O8%96mH@elw((*7smC*epr(j|!;N8%gx@<5K`HtF4Xpor+}<m2rbdVP%7_Y+
zjmg09$q#RWGmBYbcYU$PYspVKuL?ewZI3z+aQ1k;X7&lEtr3*|BqMle3e3)Ndtz+x
zu-v=FWkNAA#v98E+0aRWi4=;?SnT}tt$9A6fgUAX#{!r=!<d2z%#Q7)i$!qH{334F
zYLS%jb@6rn;l`z5!z7*H(B3u>7Kz+s;D)Bj8EhX+l0$w?mua(gq9J~`@sE~LNSjiy
zAN*Rz)jN*eM>|pTToLM2jnP__G-<Qz-THg=TQDzT!>dRivnDN)TjX9ip~ck2{Ros6
z+fKG?gc}N<Th!U=$~ZRUZS#Uj8QY4k2bM-ahH-7xHaMa}il3-^=1%TFzjmUdTc32J
zSL<oh-r1v{b|UD03xX2U?E_Dm4eh1-Mu;mk(aOn|5&4@0KCgb7?_F4v7n@@izT>!H
zs!1wn2ytXSCod;e><^PP{CKU5z300687ppQXhF-lFaxglKG?V$zqbb)cLjvJKI2|)
zf9hV_AG`;UrGYbef^_L+PBDncWix7xd)t~k+$Y=FMgle=r?S1m|CmXj`Dz;^JwCz0
z5?$Fp8qhhAQ}wX@@wEOGKh53oaQpo5FtN^@0bBMx7ry`{k2??sIp=Z+SO;djcI%dA
z_~XskFbLpQPqtzPHb}tc_8W8K##2Vv9<G}&Q)_U)Gvq$xBinwA#K^RIsIa2Xse>BT
zFqECuS@LP4p2LX45__Lo+{Ra7`_I{>doaA@DWg7b<`|)0fnGFV21s!pqa-MZosM2P
z%}>I<(cJZ3wcZk@z{UcQytM~H0+PS824?s8R!O&nOs?Rfrkqj#eFvEDU?YthOt~UT
z@5@SKX4D9XUTPu>T!_=R5BBS{J0m8#UULFDvb^%0BCAru{>tD35U#6*@crnMjuAU%
z-XRDqWoO1XYrJ>?x9~PaU`}4dA+jj&_pDA#$*PBLh~!ZS$jhv5zUlxQNuaA4nrd9M
zYy+$?TEzw0pUZjBaEUa!74W@0X!EjsX?VYeZZ7yc;HYP=N>1fGmNpJr8VeD@W*H4w
zZxpYq<J}!%j5TwZ&HO7qsK{H2B%c4osD^+J6ZccS`d77+!2?)`jL&&OU%t#L;cR90
zEY4gQyo>;NMm<7qd*IHQPO8bEIJ73aZyn(_T%^kUx<X$%nqj-t&qDH#3;53VVBRu(
zM0l)}c1AJKh7}CLtD@c?GC4#|bp(xmHYfUm#}X(mHup?^cGcv9tnjz7HF$Wl({FuX
z34D8b{Hgw<ZXbD`wZxoF#=PlCs|`1TJ9zVw@0%@f#m-*>3Cl86{>*GDXk8WeWYs=o
zer#F3xOftvk{fxnQIXS|T8pc1b$|wk+x%xKO8cIfPVp`~^=cp?gW0vdtlGjg{0@yQ
z>kU6QM|UdlnkKNK@_0U0+91PGH4ai$(t3&KosXhs1USU^eeEk^>&mLN@0)Y&Uq7eJ
z<BH$wi`yBsb$#cwzFuYN|N38z2Y(ntld5lOX$#r<u$4apr>~$G%g4g$wB2Xe|I=)4
zYWd~s7KtApL!{_5zJG)fn!>;@`|{hxccr|ODk3SHFPQpLP)m!Pr2P8IOvW}&OhysR
zK`-VzFX7%ZCf;p{L$1o54{V~<VI7|~cnSlh^Xs<bF!_0%wZ~`vhlh3Yeu>%Mf6@Re
z#C$j5i3E#zf9&BP3(VcopD+AtN+YD+j<EG`4k5cA?w*gQ`L`SJBZ#71TUr<foSz2K
z0=>%hRWNP}x$5VC{T4Ot5-cb1dAw92KpEY?uX(Nx?coPcO9<3)m4gHn@zkT-oMzz~
z&DTo6=lDktRR+O8o<#+!nIotb)-(+~_4g<p7Nqeq+xewwNVl)4z5B0byvK_X@71zf
zaOakWcfsec(@&D*6F#@R1GQWKxRK2>+X94=(v1wDV83d@Uv>m*#?Wa1IZw1pF-q|t
zDcke<nrCj`tnH~rE)N6k3wX`V7WG=9kp>_kZ*E?or1Wgl4oqwkvjKq@2JSn8YJveh
zq?SjnUpA6Mjoqmt3FBA1Vod`s@1f(yVPabbmg?$vVr)*ewC%@XTILd<c^B&HA672O
zX)i*Z!lfVy5+cWwpWuPwcWcxbZGSD|f;`F$8_J5<bfg-Q-mL#7ZYF!YG3ndxa}zB9
zeE>jLR@_0oKz<zCdbTC>*Xu*vui}ag@~O-y{r`zT^V7s-m#d3eV9M0L=^7DfdBHme
zvw+3K<rg_=@kE;|0oHE!W6qOm<)udms|B<<kQ$GtxcsXje8Pr5LA`-uigF}a|NHSU
zQEvd-acBjP@t;=K*w5{%K=!(8)N)bySAuAeoOWbr3VStb=2H*`e@tqB(^M31ulItm
z<U#*yqy?y@u+wZBMo9hbEhs$h22w-9ng5Th_W);medGVnX(>94TBSx?Rhz06yQpf-
zDm7wnL2P0>c5Bv(6%-{}n^;8^wO3J;*jw#Ud;Fi!@BjB7*X44WToO+5<azG<{eHdg
z&kX6P<cFp7`!8}PW(T9|*jNtso`t1pkM>p&@8}ShC^RhlG1;s<_FRDQAsj6f_z*|x
zM(djsnguGd66cslicGVqljCFkFB*7ra0+J9+cYB}3JS2FDk=+?jUkK_*(6(kDn&A?
zMQ%GOiq9Ot+R=^wDl%;A6mflyHdmF4>#7r1V37klW0KeY2krmaZrXUHK2-k(;(Rxx
za<H$)lQngepF+R#S*~6rPk-&{VnIW{P`+KeY{e(ht6>H-^;P|ah95a5%9uF5@M%P6
zGh|wnR@4lHa@Q_*slmlBtRPPMh(y!DwxteZYVJIP)kZV=P`#@Blv#9kI=GY)wF-yp
zv<6sbW@$IS%5(0&p`B*{o%8?uYx(+qhhKJ?hbWdN)HFq4>{Uldw}1kR<f<L)<BaY+
zA@O~7tbma1-ntOR*|onLXr;m`*NGKcD;e$bP)iX^8?)?k4&kt7-khCfrqGUdTeX70
zVzB6eVJGj^py=ca-%~YG@br7Uy7xIXqfI~2Zk!BfvxfBh+eSH8+-$W@&w;e$rg>YC
z88^<l20xfY41Kv7m^1}GIyVDb*KJmoO_v9UtRQ5l_8^>`<(X8@-2GeJ@!HjlOY!l}
zEeu;hM<wHSQ4!22FCUsxJ{3nz6fvD4c88&_o?bFIWZTe<6?gk)pyy8v-{IMjC|T{Z
zdx~Er`jk_zUA3dpEiF#$sw)=O)NWW7SbG~i1GH1p2OFY|9ZF~LYt0H;B9dRw<4*5f
z_4@w1v|^FO>8rL#V$nG+VKIsem1%%$MSEOSd(7n@;L?SJOwlpu2nc3>U%?B=!x+AR
z`oalRwyln-j=QCuI>;H6-Ep5J5(SQKHWjx!0m1aIzjPK&VK9|x%F3I{-y&?FM|irm
ze+HVx>b44oq<P73t37K!fkc~@hR(6R{FQogpAINc#cG}BAB<;%Z(o;8YL?RLygPfC
z$NA{$F}&k^saxTCyS@7e+#yMrL0`nHqWO{B^@s0g7vdYlB1<HN7L?#b?U{1x;nuj-
zO)DTCvQ9%tybqRTF0?a~cGP+ClXI^kC?f7buBslI_`M08h_%L=OQlH|w=1#Y)ZsWJ
z%AxrzeB#Vq7iiRHIUajDb?K0KS-r@z7N1biRS;*(ib|NI{GMj1v&-qHz*)lbVLkfB
zDZkrw!tBt0?@>rQ=-x{&%hJ)v9DH2xyMJu8iDeb?{8v_zxOv9q?}=Ep7Ukq7g)%BM
zhdtGb)$@#%;VwB{p8CL)AM|l%0Y)66*v?p!*gx}fUpeD%U%m~Kgto@+rX6WWYg`Zk
zVQD((J3co=)eCBTT$iu8POHF71Lc`=a7P#am5hGEiyPh3x_zxYn^_v3s79(M+kSTx
zMvUyVJS(a;>VG?>X(UVEAPFQUs5e|2X=Gw7>czh(hweE$J1?g>I&}7n&o_Qj<232q
z^BUJFzNZtz7+o$5XS&u{tbie-<_sMW#}6TG7m+;qCjabU^F^L8kiyjICZp3P<~1Xi
zPf8k^U0|Rn1Si!1ys*+;L}UfU=&{3EJO#=jqojj0@=#AHUk0^iUXMl13L&I7Uu6=T
zls&7I!<Sx;m64#QlG;T0JS~<bFVCB{lPe?)M!evUIKZb#niDenywY2d2!_?{nYsgt
zsoNB-ca+j42K)QHjt(_>i`gQ1KSNqA4-2NR_g+h@kwbKCv|#wpc8VDm)}@=q<3o1N
z%p%j<<?9^8m)5I)p!S0RK#)>ve9OBjL)L%@=LycrF*BWEZq?6CP406EZ=m<NirF|l
zvvXW-M%Xz(xVRu(dLs<K8Nb>!pWC-Q(l}AUzc`Q584U9(=8zt)+?=F1&;i-Ak!+h+
zvASsY8gLH4*k?5>zw?Sx0ZqVY)(2TSM7}YOyVyq~eI&mZ8lcZl+Sqw7xB+I(10<`@
zPb&*WPFl4*;<pUP%|}na_x{A(<Pn~`Y8^%!ZmB~zSYR0i(J;O?0S#Y{Bp8}YRK^1f
z6ZNEhT;k^#hLQeC%3f+fb~z(GXX=>V82(Mk<MH(?kM=1M|Hewhu5lYmlrO0zp@j2q
z3t?D4s6n5gBMN#4#_P*ut{i?+f~T<P{a!D13hxnlIBP>n;?od$eG%t<5oZS#jceKL
zVDikcV!)Yw2Y?jD4T;#G4txSL)Ge?fN;iDM1odL|zL~B^(}c)9fbfYmOusy&w9pEs
z=A6j6jTKAlFLmJtwibqV;JbMg%X4jo5SvMIxD@&NYpko{iN3(4>AQSJBDAm?jS}jE
z3IQO5NI7Y4hBE%qq^t`0rR{*I>2g(!3Ap<!5?pcxCs>_8(dVa`&z*mxo3#f-YewnA
zo?7-WGzKKbFnH9Z3Uv2M4z6omRCt?R`RzomT?2M2nUJ`Ah>yDKxTkCCy*qzODqT1k
z-{vOSoab}7GzD*rHZWcs>XzBO^K2yjuW>i*A*bqJm|)xx@urm*v>RI=s_k4GZ*TmU
z&*EIAaBD>-+V(g$J}T})ar%EY#sMlJe`eWx>ow4jz`Yu#`~?ZBI`NEH>MvT{sruVr
zVHd(_E-Zr$fCH8p7lP4rN&T9YwCCb4;N<IXNm^qvvXfv{F3U*b>8*rOy>Iq;8AC7y
z9H?;5d3Tng=0>9PcoErO?blmxndNx<qg$!U<ikLfq9NKg{_Ypk|1H%qg}QGW3{#%Q
zFgRV|Wg1=KUP(}&)@KXX7jP}!4`^&XI&G1E!4Bbj&~RVPv38~2e<{Aw#ao-SjzVtt
zHC-Ra+QLDJN%UTlWcqyroG6qLH|SBR4q@7kLv9Q=3hvG{l&wOZ3D%^+m&|VPi4sSz
zzB-bRn6VeCd)?%|9lvGGJ16Ia9od0q;Lb<&Q96VYfqWRlN&AuEL(l+#w|`oIIHbSv
zY66t;=4DlXk{iqULwy|*h{h}hGiVUKOsj5Wzu$gCN86&%K0$O%yLU67A1Gsn)ql9-
zsJ!*^SkD*-4Q0$t!IM>kH7fbzk6B26{~-`$VAIEiJfh+S^#K3kn(W>h7?41m{KD^E
zfcUV(H9?VU_U3Eb3_)XEvevzDZny4rCARLTte`1a{uWF{7(hRP@l?ZYw_sGUSzey?
z`r$fJY7_%JWml>^K+*E=Y9XtOC+O3;)EKLac9vfE>1^HZ6SWm?&P3Fn-pbBG2%dL~
z==KI9&UHgpwEsRzxcY`93*s;Lp;u`{G0L;bMw~$za(uvYW9V1vy>lPi5`NKRtr{N&
zLM0{K7QW&B9ceyNs<wJmeIY9DChNcJmWxYs^bd=~6sWC{t2%ZpyVaC$-7FgWQTX|}
zf7!xANMM`9#5cj*6Oq!HA1DL4p58=5xY!Oht&G7kl#i6K=jo5~l=x=>To&o)53fjZ
zL$3JEfGZ~o6tzDk)jxJny-kVodup%s<Q>b%#48^;=)1c6JRXlMcx>T8UxwsHz_qxY
z(rg#)S-Z8{7#y|{oD=}XvGaJ~@yI2I0uHqm`8kRKy<RrZ^;iF!S?f7iN@%%kDr8`=
zbK43Nvh&@(i14(_ZD8wTlp<_;cv3?emif=4)q<f?C+))^3+=F{*)-xo1fZ*!u?&aJ
zHzaD{M8XeqOLGJAY5N)|zc%cvydPxf+@pk{N6f!}_q@(JxR7wKa{-S62k1~h-5{vK
zvCLiKfGOrHsug`ivh?oX6!hsw2At0p#6(x_@H<d5x(CZxUV8w<Td8{VTD}RyA^DG?
z+C|x3Xu}x(;jn%!)Az9(mmM|meaEtb%3E&r9Q76YUT_Y5Y6qW|73d@dxcIZso3EBg
zETFAqzBhCHk4;D=>Y;$K8Xy-roVh|SVz5C%4uu^7J=t;|t#U)s8|S5fW}vD|&y1sF
z@RJ)+2FFi_hhm3Xp6-ybZL5{V6uL$GV6TSz&(iAnbK^)ukEI`bbRYFi6o#KUM^HPk
z36r6S%BJ4xPh){`vY{sudMV)2)Cs~=pB2$0jC3}AnhMwVZ;FxH4U;Xh8EKS%#=|qW
zh@F6^{A}o3)M}*D^DKbBUSul71PVhu_c-!f=Fz*w7TlMGZ>d~Se#@KZUo;9NtNGPX
z_YHucmOd*%PUO@-;7z^o^5Sy~0Gfwghu@D4jM_9WiWh~Wh?2ScN%fk8@`;BnD>?$)
zzc(UBX7JKxHZi7eo2FsY>)E2O!7+2#F6-Jsc`Fw)HN>_0FBR_DgjITeO~izGbqH5X
zCKC~7vni{1?u%pXx@}R;jPc0p;2v;1^*WbpzHSme)|`n~ck}z6IQL$=xnI!|kVot|
zz~|8Tt$?Bu6}{T(72E?NH{KTN7`EOAa<Y1IlbYMo>10jsN3{651bG@2p)&5M$#o<*
z^~tcDHb=an-$#}Xa~I2c?~;V@HQh#~?KJDLJbr!%gRug(hN#~A#{@4SiH{*i3u|)*
z9uR)IWudg`60@8wxleJ`jhphp?(l-J(XS16kI0!#Iv{{xRJYPpF?wHB;v+eOigr1l
z<nQs>O!NjVP_T<7Mr=F%)(Vc-cS-L)22{>#2G;6Ql25W0=cXi|nvW%x2OU1WDcMat
zwn<?+vkV6^AEFfQ^xZ-qhAgzN;UoT32_5BQZ0Bvd+t=@hYmwi#Z*Zs2i6*Ra7Xb$E
zgfPEBGcsNCzmIpt0g=a<%Js#LI;~YX&C_`w0E6`v5#^~wL+qo8?A<q2+$>=k(Fj(O
zZQ^VgY<k*W<Sd#Vf;wTC&X~HRoVQEp>q?UM(v0KlW^#rbQu#Rpyp?oE89UnU33@6@
zbj{j{LP^<1T{s;P@%<BJi^B~&?F{bJ5)ADn4QP6ufVf22!r?T}1`ve2fHO>0s+tr@
zZSEB0vaJK18XyQ4)rbYMEjz=nYYNH!YZ&R8r$a$`t?CTFYd+|*j9Pe8mMLB8n7p>n
zj^i%lbf*~z4pj48rsMDD`;C%|Kn!`XDMZ9Qp;%5Fmgo1QK^cqX{eCcjSQGqP{`w4(
zRah?~Xw^{b%PzEG9qA>kY`d8oHiHyGHGP?&(#IXNPY(2S1Y`pkN|r7iQ~G^d^-_!f
zW=K8a)BYWI<cOPREW{Sg(S#NcR~e_(nyZL@r%$g8>yCA3HOXI7sGZ<t7S&}ZzK>~Q
z&a^l=2pBRGVsxk&H&>-Ik#~q>TTXswDkT}~qT3*x^Xyvqr=?aGP_Bt>+^xBh3u%p;
za<1RjOJEnvseMY}TUAoW8DE)b;eAdickjBW2+HK6j0=ZD&M_t0;7J2axFG|RuOAkX
zh-~4gm`wD0fdlY5oPR~QPVtK!4JmNq3>g3!-=MvDk}7&_OR7~H`uPHeSfIX=wJu6o
zT^p`*XeUd1JLs0Rwj-IH^ZR(a3+F{y_%6gpQ5eS58pS3DQx<N#%8VxP;!9JD^!@(A
z!6OIpofS3L^Tvkf>WGVF@*4L$!VJH+<n_mh*DIqlSF(R}We1sabMd!Y$`-Xxi^kme
z@{3J7yZR?3XpessJAY|l-s3j3ZyQnJO~66JsP(T;D%MU<{43tnkkYI#C(jO&{;851
ztdMA_kdDup4-7%xow9@~AHak&V8YWG{X;svkyOVBD&qztVL)MMV8h57emc^TtWWmM
zGz{gj6qR%lhp_dSO4(mm9T}dq^|q$zmCiZDHit#}^J$aPJz+jhFS{?4Te|b8aO%z*
zI;0w%3BUO6uNkkM{#*4H&|FB1<paR5){8gyh|TSf*I}(-8LTgAHCsNP_#9TUD^Yx7
zg=~l_P&K+-OUaI3pm-#PLR>CWK(-ccK5c(li6;1UC*DJVTNo^Oc8E_LqnpOK-)11O
zWc3d#%a^@Btt{n%*b86=NgTy`Nz$dRJ52s~EzO}Xy(F;l^H_S=L8Zw^QFc(jbf;Nf
z&2oA=5!%{!dAeO#)w8jJC2-`MmbfYA7SK=JNL9<1$kFE#^bWW-V55kyB1&h%KH5J`
z`T$zl>1@Tlylc-TL7WQ-1P00DT5Iwi&-RV5q3PNL!X8UjNUM5YueF0>P@P0gH+V+W
zK3;2Lhp)EA>Yv@;X010US`)A1ha3l4*cdi%AB@CfM-pd#eQK*s(5;Z_GhAXU!V*8_
z{EHm_A4Zyav5cEn;W++AbB1X%4Qs8YVwP=h{KUU+v6U51Nq3X<^J#Gml}tvZjXs`#
zSy9Ow8CL!vDh7||%#0_xYt2$lDu+*>6%|je(+lU!SVgwHmV-sIf#X_r&NA{7ZRA4Y
z?Tf>jcq7SdcvM6C=k*@m`SPRg<TsU-%(GJIZsPM|8L^KNup`x&Kh%5Bgnnld@aP6^
z7I05hrKe}|`u$6ccy(gcXDB+>7NM=+(JXuoAKjbatD5mKXJ1Pf^KsJZiynDrU``Hr
z!$PEG1_1PRS^AeHA+&4Y=Xa84eqt&qsmB@ijku}DB&t-RJKd8beNKOjYRa*cmq#Yz
zl;ez?N>41fBg7da@$RqbyTijd?g&`=wMx2OY)pO$llkT!CyjrjMAz&TBD~N#t72BA
z>ogTVb63et`hIGz{x&<B(ZcF$qF~`ugw^pr#x}B+Bx(e9ZYtqKk?->$<96$0$l_oE
zm)vKKzvq40Pl8+@-Iv(J6OAR+-%d<@*|w-_YH>Tp{hYmeI1|FTxKSS1J7&PT_UE+V
zVJ>cOh+kMM4;-%AU@sZYbTgr`DeV2Yy1!}PpB2f-Eah9oObM7sEG2V;eL^LBiPZe9
zC{y!+oba?|p(yQcSr{m#8a7|-=i0(m`fn}Z?zu@a->^y8ny`Kk^kS<B(swHn{3=?1
z@Fi5144H;uB(S*td|M(=1><xVu!Z40$QH)E%odUf>l4((Y~yu23_H)Gd2PN#SLW`H
z@tw}K7^@zxdROFzPdY-V<NamcPIZ>&J0a_L@*#k5?$13b6>*j4t6O3dwa<l&tJ$~3
zMKM~=2dp-nHAmAlRP&S3t<w})S|6id)&#5t$IJi|Qh7h$0ZEw|Q;pV`fhJfiA9Q_V
zA983S)4|ShU;1aRpMhYRBEkTLT7rbahdH;#Zf1jWbvpSyj-f5(?yx=6#V?D=rc+1*
zm3h5NE@fYoSKPc$^aW*u>F;_G_`gqj>SXQL0+eR^DPG7ApLObo<XyLy=V&HIgnPwf
z$8|;ToicOZp07^^npb?;6zPLelh&Bn@|m9~#UcA%w*@1GDo0}IHCH|@hbr{Ud$v`f
z);*FeTRUyOn}ARPf=sMRW$6v)=>P-qZ%dybWnMt0nO0k*@-tsw`m7{;__i0WxQI|L
z_GAJMK4`|4)!?he(ULioJOVkDdN$bOk$q8(#eP<5aBzV1MA8hg$FIB!m$@$d%IxSS
z;hbKB$~yyt&~%yXW}G2UoL%7hC5RI5?zPCLC7j<j4B+B4lY<SB4b5-{o95riGbdSa
zdf@w5mt!MWUY>u>!KtA)19_@D=xA5x4A$(h$JMwd5wIg4^4y`@wx{0JY2Zc0C1Z{-
znAzWlQg<Kz58ym&K^#9;x;1&2Yn6f-iHaKazc0E-T4R&NZydUPFDGzdqZnUi==9;=
zdtS9uu4&4uuud{|h&`1cwIwqUnY2}Vc1vTlPa~VA4lFSiwR8-Y;_%y_g1}tdCUE`K
zccyPRH)JKYZEhy|Zo$04J>1<^M`+m!!!~L$eas1YaxxVIA-&Jh7y8;wgU@g1)>rG*
z?}l3$rdBnER5EHQ3hVC@Q*oEz%j|U$yMn8LhqE=`e|B=3z)WJn2Ev8R?boeU-}mT2
zb>k^;T5<S9yFOBPuC7-!(uAD?S0RLd+U-e}y(f~h)l8=b+BKpcUIEKECD;h`Y99E>
z&e0UlYdl{(`4pLg7R0!Keb`*kB_2R;R~kEvJ5WO8zq)jh>js99CsT+|nZM!Dzlril
zt+Sbvq$j8M3Qu_6LGa|~IwoRG==rg}srPlTjrrkRj=TtXJul-<?H@Mviw(6Wwtswu
z(gG8|{^us1+Sdy1vXS~>dwD*Q(*a{LA8o9(WrlFh#iHhWFm3ewg1I21Pvb2#ef|;X
zAAFgXThmlAv7+czwQx3r0SjB$V6oUKI=(snViP5}EYU7@#UBy%koP;u=`BJ238W$?
zUMO+Wm?9V0^3(T%9=XH=RaG!O>&;ADmr9yhT9osQL-`m`89w%#;v{tJJ?(Go@%-I>
zZvWBF?=Gx9akzG+nT(J^?p~Wgm-VKh&tu=1)c;=7`8{>0nzYj7gebDB<#!hekBsr<
z?r4*32btd^WzZ54Gsgs-taf~}dUmPMwQl4akr>!(jZQZ8rky54e0rGrTijtG=w$QL
zJ${9v%DSKv%OkYL`iu3uaMA4ex<Axs+uvIhv;3)hKX(Hq8_0oeaS}812PylX=?~OU
zus8rYySX{6@Jx(%zgvC5hReusdH1od$dj*o%=c&@E4!M=n4MJY6w$pCW^2`@8`RM8
zH@GmA<#GeHj%T4^7ZmxN?E-6~?{c*;b=Zck2(I#jQn~=>Tcqg*waKp$ZR@i4wmDOO
ziZyzqeAlbzDcm)Hw0NY<C7c*(9tC(WjIJ0QeoF{Tuv+<f`k(aoC_URcx!Br*)R6AR
z7UW}4gmVA@lFtFk6cZzHUFXngozH05l51ZNoab4^lnBI0F{a}m1+)OYWL!+a!LKK2
zs~=}wKgDD>eSzl}Q!?%*Q&!+K&k!}`Fa4o|u+m&s8zLN}Vz_MC{@gXO&F<e1kgUpm
zAFKWnRvTs}=_04H#k8%>G{Je(qH&wMk1v<QEOsO=)+NOZ+y*s|-;cwMPKB>XdT!Bq
z8INGsvJakxTil5(&ipnk9EiCDUyFHSoWAnPa(YpW?F7XVl=B1l{IgB831h!sqmuUu
ze-fCGuzq$pmQ?r1<5(H}ReCa4(@aJ9|5fm0GVBb--o@6`TSnjmR!n9fuRIBpy8sjM
zI*?Y-+Ue!P?p#2ZfyzU|6Ss$Qdxc!>o?S{nbVHL@<bL|(;79I$`xjY!O)jz`P@v92
zQ&cf_81hX)t8R(Xd*?YpkJ@r*AAQxBCLPH8kUls)0U0x4-+CnnOJxVn{Qyh%K4EQr
zhgKunX0keCAkI~3-W9D+(j7O%<GT&oW1^m=Kh9z4+Q_Kn9?8@`4r)5k^FJ^0L{i$x
z3+O;<XZkwTpsu4SuGx}w8%Wy3UzeRc`|(c&=MGtoh)!r%#SkWxZ|(+hQfVIT35;NO
z$o)VH7rDU&V{X`;PxK@+w<DrnUs%8cr+H&zKkhR0{ksE)#eH=_(>JUC1-1UX)}b}K
z>uoH@8;|3(ScMIat72}wqzJJ%y7h9KkEuo~&TF`hgX$Q0Hh14M{ZX~k=^n=Uz^@6m
zd`w4tzftLTWbyR<KW|L$ggUvoxeRX}MpT;7QlF0YPoPyVQiQSy%8h}KDmIoI1DBKn
zfwiyo#sFR~y-}|a8(644)4V=6z*CRb*)mU{w>VQ<7qukEPyUz>k;?wf5DL!|N}}m9
za8bgTftTd+Uy%i1^`W?0qvSj5mEnEZGUv0I2v<QaaZIYX(;bw9`&Q&wJp$7tMk!&^
z{q)zy4Np04t*xp$CTd*Bt%tX6P%+Q*nkU6Zo0)%8e`r%b>~YiYw7#{7ReIrO8bT1s
z(-7V1&Q4-OUm@~zUftR2GOF5C)k$XS=H(JAcIKZyn@MW=y;DF-eI3`qa_Wsx6VDuZ
z0(!vo@aD$r&lbyW-(VHw^r?r%YtJ|$c?*D&$IW2j$@WRCEePBiQ*zJ}vD&lJi(K}S
zHBF7ZG|GGH8$UZVn3VHWE&=ZX*IT<B?FyxAKbPEmoK<<5ukbQc(kXg=p5Ip_ucrT1
zyRV9KdAneI2OuH#q9K6EKzKr(q!7^WU-H@Y@uXKuY10xbKKA>kpV@SaN#R1ApD;4P
z*n)bumXV=eA##*j8qni^c+ZRE>zYn}wZ`t_#5^are5aMiSgKs7^T%qVlN#J&Dq)eM
zKas)9E~2CTk88}ibZDPT5_2GfIS}PoypgKv=F`^zPH&)5^H(V#kvVbP5B{6zFb$1#
z^6d;bQK|0z-v0_G?RX@6Q6qz=Q;1vpUzr8q%(MQ5)PieB@5+2Dg{BWJqDU6JsNrJZ
zkzEu6rsd~m?$Y{sb<GsnWNL9CO^57=0y5cu&aKQq764}nY<sWFG-AVfYQ>}^NLBef
zErdEx17LTx){Agw{<X&5Bj|cM!p69|zW-)mqfAB=ovA`o)v#gb=?+?<lIW!c<pxTw
z+jYm$S7m8w-kl0yqzj|d9JtCNM0!%RH4`DRCC-u023U0hX@YngG$mv8Co@ynPm{{b
zu^A$IeUB6v0J^R7j@d7u_SS$xAteHFk&(i9oYKqcEZfQF9JP)33_shwB2{c^%scPp
zRXd)fLD$E3+A$4|!tSG3a_&lw8+~aYSun2YfOn;tMtr|&ASTRtT!_1jP0k+NLq<4L
zc{H-;Eoeu+68agEg$9=QZZyJpHHYC1_Nz+k$(X;wz`<vuy+*%Fr@C~VWSnas$&@bE
z#*O>&w`ni;b7YIUGLA>0af;S6pt7ChMsX?a@DW#5a$fE+nXa8{Rz}56VY4*il)yMg
z_t#p#Ivi8_JjB5_wkfM%5}U`OO`GE4Gur<vw*`i5(Wp8njYD=b7%2>#ER?T)czgNF
zYtc6}@}GYd+tgMTZrBpl5_qz_?0+W?iEuqZIevTx$wA$7J$aS9_uRi<!<tTinty2F
z6~fQl3C)TsRHa>U&lVN~$$&C_)y4=<cjmG96eQ>LHBF5&xu7_6GVqrxIS}{ut@CAI
z<^uCgiG<vHys6`gM_;a9=aBLtO>8oWsyI%CZRd)=|HOYaZ_{4zz$$Kh<7T@1AVZsa
za+%+zy<4H=6n;;Q&r6LB9}_or0HpRZ7|Q+UKa!Hb-EK}$wSBwD=47blcU$xipa|e<
zeq?e-Kz7c>Te`c+bF8DdHMH|?DxA$<X34Z;{gJbn`z<@^5JY&{zRrLvy$$s%hc78p
zq?!FZRJ!T&WBB1{#Cc`+HUFk@3JXJPcYnQ+7tpgke2air_IQHLcRR<Z@Qey*kP0Do
z`dh-Sxid&A5!AZ&*Lt$?*lLWIWqaLyLe_KN#|6pd6m5}(W?cB0GGSEPMraM!_e{SZ
zm$fDK{Ay%qRii@=1Pr{r+UJOnyS5n9=vp~w!3|QpIS|th9_RFTytF3{1^9yh6MgY2
zJ|@LRU^yS$z3|s7u^koK{lC-IA0+I>Q4Q%B-FQF6Im}HC)u2nufrxR9pJsDABkH*e
zA#n?g3mw<SOccg9N4=F`7d=SMLDm)$F?V;b0(%(hbe$3<@9As6VKBeKlMI(9M}a_>
z`Y1gClreZS)8~yEw0{kfa%=k?T3hoT?$4oN+7s`ZsNPYz{?MPVxSg1ACsJ@_C<o0v
zNcC$74n!P~=v-@EfqzPw<lSMUORJu`@Dg{|>0K3g6MyWy{9ocUnQuY^ept`V&Oko5
zsXH6N-aD{8a!gqe_xvGpnjJ{R;Dl^d#4y0l^dQYNT6IF7%JffHX`x^C7%P6h!L>_I
z#!Kz`_Rl9-3|?g8`}5@h4Ldu`w{jw@{nop>Z@IYa=qspOSH#{wmSgABUnz@7-d-X7
zXGe-}?PF~}WacBkIZrmPCtJ7lrxUIl+0rI^KoAz*sM>2qIc9;ZY3)h^<MFrK+%8#^
zJfnFzBe^scLJ4+`zRPvhyHgsjy{x*z--j0e5^DdC)NtRX#oAQF22JR3n$*7dwx!c#
zZVP2L$wXklnCC#-raxj5(~1|Xz*s8usY&_9YNS+9&5XU`d6mu<r|bYC0UO5wxtcL$
z-@92{Rv=(o+CU4P)!>27ww%&Qnnzix_t6S{skDr^%l`*DJK_0G`-$gyki1w@&(>@$
zKf|dbuP5p>G`^^Dt*~PIjVttzaff&IF0tZ62q#+f@hd1uZpr!0jt2`($K_otxBmL(
zxD*3mG|Y40j?~sfKt(8QI6`uCaY{4b;?9Xh*U2<QUEW5(UP>;BG4D`-nz>%7gqJ>C
z*EV7>eqoc8;bznUfgIq_NG`H{9RbtPsEHXu<-W7cSGC_aUxX-fiDew+Ww$zy*?eBB
z4AHbRJYKu3JwccTWNHSaLE)M4g9om&{Qy|pL3_5aBT>PT=2?aPaT11!@~XnqPL*aC
z_+Klp#k|>N4Vr>=2!C;D>(FhaS;%HQ{>}G^Hp>Fwww})$P~Z9K86?q|oE>t8veqk&
z_SB+mg%`?G0SND${KQ=5an2eFT^TiwSu+STA^ZgpFQ0Os=L;+P_Xy9&%P6xfo-AtA
zkiU0<)>9&+Vy|udO8u`x->qwrAF~iMGJT`c^xi96r_(mKIMM!bMSfu-l!^498sYP0
zmM7YiqvvUHGdw`+Bx=vydzc;He~xCDl}=$`HH>X2OXvSLcL5oRoUE|;^z;J|rS}^f
ztu{K7v&kaoC#Qu;wAS<Zsc-r-MF1VWBA7|xFlMGLMk2S#y>whgLqa~#+>R1dUCqnh
zJE3yk{p4d?tP}lSL*o4b@6*NCzJ{%fE$EBx+}`k2=%Z})$!9v_4wUO=D7}hqH;ILx
z0~Af!-B1|eF-(kNC*ef%bE3s=a&lGh&@{?4C}^*bP7L`yv2=L5FV9*&=c6uI5hU<m
zH=}z~@^gGF!%u%?*y5?tePM>LUCQLkorHuGp)!Q$&4H^qUj?6M4M<{gR70B_LuDSF
z>L?B!B^9G)z}KCuKBkagVMNOc^l3A9-k@U3LAU1GY@*Lp5x}9!MagmR-`=mHt&#V0
zSQso=CNIWPAT?-B_$52Hn*Pp$K%?_n-J@k3*hf|hViM{hMz$+N^d~3ffBJgm1bLKq
ziT+P=?Qe=rE=yj><_(?naqFL*wa8L;yFrPgub!^SHbibGZ8XULEc}^@SpnHL9^<2G
zMdT(joo9BFvl@rkET>VUU}3-Aik_=Dc;C6MyiWlXEfQVBFmQGG&xz8P2(qFNCqr3O
zZ=vy(G*hKjQukt0x2^9ujAFM2pu8OwA62X?%L&9YpWlKLJadQyJSE1>9{7q?J}YIP
z0K3cI{0U>ULcJf{a%vm3)Z*`?$_0t1+ACiwV4>1x#o$2UunD7`VVi)*1ws=Q#uuHy
z%_y%%z2<AvlzeawLDEad_Jc|H1FpC{K|&NjL!X^dqC)AKx`y2wI#~Kv7Ad)y@zB}$
z=xmOWucBW!gn;wC|LIKt4HAd96+2`4?uo|UuCLwH`rj-O=UD?yN9?1oo|QXyE=bXk
zY)x!>VN7;??U24yJIV3b6Hc6km61&iN%nhnKtmXmlN}VoyOg8K+*@o4>UNI`d`b4b
zF9&l86DwT*oZMVFX5=CbmIj7jG7a(v#yMhtVv+q};$v^FLh0@4VQ<^8-wdtL^v0!Z
zA!OM>5kGi-oIr_GepKCSN`HKan6wCv-*-tTQdq1TL-aW=>Ra?lh@6+mt5eMpG;|rQ
zaGO^zRYY_<$`3d;UhND*!%dK3J(i|OHCm(^11pzq{VS-mVg|<06bvNr!;Inct;uB{
zi?3=ELu3A-7#41=fR`do;MnI5TFMUBRohz@jauU;e>jdPNpdex<baJ79MUz47&cDY
zgFYs@EZq7QqFUCQ{I8l(`}p_nPrLMKu&8N}Q|h6MJ)?1-8%=(yLERekE8ygq&$cNC
z?G&H|fa!p5%Ns)JR(Z4=V~I0oiB0c25cS<X5zU$sri(40`XHx!l3fsz*x%S3k_w_c
zmwxP;<}ZEC*Kih5p&SVMF<3-43tX<YoHWp8CzItCan9#>PQSHPgmCL=8O9SQVMBdg
zUmCW>=KHHXWN9bTdc@`)#3T?bOUJ>^9E{tRh!E&LodiiyX?vXkNKX8Xp)y;NON}tu
zSLt!}Lg9lPb#sCTo~L-$(PXwGL!aY8?EJcNcEPXF3iydMloh97KYi%5C@@!{gz=05
z<99B3qWyI<QPQpYbPRdurS_@a>IO%;m369=qTk?(){y>8SMq}ORF$v!R#?II>-*O!
z<WrUjvR0Fg&tjW|#qa@aeil)n{c#dc(Sf~NbTUoI?)a_=^vUXVPt+@%_eR{ikOdET
zY*p9B;Pe9DLJ`yqVIn?ibc!B-P+@llKv@icb&Z<EH}Q#7iU$)DRm35ZR^)y&yZjBy
zuz0W5dk3vO9J!d>y_$Oa2d_|Rk02oyXmYsQf}LQ^a4;wza7t;_N`WBRLvnNMraFoj
z=XtyBS*FnQxu@qg%9G>&Crng>702vm-LM(_%%9^0f^<dvF#_{ar8&4;elY=Mu6JAC
zHBAP+p-WpXf}SRpwY;&u%~cvEu{G~GqG8X!Z{}IUSGX{-A4nSSQ(-dNH#9Dh^rV5x
zFdFcp;PZ1NMu2#l=Cb%kRedXctqss|1H)ql2CM(gWd)CN>EogaMzH!;sqH4Cc-C~)
zr!V=}Kie(tWy0->*$f<RM%9La{YQSEop#Ht?I}wCXU7!>PTbLNMl1f^SYG2eBo-oe
z1;l{C`{A#UGnx_LJoH4=8H{cKC?{1*&04FK!~6a5qM4?ED<Y}PXm4|p?;jEA7MdN~
zLX#zeg(H!gqk4TGV&t03^v<;LW+d138WM5C80_2T7%8KadA!wif#8A7gRrMX&_U@a
zsZoAf_N)cJRmcu`%^I^@?+Yc)SXK~{CG*O<-BAk!@c^Ii6xlRtAf{|~R$EyOrdI0q
zy8hR%0*22mZtNQLFqSVo`t|jPnn8KF%E^qP&M_{l40=9zdRe(j)hSoSo+?gK4H@XO
z^}K`CShlQ$cQx87REmc`P5k=1B4YWg8k(ZdyLVWj$h&llU{s*bbj%D69~7zgCRiYv
zmZ-;MFR<(A_3%J4ZnZi)zdlDQ76Rsm1u`~J#BGtP$6WKwMH|=wAe3*OMK9_49|bPS
zoc-}n!l-~MoLncKjb}-?v1gb-j^2=k`4UPbK3}p}TnS)NDNea5?-b7gbmY*Mf6j8Z
zhxs0AS#K}aEC!}@iD#iJi%+d_!5I^ij=no;9Ra-Am<YC3nSGR(SG)P+=qcuf<+*p0
z`910M<NelAi$wHd+T(%Ba^sdDqzwS3*0>*v+=1!9^}USN?n6~r<8@i}<t>Yj?uMtV
zMX(j!rcmTymy$0lWrF~!<o+}LcKKHx+d3LVuW94&Wdn|zq$SRPDAa&MkLdalW&DTL
zoX;BmweCE2_0<KVrErOomf(?qdhN;X-In>GU&W2x(A=fPN*(MK1^dt94b+4^-yVSc
z<6XU~xK@!_hr)kvUJ0AgEhp;vw!MO{kYv=XDNy0gLqX>!>k!^B7pCv}6assLwi066
z#%I(qY2suw1p7zYPC?VOaKpE6EvR}>>#u`S6{+uDy>{bc#EP~gFK%R*8Y}^BqcFbu
zB_a56lRUmfFf;fWTcJvkgfGkOi1l8}d<Y*W*d9+lpu_GIcW-}Q`;$%3Qx;1-@_nQt
zFOT0iaQohK)`&Y~j2j0aApbo46+_G04hG7if$Cp_<5eY<c^r&y4<8*HeyqzPl@P%`
zp~H!uk7?@{4(>Z|qd=lto{ts~W0BTFRe^rpzPFw_xCPH(zQ0dKa(+}fEzxV|fW91W
zwfU5QS+P(Mzes!qQ2|a^^5Q#5V2@6|j&5nf^;^AFgzGhYL1&zN837`L3I@RnJsqoT
zsMYE+8xAelbuKZK^tD@+X!~thNot)2X{YOC4A<*worh;EwU!5-O<&o6xU=^}rUqPd
zq4`HlGI5G7e4VVWRMp{B>Y_M?!YrYO88F;m|8&V-|1?G~k}@QxT#cQ-ZuCg?<M7R<
z8;5-{+IzKc9G4iHUk1gZf$rKDmbLa#T4OOYMtqDigmkniwa<EZcG#kgM8$<i&3=L$
zCxy0s^bM1Bc{1`RNDkmgeF|GG+ty<e-~N@O=+%ip9g$`w%-lTmA2@xUrv0oaRw&na
z_sH?1p7YBymrz^)LWGjxi$#Kjt0>Fa+->W`kNo3mZkEe%lFHzhXDikjQ*>$*E7lGP
zGr<N=Ob9kyBkh)J1WSG!Z>w7kHovg%QVW%N+p!mO3&Eh4ij0)>YGEKy$FU7a{px@>
zSGIX9SWxH(4Hv_`?0ac7B;KV6r743^Xt>E`EHc-#zh~)a8aq<s-<u0bPc_L4c5W@H
zuzHnj0tM>%iJqK^;^I|$$(D=xV^7=Ty<<7@_D}*lT}}DUSJL#C3Q1;*b@c1OW}pG$
za$*!7S#oP_>a|sFtx~wRjPy(C1_WFK>aJ7647~R0$28AJ3EfsrGl)B@R$-$jS3;V^
z%V>#1NVl5nM{8&ILo~*sf(f6!ObvtfiI;5@Rn)QJ+(nrjo7pzO@urlPbrryagKYa4
zpSFdJs6JWOiZj!=8qSim?=9Z@kSTst<@ZK(5_QXSuyC<8UEB=r^%sUt_v|WMv>>R}
z!)%e^rhl;?f*8<MRZh2ePbp3vzKHY1AS^3_sVT8zcCEBomQ~}SN<CHMkpkCv7+&da
z>Nb_M42qOA^cx)u`4~1!(C>W}uz8Im;fkHNl^ybVQL0B;Cfi8SAwmTLArYT6(@FcF
zREhqq_+sZ>YloYT<w9TBb-!)~%bhj1SMV!Xa8|r|E_j^#h>pRC#SW&?j@WBVtuJBH
zY4A5FV2Z?vQt#Pl?LUoIb&61Ltg`jI2?nJ~)q%0+!b6cxap#8QD4d>fhXHneY6C+K
z+p3l?(d&(kD4#x}W`xBPX7h^05j4rb7QQz2j%iu~FWhL?R_DvOK0741l^sh5C*g6N
z%vi4qHhnzLS9)-`T#**8@7kK?_GmutJJqyNK~1QWxu=kKi+p%_Z?P>!pSBQ`<;!ZG
zIC4A3ThJj~Z{j-bpWNnfMF%JpWc%WK=LAE%sGmGJRn&sk-#{w+D)`K9zW5#NT6*8J
zv;7~1MJJRE#`%y*o05US82|C2P@Rq6V~@=0@uXL{Hv`1)d&hJRl00wVA|Hm!rmb?G
zj<AKvX0Vd=v*j}O<y9QZegE8%lIKjn<=NO%jCU*w9*2s*3P8o;K7umKWuVzB6wD0`
z`x^51kc|GAh<*#koa0|LvY#e1uuqY?{5vO(ujSFrG3xF-M%SK{Ec%!{r&=98)PZsX
zfHo%D?f5QxpZ3@do9WCtcmR7_eTn{asnZEpb&>iKNbGGr-(@v*f9oSmAj=sz1|LEw
zQpbf{uJ#;HSLNFy8UUDgU(Kz1T48B@i_2p4YG!B?nDbO4$2W#3NfaMx5B`<|851X`
zTW1(Qj%DLIG#r=b|8ILtT*AYa!`yB8Ep0*7a3(JFyR`1Y4KrJxD3jxGLS!tjH_9L;
zrx#-u`^XzIObdo~<lu)j^uB})y6RuF6@S7%3<d=-NtRpY^JkR+L)8YRnRi2O4GHDH
zG>v*Vs&jig3_4?ZwZC3r6o}MO8|ZtPg?%+}>2bmI<edJsPo7=8^7K+d5Ire3y2<H7
zn+FkCqnB4{=_z$x-AcqNRGLItD6;a?qs(idem?-rlJUd4H92SJl)G4uD;u!oPnQh+
zI9}{LK_W7FCbD<meJx&S5KIc`J&qdgBzO>rZmreH2ZI7dU)1_?;PeN;%Yr!vuP!Bz
z9BM9@iqdiSYrX~=NUgQq2!Y)B>1SpuL?s|c(h?0}+CI&<08m2X92$QR1OKgM&~;K~
z5PJIU>_+|Ew+_h^OiUlg*Q|0q6_R9BEgOq<GfgAD#k126??;bTcE^=gHOzNYj}@O4
z8G7#Pz13&GnyFcejJ?&TVD@zPx~Hhm&D{^!W!d8|R3?be{d*oK`sjk{p9&UV4TXMD
zwAP{_oSGwom8wN~O0emZTY{PW6c%lOR8UZcS=R;5s4OT>fuE823O=HOmTJ~cDXW4T
zod47JIM0nu-#kI>_GxSIMveZoZlBJ;r5Ekp2)l2}9VAT?c2D0Id*%3AXgt2DiR4*m
z0^zd;DitV8MgC%zzNo96q6MPXj>N>mlc#*9?1IJq-y}dBkmY<fkSh1u@*EY7;{@?e
zHchzxRRP&P=BczFFUO73<b5X_Kq?-0=>3l&zc>Ile@!Y5oLzfAbMx7RUIQ4ArnGdD
zhE}*iPi$u;l)9s-&#Qz(jR~X|Iew2QEuJhO^1Ch!3c}Q%MJDn8ff;L5Rogz^T=udE
zW&QT2(Y>7OlaC}Nr-`~>%s=qOAW|Z4;!P`ii#+*P11Ak#FWIB3QCSJud}eReW6e(W
zRkF9R9e=nBlb0XL=W)H~sl9&RhRCQ#fCLpG-r{RuA^7jM6mXJ$-&elV3$!3$!V}`<
z)gg4UpOOw@#(0F!@87_a2T(c5RlgthD)WB0Q1d<TI!ZX}TST%Mw1*zcw?uUyBuCd5
zgX`DDa<zVT5X?|NKTdnCxX$BaC{*BdA)@`iUX-Z;qf<r8B7wLWic#xZl=VaHPi}J&
zi>hjNo;F?$)>@~w<?rV&;BSos%T{j`x=zjD_BlxRat4RPq7$4gi^Ox={{0l`sP86U
zy5!H&)usHsw46F#N<~|v!SYqteOE4$CxksBpMlU`ddp>}V#DjZtl1E};Q=>0WozPV
z(CGT=Q$1#SS5bYg9?8}$oC8?6&=5Vt!Sa)w->&X2aahN+&(^JwUjpS*-KSw{F){6(
zzQeyBw>tIC?VY(QDZ%E_07`)Sa#C!cbW|j|U{>>$gx)_UkQ+B1{&`|f7dk5!#FK6)
z$!-nl!#@1ONl%h*i&Rc?iRT9V0QmZ%^TnW@Z?9yEj%kACINu}P4CA~xN+Ak_bYRT9
zXR{F+>mb-7IM$T~?j*mwJ=7zwb9G8e(5oorj<^Y~)&S9sg@(`1dc8JHb!eGy<oxP?
z@mJ^r5(zr{0$G$NJ`U${-_~|ubyuQkr)5l0*^q2}w0}@IfsAGaYcIs_#lhverFjd0
z50-rw26*29wn=kr|EAUh_r`MW23NQ3d5<*D4uVF`5s6`J_tIQ2%q6k-(%8Z^0ysn-
z>@|e+<tyeK7MdPqIYXgfSf_AK?U-GJMORi!dHzFc@q_&MIe;0yrju5$D03YJ4&AN0
zbRJcyCvQ+D^7i4MR^v58v3M+ACJEl)$2x#G+_5rgoZua!Ab3vQ8aH!CEi~a(2YZ4y
zioSC}X1^XKwRzlW&qJD>;!-SYzdKjIX6VzOQO)H)OOP<yaFU;S8mrw{<C?j~iEa;G
zaS%@ciai@~(zc&$irOi+dVcH{_{Z9yVzvO+DC&{LEp9>QU~0H>R<T{*u(1_cYAjy}
zrJAxCusqz`zy<C-QrE=C4%S!TGAxq5#i>Lu*hfoZ<*_ckGvAB`nhJ<C09*&mN2D#J
zyJs|;dhJBoF0Gs#XOYC^j7wGD*n7M3D73>5pz`YWZlhN8&+=~9H4)XVDU}`!F|Gbe
z?0?JF5daKMFcyc8^g1rdey3+EQANR(3&bIQ<dMnF*WjfPxcNOk-z5&4ILuY!@&M6I
zIXB3>m~WZvhUIFK?6{gGfO(sqLO$%$?Bq)=^DS80O3z0uua=~zIKhyNCspHjRZ;ED
z)5K^}&G9F3YHtlB-r|KUfWZw5VNs>o+DFrA6(A0<&IU2PUcYXJ-D<!P7%On&B*z}!
z(<NjDeLCG)U%Yd#uKhJ>9DC+dzBeC-WYBh+WT&j?*KR%?or}=V!Az~s_`na+fD*7;
z>8&scqXr`k_HDsn=UyJJs)XphrJ-QI3*R?#c>;@FB`egke)7KzKz127rpEG6n0(dn
z>!odYCQUEe^j@z{mbvG#TN=`CXygl5ph3FDajJI8p8~A(-l$2%WRiezgM99&7;)aC
z3m*$pb$F}dUkVlQseX#P<9_TJmwfI~5=vaz)m;>)HsrXAUNssfF9`gMb3k4}2Wz<8
z<Q0ZK+XA9`&BA5TdFn}u<3Qdc=y-Lhnr;74fD0HwilMd{R>X-xZR#UzLbkI?)#sez
z^VjIZ*eZX^?_6<koKw`94@%dAq9!S6{j}U6p81Gu0j8Jr$>xiQ_=VN`;9Xrl=~h_(
z&e9r(eTQbrr^d3Y@EnY+PHT%mhnn?2DG4&X3CR;{rg;lenxjid1tpks*mOzGwJOyp
zG|(=2-YsH1^l=+V$4~Le#FazSx>QLMMIyY`%~y0yOy}FTt&vGZlkg0DV_?1Gg}gq=
z`%ohGvQ5ly#a;Dvd$>BqKMNlRi_DilPJW-!yPg5I3NVW~-5MnSM`bSQ$Eh8@e4|21
zEZcgoD`4>JHq<-%r#b$>*P_o|D+<If(#E9mYojUW&ZC|fXJ>OPPk|#7j#c8MFR1ep
zhl(7W;jeUjgrIf7eCSQzl09Zaoe1o4%Cin$XLk9fo*&Py61^->$(Ck%SswPpR6gbj
z+(ea~pS4*dQ`I!w6dj(?#fKG(z2E=Mw`g8}-{&W`ci;Pb_Dhdhb??yxqR!eQ=TE*x
z?~a)M!^F*hCz&+HZi9C)6gwrzT5hGIKy9}8=)^`P?eQ}`+b*t)Ny+BRny&ec*;}ih
zFwU$PZ77)Xg2^2eI8c@KSmYIPVoU!(V%sIc{4G68l0{>dVf@xCWS?jI>Sw|Idb33_
zPe%8vc28LRb@r&njE{;_Ap0sTW>MwFZ98}u$?LK@(TOr5tJOpQW}s_hW?sp+^Bjrt
z(w`57&Hw3Z``7EX)ZdMnD<^2u_yRIDTt4u79$<6r?tZ%gl^PuYKz!nke+41DYZ_DT
z>8A^6`7MxGHLpGT|5p_s2Dckelkh8PJ~|;Od?X`9g_e)<$!W=>v%n}(a|#g&I03iT
z|II%wyVC#${et>a?x2<^SEG}rhDUXs2pv=Qoyb9$u50Rch+-S)X(Cr~Gb#(&yhfgb
ztabvNRIP7Zb7y{WYN&r6zFtserUIZPuTcxH*84t2%XD{HDCHE{A`?TCy?d$=>eZrv
zZu}lMV_)yQ(kUs+z(SwROwC<jmsdMiF|fi%=FRu{D;w8{v!-`vuu;+tr1o^kBxbBv
z!z&wn605rF210d<-PVZ3e#BAXqCL1#eZ2dT40K<*Zb#1uUPK+nI;cg=O10m7YBR@8
zt~^es`*wJPdqx|1-mFtg{(-+y#+{Vm?Ck`n`pcuj#ngYY$rw7W_Hu3)$e-jEx7-{u
zb+gbNDzsNjmk67`3PTee{)_rSHPC1xji?HpzY6vEFHvJOkcxr)OK#e~8Ch~=DFMHv
zl?lx0Ws=^~?JMS;wrR9Bj5}F_$u5z=x0F73BUo;69l;O+dga5Y*88!dzjnsbDN7=(
z?o~y^`ll#bml`!7gBz}1<;oEUo8>#;vSJW?w$g!v`o=PJ7cDni!$inVQuNY{20dgy
z+wn=})Yvdy+%vaj1NR4Fhvi~q3}^i0M$YXl?gl{w3|{TVB6V|ZC#f<GU`ON1AuwlQ
zbxUEU<*nv4f#UE7ppo3jcHd4))CCbeAmIn0O`qJ$30NR0Yfr$vh+|^QzJ2H?r#XTt
znt>+i)7IPaI&Oqfx7?*o+SC{VL;3~hh@v6{LyAoE9w8b?iEQ5uu<c+Mdj1jrR^n{m
z((S&IrI}O8mo!5N@JYhxv6FSJ8&Q_$UNBthU@W+{^(<C}si-OxvHzffOAIeW(SZ_8
zFhSJ0b6*AYuOL{xcT_lU6ePJ&GKl<Z@5ENSuP_z8ob_sWI!|$5Y^JluDL($BIw_Cy
zavPu7`*tH3l_tqmdp;Ub(eZjLSgZlek!gt?%i7tihZoLMG~<3#e}7%eqP(Z&ZAR3a
zu{dxJFYJZMqq#XV`q#xn-a(Fz7&KOy_xUY)-Ftn*1Rgf83mfoZm&^ClL~2nR*TBUn
zA63m$EY_hjcTvL(f7;k4Ftv-dm&ea9(G6k7^lcAbj~>zKr1edPl>a<iBc?r78Ws@N
zBXjZ&ck3#;>f0IzxXgF(<p4FZ8E(*)X3C#kPzCo@_#T=9Yyriywnw{|kNf1BNoY3P
ztc0me{*4*Ono$o45&H+8Q#iN9Hf?Ch3;C#ZJadAXw(f+ZW)o>OS}1Av2|S_tSX{+a
z#W(Oj^=$|E6gcgiBd6rg>rG`YDgoSEZ7c7&SapK@JvRF*vme(pfuA}d7b+%5J71X)
z(o$9%Yg7Y~PR72}pciL}-tum}u-<lET7tX~2l8jIaDBf`!`;`kSqa;J|MRqc`n|=M
z#F%lQvt7wbkla<bwei^a!&b|`$1%95omTnVQbUssK43XrLi6b_1uw`%$*>c?r&;!}
z%bRVCnogR-c3np}FQ+w}-^WLFy5neR9eF68wM#4bh!B?wG1&<mI^Ic(uK)c~@X@Q$
z1tQ%1rd-7aA6)TRneK8li$EQteOJ{FB3z2Pq-u?0_r_ujO322H<?RW{{+wV8Gv3co
zso7@yXxGcrez2Wp*}R%cXe*O8MWxn+FwJ-cwe|2pvQ#z4O}+i(_5;97q3pLz7ag0q
z$cSu_V{p70foE#wF6^ogt{bE@x%;1=9HLn8oXpP><VC$7@>oz-KOHLF-%0Q`sVO<j
zLi<?k1ZvrLP5`W4b+%q>gi$PIqYwX9p`n@Ykt>JB9zl8K-0?bmuV<eeq|}_1U<T&R
zHLpem@oOs5H;ZC9wrC%t(Rf~8SU+zO6-{5hcAKQMyai%!VcA?_fvv`0oI7^h_i593
zs)FQ97V&@79G~uhOQPPfd~+r`mi5whSqEW6Zr=>2<$?sh;I>pP{;uFaI2}2#JQIu}
zYYEse?vBD8KJVFbXX4Af_vaF$_kTeOsv-vbnZ#;m7aX4_Dvop6MYCQU)N5(QmiN_P
za?%efz?O@h#lf{16apJfMvfb(!Bq!3G-~ZXVa)8Nc@@&*$MGv#-0Lk6fezvGH;9>Q
z%1b6@!?1jw!&SrXZaogY#j_=cMh%UlUJ>^$<2sSFLsLdl&RRTQpMSV$4D{yc{!w_S
zB)HA}p>p|s#o?p3OwLb?cBNdecBc&fzL%GEnaR}{ZFxCe>F^q-kv!Thz6<>|wLau2
z=dV+Nu+q<TvfHptCN|f_)_wTV7f-IelZm1HdEIvXJ_ZK0RKSzgc|bbK&xIj6ZL$&!
z*wu)ib_txzsHHL8wx76J`a*zb8F_y-h<sv#dn3rnnq;qWE4{~(P<2cn(*DNUwcO!}
zk8zQnO=Dpd^OXiJvhUh<cd@tV>r(Y0{!C;KWw!MpQk7e)L0OR7e&CFQe@>8(A&j||
zUed)kf)bbs$&MG?r(keWx!_SRZ?FoBWo^=XHjmqcG0vxo{KrD);nNkz!}!+Vj?!r)
zT(34~FtIKU_b|fLiMT#0wMIKI#1HgQ<R0t$AyCEYS#?%OAUJ4_8+di`ZT3Z^wGOYY
zi=xA1sOs4+c>_4_pI0q^A4Qm|U-!YV(ibf67Xr5fBZ*IW@_bMJFRs1=oXY->`*~V~
zNH{Vg$zFx*QIb=HBzt8i95d?}Wo9QFGDCJU<H*X+j+1dX#<67`d-MKop8tEj*Lz)0
z&vl*CeLCa5@89qH{j6{BLGlNVbFTK%3oG<44OhLlKiKJ^z2nGQC-TJniRooV2801?
z3_$l47^1pS&vR1@&0$S%a+G-iZ0_WrCY9LjNk!?!-P5mp3o*6Vs1Df;pW90`8a1nm
z8asC7pYc$!2b=yg#UHDPqz~|M6j#d@0&ewSkwW`f;@8C%-{IZbIPFm?>HfF?jz=<I
z${!VphVb3|SYnEOeh0EI;$k#AVOQ%9+)0V;DylEa6Xfu)t7D%GDh<QPxmB{QMYB4-
z&B74&%U)ks)P_xT-FPXz4md09YXss-f55Gj<QvZSY|0uQkXYWvKO4h8!%3;zyETZ&
zD&n3L#z~?g7&@n62(iBs-O@A_gsY5lO&kW@v1p|0Glx($ORHId#d?p8rLD+R+gLEE
zqd?3oMAxV>Q)hGKi4w0AHS`KRrRpwf^|t>519I^YRzO=m|JZ(l598Qgq{%S%H(hWW
zQqYy)@{!>CFNx3dB)Y6j)&W>!A!m`rf=TT?-7hMbwQu>m_w)L$bHs|I1+;>B6<9s@
z4>iyOBDrk!Kxt!;)b!@Jg-U$u@>Ya$e4|I<y8FVd*xMJozD?7x7FsBkzh3vDZ|*Q#
zpk3zlmld#9iO@khS`66WES7x}ktB%VoMjZV4JD)SHa3szDz2mgR2vgr*`mW?oJp9d
zE5=aH4*AYgz1i^JjdTV>S2Z1+RO`E>nLG0KiixH<IAXq*$TH44{32zl`BYeVU}+|1
zBBA>UU!-5QsPy&RcGYYE2c!Z{>>K-bV3eq^U$xSn^3S^o7zV6|R;>ue^~oY$<`DJM
zQ!3&`fHAD6-=h%BQim#)v@$~ZRBqXBfe4$ru2e3q-b(h|Nl-S*&{<e-1>UpVW1~ME
zE;xdC;(@rU8jA72?EsB_AGN4zVyZN|Wj1Q7R9QSp_Y!y~NSHjt)42R+J!(l!;>9hn
z_gw@}uMK=peUyTHc%M$$w-;U<!D}cJlQ<L7h@lS3rm3(@@xj&V7wu}jFrg^|K|Q{S
zeJaa}svFFn3yKWV=gvMMT3I|L5)Oo4BG6V06%gdP$HS(fco1ChN^E8AW`#XQaSAJ>
zYNgcFU>dx;wYLXb(ZLJ}_0SGq1CVfAbHO<krlT(fGfA5S+2r*rt$p4FK|?q0dQZ-K
zBq@+0P%uV0w|g>NyDb%ysQ1Z<>&9Jn)_1abWh(=fGiXEsQS>SOHGGy&h~N82xU>u$
z1Gx92Pw*oXeAMPAuOOXM&`4Xt2Kmn*Y++tn$))t>;rf-?m@giZvoRBkai7$E`&$LG
z{atsAK!xF>qCuXvb|g8I{0EWJrt<oDt<=4lJNX&M>L-p<vVGPl){EUy(aoW5rjtvk
z6hsMs94Q5<zJ2!&5F%ib%OyV1Omkb8p(lLU@4}L~k5;EK^DoC7nu#e|F_WY}92FAE
z?NVuJ$X2v_rTB0&ZZMh)kG$~bf`^a}bapgwY3nt^(`fz=TsPut68_D+=!m%-JmkZH
zyw@1RE}HzgjPNClIaq8gW{s6{k%s}L{=@u`Andb9E2{YMePadpK(Pj5^HJ3gSV|iY
z9Rk3<#66!^)vK%iGUafAhcSNlt<+)zh_u~WR8=K@Hh>UDWe1^YI=)Zuqb<D`Oz{hs
z5m1+~!F}VWJR|c+Ohx9In7MpGPUBkU#W{nY%jLU-o{bHMdcN$-2=V18$33;eY5u4D
z()Fa%!afssWk)`&_Ww;P^aAB2c<rQ7mQXfZE7_ERUb8afuETORC(TOVLEZ)G1MudY
zeDTpm(|lvXU(5=!K_fmf+$wwQ`OPb)Wj<4?yuIeY`kPk+la}LhMp=O)2rg0y9k!v7
zeSHw1xc<(bv~JV{#vCZgSU>sjj68KtWzg}AFIz^wUDk(|`__hq>?NtrmFhpgUJu~u
zbVVt8ej{^1&>TLlTV&c}Rkkb{al)aRot0sHBL@($&bOe<@c7ZIB^UJq`2RTbo^CBa
z_DQyflz^GDHhBznQfqCUX^&ObFJjXxR5rVmb8tB#t7f&(1nIXM%c^5{s$fjSZPK@O
z+qI1pV8`xTCE|!F{P|b(zO4;V{DEDQsFp=;(jDc+w)AORZ9pl>z*(bcYV{So8NFPU
z=%vvWhL!LK6$c7GwvG@awhQ;pA2M8;3E<Aiw>K5~hU2r#KK6d7LYiS^Yqj#Zo-9G~
z@1J+kq^r2vz3KFUJy7E_hwYTatdd47ft=&Mp0bM_4p>*?q%i(&87gdtb*vX{N!+?=
z(hKYz74Ew5=vxdX?EA~a2%Ae7_;;TDv>#I`^}AYPJ1Ux8)3{R=WT!MO{|ru2J9U`}
zptoS<q~N_#-pS-wtlpSh(rWqvn9FXxzX(huDh7?qoU3g&lD+#QejCpuY;{T>9RxAi
za4?>}%75FX=ao~BIbB_4HdFvVou^HyZ7#3&8;C@eG9c3?uIf6JHnH{0YQ<Vgdpp*y
zt`ILX|A`2?h)26(mDNt2MY>j0y1UA+&LHv={*yZ-d>kv~7*_P<<)o(UjZ28R+hdf&
zNV`js=IM?Z+B&Dy0H%y^CjU)@e6+2}vaeq}e$`A$e4~7|x3wkM+3Y~(;=N_B!QzBU
z`2MU+f2VW|wB>k8bp1!rgVS_3t?J5b@OwQxj1&r}LHZ}F&ggt}>9*K*6i)VhbCIXz
zu#i9Fe{+cvk%u4G5|PBGH3xjWkijIu#hMOE+)n%*U1)2Yu&paQBr#YP?U>OjJAF$`
zDpxX<`2CzETKT$ampw6lR7<RgTGUDDr!gvd%zN2bq(enzJU2K~zd6d0285<1t8Rp-
z3Ql2vSztp({M88DCg*xWhyNHqFn_ikH)zw4Bli5;<Or#AqWQ_9hBn;l*g;zR2g7D%
zWM<XrmNXNBH5H&2^QPb~Xb`V9EJSlD+cRWy-<#x>M1N`1$tnZ!9nkHG&<vE`PB##!
zYypTvxH`ClSJGTO>sw%K^x210W7EQlR-KPy%9psLP|^VkKwsSO(5eHZ%Cgzn^)fDL
zq0QkX4#E`Qk4DEiJ#7W59i-K3T^C<wd7j;`MctNrHDA_f1!p)Q_v76jP7C$<&BG}D
zRX43aJf6)Q;-%MiULEql2>vY6rPMpG8X{9CE<fz6wtx3!5{8K`t<fGq#7o7k(#yl+
zj=IQyg5<4~kVGWYjk$wb(U|rfuZtDHXb6<r6Q1Lu3o}axU`_~t9x6v&-h902ERuRu
zH9^B%eD2!)!?}lFO%)XwJ0;jb&?1<$mJtUliQr=V<NxL<pe(>>Gh|IgsW6vO+C-mO
z;*RN_X(tKYgiqziReH{Fqg>%@QGK(BW*fI@4Sr=Mo^Zu~ptwdpWU5R+T*_30dt-(?
zH0ex<w<2r~{WnrF^Lp&CiipZ^*;gxuM^8#K+&A<bKwJtZ%W{8+=rAt)Rx^GjWJEzN
z(z{AeWcyMWpY22K+h~v&_lr6O6T$FkE!Zfc*ybzr47y6o(g;D#=33YIT)(+%6jTA}
z*NnOyV_;-m*BDG#KW*|ZmQ1qH0b~Os1k`t~lKYPnJ<<K5A$k!XDs#D(M<{mgW@auA
zYotM1JdmAP?|<A$xzH3~lMm+n0nm50$EsWOtDh0=xaq_ANs$#&gyP7L*ZnPCff@>j
zdJ&H;mK&BscYk(Q_hyxK5&T;%+~iD&)tShQ6-Pjf%F{NUR_Z0X>!1s=4mjui!rn=T
z|NEhFcv4(GqY_7{Kt|@&>N&*atb(99l=tj)#O3||9xgX#9S2g1N>VZ<B1z{l8$I8(
z1}B}6{IhaXrTuuoWvP`M?_~z&!aV~h$+x7nk#yY^u94Z&2@xGiT+F&xkLUZCxMD@K
zh3z=|{%OAPpO3!xSwOI@-@NCygpX88b30LI`4lR(T>3g6x;erByax)ByJq~D$9OzB
zScdi<j7deVF!oedPrkPT(=i5jeptXTh}gWzSw#0c&3%H^J-)1?R^*0($En0`0c|~Y
zUPjzgpG(O<<kSuKAfPV^#jcTm!vb{*w-%M1tV@4QP#*tLiwx#XHq=V#F$v_6oy=2)
zpibVbR+}8e-5cRLJsOF95Xz>QA~j_-B6GR$Yg@Xtsy(NU!y)I+=!2T-rVDJ$ucBjB
zOOPi+qoiZoVtg9I>^uMrFinekA43%U_{@b_{n=G=gG_XUhdgamx1&s<!;?|AAZkN9
zoz+>`>vqRTY|FX(;K<XB2O?Die=8>bmM)#^Dpt#+$Q@FMoj{>smIA#XaOcSXpL@$@
zJ<fATcV}9eeOTnmq(-Tm^Bh~M6V3DKF8z)aR?*VH20dXnA_k80^|p>IX!9OQb(f-y
zs`>l|J8if@3CF{$>ex<eBFP`5E<Oi0LUZST882byQj_Z3Z+PvUCsl12`5SAKOWXg$
zU%9psYrPi}0iCkDN~EXWzyb(@ez`F5vuL72EriywN6Tm8p72Q*MUwTah|HsW+G4JF
zpNT>n5&(^60v^Dj@`tC>@Mwe@qa0dGvzo~D1lQ6wv4O2l`f_?5k&GL3^YD0k4uztm
zY2e#0aJ)y;^^%F1(XDmH-=E%suv`4Gp))xL#hQ7=thrw~RmrHPT14MuG>4GXq04yD
zK%cBQlBP8<so1AvKrl~`r!I8x^@Y{zUyjN>ZePbol?SgozFmPk=H>#5iv%I~rb~t@
zI&{8f>4C}85J&9FM4QX-U69GvB`Go0I(-XyKO798sXG!#)W1++b_igQ#7k_S^e?>G
zpQaVRWT6b_qa+Cj-ctXdJ`{04>$SAs#1u2{EZIv{jo0+bL)%>5gft4#v__Ih8vRQC
z;M`zh$#FsRL(}!x%3NQ*>oF~6I##7X4Dr6q1K-N`QFCt!wr~SmBYqIk)v+FJo@SfN
zRmLWlX1p+6&sXLw<|eQ`sL0eQgJGxLTCZ{&akk<vnd$s4f0@GWCG&^rd7&XKP(D6z
zP<qk4G(lfzO9+~IkFF=Tfn=$l(QRdOpY&gQ2-z_ki#LFZ@)_ewN~ckypnP3_q}I@@
z&ti`LlY}r^kJ&hRt2K?nb^e!q-)WuvC$og>ySzfN_4jr+T@lZBX>kw#Q&l%()!xkz
zgZU$$vH*%(4B+lZR}`H>v9gDxhFZ@6dbzvZkb7H^)FW~>OX1nR__v-j{qmt|_f&A8
zq^UaY#x=fT7aQ%C+4cI~DtmQSklFz{uh8w+U0d!1IkBNrdJFA743{i+5vsvgbbw$?
zsCmm4kCfaO^y3Zg>)S{%k5EjlOi4i{9Y4Fs5Z<RIv|#nfd$il}Pi5q9Of|WxqwxBL
z3Pwoi=-duxwNsIyzgRVs5#=f`Lmxmim!YOVN*6BE24}s&l~!hJIKmU$=lfUIk(gqC
z6v)R<0o5|;)JU|5eT3%u`Yuco)n~$vVO2cvZf$2|Lx?9xj%c>1(s5Imk@5K@rR?CA
z0LW3ayGVnM!*J)nJ@Mcm)ljenG-&m7!>i&#F=RC7+FxC-@P5y6-+R&3?cDUe9{Ucf
z8-loo(s;gmA1PI5m6mhif6C0?O`<RWbX|yNC+m5&7GwGpYFxpF;7f@Vl$zSDq69zu
zX$gP)rodf>srEP8te_ebO<yAIBW6v^z{`>B`Vx8ks_>Id5u+%tQ~+UkPMz3`nG{cF
zv(m91;U-Unay>)XFK$%Wli&-wv#;)h5h+8$;1*CGpZCpnUlj6Ql3x&Ri5U<~b-ZBL
zW9klf+-_bDO~Eu-U+u6ufL*c4P3`{FqIfy;uFealMBeiDUE31$&8dpE^xq;n)J$#`
zyY<}#d`Y9qH=Aus=JhpHG&`rVLVcFR=JHAym=d?an1P&i4x3>n(g*^<+6-WVuMGx^
zK3ol{lKa_r%qqP{Z~Q}RS(@)G9r&rS8yUspsYVY6R~?8v)RrJ=EhW3VS2?+SHhhkf
zX-w7fc%$aqEe?~`z6T#{r(ddb?4{9(zKJO}e@FP)x28sCn?GwL<gIt_bP<d7^r;Uz
z`lw3>Ai=Hk#}0Nvv(X#1Z(f@)Djm3j;bmg*_Zam&omj-3!w*tfRb<99kA_MKrpXSi
zs=KoWf29T%G;Vans|4}qy#zZ7FCV&X8a#KRwp*;?<w=Fw_GiAE{DSLH+j59K`U;A?
z)$3RI&~&&sTj;{eZPn`W8{U;EJ-eK?VB}q>Ra#eHgB=P60YonsUOuR+hpDU#_)lKs
zUFNGyerWOxQCj+saDl}hC(iPo!0-J6ezWZec8==%468_*QrBU0QD)<^R0n;GU41;c
zE-uEX{u&T>;>;X*4Pq>HrYM}0>{fLKK5HPX?3!Xb$JQDiupv?Ra^fpx8dwka&jrHE
zvd&jM52_n$ClJ20Uq!|v9%YPY**`LM8+n|foJHEPWQ<Qk2Lu6$zh3Y4Wo>l&T^UD7
zn(!LI<8w6O+zbt@(z`YY?!8cv@BF<V;uuTPYS|jx>ejYwedMkDgVt|KHK^&d8u-Jj
zcmD3fz&JagJH)F^M^x>qjX0xY=|0o<>)$<C<<#$D2w98GUK@*_yuMBybX6@pdA%Ju
z_vTTv?Jp|8&$!#!loh=Dli%6lQab?#&35%Q5;-WuYvwhp&)>Hva}UwGWwU2BX13gF
zW!lx3GI4#~69ln;6xDHxL50bF%*V|iFVZm`Z-D{~+`TjvbOk3rzdSGBe(ym(*4yy#
zloyX7TWLFr`Jyz17YPUMK7jCT&l=%WpES5uwr^diaQ6j20uuYpEpFW)^M)tCXqs=_
zY;p7h$jgbYJb1&rHa}*2a+QQ!^#FbllrJ(<6Dt$n#iLyekRM(kONc~%Pz+tk^Qz(k
zB|TKt->8hxDoUr|=@wN5Yap}?{?PACx>kS|9?!A3ZXsWvfz&IvJn<<SzgPXHK=}B+
zm3jg}+pQM;<t5ShV?c^5Pb6yAZE^%={~oG+amthMjfmn4tWQAx&cvy0G3G<3Z19}m
zF@Z|Sg@Uiz^ffC(xbJH%;gU98Vb;d93q3vd>J2S)UA9d4Z&v{G1s5l3au`S0=+f5K
zSiT_z_LvLcKOgfNPhCU)Wpilc^i@*wo?s%)nSUK{Ykj|@;0|sPlUZd*pGB+eS*&A*
zWv~Kk;a{riCzQ!QOpg_Oe_s!eA<9q_oZ_#wkLDGRx4X?5-uu{{H~WpXmi@0tBE-Gv
zDY$L)!|Rt+BHj-UO2?zd?C!3XUn-P-^Fc>q*r~#=v#)+PafqH5S+mW-BdrD9Z+zct
zO5NP~mp1tizFhK61a-#K`U33u3g1T$!(omC{;K`c{1M&)!J+2|5n;?5<e)TEC7jqP
zNh&Jwc(tcQ;KvST3VH$Q#Yx`LY#BS5lAoweBk)1HX3Z~e=oNJwpE?#?6-r-0Sg5nS
z;bT~`W@JGxO+^zJEC=fvZR+LJ9zBszKZS{ApXj;H@vi6ft0h!$`na+gNWbfM#kUHH
zH*U@LOjhye?!2X2Inkl`S2fg6kg?&YqUFRYSmX4HqgH8q%{yUrJf;(V5o{yi?GpR`
zS{@S0KKFe+OjE2sUFqwIEKC2e{GExj&+s^I#L18)p0rdI<W2xMuo3ey4{lHF>bgS{
z1lYOg=5n1z-9?U**~Cp@BjCWf2KclrCX^~HwK8fXC`8W<?AUC2<dfTJbu)38E&wtD
ztTMBpQ@2F)K=Lr7xq>F+avkSC2)gFF(veW3Z4=FLu5kIUQmFTZd%mNFhcc$yU`6di
zy$@)O(f=d(>M+N)Jz{3ZwuYBrR~gjrdaR=~#Gwf-(!`Xw?e>cz)5oR@{9?SznlxYd
z>ejpZ7S<X5I{>WW5#1DZ-YNEJS1Q3L14$NeA&a%ku`g3Ee=P-9^Fw=1wiU4?FO!<f
z(MkgxB00Y!wdyeEnL!-=={=k|&O6!6<(iU?4ma$UYOY$*MR2nT;C_XhK=dnLPYr6k
z@Ue%c^hnI?<<l3i861NzKA79zPeyTuoZ2$2a6Y-UJ=mRV_R#xqX}|jK$<C8l-t1k`
z)pis0lhFp%B#%~`H%1MP?Fo4{`q#Rx8!uS`6(@AsN6dcuct|Q=(w$MhbO>{gen%h}
z+X#NZ#ol9k+n0{nU_U?B_dZecn2^b4-LNWK;cK0{+1b4G_$%5y0dT0x43WJZS9+GW
zqIc{nGs*(8MORD4Z9&X2Saz<hoevU{@@r%^c$tDCCI^c{3anNwF~|rX8C0ZN0CBqn
z;hh=Z`CYc=X9=7?udVT%nA16X*rvRXEtlt&dfaq;fGi@D5MO@IZju@GKDIT+(*Q5Z
zTq8tMw}(C|*Ngb=P*+m@{CcEB;LgpnytvqMWj1_kO8z<o0HmGdXcg602%u+f&h;04
zK6Y;%`Vem@(rfk2`U!`++vcAKIOfZfu5K*4kh1>jEo|Dcnuy1tY06EvG<UhZQaa37
zPV$g+KP?UiQqHIi`y;?G$}B9vp=c`PIe|DoK`mj!-`Qgvfg(Ob_sO#Bnlrtx$-W57
ztTkQuvVLc5dM!b^El~>U`b{@3-~mlVgwlklR#TLB@pHI(3DTzt(8ZcK??1or`akSW
zp7b#>7dgPoX7{Vd9-a*}KdgY)TgZnbR*63}-2IvDnZd&K=ATeA>WWiRU*@kOe^-sf
zprPr1V+pq5W1TEL%u-7*0AfR0m=IA9GjH)XJBa2XPL~E&+`*WqdiFls-Ulr!8NG!)
z4#RpnLo^%@R_f}8uJ@A@>&&+59JLOOk&r|H+*zMsvt92GHL{E7qUvtjDNq|Ei?Wi?
zn;p9BmfbcJN`I+67RIR?yO!A3lB}dkg6JIc<7|^+p$91RWjAx5sRQSQ-(*4A%}6tl
zRp5m$GVL7ov)h2(4bfAf#KCNQq;*FEtId98>6W*LUlfIc0F)xSIJAu8JhD$KQ0Thp
zsp8%#Ycd_-Y3>4bW#6HW(+|CL<=4vGc)oX%snb{+YQ|0kk0NXKmj=J2bYVvjSeDHh
zo|G;H>yE78Jp5BNanVt+h`<xU)a$F7+<RX0!}s?-PlYtMIm4x)Ns^h$?-c_=ou;Bm
zY3CI91P(_5^0ed*1)*M1f-l31#%}E*Bxjx_pU^g!!y*O!$&Zpr@7PV;<p0^=&Q&Pe
zyj3{;@J4eoEV}?z{G5Z|DAe`~bp<1fn3-dCb6ZIRW-`ZJhVK}@TA)R;Gp0B)gPC;G
zRCRGDEl<LIpBI;_{&}ta-gt5L`@u=<;ePaQdskJri50_)ogww6le>x8KSyH&t9U4+
z_!zxliKJ}I2GKjzlsE-}ddkMO;ot(^z$tzrG3iGD0_jL}ETI+{lUF)W62h@7QJ8}*
z-AlQ<ylHm(=*k6AmZaLcCzax!&v<fnkhV%T5VDY%$-If(5lzAbKjO%pKn-1hn{2Eu
z|E#TR+r%?op@y4)Ej?ItQ!GN!eam<1l@-?S!-;Lh+wo%O-42#AaQTw~>KY&poqguk
zzx&o!l}^VV1JrKO{W9#ShoP^M_()R51Uz$VwF8;p>{+8;pG7mq96iMGE7iwQS+W(3
z7*l~+g7P^<Y?HCHtm-w0%Q$Y$Qp|9zR9jU2+a=1YerD|XvZAK{oelY&u9fAfeIkHP
zm9iJTXR<=Zg+2S&l|n|Y0@mo7?I_JygRs#0xTFfUjx^=1IG$3!HUxwm9DP#USWRf0
zRUBhQZZPHSc)L{nQl#Yng`mW~$&0|+f(f~{$)mSQj@~i*43D>XoxHOYUpW9<8E9nQ
zd9sVVj?N|-H&gUGWRG4qEt$@ytJ4?{216GIn%79x6L!3D9SyAdBlz2at##a8mm|&g
zgHFCbzp(nA&olUem7WrDWC5Fh`FDT9h_2i#@Q^}E$guYF#DQ~2Rf<&#;nxvv^D*;C
zE`jGIp0A=`B<<*-(exHiCeW)vF(-hP?igFIfVA*sKC4Fsyh*rak!C&z2{ylxGK#c|
zjLJlCCbfQl6;YpVQ<48)vKIfFBUYgLH5H>=RepKdX1{*O-&`k_G|X$E^+YMz@#yhs
zXt+pZWowg_=SMbgOBuU$PTLh0z+Xt@yubIto}%s`(>Zc}a3+AXvbx?>rz8%g+bYN3
z93>5i>xKP*YO=o~O3!hf%P;b757u^O5ONN!q)4<FgYP@sKIhX-g?sVXI?TuhtL|W?
z3SQLMD5aU_->Tv{1h{+@F_1|5UK9Ofj}!WP*LToAwp>yV_+Y`MsW@`(zS{(dT?9PA
z$8m{S@s9FgO-if?4er{zGUKL5k}9TpQ_dQJ2RqKsz58s%MQMBm{@Sav9M2DUsvCFr
z+N^)TxgzTGPeSy<&{`)Q_c$%NWDj3)S45tJE+`iDfzfEK6F&>AE8a%stS1}es@q@v
z?)>c-TwFZlnY&dpS|^xnZ*J^N|L)*^wO%r>Sg2|P=P8DCV`ubdO9I{(>v>J#400o~
zod%=KqL_zzWW`tec3v&Co*Z`ZE?*gLYDsX&=qTT~{WR83$1>itG9tU^xF%6MkfCQZ
z^-W;2?Ot>Wb<8~_A}}w+x)NHoVHnviv!_H=g+`{R?TZjQskC?ydL1`)zQjfTI&kSh
zV~sKABWHbo8p+g{sW!-OdDvTBe__?Yy|ww4tU_L!wb8iJ-eA>thnuE{3jb~3fcMbx
zJacroOs?J_j0|)dVA`b8h{%+%;I|<`qdUZB)x}YZ13jI<NkH=_sAI1nX!lRMB~rT6
zy2#eb3#HpDTd#ZzjFjzkRf`1xePjT9qXlOWF8M9TCis~ZDFwb*-pyo714V4=&Po(~
z2mOOE>l4*(?3=tjMbnhCZzVN*nm;Dj<kQKi&0UB#pSpytVeRyah9jnC`kmwE)}cKO
zYL4AxeIo7*+4h=>OmiXdETH%*G;Eq9_FEmSF~LJp0dzp?FQRq#w{tY68PBNS_CLbS
zQf^zn4>D*Ws);F==adqez8AU2XgqA$d2C^o3HmP(_cHWs`9Z0(^R92Il@+iVfpFtV
zKKE6ju9bkmYQhgH_s?;RyEpCT_v6gad{@A)z9U}sGS`#W?O!FNdTRH0i$wVoZ{`Q~
zO8(#iRa<okTPRwar#oxkfzCDu%xxcD{~gx}UA!R=xxD)a-JY;kPb40i4Addc-M_D9
zBD{TJB?I|gORHoH?92(17L_J4oTzQ4<0xBdk5$ATZoBssYkJy-!$|4T?_1hbcb|eu
z00r`3M%V=RvPyUd1q1lxl-9Q;C$p74DpYi8G@Tn9dMoL{Y4@|BEFg$*Q|g)z``qPP
z*_bP6=uA<>Fh&4$TOkMSo+ZReRx2i6SUqHT9%1*Y?nin1y5X{BtK#DSYN)NRgb@$T
zGow^OymU>t@_AQCVW^42Rd7mcrzdAQ&fU_NxhGISdpcL0P!-1GMgsIKyYTOS)@U|L
zi>nrG@9u0~3_`N|HcB6vCyP}EC)C!r`kYQE+}TvJoAzza_f$FdJ`LPRiz%9Xk~G4B
zA?H#E{xp@+MjlsWbI)K~xi$p``Q{k1S0zKu^YOc0`3ae^<qo=l2e30&mHL!9YMFZh
z+#3<h-<1a?EbQR(yJIU-7EdBa;+*Wj(&-O`9>XI4`j3M@r%Dfw(G{*;#*9h!vJFPl
zk}rG}+J1TGBYDsapBR5c9CUlvJg_MO{xx+6s>yyK5*K$RXv_4PdpWV-Ua&k8yRNGT
zIH9x&k?GDMfpJ&FcdIh<p2zkKjzFLvD0yYNwsfcSy5VQ=JE?;K4>@a;K}lv~JI&V}
z8I`gbXnUpo#HyO!Zk?J;fA*<m^g8#vtfyCDg%VFdC$g1(Z#JbjM|>%Bc{^K+Q8w?@
z(Lx8V(?O8Yp1vp13y7jFBjj*y?5Y2{kouQ$TgaSW8mlG@F1I98)oq)}jDoBRAOKHR
zS=fr}|6xI4Bl8*}3Zj5_v&_kRqhZx+kV-^_`*dBiQOCcUx@{`TdTcu`UXWZv#CAUa
zP@R8KHB$DmVO0!P(hYf6l`O<l#J|$jt$)|k%0nk}6x|LQF;Y-|H4rJ3!mY}bm_;Jg
zPPLve&*<cbSeB#DOP=khEP!-^$zQsJIU4<htnk+{Hdge9o|<EACi5val2Bk34Py%G
z_;<}j-wTHhu8%{X%iLai^$cv_hX=sl0~sjLm+rRBiH9o-$eyTd{CBAneCd)}*cbDq
z%^*kv(udThGASE93;Q8V%-K!Ty|oeE1>0kPOnB^$@ZGI&$6eq;h(C5z5z<t;=yfv5
z{{BpOqA)#kb7ka3aJB2j%t||T^FeKG@@q*6v3c>?;STK&U^6o}*FMzf4SC4KW6R9?
zpD(-vfO5$R4qhGlwT#UK|KOIUH(6!7AB&dNZ4-c)?`zaDz7mq#Spx8B)+E8-=LILi
z8;5N`QOKWD%qG%L2%HO>>U#l*X1AyR5zU#(Q0(Sd^Q!ROv{4C(W-uCjww7phXpEO~
zb*+43EQz&DYt@+v=HCThFM=o)DKHiM{}R>lS$fGHS(lF}lt~TmV-TGegJjjUF>3;i
z8>7G9Iay=HlFxYJgBn%y?6#t*5?$*5reUn5OfGZmG^c#oa2YnCSm@cn6UGE0_{3O4
zbuBiu$i$DUW!)Rn&|SYS1YO0`Wa=f%;;6`*H<sF}&<jI}16U6cDOc83t7bkVNre1F
zofj)D*;p|%&Jt|vx6grX9g{3D<I9r#Je-3ugcTAykuuN!yy&-c|GNqAb=(qm-JS{d
zWe_ndEJBS7WCSPKL^eol<An;Mn#8iSUJsfkhHm>~EyZ&+BZK_CGSC`{sDKFM=ECy!
z#Rk-|Ym~Wavn{YW#FQ)Z9Nkhy$p72oX`+NUcfo;+{nlxrgr~gX6BPUlS<SxlD+b!1
zxZIBl$tWtab2-GM)Ezeb85D~596V1V0>+65-KiggTKPHF2fk0jm_W?+WbN0uh?<ui
ziTd)QtBnz=&>G&@w~#UF{!VNjIoABYWq$M6sA4FLH_z!pVIB{-n-Hk6{beqpl&ADI
z7P|KIi`o*1flwvPbePx13NH{vQiIA3?mXQmoKVMHRmy3L)dSv#&ExuDKJ5mTp0!I^
z(00F_fvEh5+WyjY&nK)o@}J|IwG<OKo+StW9;rL1g<&3y2D;zk{i~-Muiz0h)=2Vm
z`fa8ALt&_j>FT4fT$RV(`%M$OJK)R>7635tA!rmafg4FRinCMZL4bh}G1qXIkl;Hi
z)~Gv_V{Sr`0qGng1Z_^bWIT*X)l!U?)=08&BFlGjZ@#|LRSY_y@9qO{-rL}m0Hmm9
z@&)bp5&O!3Adrs&aKhOjt(jIi*_g>u9Uv_O=^lJZPGaESL`W;S@oqMq>!$K`$=AM_
zFWkUN4KYmdI8F+7(NoYAxZ!*}F9f~H<tu)DyI#3%Bv<^2){=&p)JNKuIh5f(nNm8n
zsFLg_JbTlK<WBn4l82^p#0WVtV6k8kEsf}8h?UlPrTp)Ov)*^eopuFx!BSu{zzC==
z!T09KuB(i`ClJz6En6Qb1%4Y}J-1D!fsw3S@5Z||O3wepr)VTs_#8Sv^S+`Ed2L=U
zpnY2GNH5`wFZ%rkIlTAV9!OM_a$#!>!O*!S*k2nA-MiptGFNkDWO6w2LqEbCG*nCj
z%9r@0W>c!lb6(2@@i2G0J`6Zhk*Y{ny?l^AIZLPVz}i{PJ5+2*uhlAk#cZ`~IOp(2
zs%xp}i0#~$EjrIuj@mSJ?~K){?}bBGuLV7<oCCsM7V3&6X}0|PC3+14q87CGRDp;B
zK!W=fF5h)!Fzuq#U>x?ofqf5JJ#eJB^Pq6;&vOUp2qeNO#(HYAj;TWobU0&s|0Es|
zwN|%T*$6uPn_b-YYg}yQ?^BY4p_4f>Qbi?6)ssjrz7;P|x|T8s1Ny+FoA4&d@{_5E
zHOT#}SUP$U_|WQjGmKf0G__q~(3I-_8O)3GXt7i@y>8Z2r;JFilysC%7Dj0M;aSdH
zA7G?Y4T_G!ME49WPZ#PDaBI&KlfsN%ARZxaqtfuO#Ro3e%Y4UvtGBBT+#16-#}0jC
z5f?VEagDOnE^>Cp8sfCWX1zN|3(sJ*?0zjw--B!_DoBb~1EhFwM2H|>qf{2E51|tA
zjfJOoc6)(hOiiPa#~sS7H|J$6^2@>j)gAoo^xOi`1SKRdD*Wb<XKv?8CAW4CwarYb
zllx(;s)WEUzl)Ll+Mqn%E%9gytg~k;G+3KO(*jP51)^*k8Q626<aFYlUQx?br$xZ$
zIToF)uKUymC_Ow|#UI3uy2&si``u+A#T66rGHt2d(=yfYYA5{ftQ#c!*VAPUr!2e<
zhdTDc=BN8{Un5U8L@ecxO#?_@_(hXcIE7vE{2)vEW|DBI!&y(M4jS;@WOkqWiKVI!
z(tgYe(*|Rb=HmhJin2$^%ZwPYDfm+>r6-}eOzzQjn_ay&Pop%Kou3Aqv=<gLSjwwm
zFDFq#Gn^@Smn%l1gwj8c6#VarWF~z#eJ$LL!6?phF1v|mH<{nBv54(BtSGdU{<upC
zSy5YY>bsO6g(m*_Fizd=W|?TEWj)p9X40mZDu!H+e**kfm?}dx&<;Br`FgjWK^-HT
zFI9JevE0F`av>u4zLnAipc)9(b1GJ*k|MD^PW<;tg|!&#lbtcYsTYR>Z_lGsmN5QP
zF||bzbisNg)m<Hn*Rww+=*&oEIpDFDYvm!6@41?76`qUou(pKVb`wF)j9T3G9T8&_
zGvU6*IQ62My^&HqgcZzWJqQRLD1CWPyRyt|v2C;aO8D1jY+ln}6+oqbs<?>_M6ySk
zAKF9U4iMlRZRpbSzEH#AFgmL2dKK3)GLz#;B72NNRB7h~n$IlYNF{;pR534ZAFeT4
z=(}Au5JCPVN=X|uE;`;+8UCwC&KuR4(pG;D?X81%;Qn+ZNHl1VdrAIHQSa$xFoxFq
z6&PFqbbnCr%F7;$QCkH<q_vB0VwQO?SsI2Y>-qfhnDJvppRRDellBdCaVEL|2b2ZP
zUbT0=-Puwa!BfCeHfv%p087_%oJrp7xfMe8AkK3&8m8_GzB2r2z)4Mz<e}k7D@q&k
zeF2a)cw`ITf|9v!@KMKmdsfi$_JZ=NQ>21bC>Q?jPW7UG_v7j}I<b<x1!a}lg!oKr
z+%EB`kc_0jJT)VV{6cHwaMrSNT71Z=?2jL8zF(#uKHY<28t<k_GB)jC53>ZOxr|<c
z+k!VM1Z&f@p<+Dc>oy}i$DQYSv-4UwwsR}S$dZ4~8+uJ~yu`XG6KPXv3cmPlACS_6
z>i{R;B|Q4~eoDf{)JSg6e8FW;vBdIh+3p#!OfeHh2deb=9wLQaHP64iU+u{|mZ&Qn
z6z!W{93n;z|KZe;_yrDWdT|?^d9ls8!+&1=oKAzOhm7EWawT(`$8torjf^S$AjFbO
z(KNR}I3`OtMiW;r9ghxNUX5vir2}-|zm=UaXvPmI%+HLIhDeA+OU93b^svI@<f)Z4
zLc&r3l~;|d>tIazbs8pg)w(IM8q;l9CVr*;d}hFnT5h-V$_@;2)0gPI|A>gz#%n)S
zqWe%5fxW@<gC8l@BJ!mC=>*1n?5(I+8sCaF^_o+@cPY#5z3prxAnYga02<j}lYC1N
z6XT!i6!0>yW<;&JG9zT~PE~}b>z#C1>3BTc{s&cg5I_fQr0&wK8U|Lh?!*o;v#ZA!
z6Mu4;%9^a=3E7!8=T=?El4rKmG8<IgzSs)Z+dPg>tvfe69wR;bw$f&}j<w?US<ARW
z#k6o#*@xqh($8$hIAt!7nwQTBifbjbU&_oh5M778a_cu{vp&b1Qi^iD=Ic)6SdtED
zCuUa)yeG)flBOgVMO|GZ=qAaJ*1d39gGElj|EmjXfY}P09@D_G7tvNIZJLU<kO__3
zh?0j*ZPDxJdR1G$l{A5cz6;>Cp;>jU=X#6Ow(b4hbse@Hrc__gEH5cA{vr|kuTIDt
z$bBhF)J)3Rb+8T(wA>w{@GRGc_7~C~i-KHXyPs9nQ_N>PM`o!V9{con{vg>IKlMx(
z*fgCRggiGS0<%v>aZ*rV9FVB%X%~ieb&Zyyo<Sl*z1Ny`8v-3xV;@sbN|FHW0C>_*
z4VO|0X0rPQ|5}StSM)-ZBhSuNo(WGoVp1dOiX_lWY|C;zQx5d*$Qzp{^nU%j_1Ej8
z)|QM^ltxXnR|d=Sk9YlYYOA6fuUUYr4!rH8xsWJ!A6Hj=MU~8#{YcCoxs@bJ&)qZB
zw6)j0>}E2NJQ8;?ufC1$<m9<kFOo{<^IxJ>At@RN-A+M?jCKm%7tQ7}yeWk-8eGf$
z{$<dc_ZgIN3)IpzShAk!iK%ZEDyP<UsV?T7b8uCk)BsmqH||qieEDehKu9zuy`9Q!
zEXl<^LCEF|3;41ivCV702vK3;qN`I+wCp!GU8?;o)gi)6MO88+e_*1I2$={zJwzWP
z6s@BwHbPI5q~al&wmMGAc2ViC$kkst&!-y_qp;5}^xSe!m1>h@Q5>0m?q=i2Rc8Cb
z`_r1KBOR%2v=aW^$D?F~MO1CRS+fD#6x@A-p+x`IC#{)XPi6y|t95q_u&Jc?Gnbp>
z?1>8ibO2V=GfmjT`CF;Fa`T&C^0oP5!iKxJg6#dbMUT{aLFB~$dl(d1(RWp%w&YI`
zj#+F$pr@Uq?=HoWS#<rEKcsbfodKeq6JhsOHeTVE^LI0{wcS2`tA4+dR#q7VrU0&v
zhKcOB$xMmvTm^4T;jdD)(ssVU=QclZTqG^?tagPg)x|EA)HxZ9_m+v)s4HWf{~U^m
zuxgwx{Qnzq$PNt@Tt(CF-7iP3$vc4Wfn!ZDN)RfaFEvQYu4PPXEWxa6s?n;<vN&*`
zZvO>kdu4=pRnk+X?m;Az7U2<NvofZe+wLH7HR5-h%-vGBaHfE(3FHnom9tCwxfFHm
zNe&*L8Bv<6zom>xM#fH#aZ%971cb`o=y15jSR0USA7YyHj8TqyXRq3<Ye`i+oB^0o
zt&%{8M2whfO0TG-ni?0eqHMife^-Um@X<A-3#Dl*E1GIbd4FAofrGkLEKLk2;O@#<
z8a*Hgb5DBvc0KbrfT_a2QLRgS=ghGQ6L><}?FGVoDxJnB^7i%bG)4F5+d)?)Xt-Ur
zx{?kqg&QT^&%BKA%Lo0v{(-yZcXri6Ga`-C3sBhS)xbYxpAOfTQg`-amLlqQmN%AW
zuYSMLs+X>)ck3FHKV08Qyh{86mEGJFJJb!dN;&lhsA{)I&JGUSm?PKJku|1V<3@*q
z>fW9w_QyG8oBw3j#hNZ`w#n$zU-G-9GIR=iUOm<-P$||>ak2JWO@lhH2#tsn4c0l^
zX$js#Kqin!bb~NL*`rGW@VyL`1Y3ZD02-Dwa+t?moO{JEl0q@acLd~lXiMbWd#Bgk
zRtDcNtUQs}p}u$%b?Bhs_ITOoUU<+S#Z#p&ha_r-<xPnV6GiT6Fs-7Bl7Tj%A#?Is
z2@>Du<bm}l+;ZYw26-_nMm;TU1b@FpEZ65gRL!Z$=wZbA%U^1cshK!ANw4mmdmz%l
zz=6RrN&V-mS-I_xTNXI&-8Ia7<A!!QZ6q!g{0PR<>m@ZU$~xt73OaQRlKqKN$>vBP
zLk41FGLNVGm=aep1ihX(&#Cs9uCwPDHdmvDS*rRPC})2f5VlDmYi%)cBz#+H&OoyP
zH3X%XGrg$@cCPvwd%8q+34dF@kM&rY-YdwvrG%6NUlR-=KPwu)yT{sXp(SsGJZuB$
zDUWRTH{g#7H|=qlC-QBL@Hx@C$i+?vi3IhO8}J$i1xH;JV(eMbR`kgT+lkH51*M?D
z<CxT?y7-+mAb{ipW-d*$<u5N6Hv5;VURtRRKdJA-&tYBb`-Z*SRkmfjc8eME4lNc|
zg}gc04BfA$R)$Z_vPoeJ4WdUcXVjf@;dnuhQUOu0<l7yuw1)*o^U9Uaurl5wIrjnj
zA5<=?_Muj;4aZ)F)XN$*uO+_+#%bhPYh;djbuA7VKX55Vf3y9{Mb5GrAly`zs9nC}
znBX(y(>_w`y4$>XQk?f3>JUdhY>XK1g)Pk}b<cfZMPCsIN(@??i7?*X{JrZ??ic7a
zhR1&hz@se9(*H3%mKZsyZ<i+dTiW#4Dijg{PYv`;&s?3+)%bFn_yUl;O#8aOW#gJh
zdCTxo&ntt`Pj<eK=%}hJ6c=7jE*DP<zYK2wV#3e|C)*jXE@=$KaLL_w!1_%bSvhx}
z0_Lgx8{}u@hC8xsZXZ~P1#^mhp&hBq)Ie!~;7J67(kWJ<4->BQv~=qEa#HIFKaGZC
z$Gpdg?}SSf7;^}L))B%a*|j`Vdb}tOKM2HeA%eliXI>RMDM))PD~fvhGpvVs=b>rn
z!mHnD@c6zyP7oIIN*nZkZC&99STmNKlw^_geFdn)gl|U()RtFrb&Wh{F9Obcup|hw
z`7pLQNaQ+NBSS$B&Z)($=yjb_Qti><MHuEx?bom^y+7nzZ#0xe5osQhQAQwi=-m9<
zKN`))c1kQ~((KHX*mJLv@6WVutb7(YMH^;SZBlq_>mEe`eUUm8sEl)@BDG6r)fz{f
zE=6FE)S9Nfmu{t@<dVTFy?vdVF9-S0>g@~5f;bp2pz9I@1kgGu#4F^+<uB>f6MJg*
zFe__a7|$~qio<vxj+cU+4_}oCc^4j98CKCqbttOh0%-Y9Ka^^|ra&skAF0`)bYueO
zKN;}-X4Sob4hw6Yf*4|3z~L9WH?-1)yZf%cRLCjoqVgwFB<HEtBV^tKGx&B?8bkyV
z@7ge?MaMl~Ibc`Ua{z(65P~Nmu_6vT-c{dsJbPl6ZjPyg&TJ^1s){v>N6+CBUGf{@
zLCgY5T_==o)aTHJ{`#m$u>=)<FuUZT5_%!*?`+DG(yw}?{=^d0-r~GiNo3$Z386;a
zhReQ44+Eooi{LvlM>z?z(3CQuM);w6`^y_r*{G{4QC_q-jMuGtJN4aQf3!&p#m-aa
z!(fBtNoiE-Gv^)uw<de@WvgxmV<%p`RB4bpJ*{j~CgYk)ooQ*o`s6{CP5CnWsai8@
z$(*H|I2t1oQ6is%W|IT*6Q51$Zeq;8rr*lIGtB@{7(OwJ<gb>hB8glRmzdOJZ*bl~
z?#+A+ZJ&OFcvN$Y2rk;#AML`VCubM26hmhm&DB+z6g$c^r<RWtR|0yn+K$SkdmiT_
zb;0S71G?368JFTucb(u0+M`k7DS6DTn2$_#CGJ*)2LtwuKA+LyOaSD8Z5WUi>JNnd
zdr!2DYsdD^nG{WsO=x9io>E0}Q3}Aw_|w_g9jT?Bw{3=e{M{2Eg)o4c{S!B=_EiMp
zzS2J190-s~Rr&ip1M-_uS2XE%a@;zsgm27Nxf(bj8X(CILQyi;x)#3M#n+JoUVbW2
zs#!%EPHywRF6sM^tAQO!B`I!ncmAk@VKMUmzT7_W?ri)>2v=jQIdh|djCeK*C117*
z$Ia)%12TXuKWJW}o3HT{=+HbWKTjH0pg>g7T`@wh*-<gVeQ(x94{hg#s9;l9o#4aH
zmy)hqRZm<86U9}Y6z<0!94{#IV5jb%!6L~LAwqqGEs<)rd`R(FROTILTM<m=QX^;P
zm#JZ)?U5Lc`KT=sK{16W5?#5ta*4+_Umq-Em?z<A21Ws|PgV72TOV!Zcg=Uqnc#YU
zAhAMsB}cmhHS4fow2*Sz@U_JfOcipZ;wiapqj><H-8-9EQ2En3Nq_+66rl}L`?hDW
z4)<_aCme=y*lr|-gLmu;(_Tx~@6+b6(TjeXgEyS$MX~aSvq-+-L~Jo3><+gSD_Q6|
zz47|SR1)q&P)%~h&#Mqx%;I0?7$lNa>GS6qF?kmkLa1T35j{#XSnz9^Ulp=Izt1gt
z!qXDty3UuaxBY_gF6c4a^)v$YhVap`3OY|gI~FlvUuWNyR&AIzxE9K&zU?RIqdZxv
z^Xx0YjKD6yTBd_|i67QJ8LAc$sbMV)SLTVE)GJZc6TJ*kFIO@jsXTBvG{6rJef5aC
z*s$;^&WZSmX7%$hmJ3FlcNv5|-^CGEvn8X|=m)#(Ia$1KH>Ua96Kql%exzi$vGgoR
zOW6f_{QP^q5(RwMV4BfW?3B)C(nLhbo<i;fm}ZO@U+AxJQg6=pxKZ_(3o-Q#JUM!)
zmCNS2L!F6Du%u$>>{#p3zb79Y_rxI$C+N)xlY`-WIAIoJ4n+r1wR%avk(9B<tmcKk
z-Cy%GaZ+%5^<neD=T>W@)-8JIJxGBLmiPV27rGu@fmM9CGe71biRti=%8T8{+F`Iy
z>HjoY41Hs^el@AS^9z1HElNAKm7RxA$UE#({U<sKh4Y!VCAUES+<KK(5drS7@pO){
zz7Ug~uUNOY7Z5jm+^!`Sr%G#9(Hq4Ir4&^VI9H;nTy5Gq*bC7?m_Eo~LkZ*_DR$jf
zRzF<&o}NjRips{b$;K1Ab+u$5a|=5UpjVCONI$7p2ZSo2)DKf`#B0~E*lJtnoox|4
zpB{8nN)uBD%}`fNA0377z5Dw!RBDzQ7)e3L*KD+z*>~8pt^4Y~4I{KhPdtv|^=M;<
zH?-!{KGT&*6H|0*V3l#X=$hW3z)?bYVwH%$4E$z$AhO1f@QfaxCIw^KS=A|O^SFgh
z^dn^WzU$I4fiZgIsGH2*Zm_o)U>@2gV)~V0TgKx2I#BOc%p4=16fAaDC$)-=nFJw+
z)>|*U(S7u<o#ywN&c0%B8v>+tbSq4XGO%@>5oj_Y3b_sa?y3LrZiXo-1yFW^IJsAA
zOP*tEQ*TX{_^VLl&zVY_IjVtW=s&X&^VrN?J&7Il?wPYvl;1Iu=rG4@E-K7D8UCyK
ze)wPFWEod-SJ$K16XWf($RW*P7uVE|U0YYJQ$BaNik%ndeILYVs3=9goU5wBegHGx
zK(=lFB076#pmL^5IyyxwPV_8)*8ewLeu=$Kiq_A;0~s0GghAEWN#3oW<Etx4z7FN*
zBqF=r%tetLtTvnbM5y=A&m40D2Okc0dzX4-K0{S22shnC;Z&3^&4|ol^}Yt*IT~Ef
z&zV}2O5Bv$ja608#N=(*2)dVuR4K!Dtn!K)?8_I{h8a7)b%y9cBQVVed9GuQ6ArDo
z0rLbNSE{PBy&;iR2DwEr-q-cV<Gr(=n&WX|DYJ3k411)ysw(xR!D<f(f6!~IFm?qt
zBWm6OkmLC;vxj4yZ)n6>tM~g9QN+?<?SBDueNt{$UE>=0T?ybu+kjSdf!y8vWaUde
z%g!laj{u20KyAu1TU^vG>!RPrw4gFJ5+u&XC+W*45v!o0#xzY`_d55mX+DMln=V92
zFaF4#H%afQXFJLF64<d#FCB2W!5D$fm;%;DWI~^a@(2<r`C`OmG^hwdWq?irMQl`4
z!k=B7Jp1Rn{B>g6;Ad`~LLqxaFLe)GQm!}0s_^UAF%5j{nZ3JEign6zsrg^fvzgrt
z{J*oj&2ad;OK0Ma12<yo#|uX}%!=?vYQfjhc#kF1?wV+$v1!(qwG$AGes!NIsGsml
ze!TmjVnYSKz6taQ*{g_7=6|W6T$f)lHtwGe<_-M<co{TK7g6r~l+K;ron8O9DF}n~
zeaO|jZnMg$gqhD5Y|iO$ih(Wv_7x@ZiyJP@llZFfNtC4K`&?0;?)c!_zA_vphJDrH
zrz23C{BXb`Q13q`&uwg70vO(DR#NCS(IbBAG8Q|}`;bB8lDU|RRz@nkh~>p?^c8oo
zUX4_re?Faj6K+-i!%IATD=WFpk<rdI5;OF<^EztEjN<Lx;-<Rs-9!x(v^4`)qR*ev
zkr)`GM0&Nk9aNS=sdF(|sh7dNjny-I+vjU7NC%wnyS$agO1fvK+ov#N-Ri4zDQ`(%
zlKgc~!Enrwefy$2Gksc=dJK_xGm>Yj;$kRa$oJ8!Y3i9``>{JA8WRYEm%!9zXDPiZ
z((`0cRtv4$Ii5ke+SEie-XeMTbc1Ap|Cj4%`->7pGe#^J5LCh@*`1?Sn#5KX3V!$@
zo!eOc-I;Hgp+f|N5yg}~ZlczfqtX)11}vS@K^D@+_Mfjy9f|GCgDOZG>=$h`t@a8q
zFZFPwGgRY=?i`AMnwVay?gPjR1eyi3rL&r5-fN^YhlT*2X%yi@P*GX`Gb8mQ0^;oF
z1Oa*fchrb*`3d-8T&Zp$*%K6%I6Cn>sv#ruECA)BkVs0rinr_EAT9I-cOF8qYQD;o
zD&6y8tvEASRxg4ljgHRg*=H%saO)JlJc$gnA)6Fn)a#nPtO%u81q4ARvIlOQwjk;i
zhIu$(pE9BOhQs$^8$x481Y7gFPnfBONA*FpE^^H=<^Ruk<;|+g|EY3uW%~sudXcWk
z-*rXMkdAcj@Q;j9LOYRe9^Zx<n<Rsho@bk`9)N~8AdfHrQNW~V$S{0W!caVGBEvjH
zkKDc_yKYohkAw2!fkA_VV5JiuY2?Q_4~L|uqP8zZcvpID1&`>r$F~<FzrCLN0x=FO
z(M-)INnrv#5hlQD2rmXtQL2OE?vxXH3nnev7#8hA#dfDQOsJVEQ3xbwYg<`AQ)Om=
zh!QDampXGh90W%z1oQLGCf96-j+lL0-$pD*pi}}YK>EoY58?`Y$P~yZCvRZ%qaF57
z@9|!D@T9$H^WABA(%D%{)9(zcHell`fnrCoCnOd<6EWgWwsZ1l6<yATgSYu&wB_#A
zcay(TpuJ?IOAs-1dtBVOp^exE;jw$<vzi0QKcY^P@#{V_ytNq0Z?!3Q*cQpJ#v;6q
z?iXWF`sMN2MGMMGV&NdmiXF|RoZ=+!Ht)(Jb?r<<{skUrN>As5JQoWpauBCroA}(d
z!?syXw`Bb>?AU*dM#@+X*J4%AS4;zLU>{vt*zs-G<l?sN`Twd2OOIa6M{5vMd0Kko
zVnhzuA~0)#rD7@^ry9z>kD~&U+=Wd6vJQSCd&~KP>TXy5Pz?u4;UB0x@fHiKDD+h;
zUAO)$$C?e)N=XCV5)oL1oNAp=jWn<Zi`!v;P|#mY-B&?+?%hW}@|brVD7rvv>AF~S
zIqeL36AqM`ssE4-J?MBqV^VJH$Uz$gLTZ!sZ7^Yhh($0~*poKWy!yDyjW+{23bToX
zlKH@Nco1L&=&r$1d>Tq@H2i+EZITN@IPeO5POGpmXHGcK{+e3R?gf!b(%Gc0OPXuh
z_x6em4>z8UIdHk;mmSltnv({W?Ofg~4x2!MIM1huK>@or`1ZgeD^2X%`1Ls(<I*_7
z_ptGS(mr>xyEB?{{&51oda`$_zj*ZymI4!~s^}dg$6SxuwkGcRaV8xCCFy2XudW2p
z4a&K$y;ruYiZ6znmJB7^9{_L{gu>;Fy?H7>R@9>Y>HP)xEkFc?iawGot<x`v9NL0f
zC~Dlb-W^-9%C%HxN5)u-po>1{C%-;$obc5d9qUn0CJ~55%4T8TWOHRJ>`!%k$_RgE
zQ1TE{WCDQ&DDVC(Kds%I6=`|KsOZ9A`h|zTEip?pI4S6eQHe-Bq2ygm-7EG~RV`?i
zsGNVZmqJ#<?;D+chIK(YBq@M~2Xk&eI)<Z*;}0c1MZV#NO7EgFQD>IOfCkoW)4jk+
zV0|)P_()44j@qUr!ifhbgw1pZ_W}MrJ~Mk-l4D^ibRBU^E-@2I=?MJ#f0+6baH!t*
z{fev~BwG<GA<IzN_p+p{*%@0YF~&0XooopqWM?Fev5j@CV;4fUu`~8P`@Zu(!}q%W
zzw4Uh9L}8M%zNJVe(w8zp2s{9d2%NdILN(%P^O*Ll?=4z3fFdvrK`0k2|J2rs+;|m
zfv=TSwCb%*LBHe9a8?_+ron}GTHHswt`P+B6O#c+%N>4WyPu}M%~l{U<kcrMBh)%0
zjGu0(wBGA7Y(Fle3w*qN<67Sz(UC|Ah6#UcDTtcO7GH=J+ch8@ZgepyQP!?xNHsfO
z{|h?U%ebC>TE=vw*b=#lI)!!r@@%n-`)_~6d`xNrTAuPpUVa`B$~$YCf`$gm2r7Ox
z4wb4v+BO#Z%hupo^OU8X4zHW~$fYOo?S-g3pF@0{)_g&kvv#ep^~<(^-{bcG!UF@a
z)q5lFA0&A40E-vkvY>e8^BBaH+6Gi#ys};KcS<nPZd{|h5$X`26<kC+W*F@%P2wvS
zix_TGHqd!0iK;l54ghi=xm+Y&*p!Rt@T2EhO~PcbI>+3>bQ3c5WD^SfD*@iPGk2GG
zqe=0={1oV6W#Pkm?yDKfEbT>nQs8M`zR9Z!>EOb9>raK*Xnm4_<l#$m{kR=Z#l{+t
zO4WY`bCY#wM;W~#MCgj0Ol0a5Ii1+KWwCB}kW%f%6hYmySe<MAx*HEIjP*7H<?K6J
z9Jc*7Ng|dS%38NL*~I3!@CACFHDkgrIvd$|Q@!I;VI&biMb+u3uifgRSV|H6Q2-bB
zxLM{0zq;t@h$8(gz+QvVl@CQ;%mmBK0S>POP9P78{lKSrT(#UT9G~7&&XFqO6c8Kv
ztGnytRmM%?&;1xtwy%b(e+XXT5rQfN;0Un&kv_AM4={&Kh-~06Pj17Rh^Fc4u9TOp
z#H$UZ!FZ+sgxswwEeCzVjSpx864JOUl}~Q;fy(KuV?I!k6^pNm_(RQ*8{}5W$bXOL
z5{@8zYhNImCC=N)TQ6%NVXF^vw$`mTU*wwMQk^A1?pw{0K;QHrSF`A`_4f}cr7P1y
zMBTDsJ1oGJ9rOzy!5B=`zDi5QC*Q{hF3BoGACag#HU3c}z{GtiY05!*NsqE^JpOE+
z_UppPfr?UnWwrfpqEj=L&L-U(X(*|7+_BhI>=Q{)wpao*Wa_vmC{5xPkYxv#C`=LF
zyc3t$qRhDkH@;sn52Q=?v9zDlD}||5oKtIo?_UO6asRc!zM3reghUR1yR7V?l~l5;
zPW(~%;vtW!EXCg!Ya3$W>MeY`HZ(6GhJ~b5FZu0;6y2`<vpL5tF0l)~<ez^bs@S}`
zMJ=U+2~$1yr#q{nm*m|du1RE79+wF}`{$i*vj%w0!ACZ+TtJ;3+AHyW`F<RtO6>7%
zT<42IdDu>gB$T}N?5zaI@|bUtTflooFoMOT{6C<mmaVLjmPa*v<5G`ehryB3BRmZS
z>z=EntbTM0E|FxobVZ0ABhTM-g;E8C*`;1QG6UO(L4aUoLU|>Tq-p703wj1<{FeTG
zH_%v&DkSZAC+jB$$)5UGIpZ#I;Q}Y)9y^clrO1j!H+%RcrLC2kME)D!fm2j&<$L;?
zVHz$&mBgQn8h5q8$0N1{iI)UYFgBW5db@&D;6we&SI89sx#b_IM6Pren<g>Lp^_Qn
zYkVSKpxc?utRor>Wd))ZRLXQF+9xJbMBPzDNv;7Pt(y88l{a!AP#`TqY?T<_si}g!
zvBa=2`Rm1@ghdkevVc}+-#^x4BzxoBJikREelX%~lNbJxZjs#>Yh&bX_oVWZ;G@{e
z$ovm7pLR!)ZsvSdkGe#xIJ^IBtQi}`2+16y$uCR4Hgmenb-3GKP~z4BQ4oLvAm-#V
z(91}-xBJFrZvoRdAPDtsG%ih>#%#TB$hsONYF%mHNlmM(?<mm}6!p$ltyD6x>~+uv
zfxHklySG@Be-nIm5WyAfvS3QMZ9??jrV?K~HGM<|$3$VKw{9{S&SeD0Xf>X}KW6k!
z?UtoP9fz?@XZ*9D%|H5z&I)ENL=Qo(>s9*1j@E(IH1Kjx2UnVU+oWUie%h&&2*A&f
z1BrKZ+XuMakUMz79(Z{qHzh>>rI|T0NEY9{0d#}Y{ohM2AA83EkJUaS&LTYNCEo!x
zO^pr$AuEG((Ra!x!quw2E|O|_%oPDQ;8H#OUnG5{B--&bH*$;EbFXu#0p;2An38WF
zw~+=V-+oDD+T!l-`wHZu*vUBO0Kb*m_pSRb?UD2AjtS2FlY!5YzV)_F-EUw(**DM!
zpTD7;*6SCYR`fb<m*wNuD|e3ahQC7VVkd*~uIh@)#ngaoTAN4U+XpH{UKQn)7Q6Me
ztH+u6!WDn9fNImW{goBSCF8|g(Y&jS-pYMIOBb;315PQRZi54B1GxyVz3{s^f-Ul<
z3&A{VS)T9&P2f-Xp9*^=ua1skkK83n2LyalM!E5oh<X%8!z0?M7CF9Lz@)<|OgFj>
zu^Qu1)$Gi0ox?aMHzOZqu<41P=W)S@4W=K5Pa;mXrbz(2M0;<28P0CI5$A-Hlu`a#
zFy2`5`$O}Dr1F0mr@T)k(vMkjIc3xL1>A-a0<oEbWd*S<UsWLbeA08xB7Yr5QV*DI
z);Bmo!w?z$UfTdwK5WELN!A0YCmCy2SKaBr;v4sCbz)7<23LeDN!C*;8qCB^PFls2
z<IdGt%C}f;+sE|Ir^-?~cqrK)+dkF%Th<N9zHaxdXsvq4Lfapo*A#p^dckhp+|k{4
z&v0@Wt!<wiM;7rrF7-VO%vg6mt|#22iMyRVMZ$Ry3-NtdMhy*FGhN(Pi`azg|9QZh
zG88YDfc-<=9&nHPR4_SQ+FvNZ-3%e<5D1tCd&88;;QCw<_om_cHohc|fe}RB^||9F
zeTckz?aTRWeCDRf+J5rK0$sKuE*n{(mDFJB+q~^_4Lg2`R-jas{=>scJ;O2WFDywK
zht@sH^u+}>g}Vy-r8+=OO`sSjdpxjXyPpHU179QgxGV7{!+-cHSDY)i#8<tkL~hS&
znQWwVn=`8-34qE+mbwZiP55(D1@2dUJiR0PojB=gAgf{O?u=A0pu0pK&|cP%QZ+33
za^HP!w=sTcc5}G;;-qoMZGvV0FYJ;3bD(?_>xQYB?CJYWx_h#ZZ3R*aT0DgVe>vXX
z|MB0=a_h$x=1t;kJ3IR;aq4LKZ-6uS8;OYmN8*T#-Ffqa-z#Hpi`W5kFu>Jm;%E|`
z3W#^)@24Rg2UjOATz(`9*2H3x8*qNO@!iiKB$D2Mi`~dvMKX$+;j_19zFPwk{qjmb
zfJgdY=Bw9;T^~Z?mq9tmu?Kq1Hghel<bgbZc5ee<=-FHE98p~mH^HT0)u`%AO@{yV
zj%|nUzB)-XEa#bYf8np^)BnP+GWVl!m4PDy9uj8z+IXgK^Y23jK_GqGaq182ED5s>
zW5dInzWw*<cK`^f1-PFkC6X1$8v2^H&7{*W^RX0pn&uboo{Q-mWy+zgkdYv2b`d}-
zpVg0=aQebHM^V<d=`!(}RQO!C71w8Y_-NzTqk~k;S6M+&Yx!qDoH+)vA_6$YOBAdM
z^Y8wzv6K3lVTFZ<5-`VNxY9`R{M%iTKQPB`y1Oz!Y!6Ht@7k7dWA4*J3HCNm`Ko1~
z7gaB+&c8IquBHa$y?THX4Ki+{3!XovXGyDaIB9uoJEG5M`T|nxtLv;B*kBy5Ti#B2
zrQ%GrG*$GI7c5~1Z>QsPP~IffmATaRCqIi$y$+NVhypY>(dio#s;Zo6J&6JjHJUFH
zNm4hzAbr|(w9q*kwf!{sn?@2@(e4>*^JnMZy*30Ki!UD&T{FR>n_!XIn)fkGx4<jN
z6(recE>ffb?bTHsSSiX8^Qa}yO=4?^ssYl*wpazoCZzH9N-dQ;liI0N5-)|c;N^Hd
z-!^W&PR=0tgrik=-gD6pNVgybEY0PT--o(499X-@E{ioPp9~p&ufrU#e*F|zR=MF4
zMhaZxL4G|ZcT1gfo3?*NC)8DMmYHaaRxoKWE{>e>LnOCZ4U=;_i@G49EhCg9@-Y3v
zy7*>*>xyPA$*)VkDRb`6sz8)hb>vPkb4FN6H~PUw;pw~9&E+v+12xhX=MUu?bvEaP
z?~hf_3o|b2+`h0$ok_4PA#!~e{oq&t8M82LPpG*6U`W$Q0uoU0IY(|Ew>t~{`EMYA
ztYwlp=Fwnh_bq1`JBxJ?Rn>df-hxT?ItvcLz<nT9rPh;qBaz)PSf}J>02c2(S%Rrd
zw|xWb#9ixVy>O1PWfhKxz8BvZ+yVJGWHX^hb_|Dk(PHOlmwuU1uxz2~-u<^`6G+5y
zA@{9DP<Kb1PAjKMadKu>dvF#N-xo&-zUMwhQ}B>u6-Kn=IOH|bZWbquqQ6k&&G20O
z0h)jSItslOioyQR)@S&ic7J*Nj#u&%NABh?G-Yx{3n9OzUde~DQQHX27Av^fe@?Od
zXOQxe+ots%z-9w(c_koZYvsKx_)o88$NN|0UmUAedzt6YMN?CY5T2#Y9ioo>wsxT6
zan@v51Y(}R#?^kCjkj4X!YJmz6tG<e1IEGFvhim6yHtUcSNu**aK2cduKD_dO5oa_
z$v4~{LHWS33J2pePGe3uf%WaXhKa$YUGI8p4o;P=%~{r9HwiGq&JbhY55`Fc`xMr6
z^dz=irhp}6BVh<}6uVsoNP&2j^sIcR3jNE#g^d-z+yd=CmGrkB4I<d_X-LLN@0Xo4
zL?#~Zc4Hz$Hm;bR2pQ|-$;Xn5923>*SLMF=ww-T<RiaFDEb_zvwx_mn&-&O}Ip5;U
z_;$WU#<cPF-fFLbr`_t&VOWXqgm48pr7MsauW^uEL3)dlsp~dUA8#*+f#~cf0;zrZ
zb%n7NocP=}0}qc0B^>Lip)_y^J`qt~>miG3+-msmHskWs?M^M<c)7nuPQ3(a#@nvs
z-#I5nTyv(Lh384_2WQB1!-{aR1T-7vLu&nZP<4Gw8F@EDr+*4IDL^pc1uo6y0T;G@
z+xz2vF9iz@0&LS6`IHQajlK`o?2V4qnH(H+wVg4?DbU4VNQFyMS3F)rMEK7BM4t;K
z?Yh4knFPHN20=~CFVd;3-2Nlv0~)X#O;$WcH7=AeN`=rrZuqvWTFa+KPV4q!|0(<e
zcW?09<8Tx`;^~1d<2s8E`UA5RYNgHk2?}38;4otDej2e=o6p_wPFK7>3qajm)46Z)
zdE)z?hDqE&(EyyJ#q#qmL|+5*b|w0>;=*y@Lq169O9?#Zty*hsYeaph94YXEoT-!V
zYXa7U9`-D*WB9DQj)c!yhPO@jGAV!uC=>mWJFN~_MdEwE5t&nO{AXswMr1A`OZl&l
zS>YxoUp>|e_?Z>{$ebjxi39Q`={r$V*Y^in3ZcX4G|$vqt%Ls~4SJ{v3^UQY9X)Cq
zpZ}wK_WQ!%pmJzb-P=xP^5jf?XkI+`B=52_#-IR-^VlP{<|Mkn^_7fjUj1F$`fM~=
zO=_;-dfKY-{>hTH#KbKU)NJkMlcLX_6|Rp&1Bo0Jhqs7&RPv_Mqf18h;wAk^jAD5?
zZyjZv&QctOl~#B7Z2O%Cjc^`}Rirt2_2<9!%j}uz?frqlXv(Jdd88RKfO^CkNvMJ-
zU0l_PpHXL&C#`dn<;!+B2Gfc|MRF6aS#Q)O<mR(2oXu!UL{cU_B}-Z-1qZ!SV%YVY
zqHQ!C|I0Z3c2?RlT%$qgWzgU^$h<C(vvW!8iHg)Fx9GS4b&AZhk(xWLF(&Jc&{*7B
z62Th1U2UhR;UNKPokJ3B<g&)%5++hPPYUc&?eGg$3IoSU7Zau^8QKv4><iINAyl`S
z9iPzwiFE{d+dl1JudB+w1B#aqSmk(8wa%<tbW<@7{wvr<NgbQP%wf>cZ5gm8()asD
zN*qCchyusCRi*Pd(Ej=Sk<@s3!msz~pU8dJ1;2&QPFa|RjMB&KE-jbvGI36x>qsvA
zbK3H?crWvkSfxm@yJ<G9Z1f(wC2KPa5%lq^e{iXoWhr{I2irE4Yn|Rxr|@4&9Ff`q
zg~&Rj`L*;861$k$^E*iHmBXaiARW(!AdWXRRj|FvEa+l%fnAk$d4bJFj6-x!+AyN6
zcHOMwX+!7G==H&@R!^5`)(XHb1QxemlGfrWI|Hs3d#KW4=}~=>Ph^&K|I>eHr@^MJ
z5@F&x$_Jd{KHTgzKO&{Zn8K?{zrOqiN6gY-3{+ZYJx4Umd(eVFZ_KH`4>Ox~aWh%P
zSKCg>pM{-Oa>I*~kLn?isidqb`i=B-ty)W>mwSO-A1N*KI-ZW0XRO(BS~{yw_dfWr
z{4Tsx%22fglbYTm9taGMmN?GfTaWHhK7fvqsSa|Z)4o{Q+J-z{GU~jo@mMtY))cpV
zV(Lnh@`QeVZV;rCY1M@ihJq0yRhA!=<o#9t$m|rUD_ZYNLY=aHcUNrCgNoR>%;Whu
zjVSN}B_b7Ex(Y@szdmTy0^hER2i@)45?T);>zn|(w*pUqgTxRgh$;=Kq%7>tHZ*!i
zG?vIHHqQ70+2Z8#Vy_KgSh5ADw81X*QDwOa>zHz>W{MOg0-&39?@Uz?R-Sm<)O-*T
zK(%3$2gnu`2ejGf>K3|i9mojj&~P-Q-x4H2misTSHsc3PsEb@)CA93vY@GO<`Q^z4
zS2dTul|LzY!0+3<ruhca>$;A~LLc5Q&ux&uQvf?v2^=2yfsJNmmWic56&>fUm^(-w
z)5)%Av&cg$ko6eJ5p<^9ND{j#7%bUyZ~M6b@>8ZoCfm@7kz#Vz!K7b)`n;Y>8JpIK
zhuv_Qs%~PLVlV1!^^KcLE3E`AyaqQ&8Dx=GZN3;A!^R|ol6~YUV}(hoPYn0#10-Na
zn*cr=!3IX7>q99IMM2@dxp8qD*qN8L%8sE^Xea62cVh$pNf>WW9+mAlOrZr~;HrP!
zM4n>oJ|Eb|RzlTCZ3=kmT#bQm>4i%ur5ljzY87gaiN>oUz<ldd>w#L33Z8r=PmlCH
zh-%VMp?nHSzL)~6lZ&CU`xn+96L#{?esQMO1D8`#08g1ZEPaSOe~&3(S^amIjTYrw
z&(#xMF<2g*OujbXXIrXO_d^ItlE32!bZm^3cK;zZe;%(2N$e57ejDr<+M^A|tvzF^
znaM}3_VdF$7-4%Uw(HY}1S!x;8AyCghovr$%ooOvfJjul!~UE2OpnxS=mnDL-r~a$
zPhi*iy1A-z8zVZdxls-g>-k5LybRr^(i_+MeI0S{uTyMdZFG$Zb8;!Wtd`}bWf147
ziuF`)=k@6!GIh7dP7_atjfY0gRDGxZqnb)WP4eZ7w^A4x-{&wWX;j8^77B3mGAs^9
zKeZ&IU_osLrpX2b%LWWy9(w$7Ho6n`Nz2ljG3!K<VJw0upE0?|#H@|atu`?N^KMqg
z1#8bB`u-7g21Z7`dS=vS;qvJu>HXK=88;-}NBiuTr9Qo$KcWw7r;V6wHG5<!Sd&Z7
zQ-7DOp6O44J(UWoZ#Y6(zV4oTRRpF!QU8+`*9anJ6r$2B@)b2&!Blr-RCI6Gs>Dp}
zl_i)_@*kW^t!)0sW@4(17zw%xxtZm;KamM6i{xtT?}xFhLK{ZOR%Xmbf+d-r9LCW<
zk&UG5UkJm3p5y;AG74Z~XQ|@Ef4}$D&?C;rSQbXMCO$tp5j#owq;ginuBp?p`;n~<
zZ_&h)ypSqRnCi5D?n3+8(ZxiK%O8n^^Kaual!Qpn8^CkF%7$9>^_X6E$)m<U<l_Q%
z=bvgGGkN(A*8GFlFT?90ZPo3O86LAHRoj}mh0h&v1gZ$XOzaE=ajc{WQA*rSclb?D
zUn@F#%LvaOI*`VUr=Z0qecmYngLl&c&N!O8S%FjYd<$J8c41UGUN)=lYWkQLPo39>
z#;feFA#dMk$PWB4r@9|qQ@2N!qI6A7K~c;2Ky4!XPw=F%9w%*mHsREFt})2PPsPUM
za<HA*l(y_6Q7O)vt%Dh>%>`3c?e6RObTPoZB6%o;;ZIdNL0i>?WavtT&N5iA*euq|
zrYEx1H{H9QBz8mcFZB;#Lyp}-#187MhdZ>gyK@a0?T7ckYL^>m?kgx43?z8n=Sgvz
ze7R5f@qEoo;sYhP)p)wsx*C~Ls(;wF1ueRd{`el~8oJEsI^yVc9xgb=$b!6g7!Q6}
zwV?q;F%KjUBR&+}qVVY9PT3sXV}b}1p_1rX2GBjU;w&qNT!NMZ=*_I2$usLDwG*-F
zihJM5)YAQ+e~rE429kQEgWZRgyi}?F*(RyE9ODEjz(PQIL2)|ZztbE@I+q~u7{Jb|
z;o!KVBq9^pzBZ1?<jmOxb(BKi3hG%JCC9pvq%b$y3Jm;S^%#T8ug7jvuRN`31%rsF
zg4EQ`$Jg%@c2|6S-S6bGudsjWwOI{~lUF@a^v!5V9s0T4z-C>j=Gj?7^y-IBUt>lR
zeNqw;mC*k#V)XPAOAh4X9xcpjREBuIyEU4wPnl}a9TSfV_5pV@Hw_%cO^L6Qs6E)8
zrcfoB#MOH{3d}FGT36O&;(i_Zb0j(V3C!OZ`tIptuP9xx^;`zUF3^zZ;3x9Uqs=BE
zwFG1QGSugfZSQw-Bk1dffoK}v(ms)`CR5$DDp$ABXBfhJt3plmB`^B0Q|X9+PSe8o
z9=%JE)_^q&M8U#ci90d~vM^N;$YQT)5E8A55aNmy@hQ<~sGX8BM3KjPLz%Q=>HXu>
zwgs4S5J3GgLrzirY#aN!>uzyly=zfpy$d^tA|)>3pk^XYbathhJj{P(idz(9{DLdi
zB;w8W=9(l>iKG6s(a>SqG&N3Qtn1zU8jB!8K_=Gr)_*C}(;KayQRSo0W9dt!-b+zX
zO=&a2+R>vnF<k2yr)emsos2Gw8<aN<8((p^6Tr-qrYLm~BC*`}4)a2>+ZnY*x_Ym>
z|9mG(5xZIOarQ_Co*rUT`b-U`ljQnGqS7@h)?S0cwKTPq7uYkEgHFmoeN~P~wNGC7
zE`K={qm4I8B8sLxqQ-F%H<8#+xybQn?ZSWj<<j`dWZ4Pl>)LrUgHNR1!|691^Ff)d
zX(oDZqhWgVVasbooxKB%yTckTLc+O|PElPVeIqgFgMDJ|t)}G~>g33K?>dz}L4h<A
z#5`w3_XoEHiOLNuX}!@wqBgiX@q|wYY}Z<l8{{eK!<Q*X#0|=x2NoC0eg{LX(37sL
zQKlP5Lo1_1#^CZQn;yT94~eK~o?0?H1epdKr6+!A$OsXI7e#txPJR@jO@S|$kEk-)
z_Nwb~`+sykj^$;Gkq|-0ezA-k4kS`dZ;(rW0W1!0EPH4ffhWU6f90E|ECE0J?rdt_
z*S|v>rd1UoWwvkmfd&M&#(DB2z!4eEon?lD12Y_6KciAngsA55O-o8kjT2_)MX%|C
zg)aLs{<tiOMe^W$%>z<TH$`7P9@{wr=eVt&RkIZ7lUovMtiao70T2DwjiDCsc*Yp8
zD~;^(T6oTWXB?j<sdI~KTu7*S@?0M|{Ts3DxA2W1X@o{Fh@{*!Y+*DnU5ptCEj{fj
zdl)ph$|Xqi;vt&DpTM_7{?@+!q*~@8F{L3Q<i3Y!`O3sJar2hv?s#7Nt=lZl(c@9-
zB8;ZEC<dbr&4z43L59VN5ShR{)Uq2|Zme6IbQ$aGivng%c3>*S#x0JKBaWI5E))Tz
z_xE#sB1Osdy}@$p!+Gq_$yi`d)YR@*uM5Xe=gG8>w0iFWoTuJ98c=sp2ba4(e?e8o
zJ5fPi=3<<5L=~MbF(Q?I*b=^Grtqw#^s^4az2rw$8)M0R)V#WZ<w4+2da1R?_Iwo)
z82?-qlQA$leg4)=IZA;E%njNc9sFo>jviEP2v8Ust#0|Et_`t|#jtybJ;YdkmlCD*
z{&$-$UjK!F;-_G-8~EvT$eLHsk<d+0(J9HH_T&3W0fC0Ina}VkSLHvWH5wj+^aWm%
zE^UoSwXzA(Ez=U~c-|%Z+O_C;^9hrX$$NH~56i2R`-G2R6jTk6<<&{`G0NxIiqv_M
zDeybe{J3>JoJq*~fo%avK2T^T_O`COo~m)Lg5v&fr4?3|@Zk=%(N<rtcV|sIeyP?9
ztljC)B+t7oG=<#LjypNP@8&!5QR(K8`<HmuCC$?;mQMG{hWN@}GN~HkIueW3Qv-qD
z*?DJ-XJ1o8;PEIO+y>?JaKDkQ8WBg4{+dTbtDi?kRzR}8Y4cj=<~5ln0>LH%$)pO*
zd5=a@lc_ohp@rMEAy%PfNgmz7s@pMX&&u_8jwQGUs{?^3Fug~^x3xf)JdzA_IgLk%
z;CcpA&tmUP(R!4|0y&lpE7i%7yyFZ48|BcaP;pF<`Nk8dRly_n)(u+`>?!$|k!X4s
z@A0}%cSO>5a@DT=<T(KsjGe|`cadbRs6tNtgXiKbuu>V_#5F%?J{`V2NObC6<#ip2
zT2EAUJx#ebr_AU6g7aD%eMH)N;)ISxe%0c|T%3i|X+!gMY^!u}Eo=H!0E3R4MIuT#
znW;b&NpVC}pj4N{Vbpie8~oGocXdhL&NsfnOEo6VZcMTN%+fV8{<iIU0w(Ua4on_$
zfJNGg_JS1^7USUmnpKt6_oAPgju0hcx-FSL;De#>ZE61$o}qZ34m6=PX*5pEa^iip
zRQ8IqZLP9KPX3tX9LOvu(K_8R`QDtoZd<@YFjTA$15<hzmsUhI6Yy>2qkLT|&hvu6
z)GwtL`36I80iR}yk}^w0qiuv@d9{chk1QTlYJ*{hnz(zWZ_a3d`J0i&U!eC$wKc%D
zFEsQGnG}i)Q6JNM^XM$Jvei9|w5MMJ<cX}=FIuHYnvNoi{2bi#Uu1RNtcBaB!OdGN
zI@`aX$}Dz&Zt`p30{Pd>!!D-#XRDiiWh6by3-yxx)j7eY?Y}I%JrP_c!X3w&EyQ*@
z+e`c~GQW(vd&rDBs#8@EbFEQdBY`N0!U%M>JW%!~(Ft`?^;z*@1-*!WA9i{}ZzRdE
zxT9>}=pLYPR2Wo=)`tdg>)b^KAU%Zo`$U$<Eynon;~^(n@c(>u0{S4e(MQ%RKWhBd
zxh1T|c_G$rsJ+RXDx=r8F8$Hd_ygTtm}(%gXo{?S<VQ}Z@P4cD6zvUB5c3eDC4be2
zFqiUjOCYwX=h6~=YY6@Hy(>=){GJiG%#=8_$u)ES#Kk`P+MiE>O(HdAPNq3w@kczh
z8_?uAMhw*W@vfP;sa@!rY$lUxnWOHH(h6!8u(<Sy)Ey;dMNTjC^7qg2D|~cE%{-&`
z=@+SIaTL|{Q0pJgMIDL_zk#y3Kb-k^@aK{Z4DaG~ufASUl{`exd8cZ|H5}68QT+mc
zD_Wd3X58dNcbuSMF(hir1jzZHi00;R+qNhVC6eTn4;GTowMc(t6ZZUD=TT<m3ME9|
zKGi}m$M1#4MagQB0<g1((LcDNuQ+cL8-ufOz)=zExiX{x4Q>Nv>w3gw9cnyj^iIdm
z+R7NMIoC>ZL(3ifv`8&$JMD34_w!1*4wy2a|9E-Dp`XUBBX1&af6~faDyJO$F0tY`
zKhL;>hqYgiy!z_&Ac@J+Zf%{wF6Vo|`*)OyR=)}asexvnXCcvfiJop>fNH!R0}DjU
zV!?*xp>F%-!XjC_<MJLB(r2EY&0M%C{V@yyFcIk?+G<{=R<(O8dQh&v(1d*RQwr;b
zor;SbqFXRIf>TM40ZicER~4%}D#M|q4w)9INlw6)p-heT=u)QXN!8TJYb(v|n2m9M
z^q36*&JY<X#&?#ZgUL(c>HCLZVR%TP#c`4Fq3Seh@E_#->1*I|8O(!ofmob7vw<@J
zaEBYzT~>@*bDB%j@v=v(QI@@mo}7yoaMbp3a5j7tVpr4udCq~=po7X7c_;ehVpto`
z5pYy^B;5-{B7@AOi{nsQ_rM3hZ+OxN4`#GDuSJ!@mOtf46~~!q+A!>)^IB3tI*_ef
z!BFOs%BE$AL5I~oNu_TOP#%#&R0<@PZo$(DnU;>(*F;<&+N3p-T8m`kMFx>GroII_
zP)6AL_w_aMiHs^Fe86?>&yeA9lLz>jr-p0l{L7Qh6_X?J7V_?;7N)hi*To$qJLp}j
z;1$aXWRtVtS2*to8Sm{BrKTIXpBJafUEd(sfdy`h6F-wYLs)DWuikd8egBJ_LNqpx
zBwtBllV4($LG{$L>a)e;tF_}qtc-*<&F%F7<q5m7+=>-ls!NP>>5a}ADoNh+ZB~)8
zeBBY#$YBAY9|orY!NylkVOy)$oBHhRd>q?p-AGlAp5eFM@;6|t_#8Y%`*7UX(G%CN
z{u_9XXHNYs{^cMtA|rE<)7LK_yH;oQ(q0}PyKLqaLi_`O2v8Is3;*pkSO?csCK&2f
z2AC|b3qt6~T^GxthDJFnmWUaIh@<><@snhSv^{WpOBo;7g$zX_KAnz;QCu&KOEt(S
z8-$WxqHQs+Urt(1Q`*IowBBnJku?bsz6I|^ZUm4wwj`147(@;~`24nnY4wJ6t%G&1
z-1N8fvK1z0){-|oIO|l;wOex46Z#U(DXQLWjAA#2dgWm4-|wwU$7Cp;MxF4Xw1i#K
zUMpLy;asy3h7vv~EqZYu7PButHLbd3{%R3vacWQ>sGRK+^Ap?Ha&!UO*VM*YDM7c~
z!m60*UOwQp1@qZ@K5wImuZM_fx2pucDB!gILt)qE+Ov5rKO-2x&d$ffOHund?&%zj
z^03QK>_W2TW#s09T6Z?~lYrzWEyz|A|7cs}rvI|J6MFAE{pmQ4duB73QI~pA(Z+(#
zzP~bG=Xb1{wYW#hNvTlDYo_MGIuJcTXsIP`hf$sz^UQo$9GlctC%8Hx`9UeCcpty<
zhP?-)$Ja}~jBFYS`I(Xuy&=|X5_}Eis(y0_L6GjedkY*-5HvXd9RF<+Jkj@!N4mHj
zrbSlBw|`V(&pqVH`HC3RcC<54jezP35KL4Je6HR-E3}+@e7PfkmqM2AIO*d;ZLgiF
zNBp$p!PiAe^QWnUzP$@9^>_Q~x#SB!q*96>Y+6*-EB|&X^Br1q`a`~9zv1tgLuCZC
zx^4e{<^!Yd<6NbOst^n%&;xwnAPyTbu)sfvHsFIXZxiYr<e98`J_-|ltXtM@-@O{c
z-zk+rBQZEs^YRJ|{D?SkYumP6fG6U&wcBECDjQkp3XUFzo!#k{D)~1#eB}3GD05HS
zvWFWV5r=&oDoLn%kYn+b9Zd!Vy;Znif+i;Z@|Z^kk*>;VtbZnkdt83}^owMWc;nwE
z<)`)KuvMCUBjoy!*^Bj%<-qyF@w{cD+VwM+JRyL;BsD5oES^Q}Q@Z#xdC1S<kLUw5
zS(B1ci6!X^gU(BGV<0;9bAqNnsk$~!g}UQk^dsL3Uml@uY+KK|i=N=Cva%J{9}oz@
zC2!f<tmRuC_p-R05nPRb@{eE(nYw9*!EC3dc`_d51-Qw>P!q|qh}eZO>nfD)hx#LB
zzg&#Xd8X9nEUVsYLL}nMKzDG_MM9U6c<3|WBSj+ov@(C~bA4QP3g)rvmnSr$iJC&A
z4RuRODjK#4tOagf(aooa=zVda&SPBv1b4B6ZUpung*Ejf@fmZd>oud;!GURQaOK&b
zlF#qg`d!`na8>}(D&$F?uf#Oj!ok}c!F-&61)@w~L_>o0@?INNpB9A);s-chwD30P
zHa4;h;mQ{=_g>MY0MEXLg>$73jB~o2M8c(&=_^IhyOa@AyMj}v5n0PE`}I#PDcyf$
z3-;s)9;pnTCwg%}eZ4sFQ0kAJWSzcab-&abT?qRhs)vpfN7pfxtTGP2)x;S;eT=w9
z<w$6$t3UNF=q8?u$w9k<H?p1mCwsVQjA*vHJ9RBZ=Vn>KHBxo+B<f39Ls2NFjVFeC
z3Osa-SL6jo+=tGiCJ5z35*BocikEu?FgZQF<!YieLmZ+(MEUE7T{s`criPY+@6rm)
zH6lMm6+meC7!5dxK1nvfw7h{3ndzqm1ddhMf`_?1(0w5x)svCKxkcChpvDhP`z~YL
zw^N?pNRj<5!^`C^P9`c;KB~Rp&tsFrkm`sUFC!xyx<hUBelF=xd*flsVnpfhhobKZ
z-3X3^*1KoIQM_M+t6?!5^ixUtgh<Us`WWj@p6v5CccCV5?97Wv0}!*0okgkB_5f`%
zE3qd>S%m3whqnsv$kP=th`Aajr=d)Kxs2B=@%{I#=ofKCSYVY62Ln+yddkf;P&bxC
z5n7q`jd}i1Y@q3;oX+UAR&~Tp&Wm>ia=$=|-|Yn&wZPl!V}o8COqJm}ZuQF$;5Cw>
zW%GJ;5=;z8EaHn+!tffkt)50chA}zvC}8z|C~6+6mSk<X@@_~@bMJpE_}$HX8x*I7
zD>}Eo{*tlB?K(ge@31~8v!pcas$l(U^sdz^h7CDdG>RULbjcI85*uH@%)9I>pO0Q}
zjw95L>+D*s{Bp5`dUCdIiO%~SpKWYX&t~{B^qlIH{Ng7;1IC=sJ-}~JQO^5e3z&6(
zZLrUa-jRYvV3^9m$(A%_omR|t(3=xo3;4eQcujXpDeWs0tEuns{Dq~`@#sL7ziheu
zyLY+t2Bn3g$pjMj0y^10_4NTSY%>+DV+c>KRNgc|v5*rYkw;Qbe%6?Bz2}?e0<ofT
zK%M1v7D4l(@9V|GlAsk~tR(2d(Y!6=c$%Lf-{+k{Q;B2g%r)0m-33V0RE}VS4#!58
zkL0K~gJY7fwv1PYH$&_K>p&zY21=OJcbahw3QO6S5vxz7WC8y*&(D2-K<3xi=g_bW
zX~Qz?7gjZm_1w&N4n9zMGkENx1%~NS!|^xvA0#reoJ?SJu0v4>83X_mfUu#EX=>lj
zvzdII1EelpViR1>l13tKu*wq4$!2eUK;1|gPrE|H7C(0OS>AH+_0+pm!X)S0Zu*>l
zA5#ed7Hl<sYvDh1wwv_HT{~}C>2IWuYd`#`K{DH}#5EY;E>*?Q-A(u)OdNdrt!CsJ
zm`8KE_nu(EuB>@aE$~IW$U+bGs*fUaS@+%wAUl;Onjc)uQnd`9`Cc+E0D0frSWsGr
z-{9WOpL9LIji{kN`!lRtcY2)C{CcImAk4T+`3mXt(IVQv;2*dIewZ(jS7KUNohGzm
zU!XTERr8?&gGw(EZr~z>as2$X9QcNTdv@0f<3g75^k&Ml>B)Z)og#}Ps<)@UX6wUR
zXo2NM*yY(1>gz+Rpo*6MOh<`a_8sJ+9;$*&m*s;+LSsi4nzFv$`43G>91kYMYgS@)
zE8%cNYVGdWb39e14c;AuDa$YK7~8ZGUB-Rn=N^-{HJm!i*>r$u5U)xN|FT5TPt@5B
z+}6N-cutdQp4q+jPIc}DRE3<XiOq1ks2MHs1_JB=qIfy>R;lq>8jm-BJ?{{RO3_fg
zUy95b#4PFWZXcV_xbsW?JOmk%wyWDf42S9=%X7TJT3?*PIF%;$Z>T-c<*{Y)s^_rY
z`k2V9Npe$9nLIy^Ww<*u`N!CUq2+Hhq?cH&uRMnV*+tx0vUyES8R@UZ)n>suLOO5=
z-w1U+b!cDOM0l$}wy+ar3nX~Rfw-v{UT8RY?jy7LuxLb%X3NKJSn18?;aT#^_FWh=
z5`ga53_jjQHXB$hHw7L&YA0b{ygxZxo-~xsnZACB!Lb&K!yXFTHpxD*refQYpgT`$
zhQ)n-evDs4?$>pWI?nCzm!4eA+gg6!n6N|+M6->7wPY?iPTGqOn>Wv>gIy~qlu#s>
zaROv&2(`1-{Fws?34pLB7v}H_>qr&B`z#3obWZ&I?nmiY`V=@(3S4(x;I;i^#!ykO
zvSmJi1@V~OW_Bj=ms9g&3^txDAAs#1T^A3FGE0L;##*PDngcq2B<eRb{bm$gd27cS
z>`O}}9PrWXW+cbpGgfQLauADk#BueC)bMJhXO-Mj=-&ljn@)SbJ_i8xU}ukV^A5LI
z-V)`D$-+{v+4vg2t9*}t->4}ou?8+J;iLPQDP~?f>DP{gFx5Q&zVSYZ*3rDDxh2zp
zvFTIaI1u%|ZW2JPhO<cgN)WO#qjMdkb38vMlX#;MFRWmIa}7ngf0n&us9%&c5>oM~
z;L(pD^#QJvL*@LR1HdA!%EaH=5FY*9GZnosaf_Sv&nLBYuO51<7b_H+4P1gSG72!~
z{l*NMTX?#^Ux54MK0Sy!yhCMaH&Q?{-TT0(FnF)<KaNQ5t~n<%*TUb=rd=drw3cfm
zsw^aDczMEh8Zi0>{{aXn<=GLcb9N*@T)d=ca#YfCZJ%@*?gMrF(^BkKI=HaLTvxSt
zw{<M4^l+;n$Hhgo?m*RWysOsI7PzafqIehx=1bn1@nP(%#auraS6#eKTve_<Qv1~U
z_&alj+7+m1D<U?W?S?H4Em{Id5vbeF4RSwz3_VR$^IQ-PhX8^A07WE<(mTm!gOcN)
z#&0&VVJg#%aqV&aMMq+mpNZYjy!1Z6e|K1Zl=9NEaEn#DJG6z;ipG8o{VvnKA@j}2
zy?FOj^0e5{5j8(+3Kbp#4g<8W@a3ZjQ)E?RftGQ)P$tmO{WyGl^>OXS-H@qTi!An2
z<_z)kh$x1>5fOfU^{DFeN|yjyK;o*XvWO>Q2kI~SpCt7?SnNRA96k2FXkpzWf;__i
zxMjD~VweKE+VTElj_1M^Y-~!NC<aT{?mJ7Qkl>Le$lc7B$zf&|rxd2EFFvQeP-bvE
z&a?l}20ghrC`*h7h+xM5|CL*;;_bRg5`k{++084tDUA+l)=OX*xBibAJ&fz>T1b|$
zCJuQH@Y-3PCaaXUay(h~E0`aJ2I&IL%fqu<n5~6`rI$!NdpS3pzo1$;kmY3}^xTrQ
z+yPSbn{xyMykq=8-P6KOc-g{D0PV2QRbBkz;C4d0{U~3Ux1__XL6vqF95xZ9C8*xK
zY{bUDk7+myt(vJIiq&6)uoi9czG<uVXNFP8J=g4XE}5FV$FrZHDx~>Ooz+>B|0$SG
zSOgzBHr&;!zpK^IcQC5-3i}ha=Rwq!a6|2YJY#>K_70pvb2d?dc}v~gXg><GSXX;W
zeqe!Ai`%}EYhLt8H8dsMP*o049C!R<?(%$ivV;8Q&?=Xw`>DnjHW#EZCsVh8@Z$H(
zOk?SnEzb{VrL=t~LGKT3;1&&fC4AV+v6qX0HRzCtW!JAJO2G=HQts^g6#J=_ep;F0
z18|I?aCw8&sQv1Bl9XRA0+?9Kt<kavEsecr@0oD~4FHFzt>kb(C?Q6Tb5^D5fSU>a
z&Oe#-Mepu+gIUK^EC*|U3}F3p5kZ-VhKvBrlocdhD20z_yn%}(j8d)b_s>Y1!;khY
z>|@v(?RPRSrN7nQYt=JQh(0||M|fR{5v$99zUTH>@KUB_W_I*!v!|<&$;aLv!3w)f
z345J=Wg}0QI{0tj(gyPl(pqB!18~_Zm~;0t!{Aoey$ci92Tke9dGGGPoYjHJ=vdR1
z-1%Jz%|e#vjiFI3Sd|61F1(X7#+KEf>B&?Q5J6}*rgj%5fC{7nk!ZI-32s%>E_yFp
zsM=9{{>7u%IH@(ha}7wWG&hQ_w>#2Mg_tV5)B>m!0<3u7Nfk?WKQuQRE3=F!0W)@e
zLD)qGbAH%`!)_QJG67zR(2TJyo3LEnLgLb7uzl3p{x>>?AI>TCET4%Ffd|g?JsAJ=
zX3bTML-s$WPdeTfZED&OGk8Z+r>(+S?(#IfZ?GVt=f|aH>108i`vvP;V=fzTiQV5N
z(rE;pO=-#oYjqc^5g(Z{7$%$lPto!D?kxVwq_Sa@7yr8&>8=v96ys0-ESTEb5q<Vw
zxy4>l)jbnny{Yb!w>AJbQDN6wFAIHKJ*R4TswT{6$zT~kfNOh5MqRTunqoh8BUu;s
zhCuDXCxOhF^fY~ypm>+kgd6zV9?muL>%GdnYsJP)`*rD<5R!_P@0$XdSjDhG>+{b5
zm&M-BTGpr3aI>l73zs@%d1`j;sOIfx#za?bQ)$Jm6eXT=a(9OnyE4XvT8AtY(G7f%
zmFeZqB*73*1(A4FB60;jB!7jZhIO!bEpV&K*C&1fA}<{o=szyd#J&ke_pY^9!kTLH
zj$IL)aZ61>2IJsq6_~bbijXt$@(q}DmL|WX6dKvW;Ec<SKaoV2Yz6(fNfV2?@=Lgg
zDnOtGOEv(ZTyD5dc1?gYP^H8!-5}}`D}A&}FWsjHL+#RmfKauY_vEPNWTVVpvI<-3
z&K*k<6Q*d$gv=Y{(v9*P1S;OrisR6ArS_q|u0S+}*Ue-vx>?lsZ~~)iUWT?I^yfAp
zBR>Hkg!=O9FW(fzA-EI}Tx_>cc!xotzgFg4`f*ufny$o&95C<yg9CGC1}6=4nlWuJ
zSIU<dzaXsxm2XlEo*nHRg?50<MtqZ=$oIj^=*|zj>uh4~UCx-|sWXSm@F@-DjyeSJ
zAcmBznYz%9)mg-mcl8p9`f88n`^ZS~QP+UWO<x~;<-|c}odl=ER$dVTA)aE8&w&yX
za))Q4EJFT=j^(sQch)q^ogrP@ZL4d+f8=3g;hD#@;+3bbn2@v7hMu!%uOA=7QPSuj
zAVW09Mu_^;p!|K{HjMr5?H6>*f?N&Aiaf`*_Yw6vmdnb>b%8x6Ohxp$yZ1?SQkTR%
zh~BqoaSNb9#8r&CZ)>CXZ6@`lwRy;;S7Tsz4Z+H;9-%+0;ouxeF+0=sJ2JmA+?=1E
zdTus;xXZ<@JFb~m4#sf<p!1}&$D^tqEwQkcu)VC$7MsfZ(r943Dy&*~+C<?re@&F;
zIzY=9`$Xx;x78FFWrX1OY!Yolact@Qb<o!9(VT3a-|kdIX!+-Aj_wN_bcjr*f9-n)
z$NqfH%3#6VYG;8*itIGZ`Eg9RzaAWiC7<!!S4CV@e8ku*hQZ>=%rUxO`uG86u0*u~
zrPC<;S1pf^?SaFBe5)&!I{sMJmtGV%7+(#-x{0nXJ7O7Eo-Vtvo7@;&^k4TrzHbXW
z$HTnDc!7(N#jyJ0L2`y&M5zI#B?Z-Vdi0JVaovxQ$9E_D!TB$TFv3~d4u`*2qgQI*
z4Gxe~9X;px>Es|3whWsxg6>|s{6yj9e+R$JM1|$`5?n20PyS_>>saFWEcOWj<3!(W
z=mu_)yk?s-_mw}37V6=36p(un)TLO-ck&mmv2vsv_33gJ>8Q?0-jM9UaeA#r%7w|#
zkuv+b=7X#eHdLjnq`;Z*R-y0wr%Bsa<ieJs9(v&SeCvIf3{mzn88fVN$U7Zs(Y){8
zs%X<KLycc$2X@!>hB2`t8EGT?Vwbj#OoTTBu^xIu^WavBECI5op(1|Gz5GLa$(j<>
zBURePLS}sZ_~%B}CF7MDq^n9EX$8g~`DSUeR3SJw^X$P5Jd+_^<7ubd_PU_ZN#WLB
zMZ20364X_ajJupm1%caesAGu-huTX%scTj?+a-oR$V|CQ?6_7pNmpRhp=NzLNENpo
z?PJV4@AtpcTzZGD{3LabHPPNO02fmCHm?Wy^Sip@P(|s>@oSau3kOX6dU7Ds8mi=1
zT6=D0P_Qf~tB8cwIDzLF_zB=vIg{G>5Gh_3#cBfN;e*AE1SjcTwqtxtW3VGfl#5^f
zGwM78M@G9Y$Tg6&mP+i5EC&k@P>abdSAGPyT*Lo7H;_nijLh*#0ZNF6f)LnK?U!($
zr18B=+1l)fd4fTE!iu=3{<$aNR?v?1w(+wtVbC+i4qxcFwC;6g_0#r`WxTAlhw-2e
z0>GdP<3`~q3mW8TY`UfNg|YA*qWsb{z%vAYHjtmLpjCS(*ZjY$@han3ZY-zFuas$Z
zm*8?xH@9{dy?$$C(f5px+EXbkqUx`BLu=Y#DbXK*8*?gZ8W?A~aF%`T%7Alq9rS6y
zbgrFRdu>OS_V?lGGcK*-e{TSVAWpsWayuKHH|yDK(!$1;XG#y-1MH4y7&s$ByzQ&2
zbe>gkr2pN4JcL5wl%vF(gjV1Ffz7XR{6)mU?KVbtyf@W)wa<!AMT~6$37OqL7yFq$
zoV+AbI?1yTp0*}AoIm(-&4^8w8zkDdwNkb6w^Wd?y42Dr0aXe61(ZH^zHn_=^&G7y
zw;318nV3u}dWokK`ud7J%O+H%I%QDTfmjQOs|;q<ok*XCW*EiNli;;$mFei6wNAgc
z{}L>OB>kXjG=L<^=~SXScBI}qcKhKK15vn;yqSY8b{O5To&Bs8ZJFD&x^5y2%NrjL
ztFvE&I^EB*Mhc~NpBE2B=AaMTbzLGJ(;78t0fB(`5xYb-v-ZZ@vo<^(gv9=g;2-s#
z^K!F*X5(W{Kqv{{u!%X{tj_581NnK)O7wW^j>LGhi!)NQVx0^e>;<@7>r}~sU4Koi
zk%rG#zVS<HJ3Og$5+R-1Rk3FH7b%_&r#Nb>jrSC(s@^1#!PVQsEyCj%M7k%PMVr`c
zXJDmH;67W+qg-hErGlB-?~4(==>a$FT-*Z8mQH*X;N$Qa`~J6)3$0MMUjY8Q2`D8D
zF2yz>hZC%;_S$%h5I4Imtblz6)-BQT>Ngw_kjtP?{xxqel->$*$#p||c-Lp-m}SBw
z@S9n4A3}2Hof~H+|Iy;QUmR!TGksvvJ^~rn%r3!uj)-`yeFaB*rHW+_2(KQo|6^wv
zg$0|bDo0EdKD?=xZm%6IyU5vDEZ4p@O!3n!Zobmud@o=DuCdmc_pp;+90}{rt7(3P
zQ2*2`wNp}t1M^^azXe$5UOM^Aw^=zUJSs~K#;tZ)IB%*Q5XuBsuhO;_j2$$p9}ub`
zv_+#bM=&W5_#x}?uOIfim?FwBo_KKpQt5z^MfOs62ze4C_t7nWR}6{tlD%R0-$=0)
z4`6lw3ZQ9lg~ia!Z}9l|h6dgSaT|b@7q{(QPS(xyUV2PN-Rm1S@%<`uo?bD^jb9D^
zqv~M<JY`Qo_zi7`{Z3W_q0BQ<CfMg-nRgw+Qj_hg1FWz68s&_BwlVGXV@Kj520Iz>
zxZP^`qI%B{6<A%HF2ts~$hsSY3iKLwLBRFH^k^+;X6=|!@d1QG_Fx!Aq%)Jg0CpA4
z$7<;o7;MfX<f*u}a`r1Ow}-iid!1zn(_i&0C}YtYq4dgx^{sJYBbDy3)w_{SEuc4#
z9p&7lWN8%l0~({?Ck;BJCOfoV4330J={@6HWSFWGA%&gqf{!N%Rn~bY2><ipVx-V^
z%dX*8Qm(4Zi4c1T)hx-{To2D{R5rBzNN0i=3R+Ix+Rm*TyhmNix)&bTe03W)KN~_9
z?neA@-J;byj5dVoBKEl8Gi2Sarz&|{8H;0DMkCG<rmbhArE6S#7hcZ>vvYr<-C`z$
zq2cu2HjboI|CP@X2SfkHj}S`b`fMGGGn%gr72pd814vM6E%C1l?@vx?e(}yra|*Ju
zoJJKgl8nkj-iI-V6Iz(<#nP`i>TA$n%o;T+=K;NJX*c3Q6%*|<Z!9@<frJHrfJ&jF
z;lXcYMR$*y>#63QbKz8AD2ZyHcfHUdph#bxe(vsk*5>K&^`k%m2HN<H9M>{W>E*d9
zi5x^s`$P}6*sagIOjCK$(Su<FP$B>~GMKTv>MqOcH98kDGQ69?F%(BRRFx4lYcYP^
zrxXo5i0JXOG9e}vgZ|2GML!{*@wc=!KH=;$tL?uT>E9d1O;hV&M%V9XdyIWn3Oje}
z0NZ9ZP4W$LUXl=*r^hosb|#ZH)K94i02B^&L-R1ww{~)jZNKBK0Lq2|@ObQ1Y!{b_
z+pjg^v6G30vC_N)eth_HhvfwhRm4YYaj^CVjbQ*U-fnE#e2gJI?nhA##pW#SGuNnk
z0D=&I6wog?5^CKE7~uiu!PIpEsfno??eel<itVVK9EjV8MccEm2$p&wYBIINXa>r{
zc}$jfygm5cjUk|yBq;S>AB@d7glwaOr4P^P0ebA&=T~jvkqpG9?OYvw%LgOhR_ZL1
z;hso}%A-&};kh`^GpP{}I%tOXxSd|-(-ocWodwGIAc8ZSroNoNvD;dqn_uWFU}kF9
z@$)(MoHLC3=w=MBWbxDF5ot2Z)KW64CW6y@QuR%}1n4esP#QcjrQD#cPqtpn<1kq!
z`y%?Zz6?6DVOyjoFn$`+HT-v-(tj|4o`o!x45ei=J(OK`#q|1hkD)Yu!=F*(fergl
zgA%~q%&CrBwz16N5tWIMsr9lzkpzf)ukI@gw@w4|1MS1q;sEB>&7f=R<mqw~-HIas
zBe`%5LzO}TOh)-ny*EvSp?_JHJQ9F>{>x~g@51^$(j&u8<X#RyvW#m1fpN)6Uah6(
z^6DBF=w$PbVYK>78SB*PPf&%&6&#HV7wZ|#p)%xO@q++**IO~H4*ivq3NuQX)q>9o
z(8)BmE0s@3)mtOg0pG9SRt1(MBZru^_rD@*&MtL&0Nd^`@y$ne=a@aj&B>HKVl^G;
z7xvX`0HjwVDb(Lq&6zMg&>Mt8X)qmw!R1(kOj`u&Km04>e*QuSh!Fv?pWalUS`_5`
zd~XZyfdDj>*f?bKO8k+IM0}BSx^C>Imv2@LQ51`(yoS-`Ly2womSvN1@&o<*1qvP;
zYr{_r-?>N^hH-4BK8<d`+g_5^W$H4?o`g+t`U-$C8i3#mG~I>lN9c~WW?V+f1Ch3Z
zQsM;vX;qhggr66@Ne2=y3Wyz}#O$UuL|vP^rjuW#G3_jG$y^SblX`Cq0aegr>75Hc
zdX}`YA`bv}#HA#7B+-`(NsX?3ItK>iA4a%;E)sP_=BncWKL7iMXZ>fmS|K3!0zm=*
zvVxf-FfC<wONz=3?xtP+A=t|JL}YV~OZD^rg$d1dA6)qOS!(Ql;;$Gu$IQEC&y|pr
zO~B#$(?w)hs^l@m&ed#Ygkemo<gjFR6>fmAqgj4lcxa#eBr{CQFe~CFKmh|jm@$mR
zm<Q(qW`A()wrg27dK2avZz!dDDE2PUy6O$cwK`i6cc!b(<QnJP_lL^JK|c0-+{S5k
zY8urzUrrDbFInyiIxX=(4cCV*o;O?YV*T#dEhe)%F-GC9T~FOmyv|Y&Hns!w7Y07q
zXTTgWHKVtB>V>sG5xD|*+33}2M;ld<luga29_O<jWH-O#;>QKM7-i?jBhX>;R}=bq
z4?0>8;TQi0vL(c}mR)o>C9|^h|Css;u%_Cue~VU7DQQI!=@;oX;iW}-lp-*?JEcUC
zP!W(85Re!-U@#g10qJJcBnOP{{67cY@Be+*wF`I7HJGsHJm<cDb+b}IOT#qOaI)JG
zR`YZ8`hROBro(%}5hgnKhvA%f$Uz3L!UFL}?fa&J!!!i{#FX$&mNq8#yxzrFNOkA^
zu5S!wL~6}66i`<{N9hX8MdLlNp?yqR1<+o|gs&N$UY*42c+!6!JJMB8lD${G!rA%!
zT$=iLscnQmLQcCA5)rDU#zyk(kG<kW8HM%UY>n?a9C@)^0eAOKFuq|Pd8(6i^Qwh$
z?mF(uD$`Kgilr5+wb#5^rQmgZjb9I)sy%4&+h&YVO)3>D!>t4`a99Z!?ZPSr;i^_#
zu{4l%Ao}IDmu<2aVV`hm0zEc}-*xa7)X=+heTXpP;W+|u+JIDWNDMg<+ILAQF}GCl
z`dHV+BQ@OIa}f3JH<7h9VZGax7uF@Q`uf8IT8Im$N*hx-Z7n<;6i}4eEKQmu%8#J|
zIyf7VT*|aJc0lOy;KrHKWgg^y$r1Io^D?Ic5C>SaG~0fpsl2Cm<4spt<7PUp$u_vB
z5Sw|;nU?u~LD_SFmQ&2`)^dK#4ty4f4E-#=H#pq+Xra2`UVX8Qm@;K-bnwCd5gVTq
z(c}eVKW2RFA@Swml-0zK2W-wrdAIiD^$vf$#9OG>YVL1Xa_jy^y5rJf3&6l|4xk*K
z6@JrU8?Uu2k!W9BzB*+gPIns0)J<;d38vGWLt@{vEr1GQ!|n_(L$*)2dbd>g3+WJo
z(Y+3)OXW-C1JUU~h|(KN-atS!vVZJd=w%SVu6PC-cc+N@k|tesI-;UK(@u!Tq2R3{
z3~yt98>&t34`PM9Oe`i@y*%Q{-JH90H{S{agc9mtNx`iQygTSC%}JB&u&`nN*)+{0
zLvbBVc(QG2x+YVJV(>ukOX(z@(LV>TGLw=E%iYf2P?bz3IHFR*7yK9>n0voe&Z+eZ
zHkSYMR6o+F`3Dg06Dk1VzNg*vLA<SZQBvN&H6z2G7okoR^yW*Vu<@sR15)SWRtJ2$
zLVotetnQOmQon0tKF^i<eChhk5|W&S1dp5p5R5?qOZ@=4xlvR7T7z@-65pkE`Xkxx
z0wgn0eL{;K-|Z>JvA4NOuZ!s8S9YE3n8q&Ei=i&gPtow&*qSO$SWU5sLx+TkE40Uz
z-8su^?H;BYBb?vO*FO=sQc2rv<}tk>x2kMx(}4BjJS4s|?&jHq-`NPRrD;(U_bz(&
z=AMzLb2PIYiD6`@P!3n@XcPtL4mE%i$Y)hLDr#!E7&dr|^<cv1esm1ddGdKilM|DS
zRqa%D<)DIi@)FR&@2Nmc{hI>hzP?9ps-gQYnZ!5OzCIGT;cw>t_WwRI;eRjwbDx!}
zQR!J7F7BkLhm^o3d+mMAlA&DV-N_Bhgo?9<msHKRZ_WK9L0K&IA3EDuW>D_{iDQfQ
zm8L({jb42~+|kz?2TCf>9)zp<y+fCjG?0@9`O3sS%wE36&F5(59h(sHg%r#*zd!O#
z>LE(bNFRzFnXP)&a~u7P97uN~$;JBS^@g&o$4p&6Fe1st8UW`>WVc;OE@n~64^BZv
zkPPRFMxJ8JwXsTP4n;V^t&~&7$N3$Eg92g8dq;_+5I@tDMS5j%>%W%_(l6$NF=aeS
z`rZiubdljf@-{=IQhrRn!ycb_3K?xdJV*|uhOXy~E9$bNQk8olCr!rHFlU5Yfaqgt
zHrb@mXT<UI8;{g2z6(i`b0rZ+xmw8wrJr&)JT*^lCkq0S+sbFGO1395H&Z>E<MA7u
z)%O-FyiUewT?u%r=WX<yE-JebMMfMpbp0Y^zAxMjn5oFh!{>*1Bg^061iK;n$7*_1
zBKI7WkU!8YdU@0L1NjOSaoCVXYbKH7wSy<`+nO1x?=tc?R7%~)*u7BK9(oH%MT?59
zAuHcOmoe+#2TdJB^+H7?DsB`aP7YmnxF?%uFH7y+MMWF}26yDc>M-K(tL91@&f172
zUGaR^j~x{0Z;VReZyqmQ2M$~3HB3hnT}(aDw}CA?qyDMlyk4!%?$t(HqLYkqe(<5z
z4fMLXa_mG%Tb9HOlx8s(E8-r<vI$cXDW_6mdmP*j-lz5avb)C3(DGyn#RVi(y<NXw
zEReQ{D^|dVapHRXU9c)UCiq){q6^v`Oh)J#p&osE%g#wVl|$E~v0?}Gb60Chl-V2b
zOH^Tc#5=FJT`gyR`+3S)6+DKPy0rc@PLRpG0M#3C!f`g)4d^>I>3{tZlDvO->Lv8Y
zsSA0!gIUzzLsWe1P=$D(o@dS~r2tnoU2-ko=P*}(2G$W#N+sGZuQ2WLN(2K}9x4#s
zF3UC_QYqnZq^7oARPFpSX~1d{ealTMHJvhcScC6y?_t8?nQr0RB7U!w`)F+4O7{U0
zVD&${jlv~mOR{7J$gT{16K`sw4~}5*?OADiN2|08)9`!(Zy$x(rOb4WWc~-`(hXHE
z;7j`CGI2U*9E}0)#E^3fhTiS-Yx4PGQ^Oe_X5jhRX593$AxC_e&z7Bl8*0kHYd|Et
zt8|VB0%eu<Tf^l9`(6HgU%lI;t6J|;NR#+57>??i$zw~It@+3`2q>~ThWA^KFC=ov
z=q>IE&9={*^Kb#%fy#KB%4GsMM*S)l9+vx>5l0+!>NUND6K_N)Hb{!bCI7Wb0#AEK
z-z<mku5BHLGG7h3TAFPr>iy?Q_-CM+JRQt7^u<WHE=V6vdrE+<8<bda#dpWxFD)Ec
zb`3OO$f83f&CyrT)3WkufVQZvl&ehl6zm?^DCn(#jfh*``qC$4g1+_mhholLq;>54
zpS~|WLwXVtQ-2KWa4WMl%-4Bq9I{PUdC(YNcKb7D@4o4-P9PT5BJT<#J`+#0ha|lz
zAPTYzN9uLe0b&7ErixypU*Ltvrg}|I-i8D1)wB@_#$ydd1A{BTR?FNmA;eT0^La?j
zm*+0<zwm(W|3ITXO6W%Bap>PmsCOk(izI%0i?$<&@gCzJm+`6>Hi&EnsYno%7gAj=
z-4+b~?Kakb;GEV)3w$j=hF*Jj68Mt(d%ufayZ{Kr%#3Lf+oFdLm4rQ>HbPqYM48@I
zzL+@-P04pZXSe;wi9El`2g~<urI$$m^RCC7IoQv?DtxTX)!R^@21WOfA9uDkvf!iK
zzH*&ZYRTnL6}yo&1mZ52Ia5PW$deGXkzLY6FRH@Dgy4)GiIg7a#=Dt>6J&MQS$t4X
z?hSNcr?RWiKm2A8btT{Qtb*yB?V+GJ$y}ka73_?-b14gbF$<lMJE~_)VmM~{jko0j
zIAeGFWQM%_xTxW#;@bL7EbcmC;s*jyP+`gCH0QF`-?hYhy}N6~Eo^Yc2fL`I0)iyV
zD*z&gImH$Zp0>tz09`^ZPi8?y?SuIG?k9-0$-eoR;SXiYITY3gXTtm^Gd2v!zU8^{
z8<+A|?lUbQb>hH4*$l5CY!in8aLqgdNZDldM`P21Oq)Nw0bu_C$XN0<YXfncsuco|
z=Lmq9#gh~&AFJNyOR+s(+Em{^n9pRDJ&qPW>My(W7!ap&JUmG9I}HU--*;PD0I<JN
zBzftDLTjB5+fw9WStNo#n7wq1W<oMG@V=AsLRI{dSq9n?a{9hlSk~BerwS%Fj58}e
zXHUZiza*CKJ?$KYsmRhwnX%WTo%B_ayq!<pzjFxF{pRhFX25kNpE?4xnNo!XHlq87
zpP|dsx$EbMmKKfdt}qh)4YIFlW(u>6dMqrYt>Tq;9zk_%CER_hq>Pu*U~hrS1=`rA
zkS55vlb`p~{PcOYxRYFK#z8t^38I4i%rW8IJ+ThSIlKZOUN~j~!*mK-_iRH}_v~l=
zuRj<Nl6CzVawITsd_Bm;>A7_w*L2f`NkwrU&@4}uMcX63=iLvQs<|HjUgegx$YzP5
z({kM#-~xwyhM6c5VfG8y=z0BoP`7G{0!K%^tkANgqwC1Q;12QGi^vbW%@jUl`oWs>
zT1%Xd#=^~T$fa&3!OX{2VB(JQvnSvm-}8UKT42^{pGaEk+P^ULY)0ziO>XJJkAubg
zx$K@4Q|?dXxF&^D8+Rv5pPg}K<D0{WN(<gclQHif<$94udNzOS<@0`~97-!~?*`*>
zm@H|^EUA*eKh4@Kue$JRf@$!I1Y_lJ{Hs7<VUy%Ub_0sc**?}U7vftYq;YV?FShzT
z<S&Wy<7pC~6qMm4u^)Ps3ro=CMTk0+7OlbR8)qch|MBoG*w1F-71=IL`Cfgw@M9&^
z*ui~rRiS)=c;457TE4hN6wOJduU<1{xk3>lsD0<?u=2xHj@arz;#NeFi}U_H*u0}e
zBq{U?r7Qc-o1)wwhi`{ivR=q9&6>LQ%WlkLlu!hQk24%<u2jA-6#C4*bSCL(DEUJ#
zCPP^wIx}H!T$7&-;xH?&JCP+|5I8MM^+P&r2B#<nQ>QdWEjJqz$_m}CIHkJyJ`e=x
zT8lhR3^AfE9o5dhr_=#@<(q?fubjuCSa$t*L-rIzH<TrKKan65uEU~l3r4@dHE$k8
z9Mw&@_T2VB#a8o^L-uC2UrEAxazmfeTBoJe*?zVA6*FJKs=DdzhUV<DN3lE$f3B*6
zER)0rIU#r`%scWM8o#M#jvIHyTw#bTn?QZ1+nh@6-7sl1#^PfntV?f-O10Se#^;w#
zmclE{TMo;#cL@;f3;}0qr~bWoxOK236G}<M{%!8{fK7=sGYOI6Z?y3%WcqIm?U{~d
zCwqO?<0=o>Q86B@m&ZliD?ailnbz`1|5PATcaSV89P^B&jiHQ>xlF-Bn=jDH9ed;x
zs7o%$L&VI9=w14Aq?T)-Y*6cmGboq}r46M%fXfuF9De8)=OqPeg3kp>&fk#!H0+#5
zm7b37j2}OKNFmCDSM{70uq(2rANPLR(i^y6S}>wz@NmuvlXLluz9SFPN)0wTlkLjA
znenH0#kbc+$C2fa4Cwt!I^o$xert}Yme;55pT)`q1F_#J$A2Om0|x7$h6c1G;OiNo
z$8I3Zsk;O^3*TBq-oZb5^__-Ck=p(Xx2C?lg#5(%UwnHoFu9BHSC_M{_e5=5)3&D6
zUL2~6amu704jF%;cv-^kC~<o>3}DOhfO}0UkL;KXpw-qfQkfF}MmF(?kh%O*zZv?k
z@o7l<YTrJCJ^5VfR6KEnM@J>ouW4WLD$5U#m`(qx^;$b77`MJKSH1%9@6r~-GLu_A
z16?s!fx3_cbc-QYVncUDqpL<MlC_#Hr?uK36#4jmP*hqCd7f;qap9e1Az6Q9CA_wA
z*;#gDFx~YoA(R>bw#~3!v<YCQN2Jo({u)J=WMb5gRvSM)o2q~du5B9YR(rG<>l)ZI
zxdFL8<C=SG-`eM?V6V|dZO^7kMdu`eY%#RE{d0T7Ef4|XF&Wpis2$F-e3KjOk-Q)Z
zz+**x$(m+Tde7l}pVUwgp2>Ua>>K0Ld;F;lot1?=I>1;LcEY5MDZUXJQIyt;GYhse
z|HI=}sNyPFN4Ru*e1g#GTp_6GTA10};F*QFtAN{H(j8)*f_(>-!?7yi?(&@9NO+=o
zBUk8Mp8qjPE?@ICv+KS}vDPgRc&B!&&!JZ}v5u?@x&NlnEbWW6_G<^!fSRkEWc)lm
zzL2|}Vzg`J{d{}!1Nt?MGJfe2H+KV(gwWZ>)jWhL9aP5TW@Jp5=+gXlv(UYdGP48s
zJ8!*^C6%2hAsclX(3SwzrS?yEXPUHJyR>_Yw_kbwEPVNiPv5MiZ`vS}Cj9ig9tWjH
zpU?lg8~d#sLSdtPyr44AWm5Gse;eMX)<IkCFv?ZeM=`;#7&upO1u+f04QRxoiQLnY
zK7A*Bboi7#=|%k(9G>FnDdp>XNSZ0276gU;Q((dDUDsU^03sxC!lGhrj1nKDwI*L1
zI&U##DaKcn5o>Lu_z8z08mSN8dTZ*c#h1G@aqq{?4H@mxgu!}&d>nK1^%KX`YK!pT
z`<l+H+BPH)-P5*(7gzkJ;)lT}{9$O1FJ-z$k-eu2E$f>T#0L^=#_wDnm(s=VZFiPR
z!WG@R{2Y&RkS9EOS`n(lwTjWQfm0#12r=`rR^M<TxnE3%rCFgH?eBB~6BW8xG%XN>
zqsM=?KI<mLommWW=dE30XW!emUkhafMq7ZcHtI(!C=#J6c)<24cNt)8M<J`D3)kaM
zwGfunhOXUh;xKMgZAn{T1CAhUNKg0g{&QpMb;Jckx<B~nrw!qwfA=hx$^xw150=U>
zVTHjJdB+ABv~$ISexthe?!5`FvMi!Ns!8gv&45y#;<L!d3x&9U3<<^D@=AW?!}#`0
z3FdcNGq8a>qF6~u1+q^VsE3$Nq{erQ8|dw*t1TcShLw9F|L{$?V@tXzW6uo&`-R^v
z8s&qXuWNboTqw<%t_8_~St(~uknb*n*O^PV8WnfDd+Ndu%NQxi48sn!XyCCb#f`A_
zYj3Itm6N;cH=?ZBB`|B=nAZR9ci<LVTbXr^rDtEW&cRW<E}CL{SGX|mH-f159=W$V
z_&v5dux59J`b(ahoYPrlLdD9lN%DU0<7RFD9qx+lk8I*W7eQ{v(DLz+_FS?@BmHK-
z6lFaqb8yKc@*N15m!doSs)9EzYVT4duHZzrno#*op;ujCg}?tX#FBm}Kwc{-gGrxS
za^=pJltdz7(h1#Nmivy8<H0Vf7?t^XTrLp|?K(x@2N-B_7R#2EVwH@@aW*(j%Symt
zM9PSSbo;v1&Q8QQ_TTTEHpBI<i-J-IMYho_8&zxXWex`itBUDqhvR7>W<^kPv8zm|
z!20(Cz0FGqFfm~3Pu|V|o3@C*l~VhU5E;%@AB&;`QSa$q5&ynPzEWn#^|17WMXfvM
z>bVvB#O+UH!c{-Bo6jo3aGaD}S3jGsTk8}p&pYEYu>;e#Slf<Fi66cwCb*I(L5C+P
z<+Lh1>buYl5=d8ZpLOk@8|Ro)1i7x-2HmYGwwr3f-}-bm3rw5%SWLL?)PM9E#k+Pd
zGB-R;Euo9Z?8b3LfZUifz%;~2U$A+X*$dDM-6x8MxcEq}_-;ei-xD}>K?{1XXoGeI
zLr2jP_&w3!y2Gn%ofq=(<L?%B2+Q1V+7`Mv{8fZxJzqJFeZJY$5+y9V7MZC|{YgFx
zj6vH@OBdc(uJ>Iv$Ok%}5>S;I?al2Azy4h&i4G$PeDF3~*rOt)^vb^zQsd;Xw@~TH
z?_!^oX=$PT9wT<Un_eAs(r~_OMJ<#iY&^^Bznsn1-Hpe*BczgVjhAF!Tfx2kSRF_M
z=;l9au4+<xt5W}eAp*7JkvIxcccedwlrEgXA1DF2VZn})9Br3afeVwE&)kCq(|du!
zWiBRAbxg&od+?;Sm{>IUQN=G^*<L$4iBtM5gw-`;V5kC*X$szQU0Hh7GIrv(R(K9@
z-`LAY;OS>W*fQlpk}pX0m9iI0J=4l#6un-S#nR{GTUakO?4suI!>s3DhOtIHt5K9X
zj+_c)xLEa+iKEzkB8v98?GZrWsN~yc;Y~~CT8jfLJdgA&m6bS?ZNFtEU?jAj0gk8j
zc-)#%iRjp{nKv;DxmR<Tr|vf4PU%&5AKi{!w2%-5EDk1-EAN8L)=0dkF8d9ADP{X@
z<ZE?UPVZj>w8+rDHQ;L?|E6lSwz|mY&C4lO^*2+eV6sruMWm0dsmVbrnJXn7coAS%
zTb?3VLlcr<>mA~)`KQRaXzy)3r=%`fBK^`_8d|XKNGY5YwmziCsefr?l5rw6pQBoP
z(Yjvd)bjI76#io$e_gi86u`WBrb7j`x$M?E``d{ucce31KNGKt_3r2iqyo_zh?)3S
zH{pM^cERotaVGgeF0Bc+aHhSH8=;Q=?$h^N>qbZNR(Yjea0}P7O`R{>LFDtNmZfl{
zCPl8)8D70$?XT@s<Ls#BbxsA8e1I#fr#2p2j&_RMThfaPG1=<txBiBoIKODMi7}a`
zi+Nw%B!gkonGzSG)DQlqeQ?_sSgPu(KJ!!atXPYr72CRvjz~|1aZJ&xi>%nGmzeL@
z@q@Y50-2DmGG-F!DoZ^j;XqZX3_cc)dfmR0Q@4xhE}0nqimmA={5Qt)W(o!`W{Udg
znPSm01DU+lcCDSw<Q1n?^hp%8QQqopQh_{?CBcZ9fh@!;fNDWuA6Bf_eU-1Aw~4R*
z{&^fvd=z~dNW8mmQj6b<?{;R|_wrs6n@j#4xALe@>T#}@maA{`DzU_=c}Un}r*#Iw
zaZ17QzRB*kPL|C-^_7LQNzxZPS#)2Yl+P)=J^JmZfL(v+_E~e+PH)n9g@zPF+sX0l
zD%^D{Up{h}v;98c*pMEx<ZYSxflc~vX^OM&En=1Si`wmYFT}OEk@lt7<o^3ahOgBT
zTl*M9g2ege#Uk)46ZZOGrfkvnKmDsMj*3}?C#hjt*{Ec*pq_lmOLfL$g@)Xb^2N;d
z?F-6%Z!^*UT6adHX;{aNuie>W+Lvy}^FQnM)B@xSgMFo*cP2vuYUqoP%L=1QxpOK*
z!nbRU)Dqmi%Q3FiJ%i6nEK73Bc<B+=*7Fv9O+t8HTJsLuhV+%P{vL688sDUQWKfEq
z25e#)@r?5MOtfho&K5k@6a9D6H%7YL(Iy-ISAVYIu}vStTKY@sMX1lzcPl!5h9+Sr
z!{dZTkY?@0!W4WrAXFu1wuyRNlTu&3c*=^*n`BFfi%{wFt>JZLis4QH=uZ&-nZ!2d
zxpH7gJRyRgRWb*pC9P(}8{%a@O;L>+MZDK)a@8dmZ&x-yNI#M~!7(egwq}Oskx~#H
zw`cOo<Bt)fU7d^OdJgN4^S28p+!AMDH`R%eoMvG^7(_Vp*)*-Jm%f1XEq{;;VduwK
z?mF4@b-7$7B86l8<W@0GD{=Bf$3$H*U%ZEz;@|r&969#t5qA|b?TswZ25%x6-YH9$
z9k=V)D|3TJX|@LSiD}UFa#H1$X<6Iv>a>vKi$i^wo%4RHlWI{^U!BVrZea3@w!H>V
z-?l+Z*BFmxz|1bf;|KuXYK6B;reeY5S;i`)e{2~>(aMN54%$D0nsZ1dEg59g`1D*R
z?KnB1R7n$pSWAB<VAbp_Y3y9YJ8Fe8?b1JAGp?%E27<oWm+i^Wk`cTSJ>t*mw>TLi
zH65+$Inw9Uwa`|+9QOFd{B;TCFIox4S@OLeQs6`}DtG(&8bkQSp>Hwy=r9~==!F-C
zH3{7xa|_y_bCqOJe(er?-)3q)@-soyyUMOo3w4rr;K_4#qcdo?>r|d)Zz`@J@7RI4
za)~ki$`!cMW$pIp!@qSaZrbJFlASAsn!*9Z3hd2_a9dsH`IDvHdV!x1+sz9M6ISYZ
z5^e|6Kh$XY0+t+uz}bOA*7uj3%_i+w7_!n+T1G^nfDB@wL=b#KFBxV574|P$d+N+w
zSJ@8AMo5`#-u4OjFGa??<qs4u=)K}c<S)G43VZFUAuN%XRVo8QBtVB|vHB5jf~;+!
z&~Gu&g%8|Wor^+Hcx~;gHdUX=guZg<Rr%|RdmM?jPe73&NN4vpGBe!I$4|Y)=pZmf
zA-kKhlCvacD!AN6?B0k;vhKM_LwxvH4HHUs$XgP66(R^rolW`Z*W@%sxpdlkv9;)t
zj6rAXo~3&pg-P4u^1d>So=F;>G*1-aaMeR~x17NJ-4lewK%Gz~kdAFqhLSuQZdMk)
z)rTE3zVx=0kSD3lI3`)3a&o-{C2z|AF@}8CF^ZCtt!mwofvK2zRm-N~Q!;+sG~uWk
zF~|m*fvKK5s~!0A-iN~0#XVZ$DuIJ{RNeb~?I?;SQd`#?TtBhi)R$wZ-%sZ>aVrr-
z-1ehTne1xQ>5i`^0H0gd_2}daYZ4m*ontyBBIZep=Z3PVY}<{xJAA&K%ACkB>O>ID
zAyd4_MP_K+&U?@{hi+Y(9In3#+Jp%=(dZXDmnmEDZ#Kux(QbJyI^3xH!hjL55g5Fw
zSL2q_;zHbh1v2_ndcCOr%vR<c#Wt8hh$4R-kPAQJi)VV5k_6wJ{yA-^h4s%w1G|U8
zo(nOhFF;VW+-LztH9jZ92BvHP%45^)<mUtNc4N`Ry*zDfE0N`*blOJ}CgKj7%io0<
zK(~Jm(qDs>6s`S)FV{@Wi_1iKo-!>MDoVRMu8(cm6<~Z9M%!Nk!WOGTNsruVr#_S2
zeg~+rr1-__XDEQ&wt`!Z!eM?-c4&O3FN=Y>XCK928qPm!#9`yrYZi%Af^$vmhw)iq
z(xi@BlxQm38BmqKh2EtSAE{mlj@u%w=3?~~mOSwE8sohVD@pB+zZRT%y|s{}>CQ9`
zKcwzNBrtKRrtUcC8CT8Ad^HE2fZE^Sv8JR&nLVu+x*<90B4x0rushsBP6wA7DkXU|
zxRSvlRG2%FJfi+&Y@S~IiTjUqkO`u3$(}QHOflzipo|u7Dn<GT!^w06f<@}GgN|Ab
zT;+u(jtJ2|;=@VtAGVMBFxDL$HH*A}cS%vaLVx&h%t5ncOCk8^_QMbfMx(^gs+nW6
z_y<o?h0r7v<01^VMzVh&C8Z=-{PE8`3<y!+a--#{@OnZa)z1JdF_B-;Iu5nkHk>qm
z{9T#G@(2m=G^OS<(`Wg`fij6fEK0NNG`3u<zw)!(w49E}hBpk~;qniKINpk{=R(#j
zAj5jxcjFlUY|57|M+iwrP3xdyp=4Bgk$Mz}MYV2c5F61co81+s6ODK*to+RD*cN_0
zK*m)jPa&q$&$q?XR5pbdd5P&ij-FDprfyvT4Z*H}3G=e^`<5?#FmL3)Bzk||ct5>?
z_Ty}HX<3_m0ScM15^SO>jV?J4MRe)nkSpt7ju*74`Uv>xadqH+%AkO~SU^s6NexI%
zM{Ii0tl*k7wt7UDtZ1#vYVh^{!@%Q^`O{gMPzm)jbKXV#mmpr*)2Ut)v_ps1-nHOc
zdX){8_DAf;;nti~WQdU$=4MZ)sElpqRW~;WZz=6FVX<f`J-a7Mg9+VX9Bcn%-dsJh
z@YZoSp+ej%eI$)ApVoQZRnt52$>@PUofM|s?4(t!sN=s6_-DKSPE~mAU-HD53;CfZ
z-T@s%eV(-l<ekU%OgFD+$2EwR{Szas*lg*~NDKnM>~8lGJ$Brma7A%otl9$Obs$<V
z3;v9v#g&ZTeeeBWnzXAd8<Q}-G_MurE|ynAsf`2%%U{{dD<#|)R=(p_rc%*?&;=S@
zwUNraxN@=qMyqg+NHo)ES-sj-p4M}-(0eEwn7xF#GqzPW9KRND))l2V9?_>a6!3GN
z3k|B*%D?dZ1;Lu9W!}TaFIkki<+nqs+$y}4?IcP?**CjsT-PNjp(_j^#!zD0e*y(t
zxA+PHL({(#*X&8FN3E3Ks1~Is)O)o=uPA=1E{ry9JPPg8GbjD82K@gDS<bER(l!H9
zM-J=&W4@f#6;iuXaxHmbCtW<+Ir4{Ql9u?&Gi}+!pa0p8P$kn@-95ETGUtAl6}7DJ
zZMOIH)UnTB)@z#Ab2xkNsU7!AHIUdGP(QKCw6BkE;ldB<AJqX;1YEblWGZ(W)Urk-
zCTlAE59iEwLeY6+PukPg8fh1;NQv?}^g*;{3zIE-$Y!T9q2-Rkl_6X;pwh6NG9#^6
z``FhaRy&tEmqDG-YE;#INeaVdp_fto0KLqNacRrkDQ-6S4m2@xJU&I~7C85JAUq4A
zQ9=|tS7b6xBshS=hk<oj-2McH&$q-a@7N6WUS7(19z(p*y3Kt9*c$wy7(CDl7Vtwm
zRwQY4eXdsfe)4CM6)!>_HLN^*KKwK(z<ni-hvg0aM=#NVo<#Pmp~pKWP80S)Nfr6d
ziU8^J#XdwgGUhL;X+!47EZ__anRh0!U97XHyh5bUb+vv#YW8|EZ`ldXUJDOsr~3i9
zUY*Gv-(U|H+~DXl7;r|WWmLuSC_*pSL^q~tV#M`J`csL~R_xJ&EFZ2xsdE2cjBg|_
z+RneDzjkG##C9M!>F?kB%R@f&IZ!TzKL})bw;}-a^ZAFo`Fds>arAwS<8Eqa5k|&9
zJK>+A@KH|8S95eaa@fCm>RYSn17-bKA)}oRqOwm^C%&l&Uw=rm$mAFV<P;&;i?9$z
z>}&Tc0=5r4tjI+h-;{owOnNu0Bvot6!}E8;Pqi@D_x0&v9yR${=><t)e5qm3bLxYk
zs9jDW6~X{@bHBu`$+AeAp>3KklFBV9U1eUhk6YASV@b~L4iUR4*1Nn7WG~U}5S<e8
zL|dHb=V2b5Q^rlT15G16>3<{Kf5%V+1fqvG9t&>CV?O<B*3>7I*&t9}*3QzZI1u`+
za^3c0HVRLauqaBm>hw<81@uzDb=eAkn7L*^uf7o%#^D{?+)omb!cSc$Zd%#&b<K*^
zvaqH}!&RPwtMBjQ>Ri{x`&LqaJdI7gI*acRL8N$hFRt-2f?exiTS9mS0uG)kY9Elw
z@G!YLX$O;E->lsJ%FAAmu~W65#grGeRN7Ct`!8gy5xha%@$C}D8&c%`OIWqk-Gvd^
zH*G1WvjMb$=xB$Lv3ct|?27EMHRDOEJN~5&@_d#y2>aenJ6*2CSCM6dr0j;LMj5Mz
zB>91*W0z+{>1=$yjIVSSFvIQe5M-6*mC0aq_^}e+@6@lPybn=x0JAz?K`$il8?y&y
zdP69dMJs9fUrs5f-J5C~DfneZa`P1?@GAf%0Z3s1(t6NC3i2>DMe75A>*%|?CM&E<
zikQzg^trB`J%z7WTMR?-{o{|b?=%l>(+RxXSJ`$t9c!F$or(Zo?e&l++Yzwr?|=4{
z7h^%mH1-B!G~?e}UM-q^SJ;v3O3qGorY1XFjhfj`vWDI8SdF@n_42Rg$B&q4B1Xez
z@ysmba(;f9b;^meeUY_HQ7PWwhm+^<E2b1f&vg;$;;p}S2Mw#PWxNM}%Um?hf(5>E
zco;|XoHVaS8y+c>uhIB{W&BQV&A!oieK*H=UF248b8?zKp0U%xPSYk%#&)><pvx?U
zqWGlb+-WIi|7l6BeeJgjs$*vv${uK3+nbd7Fx#>fl=0lDE-+U2`B!2DKaV7R-xCm3
z5ZR187ug>#IItb=J_`^?JbK7GR%uRti{c>D*{ROC+n~vNqcbhb@&5TLCYKtTi+ZfF
zrEv~ZMm_Z645<a_rP1lq2_BpBe3=bTneFn4uu*+P_?(h3Z@v(x+1nCbz%9%$A6-Qd
zL!#1wrTKP0Z7Fg@ky6cmKeSpX(-<-ebEu!x`?~Tx@Y__CSihnVrwg!R9bI~2_2A}!
zcjdp&0-Szd?0lwy)f$a|8ew7?XK`%A#IQlJ_$~*D?7TQ=$Da72Bc6)DjnS&5glk+R
zQi05f%QdyvISBs*vNi%O>jX~ad2yIhZK8pR9pq@u5p3;Mdba*K1NbgGCaiYW_0%mM
z{&MiF^i<{T>ig11gxSU}WMGsna82y6sI;{@l1$`Y{j}oX1nx&~soTjiF5zcZu${M^
za_G6cM;!UHy011OA-_p^ZeXJ4E|e(VpIcqh4i?9ld#Q+tx+>aTieaN++Un~%X?hlN
zs$OgG=Ixq|*H$DK^l5iMsAHy(Tk*j2Q(GE)nWCP)0KFEB|F6A)xiZH~XX1@7ss&|h
zVXk<u`KwY@_fT3v0Cw%1z%&SWOZzHD$B=fe0iTBELPJjSP9)}La&NC|iY{GJ?Qtpx
zkR221JB<QhFSE3&HX`v{j$5qeLp!>2(!l0AOoDm`wsMZqAK^kT6=8-aKOv<u$XeSO
z_NcXVmsJkYk!#hk@2~*xI3Zmo8pvmNlGA&STg@Y%NAmea6Y|~aRvMZ>nYsQx7rSo8
zY9Jpc6AaN`v;R%d(L)9V9JjiL<)WAQxG!aWIc9oi|2I&$y`5%E-7|*P`}n8LBLZA4
zLXivFQF&~G0Fei6ui#n86S=#cTy!dR$M$J#f9nfI3{}MO>UMlBPO5HMO`h&-Z+6Od
zrJ=SJ2*@VYNmv+9Q$eZ$9?R#Pdjr*sglt`FFdnJK>yq8466dUxbJr`hre7Q=a(vSH
zuR*eLPPQ#i_gR^a2W>jx77l%W^ily*kr?@qvE_)nu7l!i6HVB7V)YPp3;Ah$We$2@
zDi=zJpzF(rA4Q0JnuHimDlJi+r`gHuUB_VGqsN(Yp&J#@{Vi{5mNnk6uC4d^pTIla
z?g`<`0Wf@<``y+}WZsD8wx$I(z-D=t8Tt{Lu(;I<6O7XFV=11)oSJH7F!$j;P*WeI
zWFqZzckjplm(7F~W>T^kDHci5`cIiX;$Hr%DLNIhM(M0<e5H}bM%?7Lnk!c>%Jvvu
z6W6<|#1d~K#!6;38eCs>oKUus?2;p)O8fe+g~(Bk`X+K**!9<gTKIcvH%O)o#WfkY
z#jgJS^bv%@uK|V7#Bb1#DwccVo@;_2m?(dR_EYEL?5yCB_+Cc~ej{8@qk6nml*RnV
zlHG*Se#zyE-^o7jD_1SlHx*<G+SkIY!V4!da0~)?QK>Vjv%<Sdb}yizmf!taz=<gF
zQu{Z0a8znmF@pdOMj`P00q!WYi4nM&H_3^D<FN%32FFJ2+`ZvPd#C1Jl&GXO9&2xr
z2g;oH=*sV9JQVtDoPISfi?u02R^eayX{{fRgVR2YCYim={z_<V@x$ZG1GH|G!p#pg
z)%mBTgEi}WorhJE`ze#FM|n<3+tOX0gU+f;hPz~`_`|HrlSoZoC~jB|#0`si`iG>`
zLPsIk#0iPfHuf<_;;|0@BemD^<lBL!EZ@2f+Z{A*l7a3B%FIrvvV1}`G-0V~ecmLv
z3#dtG<=@)Onphec)C;X|<mtHSKJZeOGN@oFnM|fo4mRDwh1qw%CrWt-*rK1;z$pjB
z*CmvL)Y6-T?*j1U3b2Q4nvLp(o=6CpS+HJ#^6Dz#qlLBA@M)Iyiqa0~HTf~l6aT6Z
z^>h9GtR-iGRgSi)pQF$*CM#QrEEUqQ=-JVo*X8W+6I{BH7vppQOfTq)<M)kr+N0Sv
z?rNI~;xp%F^zT28-)9SY$KG#nh_kMIMLF^l350t*ahiSPx1(ehqgRCfIZaewF8pP;
z6U!9y7OJ7%$!$6FNpQ>aowSfEJ_2`~bbhJXCsuMa$}-(5KLSWRBp#lAaLYTIV37G$
zj81WO$?S>92;4JSDyL#BNgCfGw`;Y3CpXI42r}k|5(xNqfYZgHC}jk5>Y2H%7fOgM
z_f@PDk_6B`?tR9f9Y>;lmJ2A7Ax{jEW-UmpH}p)~*1H4{pP@DL_+34PWC@8{oWY+k
zK`~22u$=QQ4-NB~@IHQbdM4djb}|1U@D~11&<d$)jMXczS!=FF7`Qz+%eaAwu6tvy
zgv$P@G;|_8^J>Hh?{gO1;uhJYf#SJgtSHV74}aE*5;dGw+<M)OvbL+MenVPu-|3jA
zUc0}Y`$WVH;?BT<S{Jt-`)d1xX#c!7Ky1i3qjA-?w8=I-#QQe<N$Y1OYZ39h;hwVY
zv(~XYrL@g0eHUk;xA6HKHJxc`;7?NFVeCsV@bapi7dG}B5&+|14P{^*;WDVACg%;8
z(n`)}&eqr(S|DQ*{E!X`_|u}H-(SQ=!X31>LHPt8mErSg8~ddq<?D=)kjZRyYcI@5
z_1lkK!n5T5O4Fq6hubH|mFn4<MXehg!m7lC1;sQW=bpa34-N#oL|_vee%JR5b)0gD
z;}j5Gdc&~c4c$C7VEr4S7~4%=13@hi<O&|qG%TmNYz>9=Tmt`-k+jzS3RCbtGZLrn
zm?4I}(GQ$R)lX}yGy~oa(xtAMyK8iqj|YkUGd-kyD-|H0AqqQ_IVODTm3=x>i*fMT
z$cm85t3@#f6R+Jw4Q#SCKRB#@zNlqDT~*wllN%6%(R0xRNVJxL=3fjq(%F0WzQo(@
zFJyQ%JxIHJje>)}k3g{{@05X-+g3iF9R;hbQU6kQyOEB$2ta-JARlMK@_|?7tJU5P
z;&cix3@2aw(I=@DA}AlhqQcnd3+d$O*CF=A#p6^7?K26t&`dx!4YNbaMuCO9d%#)h
zBX&M%W9`<^Z?mAYMcSJ-K&!h=!yL=E-aAYZSqP|8`AwCBeuZA>(r8>;^D;Z4XKpCK
z-Z^(Qdj46E7B8?bKk`S5y_ae8DHZhbPE}8tB4+ZFD$R`P`bCR3jXplB?MU%9sD65y
zCU&MzyQ)w?UC5JIcf_OA^7sTrV!MjAO=(-}=hUxHeaoCExeLD1YI=KB;K!)+G_X>~
z*!-Ak?G>x%)Mxt3-LQoD=hXG^f{~)Lg;5DFdQLsjG&xG5jx5r|g>qKy7R$Aw#;L6n
zU-2{5e!+^*kf^j1)T=)wtzfVp8h1{%pDZ-cuxO2gV+?*jI=fJ4#>XWiaoN#X7U%ho
z3S^!jR=M%{q;^XFhwG^OPwEGb(UMjl{;Am8Wxbh7$=n)uyh#USq8oHz77ZAbb}h2f
z##;8)L`k<<5D;vG)xhh3VLDbz=PlMW+`@<j!-HNgJ=O|ls@nW=&0{^1DUVcQx$4h5
z$yFSDVsP8rQ<u^UMszNe%eR8BUUjFfT38CZjw1H}`Uq_42z)Wy<*QHZN)Jf*rU8|E
zZT@@~Tr@-yECz1T=)b{37#E5H<00>8c*Whjq{$MZF)BurL!simWF;X~ItGMi3Dwu)
zM<nQ@*9E+Qv;%ZXEt_Wg`VSJ{qOreNsC{aFC1+n<-5<$**2B+#sMflJ8FBfvmE1fS
zbQQWnf{6<ZdY%Q_cg?+3CkF5OFe@8B_RPf##=ror*fuI|tJjAoxap;3apH5)LBI4L
zm2VB?Xrrtc!u)CERL)nNsS-zzh(yZE9E-eKhYtqxyQ>wgo?HG9;R}=_knk|$@scQy
zq$TbtnGEVCnPFY8VF7+QLUHf7zSC5=rB`hdXJ)Y)Mpu!6Q7QT;<1iaMXxqtf=#2eF
zQ}sxRsFWG0Y3RHX;VORtIAhkH@Q+I!{&@mP@$t>bA(2M1Uyv`re6Zd!zKU;$Z=92F
zt)}F@c~8@99_d)^x~5eCO_+FZPMxCu-{v)9ks#q+iWDp3O@LHF<~M}xR#4Vx(<*4=
z1tf1@j-C@~gH0{GZdy>B(9F09ci#gP?RuWKGqI&B=ii_f`JmQ)BOPt*OuO{pRRScr
z2k=@#Y3PtQ7*GQLNCp@dj0)UN7~ckC07w#L+W6$-Y}V8#--%bO$HrLv$ZD>OBL=16
zR!f|mIji$z5mEjV(beHBr>=6En0k4Z(N9ERA>_x@th~bPQfMc8S1H><>W<uug6!&M
zZYk3V;u0hX_NmYQ`eQDF9e42oZ+5JE{ofg?)#FYrCn~0wt!?llyNF`=zhCas&qYOl
z8Dv`wTSFyCCEbwis$RxP-nkB}tDu^iCz0mUvGb8>)ZS#@I~wQhN|`!T-MM<Z@vhER
zxm5kU)K{7M`N^;A>J|w$S*<hKzZ9Gr?phj5YI%GwB{i^rM+6?`N$kXtos?&gMKT=%
zc2uO&T&3LxyZh0^)yWDSCF#{5eyr9?DT3)0(mi-r(&og?<i=nX{PLuHd%5N@%KOK-
z2yqLe4N)kYbVA9qJ%r6`_U+YjvwyJfuAXI!x{nRZxX9jO@{4fky8!O6ooj3HCi8)o
zI4uyqF9hz=x4|Yl{YZlA>POD6j$dh%$3{5Krr-EU=r}2OzIi8*bz$h2R%#ah5G}@b
zz3PQZTz{jZLUGsUoh@5V$W7TsB{}lTF%(J$Gyl(j+Itu>2mjDj<&iZ<H5IQ8jCE;l
zu{#5ae>L3^cG(SgQI2p77(nMfuycIg&Au1m$u^0~jndNWU0Vx=&>MvbEmhJgiA;rw
z6+^H2*CjruWhF^#_Qv9pOSD``L>=EirwVSf-GD{{4=P~F;+1bS;gcNf+~}3x_mb}!
z7*EnnzU$oWlE1CIPQftwf|eVaX7V((zMxMBJ7ke}E=P>-o<==+de43UdG)h%%FKG{
zph#1}z9zPY<0SDAU+S`27qX!RJL8?r{kE8PMm-;{#8pMdoPYPS<^(-irqQYCh=A>B
zbm>KfTILG1;GJ4MTPM5P^<#`XO0v3rZXz|Mqr4&}j6I^H!mXGiAtm?v^NGO?xf(sg
zme#$Ya+_#^L@39_zt+cBkIV4u<lv>wXBWUJzIvRer*d<F-fzb@VIOXT{q3q&ib;8V
z`iS~Rcb9?IWSp(ghR?3F2;+B!O(Ih-eC7BIUtx!zooji(wfFvg<K5rBJyn5WxxFY_
z(Z<z6)I^XQQ)y4Hv$_vmvidgw$ji@zT|69`RnJ<E+(U|=CNAD;QT)#(T^AnkjBK)F
z+*H|l+tDJV`AZ&PYTQR}3J!yf-?gxso9sr%>4z(I1Hd||RNvvYoIjxXIFiYz?2g=d
znWeO9?B$F_h*YJY`9QT*F~vQpoxelHQX;h@3oY{e>~_X3Hwqj{H@d9wiAKK*vC*md
zyOM6VRL@YmsEo02m&NcDW`Rg`sOLiJQDTO-jt9xkF_j$Ts%1iNK*(Z^nF0u`fSj?>
z2P0tx{UOvng@ilbLfkOPW#a_#A8tu1{lZO!rKHPw!<)N$E(=ofyZ<z)ovm|n*|LuY
z3FlY!rLg^rt}(GSm}ar|D2g9?_ofF&dj+MoeZAHQ&+7mGt5z;N5+YF5c}shJRO<D5
zW%Y~_@7T8!>?KUld-m88mF3p<V{6KW$%0B3<K0?r@5@x8!S9n8zE2#Eq%%^R<O*0(
zl&o2E2|!T{DsgM&N<n){_n!dgGZ5bP$q3dy{rZCi#(LHuC^kpBA$b-%+LTVMlq6!v
z3C%#Pt6Za>RUi<hCyfzDs@Mh%wuY}Q&B#KBV9<i_VdKGHl#|Pp#zWwmCZd#3dM|R7
z_vlfC+azJaAz@Up9!;X`Ie>4}+19MF&{VD`|Bde)!~a?I{nf?d@}h=0g5Ol)9(NMN
zg%3|9-)w&QN3L&OY=|W-ey4J~XhQi+%5Wyevi#2qdFW>`^jKf{GT(RHO_z|X6L~h%
zCXT=O_C-ft$uO`7Uh|usHu*e$Ckj8OTkR!k``1of<q}-!B3y}$&tq^*&=Q44z@uW*
z!Yjw8BdsjP?|?W6e1QGK0US9_|9V6voHo>=)!EW_KoTavSF3xcc{XmsPYSyxeKHZ@
z86|QFvrC9r;Q+G@_RghwXJ03>66h`3Ci7O5p_{H>zgCy+Xr#lKz(HnssoUCIiYeba
zC=n>N8^Yd=#?{Xt;G`<AWh^IhDY=de1+Q}W$`;U(GUXIZ9$8-U!$kjbyWv@yR4SMQ
zN*k0;Ca$w{>0GBwuhPLXMQ6~S>_@1Q`m}z)hdeh)MiV_9y5o^`Np4~9Xb9n9?unJZ
zFL);Y1*uS$^pgGO2T!shoi&uv15#i<Bd8{J4!mt$4C||AgC~c!c_qg)`LTuq9a)ca
zRmma`{)am`IExC}$ZYx9ov(VCZ>H~X1`($wVIhtGL;TlPabqJ`Ji%J_LL%kh3*eaC
zJ{ZkpTZs^}-&UJnCpmqW+qMu^cQ}W6Sh-4?I6@|Bx*-=`&Wvw;9&7NOQfn&l#)Sgb
z+WQHnRU(s~$dJpOC=K?!I+qweQ69STu3W3Mv{43>Lhi0{@<yMx1r?C*vVr@%!)HF%
zEA_03`>o#xwMHn;fUPuITmFpa<1$4cF&gSF;)hm=)nWQB?i0g?V1qzap85=7xLF1y
zDg|$n9T}<Dq$3M^FS~5%+>@F|S54@F9+mzJRwI7W!uzos(MqKREp}avNE=KdlI<#2
ze+m^J(Kyt751eBxhuqIB4}fApw;Gw#(%xD7*(!D5-_FefnAr2pU8H)W`<4uQj3t?X
z#~}%N?@Qw3kfk&<;V(pt&3(ojoMGhu{off-qgD|3X%wq6Bs4z{u}+_BthIUP!bvOv
zrx0?yk*M$W*@g4HAMDi!o}8B`HQ&(!d%MY*HF=?d-ibmHD@&D&P}apYimY<G%9Wa3
zn0@q(<z#$EZ}01_Wwbf&`|Q67H#}wqMo{AchIL41N6QH^QO^on_9P4;*#ULYIIGqx
z?xxtQNC$dB_T}#&&z6~KBWP~nB69@u*%3?}Z*WW})&3zO{`KnNCAjECZAH6H9IKr9
zX?%TIaD2_cVE%srdpH@qHJOlmL9M|V_4PEhQFW&TBefnOGPhd{1dVk<b2WCha2~bs
zjo^ge`{k21@hVoDrMw2vLQn1P`4yI#nO;dJBXlmMCxT0QS48xgmsZ67kng&j|MW*+
zfIwV)tuB*`SF=Y+e4O%$sE;VuG(3j@um~McqL%xR2|T8<XiMK!SpJTrj6|S@lT2Ka
z@*>R~Z7eKzLBrhVg{(OU_YLQm_ZEB*l@jU6CqAEU#aFVa;^*KS_DQ4DGdtRy*kO#v
zqpYN(@b8Y@@KrLT*;8!l?33CeaAE;XZz<M|WOVNzCuh+{mBvji+7_!RyI~fYo)l4q
z%@E^-3|?`q+poyEk`kQtSAl~TscTEf7w4D!Rms88610m(o#sNSM@FTH-@A;p!pXp3
z3g@&#8M_}`>r;z^;ns&|L2ltUuvy(xS>4}-WP{{{#%%&z01+gfIz@lC(?7y(HU8+)
zd{GxE%R<l+dVXliF#e4fa}87|<lr_-%85CULsQC_%?r>VIMSq*7ke2GfR3(HQH^bp
zZsFQ{L{y^o&V4S2i-?sw5KRh%R_c9I+Nr^E<8N?Y1T38(YPEZ1E^Bx3pM)hg8(Nz;
zEDpouuJ2e@w&Ieo>;X$TkL^jZtEbh~3G?~oP&4vJ_QdA$^7EF3_bGX>%c3PW>^o$m
z4|EVI<);L$*LZFw-j%dx!sf18l=|WplJKJ9*P%0n2d6(^cYl^l<On@lib*`P4AVoL
zlDQHI@Y#V5Zar7*NKLQWQvHEf2Cdn625KLNaPND`d-ex~sCMA$KF?39X9*D*tnW4G
zzmx?>F$mR{_-x)diFiX6xrUW)M__7dTSoS|1b}<#Ix{Jg&sL=ihJvEH!7%P3q)X-3
z>~)*U#@VdiZ6k8Lr(`G9I``7IfMGd80?JA=XEh%ICva9#&<WtFc$%s>Rvz`lZh*aS
ztT8TT?;2k@+UmO)&&7^sAVZGI3(L219Kd#|J2B?18S4ea$4c!)x0UA8*FId5^)5Ml
zqJAcYvxc4)nQZG_*SG;lc=Uz?WDycE!^j2ST#*dB0j;s0f_^^L0baa7be5pWv4a|W
zDe7w8;TVA?*sXaTXlmbh%S#LLH$@We6w~d$5?kwRqZxi;^$K{SRMLxAaaRPtdB;lS
z66;^qvxhYpIlNO={O2+EJ?H*ebIMVM+TXJ7=XK?9MKTGK<l2YuwR7R1qt{RX(P<rr
zAo;lFf{iPImfs)W=VROOT<L$?m5^N54Yxg9m-wW1NhByZcX)p9t-ey#Bd<lB=2tQj
z=C{8F{#56QfGyhjSmSlsdmyZyn%{qZ-_5iroxn~T(ZSb5+FAb)L|Ky9q58`*rgbs2
z4kJx!@osb4t}k)BOU8#^dRkeA<xM4*G@Qy8I;ZiHoIhm-=>I-r8in;)+x@l}6qFEK
zKy1T&uICllXa+#LJ&@!j`D7+*oQ#j&RCJc#0VCp^HFf~xe6TXkpkaZtv2n^^N&&6W
z#2Y#=jy3jL$FW0P=C2RG)nKq4+gxd4Pwhf_r>Q@{AYMeZ&l)0<-LRU2{Lv$8HG37@
zUGg7}-#E%uP^6bJM#O^TODITvx8q1II>y^$(0bf`onR=Ow*E9FdhTAs!kiNxrdid3
zE^8tL<4f1fRXlK1Xf5g#)L7Z@BP*2~%$y?_9n@12z!>#11<7z)j?3%@b?}Z#JP8?B
zExN;=xc&B;*nf2ox!4pIjCF&68lv_C8gJPvC{q0LYili^wPE38#(xRjkjqR6&%t7m
z|1O6XdF+tG%d-P~0hhLW^c~S)S5=oUXl4;*y9vDNu}HgbPkF&8K^by~*2P7D5@lOO
zta2wUUV>0O)x`^Wxl1V4ZOmM&i_<QUKyILSnTZt2Ep4MZanz`>VdAqYzzhNtJxS-c
zP+JbGUEwccXu~vq0(~&&z0zny=j3+S?jiZ}t+!5N0t*t83ilr^y_5qr5r{ec-%I=F
z7l|QmR2&_N3L82h?j)kx=3fOv9(9xnD`Kmd?ULPz`BE6PdA0;a@Z50kV=g=C`mVeX
z2Cynr&WjREjEK3(Kg}y&rjsW+yf6};Cy#X}1;(vv#T#8N@dAWox`tr>OWlA}(k6N)
zJ9?+t(RpyvRe~AH3o%uopSR;~p;hWiP$D^#C2mC=IT7LgY4jai$iVPX7f0YBDIQ2G
z6$C}4V(dX>9DSMR`ahcSr~2auIY_~SlYcZ}FM3<1Djt%aAnf0E{yqwP$M_vc+n}C+
zVBN!m<z4V}O37tXut-2mAWvK(7WNFy-?;tu2{@wBk1m^C(Y5$W^CvEP*R`UwimhR-
zECErjxYztShIo38(po^M0yj44LPQS+6iroLKHh=lGAZ8oHji$}o)WLPt{?3xw^I&&
z<kKAk&m7?3iXqKch+6Gs9WA5Fhgy?OP?YrIM!fv;bVxHJ@M;@OR_v0;+cJ9Ygf5vg
zJfs9eba7KxyQW5EvlbVyF`N)+pPMCgw5yu**<*>QV9@3eLgP@JJf5}`Txn9X+uP!v
zS3u3>4**-yJzlGf#AVu(9B@s5p*9+@HEdgzbFuP1xgLk|M(mHDr+QhN=FKBzuQqb>
z%A>&KRC+-8MGh?eP;hdieG2|4Zf^W1fA5fW6^@`?1SQX#t(mQwGW4ygtzlC@Z~-N3
zwqU_2lkje2e%xWz8HWgdEz6G8yyO@`pQ85?(-B!2=%hrEy<?M4LVE<D<O2V_CSiPp
zY==R9atycloY#4<5SV|Jl#-_}kyEtd^j{bbjkb}Q5J{^<yR<eG$ODBBz8i-pFi!0I
z&6=A3eSM1!46u;FSW~2&%;>R%t|j~A;2vG~E-TqieXlApywiO|7>GBW|AnI@(RYfU
zitjUAtqvr=Vwe(97MVnvkL5slj>a$B31vw=d{8h>3B5)G_RR#v+fkkBN(&`7fe@|d
zddZP7?zgWO+;I}LNvRTDnja|LvVK4vzj<%XKKbiOv6o?@=4lE{JX|$+PLf1&OX)PY
zVb?5RB6zjqM@m>pXfYBN($J*qAUZI}jC+?+wsYZ3x87(-Cj<X6g$?^}_NwZzM&Y)A
zy$DgyO^Z`@YkX0MI?DMlFF!G16-UsqK@VJ}vYe7R7LWr#EftCe1+(5&#V6aQ#*BHV
z7CTj@E8S&{`DvHe?64)Ng)7ZZT^y->)>xJ<5}jq`ur5*}dzSIc1edh$BrbJL^eg5?
zJFFdap)GcxnC|>m2h*qMoLf@Wj_>cYszNe-D<$O#b8YG<`D?OPtY9rZyC=$1F)*6;
zi$CL%St_+(8Qd3<+Z5^glteUoF69$;(N_7C!`(rOT0eSDX?ptcE-c||;h$I+Ko3Bp
z7anFzuGmqVwq6D#+ga0})mwF_g0%21)^_pAx&#46nxl`UtbcDtGQ?AO#8;Q^-$bSh
z)-E>2RQHvIBk0U3;qO4dKhPIy-Nn4}a(v=C#r+{cFhB`p+nN49vd%l6%K!c24MIW^
zvMZGkDtjDCNtwysmA%P!j54z;*{c#KaUA2A$H)$Ga5Bz0*2&&`uiyI|pYLD4$D>Da
z<8+*J-|zc<UDxaNd_|;Y+OG#sBr>%A0M`hJ7Rl~Z5wqRea^#X#fOMX;0USJ13Dpcr
zx{p4}4pzRKy6n^<8U}uQxTJ!Y2+XZu@PTWD_|+nwrH90YzmwM@tnT~%(F}KML)>#P
zsq6KOk^A|WXWztcwCd117NUm_KIzI+tj6>&3OQ~nIj9DcZUsp3N@sdupj*?YU!Ra#
zbZ=p*b+-tsevfrQTO-2zDdm!kTUiOM(T+IXVd0AHm#7y6Q^T4u!=EFPA)_x;)zd4L
ztjw`|Tg>SI)11U)WWgF&mpxbd!_p~tPqYd*ah-ClTq|huqpI0>U+IEC-%E|HExuu4
zlhnHGs=mDHY^7k>sL3P6*s&j}`RN8y3rh3H>@v=ErJDRjlM>vK2{kW;izhl_7b-<b
zU0Q*fd;G@JQFm-Jy{UAQe*v^v+P(CQj8)esOvdhr&3Aw}dK$JLrWRy)T-B~1QlXt^
znWZS@_Nk8>8f`hqczH}E7_cFl%U;m(losZt%;t9HOI#p{3B<;<Q}<!Z;?G3rbHHT}
zS~V+^F&^`G?T`FqqZx_!YNh=#nbffF%QCuEm35}@(Db|H7Oyo=xM@0W_&#!hvVqC~
znKfqVJF6$T5pB4I);TK7?~*AH#HDFd3ohB>;jJ8z<p%ZXxtY^Oigdt5n7<lOAYxOm
zm$Guf>i7e*i|Ivtugs8DE~5*DTxaq1rLjG>Oia%yl#u~7uLJ|XbEuWq$PzTKxA$Y|
zR=@Xo5}Z}UbNbGYc)uLSGm_F)VBfMLEYoOq-{0}f$x;+~PPD`^jKJB-)U)`!I+Rt7
z$=D`DuI)XISTqGu0>NJMZI?w3wmL=-;S^C60&c~_s(3Vp1&OjDOH=Ytu5JiJg9)66
z;h4%e$JcSXpSVC?nKc>1yF`@9*KR^j{5m<Nn0le`7SU-DCJ$3n-!-4SMh<Nc+mtPo
zy=)jQnCxF(P@1+|(r4f!zdm#t*~LIC+;VMz^B!y}Qsfn#Alij-EWpq+Of@TOSVN=v
zbjG<cvn(T~3yT(%CjLhnUkz(A5wKQd8Jj^ai8|ap$}DL6pic4pB9o{Wv&h1?I5wy5
zSHtJk92-ix@6b2V4B*C@ekGIgwXjkiZGG@{KuiB+^LUw~a1fV~(^^_oDekOF7AQ>r
z7*<+nR<weQJ7=>+*0n&D7Jk*c_O<^Vk73pRiSrU5<rXX`+PO|P#jrZGs!kJ!N3x9q
z4XGhuP$Y1v(G@?qTP?Tn1jR=L+!Z|PN-^+|UCtEVm(&K6Sz1YOO1-KvUGyE{@_D-n
z8Z5*%rIP92tLMLj9tz3+LHrV_{5|*Y(pS>3wh7KW$e8p6SE|EIkwQ4lsr@F>Vd&mP
z5GOox?{*JfsJ;=yHZLNkFH!!^;m$}h0f{`;4Ap%9a+*8G`g|-a8rzw1@uHELmNN$r
z^AY<DP~;h8heyW+&QHjLihkEnO)zj~TKRA9jv&!Lx+oyma*Mg`XUbLuIruX06Mt{q
zSxOP^%^h$bwS806=@-!2Td#cf4!sY`Hy*L}pMRJLKS`+}CJa2fsmNbK{jr*XFm-jz
zk@jC~L{)}WX%4}LLb5amOjg%dQcssr(R0@HD}6o8W&!Xd(5wHJ>Ey}rxu*K7@O6-#
zGk4B<)@$;d^jlTIWjoAM(#&b%7@B#rVeC*n1Gv|9N!c>}BL$_q7F_;1R*y4C&dwJt
zZe8(j6iQzR>Sbg);Emgov1Rwv;aN_%^q8}D)@(hzbDQAd?(v>@Q*BY1PjV&mlXuvn
z!HP>&Kv-2hN^sbCC}#AAHT=~_(lS3Sa)0;6__W9+(#RdB^T;+_k?!c~D)Nitvaj4j
zYp`j6(1<`B42ACm_#QP-SnAE$By#P?E%7wT+ri=8DVo7Ky@ADHM_J0_8)*brZJSq-
z_We(?Z~7H4f4fwcQXj8J<ydhTZy>2wt%(WJq5^D&GtS!bA3H@4Gxu;owGXitlYd>`
z0+Bp$?PS$13R1x63I!W~i4KwJqLYy=9*K>m4!4q~Db+w!ypn6i^Y>xL!@hY<d>IcF
z2M>~zFF&9Ola4CMcr((+5ASD2cHIpL3m1ieq~cc23ww>Weh-C<fLdO#tf`YrteaM@
z+QKiL|7lEi&9hdn?~klyjt%p~-;Z<CzEI}jLX`Rhy)-6J$NX}qjE{_U^9*S9*KxX7
zYG}K8j_67GOttyAO1AFazF;T)S~3y|T5F3ODTFG94QQya;we}*H#n7g2iPPMwsaPq
zJlSeIf8q?z5Ebzp@^}Yi>0=QBnW6IA{%cxZ*0Yy*ULR`gixrm!*X8&VN`praLvXgU
z-}gmzuBI>fB1)~+>nhMc>mr8NBTc)cIEwf+*6dRcMRMNFTVDnL@6s+g?DEL^Sv6%d
zD?P;^3Soax8^i$RE3Za}@3zFwRxdpAMi~o6&FirPKDqas)lnh&6=%@0MzTu<%sujc
zla{j{<KRXX&5SNA4HnOi(oQ%9Hn5sG3vZA&8=Mia<E(YslTclm-3Q9SM-f76x<Oz|
z43_m(ZS?d_UvU~fV{PwVWSw?G@S~F2vwzZ#=vfNlM8OKb@-tuOIoN0PzhzF!53w8V
zaLPG0YBdl(3r<6|>}JL)oVh^F!K%iI3TqQt;#t~eu#;mYdGj*q79rx~bC$YpqKD5|
zN!CXqBf<CX^#E7Lsm}*~eDz>z0R|GwxuHKrJX1I*m()t!9bFGsbs9f!S-;NS$spb}
zH<2rnAW!W;So|l6Y)sr<dkAZ$9Pe)fz}h=fchSMUIbUS`vXcqLI`aE~igb6h4@WW-
zryRNPpnULBqxEJ{pC~L_{7#ou#Yuxw41YWRO^ZBRHdxtA5D&$CLZHWHY}uqSO7Azx
z`8zV0(^d(BGKVE$2IKE{avt_eTsQJd5RF+0=t_Cojrq4totdQ2Snl##%uS;fbqBJ)
z@d<UZNw2f@e;taE<P+GvCVd)nE#SHT>vR6JWV4@D#AwOJz~&lgu9DZ|Ma5NPac=Ut
z4H!98lmnl4fOe@e*Dscg`mMf&SMS{Z)`W1)fHc@yosOGABh?y-<ZVS<I76wEHT&<1
zxHNez-8#(H>!ecIJiv*?|BINk%<Ux=;#xQzx^s43Oqx<3{C&S~Vq!8>;F%sPCZR;K
zc*Yc@+|Z<NISN#ESDhoYzEP`{{ybV)&|D|-KF>NzE?ToeFxQrGTNalrD`<&j&QW*0
zn9nWl_96Ix8_Hhkgw4LgWb3EA`!-?XmeC*eV=iwmB-EjAA_cjF!{U_X+O3z+Z!`CW
zzerY+(Z#3bqy9#i4!^lE_R}23&YS+cne`S-m5s?i|3>wC(Rr!LjZnH0Gg;!&$@uzc
zgozV7QyRI{bTe=|Ye2AyVY+7hpq!O+<l(UH+)t8)EJ2MKfi$c2YI)zx3Ru5D#+02!
z6^2`P=?OK=j}{<S*P)87^t*&E4MhA>lF*S^SP+P-%|jTz^R2kwC@Le{1|ZejQigHw
z^0_|Myan#8?9<a9xCB`G^%N0aVIGRM*NHc}ft4#YiSgXK?zaqRbgx(3dJQg#on>2{
zn9DSdhgD#FOd`Z;?NZ@uqXLrBolKeHGy@~+iVc=$FyZlTZ<3?ZEJ{a?#D#QTWXD(d
zRSW8|9a+YvD4adF^j&G4xYNq(m5LfN+4em&(P!i4SW7QcY%8o@)IhGhwu62a^!kP4
z^o9+sBX0dGoZLZZ+l;Jxb3Z-)HXXSlvdU_?XnyE5pPO_`eX7$d*i!8@-HFv1G}Vcp
zK!oj4_nk!kb&Zh^q+PEFRc{tdxIO+1zBd(STF^azPJg?-5R=$1$$2t<0+>8bzKyzl
zyj1In#IAmMGXKwDo@5V>6Tn-3@gn8!+r^Hm=tN^3jCrrq%Nq^22GiEES$h^2i#ywU
za*zgfF}+clDd*_^>sPl@BcxSfhmKq43Q{~uwhfmDg$oHoLi?95;{w*n?BqT=9vi4a
zx=9$XM=>jTP|CWhux_adO1h9On7zb{5RU;q&~$Tlr-K<;r9v2k)+q2%f1C;%Vs@)*
z>=t2I;fzcOg~GLJS^P}4VeP-E8n<N1Pl>mV93FM`WfowrQ4sep!t}uqCj9W^2CQjG
z5Qb1iM&oA6^PQX+U0BeO{zk}$o&|x5CPk=@*@~!4tgv+<+KN@!a9FR8CE54N(B1v*
zx<!q1m?dOeh@9=u=F8UZ>L7}@UR^m1>{CzprKKA&aVdG(!_eefFiCX0?o|bE{=Dtx
z&Xe;)d)K8$*KduyV}xgN`byyZ5Onl{?lsOdsplbs`7a(h(=6;^zduTB;m$y2gdX+O
z<k_`vpJbAX*x1HJn(uoIV8PxeCJsc{ZS2tOPP}ei)hVL}6r}puqgl4y$?l0R0|g^m
z_s>k*K7*g9>W+%wYo9!q#j=~ZYs;<(tSV1RRaUIfL2uu!oa*$`0#S-!U2VS%bc9{^
z0warcdnDEN)T_-1A7eVY?up0*XXeQ)<D$UdE1EVEW?y@&Tl^eSSWQt?pVjs34IFg$
z5AzDj&02mmLbnRvio2I_2tTp1bb8J>bDUuGVLRXvLadWlJ;MUsn$5Fpi*6;(rhaML
zs2z*@GRKFawbbL5Ut|ww)WuGsM_xj|_YCju>$R;N_~jqBt-BERYCvHsD<eEg!<-^X
zE+aLuIcT1KbfDenAP)GKK&av@=pxSMBQJ8ZSoP9!;-fUX-)dgpb!#)Z)<a^k_e^(I
z4RyrWWo0_rLx;^|D~cT#)PBeAj-{k%E;*P{v_I^NTYP{8`Gi27l93q}2K~b<ml;M8
zvukZ5*VV{<<P{vEc<`VFz4G3)E0(-w_0hsz%5;LVu#Xp8jI3T_j?FULf}|HIY+!Z;
zO&n?e6&jzOIZYBVrI*S4Qd=D65B-%~0XT4k-)Q)5-tjm(gt}?GZoBC5l@Un0m`;tH
za9S3HGY2GM;eBdag->)BL!h!rX|y&`5Cc*Q3Aab}{Jf)$_fC;En|q^EWko4n6=ST*
z!9*a9Hm{-Bcfq3U^p%y+W%Es@qmPS=?Is(CLj691-n>YD=<MP&)(BTqOkvdOH{EV>
zFqh$>cbUDo_X!>G4yA3gRxZQTWHrYLwr`;R6JSp_#VQ|lWyYRxq5~0UQo|m5N^LS#
ziNt&V4oEd>7UeNSU3B^#-!Dk^Uw!~dBSaM5Jwk6xso#-6oL=g(`^%7~8nKb!P)eP=
z?_?Zp<MfNm-F=BJMV<!?1|Dk8>?}l`5IuUFQ!tXF7}tbQaILU(MRnJe#Y1-31f{B1
z_<s|6=JPXssyDv-zFFVB0Hu}P@exX;&r!zJyc$QA{5@Nc!eGQB?#hRcy6fY?EZqU1
zAb`X2;bWcl_UGkZ5Iq1AyZRu~s)cOXgnGY`?j%}r@C~}kwfMmy2GJMU5wq&;T$XrM
zjA`*=&Q5VWz?5xx?AMp2MnLYY?w7&gc-6MHkDF$sV!U^#@zRFz7ve_AQSKa=%bp9c
z@?(|&>S1CDbi^Z+lVjCYqLefPrq&rZorkj+(v~>u%8JJ3FZ}}Q7l8%yqjj<97&=rR
ztulBFP?tOFP~#i6XpP*93LwPx2@KY}jwlyc80)WF0_hE;O;vV!n7-ZV<K+eIoJ-J@
z+i(B0MHB(Wa_1Qr;vQYmHKO|u@7OD~)5LztkBN!4YvfLKr(vI5_+#YSM{5#0Da&_*
z6{|ZC{YkeD9TPUk$lzE*FA)$tb%Jr&V&YT{hAatIEQ3VA48p?DPUCT$X@tZpwY)%S
zaTAoNH+E?OVGqfqJoy0-JfkQoKw8^rJ@!gag4x3?EY5u!`ri0)hdQ&|<ooNNHs0OS
zNt}UK4fn6lx;N$v-ZR)uvRJ;f{nva;jVs9p!5``{txwb&0PV}M5Q0C)l-b`H#A68M
z{{;%@EO*b#MI!F6`Se~4w9Hogi7yMGK2Zf4wAz5yy}^IX3Wt}%rAX*QcHoY?AqXI`
zTU?)6E|q;{SEg`J9h6vHK18T;2bKTCN~D)zTbEdv@VoT^Zl8yRH<&YDZC?OaBq&V&
zdHC<VWJ~8FBTm@)rH>>spX|XbG>zbrm(s8d6@1Ouim2~Sj-XTy7^d;&AcVzExCax>
z;9s+|Mg@zc8on>v=W&w}$8ZUPE)0rCKQ3)vP|b9*_Y6GH6;9#hBE3-ORJY0K0PljR
zT;CcA=-_Ol9l4V#w_bHU;D+?hjer}_JTr!S(+R1YZKoO5T$HRiU?&jfFQR||XsJ#N
z6}s&f9(gl&_7g-@)ZGP=UKn;(C=WrLDt`p{hnKHGukgyJRWP?RU;y^RnL@O*uHE(b
zw$q9wK%4hgbLJ&1Y(YziU9#C*uU?f5y-`>zxq8hk-%0JN1WW@&aQY7MiY--4H~s?Q
zuQ>+`-W6y!`lZBOba|CNoe={dRju(v4{NF~ep8P<egV^{9ZP$18gbn}&OOE&nw^f@
zJ={Kg0g|vl1H$glh1%|}FwE%mG|Zzpp9h1G?t88bvrEB^(HfX30n7aKU-;JqH7obh
zdJd!kz*P4hmxNtmR}Qm~8sy`i>HoVl0V*y`-2F898>#_zh^48FE2jor6#K)FNV$Dh
zJO21PH4sw^z*asBR8HH9I1gp5%0&%ZkVryYF~8`Nql%fzYIlrEfrgS52#`bV78u7i
z(t8x_`y<at$Oe}{u|*dEhUh=*ni(s=AAnjeMQMw|*v|OhikOI}&^yhxUz>^?&M9#5
z!~N9DvI(nPha>IG-wK?#zVWOQ4p|D6x$CvxBLpQ(1ZuFnU&g#urV~cCb6Be~qSQH^
z`XIHWVUAQrl6SaZLzoF(iO7~z11JK_H0wk{M)jUOczPgWLrZCGZzaa(h;0a4VwQVu
zdt-k%-%W;N5$S%(z|6qQ+Q#YV1wY3&j>|rE64>nkprIZgVu}XHLdB(dmH|0iaFrje
z6_D$)w0T<LC0I5x?4=L>8;w=*c+HTo6}R2~nxlQ@SeAwuDyB|(E@599)n>3gM`H<z
zbbloWY6TWEQUlgl`X5k>glqvj(7pp(QqH*H6aBLSr1@vn;QW<Y&gcdAM^4>bU2#!r
zKx+th+?+b1)gK5LcD<Z-FaC3<bKejT_jx-tXI)n*wI$R3n41S?1Nh;-2cUOD4pVtG
zinm8yW5@hjsw7_dRw@eO7fKdG3l|u-rZ|Ay0Jzf#2?<)`ZC@g14Ym+-Z|ef`Y>Y&{
zD%ZX_-mpNSQqGgEGFnc_5uK$G<o3c6A8{ejdgJ3o(6J#<g&1agb1RnjKc<)Sm|7(_
z<C9&ZO`U|%Z4`zM{`Y#cT=u%6N&HxA2C8Tnl8$<#P7brO_egj%s_*e&!fz0<;_wx@
zC-17h{58KSB<C63VQeLAd-)dr$0{oGd7-JV%opdnotgLNJhJYlxau=XYcUanM=3mK
zAEG}mFDCmjmtP$AU#;i$uJhW+pJsYJcz=A_hxWh?ef!UTbC{4$$)m}QNN#)r-y{V^
zh6Bv9r>e-qmZ*7-HNc^PTq;1bMO`^aN12*VfTIAFA8DyR(EE#8564y8pw?N<@9(PC
zIdPWdZGD45KQ-U%{YDc4IZ!+SSmAgbmMNngqr1yr4IGD5bRmLes;*jyPpT!K7<HLz
z|6TS+LXXsxYL)=F<TlDM8@oPyIssCnHhshA&P5Ne#+2zZu&K9pTkI*?&Fc}G-F_j)
zGE}6S=>l(N?h@~)!giC@eds?_5@g)Yv}mewH5G9SOap;E)cr~Cge4nAkF#Nk)xEr^
z3aGu|`!AB)Wbp8(06`y;0}TvYq9XeJt!F3V7u*b-wj~6fHhAy0RpJAiq{~xpe(U6_
za~r}y$GGs0@9kt?VNX`dbKp0tJAZPki7}aXq^TahbbV~&LOE7><=`sY5C+!5yc83D
z?sEPDZO2U@<pQ?0^XZ>i;+z)Jj>@Rgi8n0G2N=ibGjpdz=$}^747b9Mo_3^}NKEp;
zVOS=UoqZb*Us@1uZ4~0=Yf&E$y)F^rth=<TuZws1ID>$}ndzNXcLV3|%!XybclZjb
z_Vv;yp(k*qgFXtqSn}mRs@pavH?kMK^CnJNLFEB|5MZ78`BwiD&7P@~^w8`dn}qkh
z9?a9>Gas$i9*e+h`shPCr3X=bHh=F2D8A_~3Yfh<C_QyrI&&jYt2CS5IlYBSExFeN
zYjvN#Ij9)yVqVB<GW2vWpniz_{^inJ2b>%(F90_B<zpZb4SB>j_~8<Ts#Lshnz?1C
z+Z4I3B?UZf{oT~W84+TGuM;2I`>(?_+xt}k(yiNoe})Xc{fXG^vD;eu$lvK?s%P`?
z*of*lwN)H5El@=Mi~_Gn-pT%vGS+gOfaT{<u{W6%?7w&(X=z6I+S>rxM`P?4GED`X
zC^u(fwgT1f+u$;7^~)V^SMZ{q3nm19WcQ49lo)XgAXC-BFVd0nn;#cWKTl1qQuy;L
znfvX02HH&E#qh9l%d%y;xnI4FBvG1Bgz2h6F-KuX4T1rT85pM&g*{4#YZmIe=R1eV
z!I1&>)s4k6R2ZzTjNP*wtE+wa!IX32!t7N*0qlox{YL==eq{CrfUx?KJMmiRqtj%q
zrH!IB;%G&Q4>>T?<fml~@bc$lV^H#d`v>R)bulQg8*S8p8iq&Cv-~nff4O<^%<Rvl
zXR+I9x9rqjKRd4MyF_hmTuK7;TvIwQ60hU7H686*d1%LVxgG#i3`$llRFC@Yqn2Nb
zJy93#Y*Ck6FKZdoLBGpGC5PPqS10f(C^^+$o=XNb)J69Y^JeVp<O9r`*+>>S0SY3(
zHGXPa&}qM7iI1#8O*Sp=pE+zezl&$j(hAnq>QX*dcyG6NcC%(7pZgLq8KN`PT{uO4
z-^q$dY#@rRx>w6Zg)rH1(5&+yp-{~;(r{8dsX7!bWyKNGz50kvb;hfAHq17Pl(wik
zn!cKs1G6|F&NGS9>#W78;V<$O@HIglVp)Bj1G1lDBXzi-pomdBPX|r26i(Pdhl-h<
zF5vZj6q<MOuobCu&B#?T5H;0*rE((Bw#qF+J;|_BXDd+n31~5nMMW<7+r6oWh;^Rr
zx^mh?A@FLdjN34}y*cQfjS2gWiY`5nj$4h9E1`nkceX)&LhG?B^MnZ@>!lzyArL8=
z|Mqz&!6c-+-BDkOeVUu@HGpXIWc<XT>)Bgrw>M#yzE+Q;mrB_T+-%!$T>1cWChd`k
zYXBh4k|sA{ktQ|TkVfD}B_9%Yy!-b>#kS7acjs2fI4^}E8+KxE&I8L+eXnsgV`KtU
z;1Rz0HzW#=xuhE3o2o3FzD3MF(#)xt3!BQQ57L#%$-6iv)p!v=^U|SVl~y?WO85fN
z5Q~A7dmkG39v)W-%|$_1KV>;clZmuac5AU(^9d}bZ?+MXIQ+c4l*rN&&UP)oA3z#f
zDKQur>H{7zLy8i0W4<n(?zQ?>ZFo5ysd*&7hP{g)d2lTtycN>w+K_6iH((jC7ry1D
zTWwexF(o<RQ4B+Ud!$r>%~MK(tm<mZuMo0yX1J&UdPFz*r{nMC$dThi>8i`hTb1@%
zg3S%09lCQb{v6K;rKb9%-^U`!EM}kDnR1n*#lGc=1aw&0C}$z9t;;f%#g!3!R(_)Y
z_~r4_(Xh?pTGnTP&mfBah`H%Q>%7Tc-zR-oU-ogzI8OU*kLyWekyR~!Mv@TAT$2Yn
zfuM~~xHXzRKS0A$S71tRRj~_Vi&XdjUV?efF=tCRZ6b^eOr~2_XO-LW6+$8m{9Z|V
z#2$WTI3w{B5gD$*(#%^ro+3}lh3>N2Z+gT|(z+|Kh%=8`haAl&?&4+q2Rh(I-;Pz&
ztGnwQ3Cbn8V|>Ay&p67hZ6lXn{!8?ZxoFh*2oQm*T~oDRKr!3DN2gArXvPBtLB>TX
zOxyZ2uwY<%zq%6c?%;&J$#-a^jE-p=F7L%F>ZzUXYGUp00<`Im%kRnH1_k|TmsF{b
zdz(l(PszR8rX`X4Hi#76d229A1od%9R&8PA{_JU09y_m713gbc3z5gM?3$GR-V>9~
z*PQY6<jhxNdcV1k+f#0j3=W4nJNa0#HDLVT(GZ$VhPGJ`l1Ab48v}v~nqWN!8S|Qd
zEx+G<jW8rc?yr*XHv852wy3KsJGJcqZeij&IG7Y=8qYMzyq#5668SvEi>Acmu50n|
zJ32v$QAf)lQo}Ez{NN($ESKnU!^x3YpQ)<-we3bjOS$KWQg^gJWuxT0z!u`@hgW%~
zeHI#8=0Pw^`riM{dtgowdz#6Zdv+9u8-Q^vBU5&ppjb63j0aZ0mIETiRGZ9UD&G7g
z*2@~g1_5wg&}W?xcHi*gL6H~CJ4{=adD?FoU!eQ_MN+{Wb8S2Oz|!NdAb_VFmZ+6`
zDjg)qB@|f7?&SS>C*|`Pvc6|RqS0rMj|!(`QFuxCkZ76-M>)Br2v1L)7xjI;j=Q_!
z`-AUNO$n!9cblSTi<l>b|M;upWUgH@(v7w<mk3!2sG1p)e{==3PtsDcv$H~}*^P81
z#T3KE#K@9@`-=f-9JIkOE|0Ib<kg*lu}4iAYQ|ZZimlI~<9wlf)(ZH1?-?(?c0HbH
zGmM<!ooPZ2xK<i7C{G`m4Kxu^h&@S6{>Gx`>k3#yh&Eh4EL%|-f)5K%CsVt5b~-jf
z$J87PVNbR2?3nx6cj2i=S}NDs!)c+s3AH_=RNXfNTkucP)dddqY`Oq;(Is=u@8B(m
zd%)Ec&+aV%?*{@6BMYg9N*8U}eZdwa&xwm}HFh_ee;LcL*un@37$CONE{VOQp2eX|
z(eV>^bjsRsl@tX>9C#8JOt3BPcV_)e^T?=>Ty0BDh%c?C-1suwpz}^T=f}n0Gxz>%
zGydr%xO(R;{&*;mN`H=~BqR0mnHmXqO5)YgRT;4JcdS&ZlFDktaoYl5V5!xT8yM&c
z%ir}<dE&8*#3}{3Vd*C?gnyFx98_3^;}7!OA5%y4>Paou_K0c!t5wJ4oF{I^Sux}N
zgX7$*Fjsq1c4P|@U{C4`30yxujbmDH5*(afW8yz2cGC65)OZ47OOm!a{1%#!;~5&A
z(+>5QV!WD|?)?AC#VgrKJY(oFS@nbKYbG2C)Q5xoG2JoH7T4oKSl&TjxgmG$%Z*FW
zDn6O<({@ee@U^qs&wWddhQx&m*R7paHN>K$h&>;eikEgs9JMbq1HEkAQuy*W=s8n!
zM4|H=`X!lm!KENmhMwPR{b*?3oA<pHR)sjZr##H{eR6|0O}kUx2P-msB>rQ1@{b~e
zMxTX;%c#J=El|+C6Q25HWq?mWiZB0eFzhvn*6$y}IDH(PI3vNs3>rT=bR`Uwy6>Mm
z>r&qOwh<&~Is39#*}~!X$<jn2E?%<t&#P`8H9v8Nn3826Rh8viOXbqoVKoCDLST`)
zAp8fuC*l2pFe?+WFCwMq<L3WU?{M%yOT)U9D7^itJ!-3RAg1qWX~2rSbsc0pl)KN=
zYG(%?{m@{Z?31ZW*W5W69PMty72NQT=c{`8-c(w|3AJWUjZ)J8_wSy8)SR@vdb-gL
zhm>A474e)Ch^9Dq1+xRr$^GZ2!3iK^|0`+hc-Ca)>zOgF2tzN1zYAJT3~_4LRn!n(
z!7-RNyhE%`wgQiZL?gFx0)drNxFgL1)4-~qE7Lmr+_|$Qa9(0~rhgo`Sq=BeSx>r+
z?U(Dkks*qO)&pW-YQqBj<H(7Qn1I|~dBi`n{~h_^gs$yOZ5#T#{w>pBTVOXlItz(3
z@m@!IjYf$1d>8h-r%sLN#Gzi;G;h_aPRYJ>Fgko9+&|w%LzI(f+qkcdls}8jPZWT3
zF8Za?{(+9Rj4H6H*l_K8FXLm^2Cmo8t&fyv2eAFtNYPzqk!IV#=@yqf9NR!vxQ6ES
z_N#Mz%b0T1YB@>nJ&;>Z{D&FlLclYKKCJ?!RJ@SsBuB;TYVQ>ABhQ_EQnu@tr02U-
zy+%dwH0OvSDM%*>z>8LEBbQ5mopGz=^{&&icIn;%ahx}*?ka!y)DRc4ixSMXB^C#o
zIW=h%*<X<(za+m0sd5D-o2sewOI}&-Lf{<!G)xiyZIw=s*LCQJA>KEhhwJw<g*|UM
zl*`odx`!x(!ATIe?{hnp3zJ+~bqcU25F1Au$8y^rIOY1quJzVp?WTtu*Y*cWRVkO>
zo}bESHo326i!Ox~W|gqwXHK7BfmJzDon9TMnchFAw!Hyrz;kj_iBUDlK@QYx6<w6z
zVhUyUM9xpNjj?3UTX94m^uLx`a{JsY;v8*L-}i=V$+&R&yHJH%f%*RXdmQX*<|AWP
zt9;VS!0Sd12CnCpUm6asN(ycDCdH5qw8aDQL-|o*Rtw0*;?kX&ArMDzAfWJUqM^Rk
zkdFhsMLL*t4?t}F?#GFC=8FoGAl5nJDrK_CCW-le!aTZPL_rv~lHiu52Xf0AWqoPL
zJr|RLrI(U5*Tu_kp`EPCpArE8)+*F;(??@HEME`cU}<`8y^K+f7QtKP$)xI@8t@Jo
zo2xY(`H1dC>*)G?dNt`VO(s&pLsImBE*p3yNgwiX$ys8BlLs6rhPPuz4ratVGalmm
z=Cx+bVH#y3s!Y|(cT_o46~*_rX3CErAkHN~KsXE#)6@7f?)5||lCl$kswf(&-x-bi
z1=6=>kS`*_V18~b69H@9gb{=dwtPYUwU%HO5EPM|3Z|9|PD}S@bx|K?sEJMm#;WfW
zp>A|en|k=yn?lJ0m17c&TSr}jH=ZnRm7)CpTR>0zOvH+qL#V*U;(co8OEOr_JIxvM
zBcl$%+pOZ5mQtUOIgnkgyxr`u2f~wqITxF2=TZ~wDow=uAIw`;j!8vdO5qhx4#<c%
z3r3B;jMY9hVDowG;G^ND_MKHVvbyJ=Kllna*xm~gl$&5!;TwiC3Ck85)c&<YD%Unk
z?iR?PC=0L(&U{iwQ-o@!_4$PdCU!5#2I>vKwCVTg+zWNtI0ol2DLrZvh3{w&#l|Sp
zawoAxTiDxQ?t;8P1u8ev(_dF%rooy`u4;u(u=jXwF>f}u!9F%8IUrUXEk59Pw%&NV
zuij@hWPkRB&`*hB+z&G1L|^xjiO+n2foXc#&((Ld{UqT4UnkVHSxrh$k-y*1HmLMu
z*s(Jk-hD|I#oPeDHTF6@aJrM2b)Dhy{$|-ue@*@vqlhb+R}y!%^nL#+%9Xo{Z!Kd^
z(Zw&QF1de=f$EEu@mzR2jrB@=E62wHmA5+7=s{xA0bsb(oDLDY&>S^UjG4z9ZM6f}
zZ(|P&5Rf}MG28c_PLuzlA7-%EV50alyM{qdN~w|iBDf6${D^c4_62`!Ku(SIc_Www
znC`Ug+PzVh`-}FFKLO@DVP(uaRz6H7=ous!t?j#0O-U-P8(MS{CXYWH-(h#+VXE(m
z9mIY%1!{w$75uVAC!YpSL;A%rR?a1?R-fjgj%{_vyRuVHNt0%kweml_J1SEkPMK&r
zrB0o&(mb+@w?BRAWOsWU8QrkcY@gjUPjMtiZ9haoq{UyLJO0GA;N!cq4-;Do0>)s)
zMl-f@*RoQLD+`7_Er;K&gkc`qr70}pS4!G_Dt!=(_I_NAp^^ECc7NAjralh~YER8R
zq!6EKy_IeVNA{TQF|s*qP#%|RM;`B_DX|i%5k!-|3R+fE{J6t>G#kJ?ZV99#|I|h%
zgkE5We9C2!<EQS03j5Q<F0s@Z_{{e0e)AiAr=Z0vtrS`7;6V7e^cT}`Rsx_!3<Q&g
zo5aqGvq8uPR-9>PYhZgzS&}z>H$x&$1S;*HWfN+A@(HV%U&q}xP8AS<w4KTQ2v0kE
zh|d|sD3GKCy@AgVc%=9aZ|as~vgQrdgYq8kALadmRZR}e1~%fv_2>#`kB9Q&H)Xf2
zX&oGZ>YnE0t8B_><BG57dwe+ZhUscd_F*fl0o?BI1{4*>xLx2-TF{mxx5%ku&^yN!
z!#UeJY5>oxYo*nhKTj-SXkz1@7=TsJJpM@)N}o6H_P6Y;N9&jJCVoU^66g`XmGcAZ
z)-L63j8r%AElKg3#xL%u%_`;hQZpNDzqcM>>EEV5!F3`FZU&nUTp~^^dYo~2$&9P0
z5b<|oQtHm<o&L>vk19Hi*u$2y%x00tI6t@F%0Jo75MxacVI!+x3d;)1@#~qiYpwk&
zB01w8XWOj-bK;shcyl^4?D66wu7pii5KB%>9d`<Yz|Y*Pc-`BWLitWO=N;xybGpU2
zVkvj1SBmR)TY~-Hx%a+2=2*pDwfDqnsYp&pX2KST9R(O_B1A!s)+t|D-jnAB@k%HN
zpTpLAjD<PA`;>Gy*5wX|4E}z=m+gTT9Tn!!@C><b{H{5F4z)7wud><Rgk<TT-o)+V
zjB~lf_CIeN{*ExtVyFW=GZOe0Jewdsmac1bqySQ-%#7XUG&?qC4VCHJop!ES4pjTw
z5OY*&TVjyCvIM;F$BycGK7lI2Ti^PP-cj#Nke-et)^$PaEU&8WA#7t#bW*?EGoB0P
z<>wGbf#D#NNGJ8yP0ls;Yy}6?VgbT!_y=)Mn`FWt-Xl*IHBa?h9FCha?_9r78VwIa
zo*TIv-P}aF*<k!cVZp><IIm{mgppxNSR;?=PstMlIQ8_98AXIms)ag<#0WM<=1m_G
zs;;gFsR=k_teCn+0EPB&ytXL4BG*7+wj{~jJrxTX7^#X5vy66iUj!#IAmhWAdJnMu
z<4lIUUH~Er&;XxhWI6?J?e@uu5O1>J*}E)Gi_iH#xw~D@4+P_)GGJvm;-QFG{gkhq
zD>(QQoD%hUa<8(-2zJKs%QC({R<bv}`k4~@bCDGXiUG4~N#$mTqCV%TNlV7At`##u
zk~<o`B(Cmia903Dsh)jv+Z=>%W(#R`+Ig|k{^8oN$p9WB0-ESCl2W)>pWGNJvCNEk
zb!Q*`>3rEs=-w56w#|^K8FEhJLaMqL6OcZV*bNv-oST0(H}faMrPWtKdq5pK_f6w#
zPsjc%czQXEW*!?P^^5pRhdfQJ9#IZ^u1h&qaFLZ0YV;c2!2=&H)<Kh^HamGU(_XHB
zc8kqgDg+W3px*%s(P@a7`YNpEWfn6@C)CQ_u`n~pwdzW**n05rThmHD#GaKdC6e)^
zr=zb~@qTo<N`d7EpTpK|yEDvGosbvAFLk;Oui5zC{jnl4f|tuo2s@N3wDH#aYBW0p
zVR;h<(Z8aDE?<6t8LrQYE4`=Nr{TFREBkvXFQ~sQW#zd|eNDNZ@}G$uSNJvqapP)(
z9SfH`!y)hu?ctc7sr)11e#cGs_Q`~}$S@?kb7|TbtE)n-R)UD0Rn|Mt#zSxK@RKl^
zo<0uy+;lCOU2k_!(h=1DenN?*WPLXOLJFTV2My@IH3*nq;a#nM1UjhZJsuuES)+Y#
zo4%!jCopjuupU&MVgNCm2LeFcNE3_qu*sEdPEX(gccWC*4mmk=&Sa{%oO^JL+|HL2
z%kh;|%d!Nbvm#4=dD~Xh9bIUz2!xOzCMryxc^GCf@T9X3QnLpS8iE*qMWZ@#e|&^4
z-2M76ue%{jUY*rOojOd~=o7U7Npe)x6wf#(dsFiK7SE8Q^u5`nsHxL8NnL%Kl%><0
zRTQL;ImFwgBcX`Tr4V^J7pX|0-_nszsLA(PHpk0LI)@B`BaJ>s@4yrI-(D+-^kgVn
z{V}S3IET7ZX|gm%qQ;XW2l}y%%d$mZpuw)^a9^Pk<JA1G|Kr+%XNQnK8IU*XPBF<O
zoKgEatl2l#7=OzRm*Y!#64Db@vT$2MZ}t76*@-x6Hi!lElj;6*^~S}ZP4ZJbJRiMd
zz9==~q*1%?rQ&f)h+Ar^ssK8aG@Ls%1?}B-<flDL^p?(Xp5QD_@=h>lsVUxN*g_BJ
zU%a(z2O5HpF_L&k91L&!gROR?aY-WBeF{f*8Cey!@&if<9^e2x0FX)nV@f~7$SX_5
z`FynG*S!rIO^1|^vbEjVOYR~Z>4u$rxWGNvJCpB7`%#YX^LHi{_WfV+(w&T@rMR3t
znR|@223+CgGtNq1gRDX)Fz++P7)OSwxj4*X8GN`r%Uh|kauWx~gGY1^^K*59339uX
z>7r;m2!9l%Fd9%49x2&x_7Cdbaxtryj6T(66D+rm^Syc0MOdESqm+Qre;w0q!a~pi
zy}4nsHL2-m&J5_6fO-;dexb%nSvdM>Kszh+e$F>^qTY34grp*vWn&=qkBGCR2VhQ&
zxKIRh7`6%K0bV)H>4^Z5t96XHS~zAISUfh$UWozpLZ%s*HGxL?2{-n?IAuV<IC|V^
zvLpdEU#RgWo%q?;T>|AdYN&IjQFf2`aZ9YuU2purIQRN!)7p}K)r-XC*$rX;-c72L
zWWr+mZ=$&|10!4CyWX5MeaAh_;Ll12j@;~C(2$BI{k;a}*500l?tbB;M6{vg8Dgqx
zR)YWatiIj`L;{n605M<lzI$jT%#i(@Q3*_weJU({4;OsTp--F(mbR(#AuZ9cszKk~
z4RRI?iutSnrsAjPZLhW~73T?B;h_OWC3TKeK94-)ewUGv4{SyO=psBpH~dy?faqfZ
z8lwSWaEY1>$L1@E>{-V-P!Z#Pf#_&hpepIbTv}gq8JWNZFpl8l$nf}PT)!Hy*I6(I
zT5e~7bgd@V5XYD{kwD`?PC?u@Xs<OCzNBuQi5Ku_+{qeB8maEg8_$?U6{%)5bamK2
zIb*;;5*=tf!9Z#<SmgevY%mCT87o&2PU-dT@#Ruf-;{q(hPX6?PAep96^(l%E%CBU
zpgar0g+@#BccByUDE}(0*0z^BpBIsHn0d&ev;f6ZL*t5P9jANgNAYi@cT3>{<;0g;
zGiPUQLAWwDsk+)cHzw{CY(aQ7!{&n@^RTd_^!T(`fQV3>YWZ%eIheC<ltq~iW0F^!
z{H7;hFOT~m9NF2KTDiHYh}oG@c<6P5G(r?e%ybmpUN*3%3@7sxXl+xt>+@JLdUh;f
z3JS1V#M@%d*vF+ZGY%2#vQo6oNv+^mgU?|C_CEh^hm*addqDY_W#DTnXJh<Z)AQ4!
z*EkH<OiALro?yoSJqa+#9^GW#Uvrr#$5rQd%ls;G^R?kd@v#YBu}e_X5h}0xv6IeP
zc~PPE(;VV>sOn;CSha~hQ7Hf}eo1!?FYnR^#+RK9d`hZ*)cN4k4?E|+fvxP0>0br!
zFm2@U59c92opCzS{MbiRC-kv$m|aF8YrsisHHcOJ>?M;#&(w4;Hh$k6$=jcwa35%l
zIIZWC-QL?5n;wa=6&3cw<wf@??4nJqbzgp>kA@5KRXbPfS2Yc^v0!GCcQs`+hOzxF
z<e=3EtYl}4`R7!EZDJSZqy!d)ItzXX=hWC@``is!b#a>?^a`qk>HjIAT98KWy2^>r
zMiy9y8~?7v;_Xhkm1-H8k*<uMf4^vr#O=solMTW+kN(FqU4?JwCtAF7`H~=qrs*x`
z#-UK6f|vfhT5_OvIhKz<eYKkmNZsaVz>X%N>;T&(UDLHcN}M;4+5`-1Z-U$qQrRfe
zh0NV>hiifTb`C^joUqJ;c@Z5iH&%Y4NbTpurv#xD#cWZ-iPX|JHdW8Bt0-P5_wYEX
z6`JC~2hIm704#@GyvGY=R2<_Nd1&>@I|TqjnH_1z6#-Bp@j?=SuU&IODOml?emDPt
z2ejp!jO@aYtJ6WtppOi`MU$7X8|Scgc}T42J?r@v)a`q7WhjneVwQML?*ky3{-m}W
zpW@1V0Eem(%Zh2wV2JHB0(rhu^ENocz_jRNorPMxmF>M`(h2u<!#FOToxaa&uz-Ju
z!VPVe6qq+nS&*^bB#{^H>`84_4{`}o^b7+m9Gw1DhD{5r5D)NSGI?<C)THbtgy$qP
z#!|S+VAGFv56w#B2!rLOFTjW<TpOtTDyIGMlu@|li=SnRBF=1lm-6dnWhaLri=*Yc
zQ-ESy@;ZlGov1{F+_EiO$d_d9Uyp7kR@i@(v(3Bzu!BCPyJy>TDs_~{qqt@N>xn_0
zU9_2XnL-$4Fz)xCV&rW4PGW{wV<d&AJ1dWbJkQxYym|BS&v&Xi#He~eNKc6lF)b+~
zemCESIG(whg7N%Pm9QvB2siw_w4aEF$3kC#!lr3H(#ISz#iJ9Omf+n7EIJ=d?dkFc
zBgC9~$?}BPY!M}I{D=WapQ-4$?iY=rk^pd@n11vr)yW`_`r>iy!sTS}o`Ubtim2PZ
zC-yi5#-UZ^B5qSYs8;`AKIADFG{^(IJ9y;(DKFCh@C|MCFDI8KWM^X#B?%=58u;3a
zvwBiHppij8)=Rg($X%Zd31S3AePBQyWAzxNQP@SR(8<x&5SnYby6$uw^2GTFucn)+
zX6mb(`>GO(AWMv7P%^E`G~QBr5+Fv>1Dz{S(%%boSmIe-xLyp)LVBTU95fs2CU3F5
zc{~4syTYL0H-+an<|)0r`R}`1{c~U#0ARslTP41~u{M4DIU-<!Ko9)6j&@d$)ZTPd
z4S+qowhbsMQu{9czNcm*b{t3UH27g$yRBgFp3DOfNFN&-cEWTced064$jrz-aJHWM
zhWv4Ny@%MOJ2ZC%t5tYCKc9!mW?0kVH<A266l{{-GSYh${fux{v|cnVt$p3R?%;s{
zZi*vFaC$JoSz^)_f3S^qNK5Q5NOnj)Hu=abkyTe$(rZw6$mwkZC#Bk`Z=bO;`np1{
z20mMH&7IZm*h#J-uJU#&<;K?Y%N1J-y^zyM2X}h_8|Zr0YzB$N4|qUeyZ}(+Kx?g`
z92SBofv$s&Qd`lk`_`qtB?S(Xa>3R%A+rTBD(73*K{%Rk!JpkK+c(p-zlN_6hQ#%H
zJ>v^vv!qA#vRh?{H7no(&Wb2MuBTA!8hA{W77k*4)0c|cE@>QWz!TmR-@U%xNx`xt
zRh%H-b$UsxbY&reV>m~<1J@%!s<uM6?^ke42#S_(#)Nu0F=(a)Sm!(_NO=ohV_>f|
z9}RHtn=lrYlVdwE!G`E^+9-FfbYL=i08^DH?X2k@&dK%vq^hYLS95r_1KR`l{MGE?
zMosJ<(8SWuuMhXZTJgqBTGljlgr1cO@7DwaIS^VRRq9XV0Wb!8GCI_c8SEh<Xxz9U
zd;t{@w+x~tZeZnhF~oSS@w-%2Y(`lPo>Phf9Mw&ZapRO4MONpAhJn?K1H{kp%=6(U
zS`Z7dz>`K=<Bs5_2CtNh%@t+~`fjR^q;d)Gnys7N`bx}C6W~BsHN+$bR$;TqRDy{@
zv>%^3Xak;k>K^8x;Qgv#yaCSd0Iii4Rm)kgozYHkjqE-!MrRD8#f}>l&cg9zB%OTs
z>_f#Q=q(}j!o=^SlWJxo)4l&;AJkF1*0p%+KJ*X`b-@^<-`j<8y~axu-kMcS$V=nJ
z9}dt=_CX%H?w<p}VMtrYX3e_fsjBqX(M<C7+OC1~o=7$ug{GsSsQ$+{DsEi|qF=WD
z!bP^_il1`j-JJp8b)zZ>x9}cum0cJpd2hd<Cpc_V<1Vq8Ve?U)IwWWES5^Xpe>&6o
zST*VpoenCxc%22|uSa9l4)3Y<A=4_<+RDiT<fY8m7Q>wucaQ9*yfgx+!`w49ws9h8
z4tX%He6zO3B*0uM`4Xyn=cuWC!I6ttrhVqs-^^LmxrI8E(r2J{HlUSYP+suFeVHGB
z**FIiDLQ5K`m~yI;t`xp(Pmau{~8P8GZ}>tupolL5vau@79Vp8Q7y=uR~gdSeLQVc
zQUt^@qq{1p`8SL+e4i3m1Awh|I3|ecQ_+TJhypVpk#OJ&;Z51_q9+h;3ye5@Pk-x`
z$Z5%Q^<m`&-R$U2u>MPWcV0~=><+JW00ut>$c}f7Zw>Crs64?l2@%h33nf^ZdB)Un
zMLXx=8Pbh5(A2*KD$#Zi)p<g~&g*>wK#VK1VhSocBC~CeUmBk^%%qSuXD&$A1K?k<
zNwG&ZGN<STjU4+JxP35@*!NV;uiq12bkIHSK-l{&!S{Omr-=rpIh#n7LC-^<Y2gl$
zqI2$o23FyvMgpkcAJTlnCcn5XTScs}?>>~ep*A#NYxFHqy@ZvyTk6JnI)*$Uq@Z=M
zDYJ+?5D_RgmK^;IL{RR7IZg3(8M}gi0fsF)Hb+*Q>1x(7D2m0Uvh2KogRU|+RnH`Q
z<$)jDOJ!~XWv4auYIo(zzSwjNEZYl82rvWb)zCSWw(<dH`(I>fOBorTMeTbvdzX0z
z**t%VDpIO>(y>LAw9)+ZQ5F{8md$ryjQHYir9fKTX$-=i6MC*`k(Meqq#Ysb3|L64
z(;B!KhkQyFbN0s7-DwZlw>R1?vrgMRtl8A(CIfW}NO{n*{vTg}SU9(a>F`zv(Hey$
zFtMa~DHU^k9>I^|S{1@P3b$OoxPxEsvQ!0s7B6yC0_3QW8vbtG9y;|$gTHu1x=OWY
z1b7ZU9hBvhtDcKR?ju$^AKBT8sZeBU^o4$SnKt}UXbYY=k+RnRT{=Y)Beo7!UaEpe
z@7MdOYa=l#pS5Nx_?O$YKAOf2mr6@O*+TD4ibI=6e4bfJI@K%`$JT5XYZFv$Uh|o(
z+4=WtE!yIDzy(CYwDJU4S*f;N6UXT@oV*4;Gf*8m&ag&%K{{fKTiHqUEJLTgx79WM
zt+xWhpV~X&Zy8U@PbU^9<?@|E&HVoksD-9k=Y8oMd0?6(H_g$uKYm#X8eL_!Zh75C
z=XlLY5tf<Yd$seE4!dLc)ug*vv5^PF(B|`p8xNGp>Wzyy6aq<Qe=E&-@hfXM`<|Ci
zMGrf6d{+)Jes;}3IOG!s7Y{np=MXJnKItlOCTnk5Z&j6*IfQwgl;a4rn9_%Kr`3^5
zAzQU3BRZmshmvk=@e7l&_qHhouf&0_g)MmNFmzlQsMeqJsxx{-4AS$NOLQ$iX9Xza
zR^tTxqz;$DeVy~5-{UvE<w0A4NV^0x91flnefLpJ+<7L8vqB%<3=hn%Fg?5W^{{cf
z+$?Bje1X?%ScM2WPz=e?&n~V~{3r0cB<uuRJp+f0^i%O?e4lc-L}b*7rmHNcJDoOh
zD|UUc_@|~f?i@b;cqSozyT|4F(g#AC=viG?x$@NL!X<^32hM$In$I}vOv|DKZ5vn6
z2k8&%-E*%2l7k$sSuju$wppei;2gv7EAKs(j8IB>17BNQ&-4&NnP#l&FF>pO+p^<Z
zoOe1Je>GA#wFPui1~_J7ZJR=gD=iNdosIC!A3Vt_*=BcxFGl`D8VU(f6^u8TVr|M>
z{>s)kEX5CDf2<7g`;~`e4{g*pE-+oQj^+h$jbrQAyyAPSPf-e##0q{hsw^b4;n`N_
z+*xhwZQe8iE}_e=j>(k&`gm|>c?pKYbT3p-dM{s+DStH*nNq;753OO<v->iEK9J{h
zcDJg}wOLNC+S)Uaazr#B5Z>#@KfXgbM}Ff4h+D+Bd6kh1g!DV?b<YNbM<!hQp=1>W
zkI4rl>JW~cn$hz|irX?W^`bc-cP}I!flP|<fDW3No(0$rwd|(x9MGY=_2*^=H1}=t
z<oNh#+1mC_<S1N=sX}nHt_?snG9l;X^ufJ$TE{Jf-xK~d{5QX6VSM`;qN^i0P|`b0
z^*D;ZOB1*XApo8rQg^hz`=kc~J5*$#cQz&iL8s&}o$}6q8^dA>f6qjm`9YY7zQ5@X
zVT{eSAe<)d5&)}~G<zVD(0f*X?7kb;9lj$&CUlXr4K5_fj~6zakRFTaK1xOp=Ds-a
zWRbQz1;=Uv@$(z~tlQ_)wF<1QXim6t8XvcvKUs?AI|b})sfBFc{>IokD8b?=b=N6+
zeTE>Wmczg{_;PW;-qfq#x0k8Ja_MC@>JZiPdap6eKK2YTp2!Vds7L{o#+Z(DnV7B%
zwp;$I%8(M=7mS{r0%|ZA&Z>Aeo+-J~PKEBz&TPhUTwdk~qx;-XsE>m%wM!b&K%p3x
z_K~GdZ9Lc%<{}R^zcNT<0Dd9R^A})tKM2rj{OX~_<QaQ@^fX<}&fk`{ogc9d;OB^&
zQw*1BnM?2dUJ%F_COIXFIBsEF5koR4oW!g-dL>b|EQCqL?@w8GU^?rBp>=(+%o9f=
zr=?j=2XYFd+TET6$HK0if+|b&kEu6#-?xaeXWit93YEw}u#wA#DZMeud88Jy077v-
zyaNKjj8zQ8rTWQs)OP2N&9i_*SDo%F8`u&zx}dPpO<BEROdW3#K<y=*1G}ct;f<H>
zX!E{Aid^VPW*Uz=Ew9%Mh~J&%^m+1VY4+=U<;%H2PVy2fnW|*tG&*LBSl|0O#2V=b
zXhRBLCk(KVaP`(I(G@u_VLYZllkDiyJFu*;l09nKiVhjV1Wdf<-=M3L?mB<?&efR$
zR3-Qk*(Y=&L(;=UKS!0-$~wa1%f|$ChWqqa5NZtmSe2<12R6F3JEXgTA0VbrMxhcq
z(Gzy*u4Tlp!-PO$@D=B@($w>;;$3*v<Tv-_(L8QXDkhmsd>kN}27AlcU1{iHiAlgg
zme;Cizfr?+{tDTUU3oJQ$E_<Cl+wn{Hc09GK1JH0bXLk-?&S6LzAYtKCv$nLOOWke
z4u*@`l=HmQbYj>)9w>H5N)T#GpBUC;C&9gzSnGi2IGXu`akIH~Vlu0nBEv7ew>7bd
zqbAlb)?W7GHoC{X0zN)H44*Uv@=?CNYkwSM{`ifblCDxpEH95JcuZ>ND}GG>g2}@h
z28{hiZ7ag5P8DDc0alFXD4FY1!PdGb8PFhvj9rMeHcR(ig7#8z5w(1k2;j@uS;2~Z
zCr1ek?)^}ff7oD_x3m_M9mkM^=hWC~r}w^onb>xT!5qR2BF`;%Gu)vM>~}E$7OZbV
z=Pry4NI}RkDN^YfT6tKy2%dp*7patz?$<=gmeH^S!GoM1;a4xpCLKTUEYoM}mW~Xe
z>9`S>`pZew;dq=U{KYdCrJ$G_k3^nbpVN9_^CYB!N`cjgvCZqn?e*wCv*rm;AK&LR
zuQC!&=4ek!{`B;?rhoa+#3~PlKu@pNZEvnsb>R18K->n-Zn1RR1nK~l)^yP`aQXfD
zkKC^_uhtsijaMicr)2~r?xcH;Hk^4?g;k{zfJ;mh9W=W5!LG-<whNGKg-x9P*}p6E
z+0A}fvsA;ge_sy0LA59Q2_LyQex4OB9F%Qy%0J}vynX<)$g+)w>%Tf^PsQUFu1S2(
zkuZ<&cTsP?UKy_DJ{mG{%V|G!a%4AT3H3!J{`9-MHI1v>l^Xt4t&A~TN*#$@ufuYB
zwwJQ|Bl2N!be`qq&%Q~b^}||4><2&GyLqr7Fu!uebjC#XQN7t#n-;WO%_T;p{vPzZ
z(1IlbXRfJ>y%qfLdCET#^L6UQ)sX5|s{T)M7t=Hg#@KC+T}H{X6}Z*QbWoLmc?yn<
zxc0alLfTzYgU(28M+H>({Cpe~GYmSX!y7N`Rv3)sx>*k<;(4l-vTs@q>9EgG(q{A0
zW?R(79EImquOzefk14v`Rs}ESLGce~bh=M<`^Ov!kZ^NbV0PJj>?suA_Deeh)VK9t
zkr9YBne3b16nToYv4^#^<sTRoRW#Y}!K-T?V-)E89%Pf3gx(on$R@sE7IVFbpT=)$
zk0J-IV9wM__WmDPZvhom_lA#teu$t*sDw0#NP|j9qomS^)KJn4T~Y)1(Mn1;NDSTG
z2#83-pwtX8G}1XV|2_EouY2#hYn?TVIqS?Y=j^@Tc%J8d_sw8LWnW#j9q|cq-6XR`
zS0-OqX0?}&d6kx`#b_2p#+*xO9(8r4?nEKJ(_@EX3OnPQO_Nur!5#|n-X<5HFVY@%
zt)*A0y}@|Bz;Ad@pe$PQ%ml(N823a_Zi;uaSWCsnx#}L+aRYlMZVdD9`tJuVBO*($
z`+yO=1MZnWMaPMP$C)~h>@##XYkx`&+HRzeREM@J5m}_WG<RlJ?~TcrCA7{4%J*q;
zZ%bky6gZ$IWKaBT4mN4?!B58i>i6AD#h*l)joX3?{&%L{{AmC|M-F<*iIYkC#<0Wl
zxyW03OYGrc+R()}@=PjksR(4@74P3sc|;>ro%G-bMuGDWlRQ89(vy{hb20zqaq^w|
z+}Pgw@v_T7#A;x${+nw=Y|wBoPG+|7^kzPP>tKshw?^qO3B-fJMSCoQmqhr=yZe>-
z=M{^)+yUmpjuMa3oa*$yhWXGKQLv6ew8$w~>4gTK_djoIyps_@Cse~qQiAm4!pWRZ
z6}uU%2o3Mke5Ue7TyQr1rfO<c@Z7`nNv<y3-r^DFE?oKcXQE^)J9<|W1&_pOm#%6G
zrw%^3hOdr>bmo!esbDzt=VNY1pqIDL@b+bq9D*mh2|B!_U2CI$qj{riwMU;X_ec1b
zNByLrAyUw_Y0N|)1pVh(E+6&^Dd<QqL8HUtB?+EYW8W`Taykb6Kd(+b+)iC~zQdXv
z;)?jDrQBEP+C-A6i#mqFH8R%#?3_X8AoF6Y?YK&bvt9x@Cx<(91bVh_V0c=byzlDo
z?ph)X17Y~#LT`atfa2flZyq^fUFZ=holm>b#TYPu9N|88EFU%_U*+gKS6)3|?&4K*
zrkJ~1f^w^P{Kf&RQyg5ENWFW9BhiRkA!Ukm%&J_!Wnj>WS|}CcCj7f}CcX|PHDe-?
zf*JL+rx*&tCt_wLVwQdovPHNXUaEdG_NyQ`f|^`mFN2a==8vO{*asgn3d;T9z>rUq
z6N}a+5V}#`yRxW!oc+%Y=H?tr#-vuEpXNC5XQ(*5PJQTIx21LmEvim^4?$Fdaus?@
z;*x}5kWG;;@LS{^jA%ExrN<B(TqO*lB3}J19%ayH@ar8-ye5t0<F;6GNk>C`BFtxK
zMSMMcVJMZ*xLt@EpGW~OgRhF(0vb8vx}b=)mfFHz)=^}R-CB36sVzb}5h3bq88r4w
zPjp&hLaUGIxs-0unp7@{jg2$4e)VPJI(ErDJp5T}TOo#{aSUAx5$-DBE2#EIL|aMO
z7G&6ZWb?neUYpOM{B%Rl7Mteiz+j((4xAPkeTPWXVQQIRjrQx+5+Wh>r$O%5s<J|S
zv|Y=9SZolCmDmCYZ4tzB-oF#DY{MY>FzJLaPT>gu9gX}L0r#<5dpRaF&o2U2CKtu&
zA!$MDi9{=$A0@z??x<5qau9nrrY!^yk5EL=OL8b>kkjGQ60Pw@sB2du#QqzkqH1TX
zo+lA5cyUEC*ta$gw9)vRghRzTc6s00D+o781y2_AMR1irPK+q~UZmxl+Eqyi1)hkg
zq0hBKL~hL**b?VRn`~9#y_LkSgJ8v;m@QtRzz_5mB5G^Kn52U>-x=j!bP*Yy&dSCj
zY9l1wk!VWM%9>5-6XKl5W%Qav8AqJ7{JQV)3VVKW6{|HPXwo}6(QJIdc{|STcLvaG
zqUA0S*qa`a+h7zf(*g*osI~vw<D{)=nB3yRb^BG0d>q2$$w9>EXDgov50S!`;0iB=
z{kDrSodq?9yj_%^KnsPBDb`SE<!T&WV*C?7Fqljv@NtP&A!5U5>tya_(Go$NsJVxi
z)O@n}uemd9o=;CrGsh^Ghff{EI+slApN<G3^OX$ks{@c0Ynm}vUty0I>@H)G7L(%_
zHbc+c&EaHe-AvoHT4tod2yk@V+Tx{b;c=J^TrJ7yS@n)S<7QPlNkXB}$4RJ9cU2*L
zQ@c{oSEEBEPLEeL3XG|1UNvUh{#w@mF(GT~q)Uj*58wYTVAbdK3`gC7uWgy#E~9Hj
z)EpB}ng{B{5!}s<6ZvBMUn%l|=#-U<0vP;SM|=1yXgh-&GyoO>s?>njVpcz!I+YM_
zGU`IC&LuUK_$#?iZXKPb&nsq^ISHb8Dcg!{GfpVe=N(k8Jj&_^48|mR^N;dMsK~DG
zp)#!rN!V~uG*2VrcnjO><V{?$j+Joj4_tseUr*HI6lCPw1}W}7yK9dK1k-qkubA6n
zqw!mwmxO>A$8ld~%vpkN@3V#m$G}TY(J)^#{qkKokD;)D(LWv{uZ9b6F56tf1eSsZ
z@^obrY4;nI8qgJ5I<kqeHKznyQL{egA4;iWlrfW^ljeaDa*2G_m126TAI8QT@}j4D
zffvjGhjWKWORL={I()6}F`O3_?lCt0rNd@K-;HsfuGntXzCCbpt2_FZo`EQ@-us4_
zoJ`PV^YoFXZn~Av$5|p;?V^*?{S85#(*Vy!xmTreVN|M!WSnX=nEEajKBRFquy1cf
zHJ|;Uy48`>PQcOd{%vYJIZeE>8@GAnSL<gm>9)qnf5g)WIm{zBDi(<$!im!p=8>!I
z#z*+u;F7DJ81FX;6|NeBbbBHCWSXhIst&h0rtIr1Am?>2S=~RsOpKs1Rksr;P0|=+
z1+G&WGvQc^+u>C=TH+%?ighy%k@Y>RlF*Wj8jW16Ct7)JRbFEI8L!DsEJyO}_S$%9
z6KyGDV^J;PDxG|%SZuI$q=6eb94AJ?{#zIyNwPID+Bdq-`)oC}sp)xRw{Dt;YEO-v
zsQF9Be%Gbv9QV~|;)!sBNk+a|M8e@$uIf?_!1CSfnA>d>nr8AkT&7>8!<s%`!CA~7
zb5Zk9!{)+#b_%R1jVF>Nu6FvmnBKja8-yL7a+hOQgs=Q(b{@76>E0?eDzBp$C68U9
zGl5)qTo61CFJ6<%ns}*wN(!nC@%Xy9ks`5SgxgjZJ?}FWNl!LAHc0i@LZ!<fl*H<{
z%n!@SnB~#Qv#aMB;9mj59Z*w8X&N7IOv3#EsP0=HMa@Ou8slZ8y$Iodd40w6C3^$&
zykVV^p^_Vw^LGQlBHz`D)WKP_!K}Fo<O_0^2*y^ne^yP(6IFq(?Z}0O?R-YpR#1H>
zvS84gcYxTvQK?GZrUU$2vRf3}ai+TZ^6e9x-y3i4Vq+Lab+7d&u4|7BQ61^uRj~uf
z%B5HHi(7wDZTE2yHuzM9)O+cQs1nxMK`L;5mp2tzc5&OdEUCbp6vVgZ9Dc;=(hf$A
z@rcGSZQ7@>V|_hSZU9S|y%fC5Ie2pbN8@j(v=N`2TkZbgBBr5NBlQ^&(Aw_Q9^JKU
zTqd(-CI>MY2(RG!AxeN4kqVyJP)kpY))y;yU8-CLiG0RPM)gd_gG7C=HxJ@Oc2uMj
zSrv@<#MUdt+$T>KCwN&XC^)i2M3Yqcs4H^nn9FELEcz;}t~0@OjX@+uk=xCl4uKf$
zo**T^STv{zBJmuce<tIIp9!*r)(-*G`+do!<%wC?2We)d+uTeW={6h42fCDBFNwB>
z4$Y)DX;Yd+If53%?U}I-^O?21ziMOxjeC>QxN@bn^z^^Sj?!T7pl^v|Wm3p*_OpQ_
zWRT#yW2D4p`IEEq8rm^dW%zAygP~^-hKM>H-p^Z^*w8dK-rn&u?)>6Uqj)_waK&I$
zwUUHFDS~oqGxIrz66rt7HXaJd@Q5;7yOzkfTp)%)F;VJFyJqimooa8Jz|nNQILrx{
zOX>3&4_ED&bVNTgd|B0{GWblZRw*&Z<o#9@(OLf#^JA8#ULpJ_0ju{)zekmLYORF2
zjG$c&y{RV}`nNiELMM?=NtUtBV-&HMG|_+<T5RzD8y>mN$}H0vO%w~pKe%2S5#pi!
zV$ti(N)K;I>U_OWCsf}VH!kW6o3*k|P$pf8<q(V|zqkzL|Gt-}*R@7B6H6`OY9YqX
z)w(en^e!2@(DM-N_EuGLKHK_I10Vp!I+bVx4x8Qq&uN#>wjF<y5;#i}q@O5@64OT6
z7HiTp<Q$n}Ws)KmYc3Qq=Rgd;*w6Wep5;~$ff9y<N`6LrwDCMI3##vAI8x0mVctrM
z?2?<+JdJl3wu!RsxXI&Vp|EdxC{}wrMy5#V)B7=5yEbOHeH*j=jCofMI!AZhVKY;3
z8kmTwwhQuVr`fK_&ZY};qFuYT5|Ihbq{(^%*A~{#AxQgIo!qW1tM)5&66xCQ&XLHg
z@&~0GrD)hw6;-PEhyc8JWp%kuL@$m42cZH7F_*V%OuOQb%P~V`hx(Y0oD_B+%FV(~
ze$v6WxmnijYMc5_eo_!9w0-DwP2G!bw@-w3%E@C`B8d#kB!u<@c1;RL@~X7*?36Q<
zaaUql1pW8N+a^Q#+odu3<t_&;>mJG(TMMlO;%Pn`#}(7@diCKN-<A<fwBl)o=wtNg
zB(KFJyE=>`!J{|(KW_5xXZ=;qk(O_*R_J;f*Edm21*iX|!D8#igbjU0fCl8)po9tx
zkqdD<qxylGnl-J8I3k*(D~SaRiOei%&Df-a7G4CGR~IEHmOvOZW%1$|P31wy?=0PR
zoHdt8S2Y{Yg5?c65HI<{SO?$@I}T88K9rQlDjlKUm<#b0kq);YO3Lv(-x9NL`{2p8
zMB{<f9-62Oq6=R|GLGRqFI#Xh5Ac)vRK1nS963ma@s9QAxOCGls$AX4yEJ9!#|?&)
z1;a=8Z%w%BI{p5{Ca`pyNP&Y$frV$=bcJZ-<80$6tohcYk$=-jXHq3~LiC>)2ETi-
za*;jp`AFfPzurIE=ePXPL$zk<^e>o;sXveWEw$Ef6kKQay|Ome9+IxduotS>gasUv
zzsq#eNnWIwY)7+Sn<(3zTT)=*XGoRMOFDQeg|by(b8l5h^%=jML;abE8u-xV4VQXk
zg^a#w%=!i*6xdI0uqcLRyNSLo^w@IeAV}*H=Yf?KFSX+*_)d&+Ws$=g*+<|aL(k;t
zRV9&`cM+1$>LVVTt;L3J^yVO58^r}7VwYd9Bi$Lb8s0QDm2f$N%X0-=nXF*J`u>{9
z7)4OOa)%JUHv1@ieCRt!yr<P$3FIffwttY3RX$L5Yx*8Nc9Ngiftz>#X7GK#@zeO?
zShZC7FL$WejohmWQ^TX%tLheQNN-=~GmBzBlAD%>EV_;|=T!aX9}20J4cXp_Jkqz?
zBqg&5yH6wEM_>OVcgOwkf(*&3OkDqKEBsbui#<j*?uSi|XkxhEQ3QRJ+G*@Uw@nV8
zIn$DFbd>--Q6B8nn!f8IF$ge6yM?sJ%N*hy-X+4brx0XoUoNPTn1gQCPqf0!^3(i+
z#p>7_3bF-F0z_~1Os-aiy5_>G-jwlW!kycJ?Gi${aR_|K`mxm{i26G(9<=KS5BKg?
zxx94H7AB#Q@A30=O%f=4QB4C&H^x0hfQ`n^q87=<jbaI&W*V)%bWe=`IE<Q#T(q{Y
zvJ!$P#e?P)(|ZA7&zQFkJ5*5NLpOt?$yOq*KI*86c*_!&S=^~+_vI2ixl!ff{_Pda
zAzMIX5WTZjAKb|(?X;Rr;aD%DPggql=LI&;1R(?JSFQP)|CN=30*A5!0a4QY?IEod
zv`Y2*mmUFXz-SW?DXj3+RZlXA&Yix1La_!pGj=g^W#eD(%ggMpfrO8!j2+Ij2&(ct
z+I=&(nk=|;<{GGO&!9tFa@S-yi|SbsU{fAep$pd~RdylTx7GS!>T9NgomQ7LjUR5F
zwQ3o!-lp|&O{_V1L)VJ<^C%AB2%Tu5MkRtfw8~yT!HMM^Qo4w+N@Wk4bWADLPdy2n
z^T}_~7BOqN851MkwVTv3&?~?3%~f&Tm2?V!lBG=nD$%FNQvP6!YgMIw7s!h0*t$Ou
zcQxzg+GQh2r)B-07}SkWhuUQu!LyK-U9(RQIMW7ov@<$+H=WEKBN(u`&o{)#=>DHk
z#7OdYSHD;gK!GZ!b$&~2VZFBhbmt?$Ca98lZs8T=1(S`D#g2K1uyk<O_mfkoI);=8
zGuPAs-L&3MtB|obI-%&GtY&~WyHO^8RITOZ<-9%C!sF7?I*`9Mm$OnVQ-~mixHGss
zKl{)8$-#v}iHy|~z5q=+eEk`^#1kpnO(IoPcBgNkiihM1|B<ai=DtzzrpbtcC4PzM
z-J5YV68vI=yQ-s-yd?O<0fQfBTyFByZmOnIaSXCaI>?qW22Y}2R%We#k+if=zmwrL
zb4o4Utz6A*H^Sluq0c%$qSS;K%$#0$Ihud)nEbX<%&p=$sO~3~>kwPiB**YGgY6H7
z%wmhp-J5b_i{|BVR8)?k2o2@u`NTZZ;J1F6A4+hoj~#;P$fj=Ju5+Jq8aiGfkB6~i
zJqu^rN5LVdq4$fg{_hF>WmwR$+L{4|^bGZ)|4>=68J%RazSW-@^rRs>T80PCSiSd@
zq}efO(?kv}Aaig(+4m)QG_Egyk0;8mQNI`b?_FxA^YWp9=nJ1ae{QEuznGX>kh@AZ
zk>nuEj0={$a`;ly9ZB3U9-x^lNkAN+Tq-h5cP|ox(kA7@{IQd(YoEy8SatQk|CG{y
zJs6d<o%Y0KM_HW%`7RkSgSY&gO9e7J@rWxbmEe^40eF%LCn1zU-M3;4*)pp(|Fu?!
z^Htiw3!rG<;SgTW+k>mj*8@d6l%%oe^mYCrou?3qWUxwjEl$~v{k9sclPPhYd&Wpn
zu8yzVLlO!GjE1g*I5Q4Ul4AhW^U%=YBuvtUx8W<^3<h7=_=`2&R;jdg5>`tFZL$=n
z{5=|fCT}t%1?41+4I()~!jaNT)ADtnLu4`4v@m$X3ZtN8>3n}nvw)h%(Z}k~^O1Ub
zzdQo-xVhuE1&V2QRpg@9@<eq0rTVpPa#jh2jNTtU9viha6cEkPeIut7sXwIFAf8+q
z$NaTDSb~Bl`OdXj&EGef8QGq{5hp0IOnXs!y2qg(x4->j;)ceKotw2AJq`N!+4gsW
zG#k~aTevlPsNP`cKaN+1Z=o{|#6yO<)fS>_C+7W6|IPgWfkjUd^JX<AuzW-7LI6I^
z)7*~SFpGg6o!ppKFn>6bx}<q4>8jq@@tK^%UAHbqY3)Jr3bpYQiK@HLVFi^Mw>bvy
zg5XD(&MRUw)ZF<G%9_InYh<Bo;wzA{;<>CCTQ`mesW3V}DYi?0NwuaG_GLOWp}vhF
zUsI<Ub=W;#+QChK7zlxJx7*a6>f{|IRNKYo+pHjtdR~1-B93P-vA}Y!S({cZSNle3
zV`l+K??K@PD;M3VLj{h+e4%bqJV+A3#z4@E>8g-=xucFcfo=8yHn6~rB%SX(NLEiA
zPVER-CVj#ecmAy-`T~X1p1Ig|=sY{t5*D!MpVJ@`D_|I`xiq^^w=!Y#MQh8}f(w8K
zTmLHHaS_)XY9^)hb|Ov|FE+;<$2JUL2Fi|&!E;~OXn)mM-kjP=zH(Af1;7|7P5hu-
zwPEK?(d}hY-<x`W;j*F~k%Sr2<hrSm)9t`B5h@V81Lw<`;B|#S_AB`_YrH^jL5|+b
z!Gzgi`ADm+>?gG56DeM50;AwE>_MLPF^Sew&eot@x4Vbdf3xT3M%b8yQ+?ir#RQRi
z?Hb3@r+a(;bJT02#C1k{F|>{uV$RuoK}~z_>sbhBC4yi+z6;%dVZpD}^^mx}`WeM3
zQr+kflX?#2zI0f{W_%#MGV-V0q>{=+ueiO_m0fB_EO=O=;F;wPcc^3rV&9MmuU>Vw
zlTMXYsM1KD@sqzgNJ%p`D-z#-E}$~{ssvi=B0q$j(qxpEvRpEH4|T2ON{e=*o@AGX
z-XaGJ^Qy_hy!qRGZ_$AeM7D4Wg|3%hryOZ8$&xLaDzY^z(M_bdcFZz_w;X@)+ujfL
z+RDf_p+h@m#)3I~&Jd<@Q!Q76fp5{%Etr3Ak$2tGChgu)Xx*?R2r^9&UaHSs)e+9v
zcYmD`f~Uw+N{@eS<x2R1^7c&JuT5Sojer9g)Mjc$>ylv51LAO*Kh`C6Z>r;aE{V$O
zV~<+4KxJ1uWUM$GYdDC8O6;KW8S=vPlh`xB={T6i)>J=~?8X#V;{|3}kSDbAMm1M|
z{{3*Vo14pSWUCH!hu1IoW3_Jet_U)LbM75Y@;-rJRb;$w_^bMLymjrwOu?YMd75-2
z1#W8lQ;fd$KRJHjr$wMCy|>65B3!!QobC5$I(b2R;oU9eC*xa&5$LrO7l&7k1btVd
z&rIAFnkiU_f>l=XL}=m_=$qP!M9(er>*)!f)tQI7+5*Ph;p!gjDc8GH`3E%5mx$@D
zesk_Rrxl+YI-XX82-E%TZWi=>VAr)r-!V53h#}p?%~pcZYbpmN!*=?Ot^p@5!pq60
zF2vVaAx4!-yhL;d`Zq;fNlQsqIg{5!X+8J(b&Dq>Zg1_N^XJwpws1X7=p8OmQivtC
zBNY&45a_?kQ0~3|se{Ry^!73C%9Lo*ZD9X_S^a;#vUCRP6geA?h9nHTxXiLDd>i%Y
zRA8BF_o?8j@!OrHkQwpgy+M&G8CzGe&@sz-gSbe{)b``s_BD0C&b+KudFzeDn`ODF
zEM&ndY(yC$Q`^vRTK|K%6Y@_t!I?p{+Xv(B@piWX5sjaDehJ$ZY%Cc&5lTYx|4(Mc
zS%O8m4hjTt6l&E5K>&EcLcVZ_Bu`QC7~z#Tw}kbG&>U7AifN=4-D+nHaLr$cqY~<v
zk2v}vJbpNP#<V5<9dFA_zX=Cx=GaCDxU6;*#l1{6k<~5?SR8<p_C=a%AQ@zGp{`X<
zfnzZ2H878Yc8Mj=529?i3$Jz+)BhFb%8k<^!;@b4fm9BdS7gEsM$Esks5J>%&~jua
z>SG%`gr*s|8VcEa1Wjv!-v}~YMKsErGyE=OQ#esxJ$3(jSPi5^803Y|g?#_Va1mf8
zz7M`dK(<|rzQ9b=gr_pCJ(onfVjfEZ6bx@GlP|k&=cy+FAx0I1exmli`u#h^`eYzh
z?T!qoJ@1|2mTxOvDsd83kgGyfxlM$G1*KYv+&i(}w_$mdEHvr*sM7irxscei=ja-$
zfdxTgLj5u26wdRqm<c~dP?y69C{8@ZX9_FZbu}_ISOBd0pi21`4X&)xEmAq(zc2xg
z-Vm?N(CWrZO!)-*Q?zbnI%02TJOvTm^h39fpbHJaHK#RkiAiSrS*MV*c)%8XAhe|y
zNqI4qZmWT1@}4hsE>CQkLza9}#Qs9de)jfkQ$)W{Y9_it`#GSCKk9mIO%|`!*eobv
zrZ{Q&LJ`1QDY{#|QRFtGKYHgn>RK!K1X_H)aQ#_m;pRMPP6ud}YjGf=Be0ZmbIe#)
z>rWfT(N#$W%c(M|*MA;&t4TiNUc3Y;+GjwQb__*n&Z0$K$WxiHp6H|Ze{5XIh%6eF
z;1hXd?}z$K7+qDrEaV*&bK0-I_h!b<OoyH&5P_IdK{9>lIiJ3q_j~h~FQwIp-FVDD
zEtRhdIcVf)&xDoEOwr*Fy0OZ;MuV$ghi-$v_Aa;3?^sLb<2L54c9vFC2e?RB_^Ba=
zWbk{R;(|TotG*Q)W_c*tDriHO**ZF3>Wv}!j@77922qTKvWJ|s=N}SkXq50=Ds+oI
zO4Li1EL{eZy4aR>_+6|2v=PRo>7Cl<FIHbA!WOj*tTnQ*;HFgWV!^y^ezpG@cY3&o
zy%cVDtPeH2NdIo9*m+&%{DTniVmLnG^Q!fr-|5|FPx(+y(MFbOruEv%Qavh;h?g`N
zC*bC!`!<XFwiAm4jY9xd{XlmjRA!gfgo`Ba8jVlNVsfkF6aUzdl9tE{TsX}$=8VeD
zvM@Hss-Z!U?8+&sVZD078T)gXOikkiozc$Ee_$@6`oB;|_*H3glOlO1zq_PpePG{T
z({=ubI6wN-t`nS$sH7y^Brm}NkTg())4ja%-3V~iX_6|$%Y1}R&Fm$Eas&_EA5|Y#
zCHkZP5C|c9ZCb6%OB}p-v4(v)h<9CA(96`8`ZBZcYFD|->1&sGy0WRnGnuBa|15hw
zH*W|cPJJf-tI|5yxlLGqJLVdJOu8K7D~meguFsq0<B`a=ge|@pP&gIxsn6SUK1$ZB
z7VEu*GNN=mp7+}_(@LiQloH9!9hruxsTY01hf}e`Kq4o}#1s*TM_GZu|K5w^7C{xc
z-eDH}(WOLC{bn1JLu=A+jy#hhTAe)`?pCxU$2^fhfuT*^?FfKAf_;7M8z};JOhV?F
zjpbczLrXwQ8gCZs$ZLd`GYw;D^o;qTN1uU82O^|X3_RD*AE(ER<!9DLXQ}~-K-`X|
zJ3)zDUZ(2@8q3G<$KMOiu+c!7-vg^H)%Q5Dp!U}lGB*O03^3_c2%wk>cZ<?Qq{GcA
zHtzxK0AD#_+DXnjJJsv0?G3P1)iu~*W*>PK<10Ap_F5J|_*ei_<NW2Qb0jC~`(EsU
z^Ei7P(p%EGomEut>74=G>>Y=8Rz8Zb4k=8%U=?n*;ZITkXs$`zufGVd{qVKUhD&8P
zfCz$mFS)WV3(3&NW+bYsPa-l>UV_AqA(O2dIBC?g9GAnmIpzSOWyKen`>>2P)#GXw
z7tdo{<WBkH*Acazme|j|Ty^=iXv^v{fpISi*2FS_{1*~1jg*D)0&yWsvvDsJ!k4L{
zOmC;I{5CINm%}-b!Hd1Arty&f^R4Atz;=i;3!0u@jRuH&m%BXh>_V&WrtBBr_HLeA
zbwY6+#KxCh*1WdM{av^CB;`yt3Uxj<6rJ*1i&YX-78li-97JdmPe$xl&jak|%bOzV
zB-CsE$60mrigq{U806WTEC|1#0#*iU_yFbb2*?C-KB_&_Y0X25Vt!hLSBVhyOqgtz
zOGE2}_r8hw6%k?2RH(0y=EY$NQSZiLYqIlvuOHCbH!-Phuh+QFi)F+(o`jhb*RiqK
zR1Y@lON*r0t!(xrz}-qo<=*eQmCjRk>t5AtG$<4!1F;sH##^GEmPK3G1LaLYq3Gw_
zdk@eW7imRk>d6Lx-rP21kA2%Zc+m<UH!$;kN<pO_fvB#=BEDfWe!RfBC~yo(-0W~c
z9`<VPW((whX5(K9sNt?Nsn}Q@-ynR09Rde;B07t1<DQP~4Q)jzkqQV9Px!MpJK$IO
z>GP>hi)v!{Exto>q^JA-B^jQqe0(J!z@U-jAX4HW1V?6Eu8LB!h1|mA12Ojf)JN`n
zCG-vKeMeUw{DBc|O*x4yTCH;fF*I#kT8kN*>KkV~r#;+3-z{ELgfgN73SBwLYiTsz
zI)|JkOC8)zXfvfwSrc!X^n^Gb$IgFY6Z_+3T~2!S2cr8ekJRFTltz51?&oVwkx6%P
zo)TUXF|uH0|M8>RvAGcgEiIc_iK$Durov<cvEQYI*TH7+N@pZe<M(lXm#c;b_U1C-
zbq=--9*>wYK8}yzTt6~RZTEuc<G9*}mPZyF<j&&zKV{+7RbhT0&R<)X7J^%)!!J4S
z7Yod2$ir$i9^wmML7e(T?x#w^UVQK9b}jD=agW3y<_<Utwx|g%@p_E($*e*3<94%4
zIa-ybUVM+y;1^g}O!rcEexSS+0wPVKBvfdE=IwjoNf{1t{|iQWj<l^~U}=M6Dx&vO
zW18m57q4=Cyi!t1?mueXyODy5GiSI@ck5B65p>BHe*K13$iUlNqV(ehJoS2_q?@sQ
zA>6vmw{-Zm<(`590IPF5qjdfJuPD+E2_rQWy`7#)I_xO7+GXmzMG3n0+TqqCAg1c{
zMBY}YoLwGIf#a?$aswu1<}C4dq{Z2VCmv1|_M25h3?<V0>+5K0GSgww+f8CtbmWQ+
z?jlG+G8*|%pu>r+>T_}WhQMs*!!|xedT0aX1UZ2+oX}SNv)uHXqUm%2?B*-rm_|$w
z?p3a|)rl3p!)A@_o2^JLrGD|2g4HW#;V&P1ojA#C0Ls-(Ku)i&=;7OW&Ec*Fz!U^H
z-_kG*Nc>SI{u#n%f5h2DS|TWi-Ip)rQTW}mOZQ|p;Q5stS-FroNg9ez{9D^XnUoxr
zJphTd`>G3f#0&9CioLpmqIqAHwlr@)G^3z%Q5O20bO{~Ha<0e}m;uchPTdO#X4-F%
zvU%{$ZAIE)HcjZhY<S(GY$%<)xhZ{ZwTJMTNBO`gppNel<78gzcB&C~13GXrs|bh%
zL!SMdwNnGx7??Tc^i$;4Qy=2SRrJJ3nj9wgS6<}h#xSZmTLCFc3CP|`7eT-5mY#uv
zHAO5$1=2ZZ;~w#cAySrY#IiF+>M-N|?eJTonVr5`6R|N8$gbp2b|tX2UvM{z#bj)Z
zrU(k0z``RWjm;E-RckQa(Q=zV-w{0ROPlm|1FMuXwJO%KDqZ*RK~}eGK2B<Dx};>7
zV-_-sn$d0Br7c6=(3^0Mp#8=c@$#$SzpwUm9pg!BZM+z8eCO}p^kX8)QU5d1YX8e&
zbM5X;AVgp#%D8QQ1*r~8#9V&6gX_0(&PC<yo*No^<RA|O5X77%=MU3IVq{=~a4<p9
zJI&kBb<agSzn432edngL-ZF~J_lE0*(6#=eBgeO@WiS16hcNR2Ff`Z%RgOUwYxe^N
z?V6jiasMrw(RGZtTQ(!&bt=hWJd`fn3p0`tC-G%SH<-SEw6Q?V8Gfx8!SUSzaGnI{
zzHs*@7=zBv^@E&YAF4dTfTPiR!eo2M&%@`@&2cbCLdrK!-STV)@@}pyvJ3KS4Z3cR
zR0fU>3AZk<&n88&4u(lP{3Mmon6|54O3Cn`^p?k(o}Gg8u(}Od-6K=C^{6sI-uocw
zA!}t`*MXb~?E382w-t}Pe0<H&A4K9IFP7ae4Kydq_<+=0wbJ$R56``;jgT;UvNms>
zk>mzLp6!l27MIGs4^6RYUgLw@W<ca}pYAkv_+fglKe~X=TOLe^sUK7MvK%3nDR&~!
z1%d$)cFu6;;{8*T*zvNS2g3R3_7)@S`5vzEQQ+bIe-gQ1$&WMjrc9Ub9Pl^W>=FrE
z#t;uNy>HgUl1<h8jU&wwMlx%As6VxGF&8dgQSq@gqh#^2uP{Sa4p7p|8aICKaMBe~
zW<hUu*XgSA?IdxrN9*5d?b^}mKpobxo__T?bgq8swTP8EjW}G8GpS_Oqv+8}%-Snm
zzN(i8yIh+34=#6MBTTK^{sO386vr+3zCk^xj*pj}K62u1s_$zMl*`LqDZ-b+xecV@
zY=bW!1HyE`<y?^bb>s)Z$nEQt3L&tnuWk<a*t%z^`Fr^dydtoGFOLRo#Bd+LG@=f~
zaLP{scw6^+ss`|-J?Z@J$~)Ev&(c0piLCN?JDXF**8M<uw$R)$zM^uEDE=wIz$%;Q
zU1_{q;BnDTe6@r!^5I17yj*?$qRWq2z-PBJg~i{Ho4fa%O5c*ht*gO_A~jj}U5n}V
z@7E5N$_Z4cEz9~JjZ!yP#=stvuO05H0Dk@QQnO2xGR=c-<xQ86C~o(T;<m^5a?kOB
zrt7}$b#5;oPdfcLdW$&Tq^YyY#dYDqSo7n_F|qPMFvK9uEw4tTIt65?M+^h4$IG5I
zMy6tp@+**YzN<|5(bW=)PO`75O8DUsuY6Qys!_g6wpn7Vghj6Kqsm0S5{?4ppS0s0
zbjkBQc^K*RabABx-|Dq2=nEUl$FPX|4Smwn_;}6K5nYhvPOkVgxknSVVyJFC!U~Ln
z;r@Y5q?`Rbs6t~ipWU-fo8CT5)9jID))EpKOYw29@UVy-Q`2szqI;m68&)NjtcW$K
zRC|c|srN2sj<!z$7X$QR1lB?{BO=0it@_kJ+XYU9QqNkh|DO9^#o@{sHGS!KsG&G!
zJK2GfvIHF<hQ$JcU;!FdHD6(@fa0b5@pYu>Xzu3$Z{=%;FhvO_0{hm1KVg;TTC|Xj
z9hf-n^w^k}@HQrz_K@v*lFK#yn(>v)<87<U7XKSBKBvcY-Z!&;&Bs^a@!WIyt%!me
zl_Vap0Q)_8lcGr*4mD|TR`A(iNv(#jF3iny!_}G{Qmcdpe=0qq+0t?LOj0Ix8xR}0
z41)hg&67OZ+%9Yp(s6Uqm`*I-PcHSOmi!*sP-y2PhvYtrFk#@My3aPiCg*Vc&dX9w
zC%q*~$IRn0RZFL}Un73>c^-{LN?SXgRLFqgSw#e^M^q=Z-L5#jj(ytkvcB!_EI!UW
z(Q>`|;DzAGn{L8Bij4A|PQ6laeoyb@lTYJw{A8)(j|6G2y188s`DYHLw^t*gx-ar~
zF4Z?|9_xQd<|-r$Ucv%%KErU&)_CN(M}pYK$hx+JwlYxnMsB|EksLdwff=hhN=tRE
zheA`&m2$N!zqC2+Zl+3-%JG2#>KWrv;Be+%XXElX5iKGJG5jzv`HXL#1+_>F57c1M
z*8gI7km~&#H4}gdO<$OvEk~zw)4i7&dKD9|c~C4E+yt=I(=P8P>we8yE6pWmimU@X
zo|@oJCNpJ5s`dc0N~-mk5Dtg6*vkx|HF-HS4^q!vgQlaEbPp=CfF`&)zohdSB6^#y
z&a|uTR=!~HnL+8u4P)sE>jE~e^F3xDbizWbgeO{#gio74et)H?`7c(y^$f8WNrm)%
zD|pquAcPecVD$xfFl}##z1~Y12@}d%)Q{7=#AuBq2r8JI3R*Cq>V~`0%)w_Ivd56i
zI^dxNn(6maecH|LIK+WpJe0M<drTlvH6tv)poI+$l@t<cP6K8udMt}(36q7At9<7`
z>SeTc&{`1Z;quVu*MU%JWK3Y`J_~1B-DH>Nx{d1e+vSIh68QZmF#7HG&995&x+x=0
z*b(hqT;S>+!VTPUe#OWa0|ZS7zaRFo&E8pnCwKND>ejtQ3e#Q~bKy*S)-@oa>-cWi
z^S@034uFcgGgR*ZRNM!E<G>aA4(~>Hm!t%=;gksm07;P?$8tByod70!KLM1~+2rcq
z#mDe8HvNV0SnyKKDRB1EBei?N5Z5Z=`mUz!XF8vM=<D}{WD{i^;>N@^_Z_!A<f`ID
zQ5R2eDQad2){`Vhb%#f97$SA~`en&CCp*-Lf+N4PP;5@_1**%Z<FP9{!-xxHkXO|Y
zUd%*y>vw9`I)Jb{OVG4;8C>MoxA5R0Az5%FtAU~DjDE-l#`4)Vx94>Fkw)r%>0G(#
z<sOHeD%<WthUO0c8N6-sh8pRWY0C#d4>F;B;hph@{JAPihVLq~U;ZyvxJYENTvMg<
zmV+d7J4Du~_w22Tco%Nh3h>;*<Kw*WQQaHkL~`|ibl~A-pjs{y#0mo>7wgi-W)Y(H
z&cHZkDlkfS+C(F7f7ke(KZr7}Q^iWew1rcrrpeb%_QtXSDN9KRutj|dVci@SUc<Jr
zWic#Xm>E0Q@h0%CpH$<!4c48Zu_j*6pv|`G7%RBZnxgxAC;)U~@qAxn#bEi1t|F7J
zVHtXhe(F8ZdUJASLy=VkK_ef654qPyPh9=`^Rmn8s_wGb86LaT?l|;0bi~+kpVGRe
zTZ(~R65Iynoh68k5Ao>N-v4l>g>9P#w`R^A0I~YoA_FGrH9^(PR#SNr-EW7C+X{Is
zP1}BO{|j1)(GH`CjI)kMINqo4x{2XAavv&jWq-kD{;SlTA_#cnKh3H0Y0IUKDUlO@
z5D+oirOlCa%!gKGi~l|rUiQ~eBqs%c08vsFg<Z{j@!Xh5Miz{9@FgqozAcl@tb-7b
zmfw^>u4M+oWXJ8>T@_(^&k9~UMGcgh^WQ^1+tvo#8@mvrGhz6^bo+pv&cGWZh7EB9
zQQAe5Lj@4<w1r!HY49UWLbeL#aV2wY?0R2YrCX=mlpjU-@p6$;Nt&7vbGsgh9I*@B
zPG>WSy=#m~W@=^far;cB#~#k60v;_?TX-7{THZ3w;$mJaJ7x5|WSzzBvvr92`Y1k>
zVrFviUtL6-*l35UrM9xi`v$N0h2W)14q9T)Jgjk5g$Ubpy-5}8xG{sn?APfO;w(6J
zH^{+2nX2^A?pZJ1JVmZ;p>|pN>ZbfyksLPqPIX)7sk1vgF<06k(SZ&&0ngEgN=}lL
zm>MRE+K<G{{A6@$(<*K-gB;>m+ZFHyC<Bs{C5?7KuQqPMf}7)-&P>~=#r*zNJ&Stl
zXnATszy;Gc9^l%izF3Yo;8UF4FdunpH#3@L<S8u|8gvqJgPhQxI3d1YX(4@mDR(Ez
zVYtxnA>rtQnL5jSK`&`w%F~zj^p9WrlmL9M`^fQx+v~C2dTUYZ<G@!Wv`fd&0v9#(
zX2RGWPxkCO-=SPRHtHcHD&Uw`@6jOGbPYg=Hi2Hf<jL{mRPmZV;E94wYgW}u47n%I
z`1?a<%0|>nF&rO%B@=Lc57uqnOlyXTlN~}$8ATfoH3-ny5F7;J>Xzud;lDO$a(PHM
zXd&34OCeyV`4u4nx+kR203Q=%@w|iouzg16`5^<SLYa06A;Zn%p|Qd6WYdOt&os+b
z3{e+<fR&MS%&vqR%%1BK4S8KI%sN(T(sZgj{#<k;d6PnV*6f29b(|jVLefy>f)`~e
zKk_PP{)Mv`Jg_aCCq0B#yuy8~0;UCH7oc&eQ)=~Cx?Sc(7M<#t@<9efk}?jb2i-A1
zoSHZzQ6Qe+dA)=fV|<=?343@qx0DqLdI8*^dVXhZk+@qWgPgMd9}=2Q2_h!zPt=$q
ztq67DA+dSSkBP7VUH%&6C034#w-xo<@%*pW2@A#7%k3a{S}0pCaQ~ZEt(-qrLko=d
zi_Obatk9(ok30!2>9Zfs$=e)b!%8;pc#jauB+Pc16l*Cy!a@airW+v@@4p1AmWzW<
zX2UZ$Z0$d9xE5E89HH0pRwfFWCmR||AX(OOQ_9lfd2gQSWV}25=S@bcFNqHa{J4T6
zL`#lGqOu<3Dc%qebhgF4MIpQ?a%tM)qURr`pX%$?p#B^Kv4+LJAX9H>SASua?&Ou>
zGgqHRiNC-5M!gi0wFT3?t?JdKXZ@e<vaavW+UoHik>R}k+Kd-DDjZnsFX^C^%1c<R
zd-~<#Ta~TC_B<e`Ixv6aed^Rb1W!jP=BN-1qGvNR;}YaPL~I&VX!PO2AS+uN@Vt%x
z6ruHUPedIekC8!R4I-sSxwK!{{yw#&_2QlE=osM%Wf?u^kK0PzLx?r~@u?d+PdDF)
zFsRcMk$0_SFL}u^uEVluZGUhm*Wf(IN@W<;5iSxU3<}+C4g)cIU?U5xo<>gBz?Axf
z&g@#1B^0X>lwzAa?NLaY?j#QQFgE4Km{R+T{O)xk9z&gZuO$v|L>KzxMTeAvo8kMz
zE+2lS$AZSgv&k@`iSCdVfm2dduPN3d;em9CViJg@ve315A3IuHSVHmTs%e*q>(?c%
zSytst`01_q*W=2Sv}fmQ8S>i`7LnpwsCJ(-_&ibV@Bted9m~WQFc^qcC$Px@*~NtE
zj7};`nrw-G!8|F%fhdDZr3&@p;mJ2yr`eT8h>>jN#hs!gt>jKAaPbaIgQNdvX^BlB
zC3e+i4x4!&kV|$`)6y9*;M2AC)bdpJ=t3~fkJHVO6lkR_rANtU^Ru>R9oq%u{9M!O
zT=_bEjVorerJPnY0G|XR-*4*$<Qk5%UGT}Ok0r)GBgaxtZX}qu50v6jCzx|xmYb8b
zZ${AjGPKmK792@H?|r$OYQ2qGGAYzPY4|HR$L8-6!Fm77NHQ=kmFTtU6t&dO(ftC`
zi?Oas%ip-+UJR1@%?FbE1#@v`%j)34;}hff{$B*-CXn%1^9Th<HqnfFv6BI0a1h;a
z8J~F@lxTo{$pWII2}e~Rp<QO{Vv`o4Zj$pxPV6WarI`-Ul6CI@u}anDxNDk_-Q<dJ
z3dqq1edi9B#_R8ArQ4tPpp*C|hUlzSQ}<)%RC{lwH3+GE=3mOFM2+{yt4zj2Q`ciG
zW16t&?YpTob$NkT^0+Gw`^xBOw-qpD-3_#0I`WRnTi6<<=Kau~i#7UXb!dpQ6Sf{9
zcf7hs?9}JyLMi~u5Tq-w8}luDb1uU3LD!41L-=}Xa};^AV}5wG%_!y34n*7HBSAoW
zccgBVbCMh8%h?mAt@3|+-S?7?c9O4uKyq{ACsdsxahdJf@jd)bJF(($ODDbE9mvkR
z-JPjhMfuM;2141O$jNxUoV4kVe_-$AnQ~c)P2`(C>Nwr=KFVdLkOx%}#Ioi|6b~QX
zMxWkp3I9iB)j@fCx?YF&mm6?A*Eor0vajkfC4S&_Em<dwBDUB_HFaxIZaY|uf^wvy
zvjrwNvlI5`r~;iNpkq~cv2R{t<NhPz!ZP%aDBH!K{Q0mSmHErAeV@eF>*eBnW-Yle
z`I7BG3mU9AX@jYIn7#{Qk*6vg*g(NcI1;E9EUJHUZB+27r;3Am%!YRVEHcV;j}`ij
zEUAORQO)Db|K+yV1q^?=UAZ$J`fqGQZ6qMJ-!Q~SF`NL3Q$*C#tvp*ryh`j5Tu3x9
zxKkaF)#re+#=^408g5$(nHM4xQFAou27OKE3%fGmogx%8YI|P<TX)KCr8?~?XG|9H
zw`(-CKw@g$L`kyxDK?&pj#{^sryH+@U_z%}wO^;@zZ767A<IRBly)$?)FZHkaD-h&
z{5_=u#pimCAeDb6`eN1oJG#dM!WkO#?Z0(sTF8$7VjIgx{tA<Oa1R$&!OPc<5N>W0
z2_`Q&y`t8ZG4g5`zDQ7p0H#T7RefKsDEVhR(VF4dBh4C#L{tuo=pn5)pXdRnE*W#1
zxLUiE;TmZuXu<M^Vv;lq$Uj_Tx*Q@k8<a%uVr;gNws+L9bzxttcP<cR-*1TtAnewc
zeO;-UAh5;%jO+XG5d9B|kjgI`N4;zR0oWKexy35}aOgFzCqP6u$|_RkIMfPQ)EUy~
zt0L`pcq?05N{qlk$zZg9o7Eru6B=}FVRFxgDhm6K#W18?K<)+fTlo6%F}7lXLBzHW
zdz|8#;`6uD=!H<+L^&oAm7zF)V}Y&qf13oqivFrT2Ok2JSe%sB{5L)#pHZal=V(1&
zFf8xmnaVyO%-va<xWDs$0@>xU!cm$;Nh8v9WvwgBe5jDnzbKc$o%9Be9z)HtK9@Y@
zI#VD>tLvaV*75|>qj<2HYFs%}%9?chEFN5iVb_2DAWb#4KY%wE!tj&GyTsU+A(<cb
z?<=1lZ}-`*r%HV5kC7cVEcBfV55$Wnvf!?+?ysw!XXd0$d(rP15GdA!;r#bTHGAi8
zv3WbW;I)g<4b7~1J*(Twj7Nn!dz!|qg0x6oE15GT4n!r3E?M1ukSnqXN*$w4Yk&1p
zZ@HCn)!giE&J$d2IS#lYRVc~i;4y~e3XVQ+M^};6Rp(VNrnhWly*fJgg88apB}b|d
zkBq^d!O8ZqypspvCDD*;3YqlkOr+1uA2Pa~`M;dikBqP+L$%&=?*eGD0SOAIWwnw2
z_!?^rgwpHxqXS)*8jWu-@I~WxT^H0whx3st$&yij=<&9TxjTE$PJDW+jXm7-6_iy8
zO68=sd+h5&@to7U{~!6$ZNK{hpN>-Hx&DY`nf`bTGSkW7d*n>>60;ST((+8Qti;CB
zwV_4&rj_OE6q=-Ix5FQ1ioTn=+>)U{&D~jvJpC*e^?A-grRyYHKp6CiyU%pAHH~sw
zc!K25Tk;!yVQ*K}j%Xi%Hay2r8z*CS<uqR=(T`4sWP$dXK&-2H^0JKjmG?LjKVChx
zp<?dg))exSLY*^h1UzLv>>k(=ejOvhUIq7t)6Q`d7;Qgkf)=;DiNB4lqvX_@!T9e%
z6I#33Ko`RRo<wR6EK`}(;cQUag%WJ^IkX77hm~%tL#I`E3Y8PKc9O6dKi30*K&6Xy
z<qj_n$%V2V&{L46BRXK7HE@KVriX4s^?_zENM>N*1^p>a-u13ps(KcwwR6s<<Ak_q
zTR5U?)7^)f0Q@gNP)v7*R94w*)xE$nqS!pB<`GA4M6J-06T1>tYaoOuy?Fn+o9O;a
zl(E%@vlP3FPfDu9;GbeYsT2qW1b$CFHW=zS9TO^CblIdGdLnI^+tq=?+>NuYjRuOS
z7e&F!FrOnb6Zuw~dB|lx;nv#EGIf<5PTCFR&tB?1O%-hqe=Ng7I-jK?|3m_`7O!^O
z<a}5$w)N8jrd;=j`fq?Vv-vPZvg%%Nn$%wfvO-?uCWgAsA5k(}5$}c72XrE+ADfs(
zux0)8G|#Z<zfW-UDJNY}Wr3CCQs(YB|9*0=r+`0jSKa;VVllF%#Kxjaq*g7pC#}v(
zq1E6$^~bdzR6CDTY>i)(R)V}Jq7hr*2oSYzBP7B$3&)JrfAIyMkL$0VRF8jFaJ;iw
z<M2~?YGf;4&bw}RD<9U$OTFVu792QW1$pr=R!*F^Y-cqQEqUDF@x=WzI;rKzlj~O2
zMz4Q}-Az9bau6jUqAaF%6k&QcN+rOkvIquQ9Ly64h-M3f+L<t1qsBx-(?fN_h0Ry1
zrDnv^V3;XQHZ<bOWgj%Xeel7?qO4GQA#{AUqcR<jH`Hd6N)MeSBfcfpWO?tJ^iGPN
z)?+f;$dn1Ms{~I{2-Fw===lMD6rqP7SckoL;btm!))ZWb&4^-c8GSx0dgOdK-i&Qt
z^53-J-uDRlzrZsn&hd|;RHpOblgs^Br{Wma-!{G3v_`(amSeG$ug%|!_lQKMYODIe
zC-(@L02K=fHkmSFX#4@5UVZ1Tl;t&aEy<EMR@tX{*;+kMGBul^EWT+3GkCSRbwQBX
zf=-eU`!O?xe~Ic1>GWgqoYbXO%kvJgimz;q0J=0<tpdhii|8=u_eDvp|56|vTB+j{
z6UF6uCc1z^GXpu>!*ru7!wsi*J81ul-mTGe_-J1dDM7rI+f?iW0&J*i3C;YU&js0`
z<(famRv&uJp7aS|iDBx>2h%U9(@%do_AF)%D$7vL^_)?}lc$2l6C_#9Ln6#+RK><y
zY5d2XwPk>WB~Oj_`KTQwOEmjSSb@clw}Aw3((yCKP}5W87foFSf-wXD*C3RS?oHKm
z--_~f%xaKxa<q}}TRG&zy#Axi7OVLIWm5YKRd+h1X9adHk;4s!nN}UyD`I}GH}*0X
z50Qa?Li0RuukO>#X_EF5SeoDnEGdGVRWG8KB1irwG>Se{;p!{q8xrft8{!^}EYdSd
zxhnWy-O6=V5{v+eUHi}eLVD=oa4U?}phIl0bBGu7b1)yhnGK64qC@3aR!B7HNa>oT
z9hanikl80Lr?WE?|Gq5RQs}A=5nipViX+FvN~NTplz0Zh51Za!EBuYtOsk86x<qNO
zygcuL&<ReK2Y+$oqudamJ8E8wM(_!r6~@1VxCeFKQ}>%BvUr~YS$ck}wryJKJ`J#z
zTWTwPKV!%8lwr=;-Z}v9ywGiwE1|S-XwSmdFR^k_Yqccn-)O|tyja7QVK)GO<GR)5
z%ENv>JRO<^JI_XlVqQm1_Q&Urn9%DuW%F4OqtP3T*gBm#I(g?K5+EuwX#Kn?d)5;U
z64?yYV~Gr_6w=)jY7YkH)s@<0mzF=v@iKNF0+d=D77a8#GFsX?G?jXI9edW-dZG_Y
z^EwfZHR82=;hJ3KN48ii!%NZ|=LR|b2cz#o+^|Qa5FFV6aH_))Q52KJ)Gm|C&j9-P
zh;)bZa+jH$T(K|F%9A<9X+IH3DU%4kdLtE)b5#IQ&_N)Uw>p090v1&XY$M8<W;gvC
zF=2`4hLbAx4<IQ(mx8pXwY+Yc9r-FN(Ue9<%dG1{38*v4irxn_;w3;`L+A08*!$Ez
z4$qI53KmSi`z9L*F80~Q{*2_2{VlF)N<pIK&X4m=)<%8*f@~XK+%|TYigxFi!LC-<
zg6KmI#?{eyX`!q|asgbID=}Kpc6R3Z%c}}uUTm3TLA8^3?iZet^LsHxi)hV^sUNv>
zz<GaRvupYGr$1{wS^PD2PGYC!0;XzwJd3-A0%R7W*h07t#yV1&KTQq(B<$h74%uYk
zz${zDY}azUcHA!8xD$<?l)f-&f->xx^921@Z?O!Qs*^|PO##b6DATtG!orS;HEC*8
zMWTT70HqJdlr4eg2Ut}nVWxi)9M;KB<hBRvJndHP`8q7pcof*0+f*Zc7a;>WfBa7d
zilvny*uP1H|4hv@gx;8;OPUY6G2_RKI@o!{1gn)_3*$^xZ4#uW_6$f1$E_+P?{YK@
zFR!Yw=?Umgf}IWV=cy$4lnQ-x8d$z7jUG-K+t#@zM%gYD6@^aq`&(ApjNNt)o95Kl
z6fw=bxv7hRpEY-qXZ{?c)!)3!Th*(bd)IU$L5or|;)jm`Loau$t=r(}NL1vu@%{lW
zksQNYns^S32~ltzw{ZOi>~}nQ3of?fi&-HOrRmY$yT<hJ)4%QaK9O25Q0a-zQyUt%
zrQ$xqQUmus`B|KiF|A>EL?8^$XFo{ytZp`S4;9(8-&gu$4wRMG{U#(w^8z!(xv+1(
zE`ky-4!Pa!7aT;GW8KgYd%L>%X4XWlMnN9IeJ*79z!O_dz27a1;!TCrHVsAkzr$4u
zO;pAL>q=@Kgx1?rh*W%9PH%}<7(MP~j*nu_6Ei0u2QCHlWRP>7wm^s#)^Gd4RxLUZ
zI-plVxr;b**SY;sB_mUxg$U*QwfwpT06wQR@xR5dO!JJLM$m-VJzl&htMm}AFM(_D
zu@B;g&Xwy;VI*uRC(gNSx{QQCO=rrf)1Zg2Fd9`RsDkH*omq-KgD*;qs8ZZ$TsWqs
zu<<4<m*CeHTO$_ai@KGXzZ{8x<v_p3DgAV()>Qo{CsV%<=MlbhdlIVH3BbN6k!I5y
zSS_C2J4xtT1$38gTV;0RCs3_n`>VKOZoBF;K`!@A)syFd1PTx?v*}m<zJjY0S4I*4
z>x*JJqa~L3gvE`gXzn!<BVI%vI@PMHH|~C>Sw!9^;$>7+``pd03cj1`+Rdz@QY;NO
z`+zN}Rfa2ZHkz2ul21RYrlyIFKjHxISZs1cWX}-O&-{))PHe>GUa*sY>YNs@@V;98
z{7RD|pYPv_Jq8kNZT|V)?~+U;93VN?vykrr_uBpQAmK+lUigONkJaqKnT4=D-yOJV
z+xOFtOMO0dDR}>bHUEN}*RLMIhVnDi>NJw;0uTQWTW0|j_4da7dqosPL8PP>5$Tdf
zQb9seL|RH(I$RnqEq$q_L0Y7wV+mmiX;`FT=@MAF>pctiKl9Ez?+nAhvaYcE+jE}t
zJm2r<8)(~jc~W~)$GN{?89Lfi;%Ge>)xHe4dZ580U=-J23i;q}rVHd6O0J*|iizL4
z;*8-MjkA6bpBo}+-bTBBXPQ;PT>N3fk2;*!tfu1(uQ>#7sW4#F1(4lwQO}|rnZv>8
zE%JtIWpv^^8E5$-1GP>^@k?f<^hYT^tBsCUc)}Eo2UtKVjajr}A63^6L{qZ=#o@RQ
zSQGt%<M;gImP=!=&AS!I$7ymm>iGxscgx)-rU%C?J>$|U_10O>zm@663(mWD|3b?7
zm>B=BH*^1Xvar{kTN&3Doer~2xPHE?E~p(+M`@jQjoH_UqLnU(@NTCZPrHN*D^`@L
z<U~2M^t!%tdGgvtV{4v%Axb$hl1T{&cxSj8lvPeRdGYapT}33Wefz$?&c{wE?KJ~9
zM}}Zf-t2MI_!NTOKPV5sc<k1*3G~=g_G!aRYZ|GhgVGA#vqJ~>vL`}0PW0YWRt<7q
zOtf1IkR}78=7sbs<5%`fv_RJQD^hd@i9COM1p1o|)juil?mU=w9*+OA5av>|Afx@g
zjFw$d-~N1CCGLToe7aXDO-#W4KyTNe=D^-y1(KnP=uuchcV;Q_F&c;|APTw`gMuRS
zuR`19fUAN)S{tgk#yCsTAv?r4yOZTB-##IWgA%FR7vAq&Z`$b0F&$tX_ml5Erw=j$
zJ`QRC>G*0xcADaCl?A4sR*#+|^2OUw5AL6a-D5`(rXX~|Y+YNEjSo?kw+V(W_P~c@
zxVAB>xH<iEwqma1IWU!BkQemse>e)Fa0wb02g*2KipZ}dZy8w|?)7_DSL<Nva=;o$
z>h#^_(Rv7)_7LsUnv0@mJ^G(aeI+}+bv{ALQ%NSJ`fYi#7h!gGi_ems{bat6)h8<?
z8tmCzT2+2TiPjHFC|v>z=_WBRSqefC{8mK=4F@E+G{3w}3{=68EJaLo;DQ~N^lIf4
zn#24b5uqtrC&jl9WkL&JT5PGH+uGzU$F=1(TCY0J{@`!=f3r3A%S_n@$p|KHy#xY_
z`5zx#;S50soO-=ErXDLS!nPV16{?)04B>}#nX`jh7&;1bm;#RYYCY4l)mM?Jh2)_a
z4J$xP=|A0`UWopCM2?lFx>8O3dj4M{<CKvF_&>_P?hQ6Qr^q8c%8tj2>ER~6M#iUj
z|Ewkjg8ZkM&AHyQv>ZPIlts_Wgu@MUWwvbnncoRM&y%_GT|Q={RJ&vqeAm?daCyO4
z2|!^OKe2-0C}j}`$C#-j{BicR2V5wc5+OoKxny(nu?svQ7<a)?{tWn6hpst(EQ^rl
zmB}$_6R7;=^b0T+`=Dp1$#Jp}LRyg`4k|?e$Z%u(p{_UApJja}XWvc?{-TZGkB73P
z5M<DeZzz^@>U~LqsQT79Cpa%&w*<H?(|RGz;qfq|LxaPWk8)z??<X7IQIO{%-zFic
z&_3&wn)91U*)nU@mMvO&I>J~vuDY**6waK99d=|_v%&~SWO8TE-dXJGzLlujd<D}m
zkEsq}Ge`PJ5nR*{_dJ!x={0`i@|f<si|D@cp4%#3?_*jp1WR=OxSiGVE%;!709B7%
z&)}r_qMx9zJI*bVQ;Q-^@liDIJCoq~f!q6&fp^6-qgV8UswCirYYcu-Nq)lp0~bGI
z&uBTBem={VHFd5nl60D<B?2u=ieL|MAIDYT&Md)=YDAgi2FO+?S?T^8V=q7^y4HlQ
zj^6XqbIk*+ouzn%QWza@3;~D~480*ngkb*!4DAT^nEqBf`AGX2HGKvH0qoHG_pRn4
zMz(}bTXzsL3j|c+>?fT&xv_<)P7<BJ0vNXp#gc}brqRvJ_%vW9PX+6a%;yR8=}nI&
z&Rf#1=@b6&mnVeeY?rh4l5l(Q11OWh>LI`D7|Jkrv}L&0IG^$WY+Ak%D>i-DZ%=KH
zZsD3@{RgeM#44H<u;H&N>Akb`;+|6SXz5*xg-xN!&%kR9;<%1*Y;=wIxaO*Z#=$M%
z#3y;gv<|MXZwJENop#7wGENG!y+BRHFi^bZya>Q0@c)IT)F~4LUNddHlVj>~vWcaJ
zm*&Ms0fFEs;O<nUm)$lz<=ia#uGzu@bW1FWO|m8`ik=RFP?kpQs^cZeKlXGg7S|)q
zii1$nv3ci+vY)w_k>>k}Z=-tE36J11c5fG=alu>5cTc8h3t{@=<mGwG;@{P8z6jHG
z>PZ&CvoOc<$KhM{=U>|A8Y5^1H7%r#fU0iru4MaA-i4AAX0Kx2k8ZFgqD`<fZ~92G
zj7zORZWVN_6-qnu?1Kg1!#;8HsLH|!vHz1}&kjk}xk)7Fl5qO-E9TMlm!Ix#H?6>m
zIV01}HDlHADL)QA*Vcs7IxZ|GMv*?~_9zJ%-0q6m6cKvyxvKJEC$<?cPzyZrxJb6X
z8_+ab71#o>dBvn2&o&m(cEj=Z3)~#FlWok#oX#tud9e=Fp{lY19m?`vI~l3(0<CSB
zWo$OIge;;pJzc$iZ>bC9UR%Cg_?>uTu;<Lm{<9s={bAq4mcL>EYQ@)dA0)j1iU3|$
z_2%J5-RCt$-|bbXI!mhP`*Dtvoc?J`65pMKX@xH?vn<_;7do{esyMYpc=9qu(h~t=
zR&z&E$y%5bfuY5P!ZW<n#Hr($et)o>0J47I<lfX;x^)Pu-q#UDlmgRh3e0&r$fKp+
z@Hdu<ODBc<q3ZRhb%@ogG?b%xBDab<o7WR_y3X;Gv*p55N{6wVo_`A$pV)ZNZx@(s
zM=q*AJ;Jd58l(9nc5C{ZUu#8qxV-bGOt0(V`b*-jW3KZoX(2R(sAIS@Nong+H2*YO
zab%67nBPx6PmwC3QyYkifnMD6wH?0l4k3QOcWoKohWy<aj!JjUsM(Z4Oj}MXb>pG1
zZpArMu>fYm0=#VKvIYGflOcU{YUj&r%%=TT&SOfk%8_uxb+Z`;OLU<6oBF&VKFVy(
z>WH3Sq})=x;{PjI)7tt@@2KCFVeBnz>i1#DE6i-oDJYG9$^S~vBJR91joPXU7pBgf
zo1&egj|@Sx=zdT6U96F9!s)G&YLPe(r0M@Mr>@4aq<cAazFXSJMdoz9Isl)V5mNaM
zKcv<ps4icH7OMoXr51XQc2Vp>R}ya2&YD7}M_KA430vHg|5?V?UX+KZwg4jBM~b|y
zM+l^s*20~lnjR!-`~Ia<H`DFR{pdr6o5mHUe!7-fV%piM;(MP|CN^d=Nm2%f;8Hrd
z{1UH9yvP66+AqO}z9c<&i>3az4et)|Bw@IGddae1$ex<@&(^TjNxY5VfW?G8>$Dzh
zGwEl5#&uNj_R^YQy?0%lofxv%80sV2=MqI|GWlZsUqWW_TPjf59b%QK&xN0--i)ws
zsb$QOpPM-g6ZBPkXaD-*4;tA)s!El70CQdcvy$33CvG{G4sgVNlsSbyY$M;Kw>NNV
zSiLVyD@$fo7IkZcm2B&m=bS;WBGl>;jmud?ibL57O@$hwT?x6DU6F%WODB!n-$H+k
z?;yrVzvVTBL_4+c$)jx7X7zK}Q&Y4ikc0j$NR?^pjekXi3nkO<X$g&QxFkEYFiLCV
z{)@c-x&_cq#67fK%`HxJfaK-zmpkEW)&*3xuzwDW*#tc&EMpTsT=|HGQ&wxwi3%+#
zzBus#duQuc<)O?!RWXRf4^;DZID~qtMz5J^iH`#QQO=V@m&>CDDdV8au~`^5US7&p
zC>eK_cdFCln9%oj&&YtlG^?AYygY5u7tWER%!&_KN(k}e;#CMauSm&9PGbiB85?)8
z!LAXQGz+F*z|Q{Pu&JVA`(<Y*wPfK~cT+-UO^Na4H}0IM!IYx4A=iGH>MGu{$qit<
zF_>7;Nq*QXe}o%s(u+e|p^U?px><81F!e}L#@ejp9y0)sD9LZ_!;8$aMv^Dp_-8&x
zgD*K9b@~}r$kxZrH~6d46#F<w%E#$ZZdEPQPdtxme(|vn`%m@G_ZL<$b6zZPfw|yd
zIQv5eeHe$vTPnFB2W%$x%LYsPPLFh;cfM{)7$ck7kk4Hao@7{ayY`2_%H}~6U?uh_
zVgXeD=PA3lJ7l}otEx6rwns@x3UE8|YmpHWerVmz1j!qBNvx^E(BuwJE#b8qHuBpZ
zQ3ovKRY*L*Zw;cWzREi9M@lSVl^%yP+%NxFZZ*v``QSZfS`>i#BfBn5exJ$=4vdNB
zV0Rc7Kx4a5IUYNU>{5=x7yg!SjR_GZ>0A$r6I;gd5+C_fmDw?>2^Vi8Y#=c+$iINP
zf9fkKmAxIb42-^EN{`_wV$>rEO}<MHFlGkOo>cVyL>>8}jIye_?=uE-7crMeJ=Mis
zcDyOG?1;GsfU-Q|yW}dvmOQf!#g=r1ZXjyW>;j9ZlM}~H#cR#D;l9_rkZ?YM279@{
zTrB8yfP~5v;ln{#?<vT|;fY|Gp5ya0$Y_9l<yD#k7)@}z%UM(1t=?zOxVErxu%`*$
zZ?C!a=^2?}^?Wem^}TO~!4J}i*=oBIy^<<(!Xcs)4*EH?PEUZ@^sclfI$7YwIJf~o
zC_taPSvXdtGBmf)`IAh>-6_K@)&Ncpri)Ayq0dAGj$OHWA3rO}sRCq&A|7zO5C8)V
zAEvJ|m4h-r{p$TGSI}c%=7p?TAuD<h=trufg*OJLt2bM?Qu&H2@%p)MsGkcy3(gq>
zDl>g>JC|#Hs!?4^I8IEr#-x<L!E~8ezyNBIB2s*&kJ4|UnzOiqiU&nOc3Wo4MnijE
zX>t<wmA}vQ7Moz!XfD|#t+o1rv!y)c#bGWGaP>{8+Wm?ZU%VuZu{>>us(=12C{T4<
zW<NxkDapxf)47^q8jQS2s1W^4$Va2j4Q}1_TYs3@>yBG8$-6p)$7~GT8WDuMj-X8G
z8dv2^8gKZ%c?Wtn9DxQMg<H+cLy~uO=v|#urd1PufTo)v7@mg)rklhqHlL&7xfWTk
z>wl?glQuWCr06&;3()RbiE$fiEI}3;KBNM*@|2{-aRu_)!z?G+x#pAOxRib7R?98Z
z;v7$W*2pS=ta*|+{R@h<7a5f3&SV3F3i=PATz@@3r_`t1%y(YRgZ(f|{#`AM^&jig
z0oA6SLbAy_fxgdlEf~q;fs|HNG{ZwT`~Jb~G8Ow1V>YIitn1u56yqTZuTFk=RcRIT
z3UmYDk$*0-%M}(wbx>{%V-1h7&dK1-$*AB?UwVm4ImO9Q{-}Nq0-58`e2NG@OSK@6
zxH(o9-?;c$!{>@Yg;qiLRLSu4FDk6kS%ses(`^7HW;$+{WGQIXdCTt$Rtrx=x%|+a
zmcC}E66u4|=+uh#BlR*Os?sP8+x6JuhuxE?gS(F7t96qaJn?ENKzjNwvslHqhj`*l
zdJVnlXVtn%_)RQ&4dKp?=bE3eL*vK%1Ws;s^nZYsSQlloi7W}Sj^m0weiso<-H&W0
zSRonhYpm}-Ou6<48J6wt-4+gz?{ga|PG#;jmU1yz+dUjmZb+V0Sr9{gZzB@&FybK+
zT3v(5erdn<Z}|7Q!TFIrCNsfB?h-6FzWYgen$T>#5n)n8-b0x7;I@6*0BXDE&ZPl@
zXL^MSK15T}ZSAtWrE9g`U|_w*${jK!Q_sphH!P!LcCdJ)bhsNof1eF7Qp|mY&t8{B
z=Ky^p(O1EEZC^SHv!EAV_xsIX>C3BwB?X9-F_<TW>S#I%?(3aRGp$OwF(bxFTtMOi
zC*!&`T=k+y?31I=Td_C8U!91u<hcpGkOI=?d}CLuIG9*b^%8RY8@%DeM7a~Ppqkq8
zHlsgOR8)Tu74afE{)l75eU#dK>945zXl&YOJ@jW*9Kx{AC3^NrLhxh~FXN!;56L>2
zKC?hT9HgLiHosK#wd9NgeUgF}BZA=zVbqvvcQl2RsKk#v{L_8Dx$o?%^LuV(GP(U}
zb>O|f8%uiN@ry&dbp~2N<TneS+{l!2F{3F@fj*Zhn;(5^H82i1ODJ+9G@<uldwLk^
zdajvEkN)L{wnuX2R=l*kK0LZK09^6;$czF8SQTRQ%zC@!P2#lQt*%&s%Ngk4Q!g{w
zQcoVM7N~+c(jsrX(ax2UHe0~TY^7l>I*Y|I`??>UcrJ~zGciFAew11_2D5Uz&}QWd
zDPf_YZRH7-#_6O5IO{W=<fJTpj-(JBvDq)tjAy{|&7)r~T4H6fR96+rF34SPmxv&w
z%bf9MK1uotM!9<Nd9&el$##FohCv<yq!yrO;wP3>e;VJ6b(*C-eE(zqG5CeEu@Ce>
zyVU$6<>Ve1;Az^iSf9=FHD|ZTC5z_O40*S_h9ikY_`1>ijO_hv-n4i|6DOe|q)Fk`
z6*<r+f<_qh^~{yJ)n$`*T>|^+EUEHa?bFhQAa_$?D-hST<KDLH?Nz@Jgyd%`%>UxP
zERbq~_hYs~t8FuZl{z9SS5R6z?PA3sx6R8w3H(A{M_;nhuF3Y<*z5(i&EUt^01wpU
z^gY{5E$7s(oGQ$3t!fdEHVzQj(YXM*r1$!QU)nKQ`z;ndmFq?e|AY|Yx&JP$4g@mV
zdwtCHTc7FOF9UoX5BEcV#p`b44IR7K2?Ig_SP)fH=&XOgW_=ntpX;n@5p}~7o7&6z
zPGzw(qCfdv&HkE_a+nq#J|U`6ngA}V7HG?EuBFvx%%xgCw55#bJ4xpf7M}be6An1T
zR>=H4#WT|sEviALkNPcrtuT6<bjfb2e4&s{b$tO@Nb08Ft}#2Ele{wNBE0FsTqxTN
z`Ok<NG4dNClG@GyafO^#M3!TLB-DzWkiWoeD~LIK@tx~)?wB%u-^ECwqqp4&3-)M<
zy53I%-_PC0R$k~xx31i+3X`q&YJm|>Fx;1jJsJA~^D_Th<gmxZc-bd%-APK}7G2f$
zY(}oBqrcpPDUp#CHMay~zQkAF)Akd!tE;>d+Q+HwSIgU`?PqNlrWTbDT}W=?`i>hd
zmAqTd9;BT{+;keHcw%Cc-Z8$uD_)qcO_gTto^~z52e`rB^X+k@z+QP@TkrXgg%f`F
zC5=dLKXlZz_Eb+lr`<W#n>QaP{oWki?Iu(;{{0g1CNi+9OKDfxI<Dxy5!fD;QNY5=
zi$KggFvHy{M*BsG_$0mWSvj>=tR;gjdgmzlq;Wu=f{c%M9a1T<E_v-+ZWcV?ob1Pm
zbg%{fm7H1wVxX8=)`+Hca<jE1(iWafRCbrRo3&N_GInbz&1@N6cCCVQI^FUn^D!@Y
zmAm%##?a?t_mA$gK`c+U=OjV7BtZj|BGx7nLu=IkDmiqteY5)Irc_!P1o%)S80rd)
zLPV?fQB3k`%!?|}x&tOF6N=KvoWUJD$rZaa{M#L|SuUO@e8ntvCJ*GZ{Ql@q#yk!&
z>QbSSJ4r7TMSAT`BqA9Itotive)rA2)#e@opWbaYL6aG%X61@&`JM=Z<Kpei#Uei4
zZxt@<!@6vA#MibgMe&%u{phMTKk41Xlsq7B+C`LTb-N1H+ms#<U9+I&<nI)fKPodm
zPM3jQYzIUQYq8i==Ie56P_T;JY@8kzkz|_8guGB&ub0;gm#T$+F;kaxz%s0U0MPJw
zWs*D?Vsq1jnU%$fFn*ygcQ;fw^k`<jQ*}Uh{T_xdY)w&)k3~mDkXtR$U#nJP;ug|M
zyG_>;vx@3pTQIP;Nam=e8C09c@Pz18)XoBF$%2e^y>bYnlh{6MXnW^yB)_S_wJFda
zpTiCQx4@_Jem&bB^THdgwrhO-9>nGhbO7B-sssAx9z#!7%&88A3J3N_tBKoOZ&c5&
zj2)4#oF~IO20)&L@gqhQ#G!AO2=YxY&;23v?>o~d?-cPoExC}(4bZZTZ+Jqn(v4=~
zrfQy}3z7V?%g=IuCDlgxt+czy1<{a18+kaknSsSg6gwXRdNkE<PIV8TMy0;7b^gg0
zJu4d@`r6w3h7sVs1Xw{i!JpGA=<Tb^5m9fQQbeTjysI!WZ+@X;ynFFRP6r^AcNt$`
zq}||LCMXi=GNvbbNbsHqG%lKk)sIz5XwOwTLyA!*JSQ(18WkaxS*xpI^dryTSm03O
z5O{w7BMHT3o}4$aOaKiEIneVtmR$pPv8xuol~HL$1pAe?1L=ir(sPAGyyo<0EY~ZO
z^5jJf;>=y!m1&WkapI;pfM!YdBZ(>fa8{Z4X~B8=jNsN%6SYMgMf0Exai|-HtPRcw
zb)(;nsSVqw4hS{an|snXtT+mICqqy}cxH(N(nB-_1`?vSsSVM@qR8$#df;JY0RE`D
z8R|p1cQq#|(}Gj6flIM><yiOX?{;I;>1IBl3HkHjz^2p<!M@JxeD_qgVnMA+_F4!T
zG`S?R_0#+@e?z(EGd#>AJ=Avo`$uF69IHohbSC(p0h791va3g$IQ{yKEgr9LthYjz
z;d~^aeRb{s-B8!FxxPvlN%e7%rROwWZ=8|rT#bsdEw%8ypxcIyFn<W-MUPEK?9o5Z
z>r6^31Kv1W8kP_+f!8ucY@YgIyK=nPllS$PS9pj-Drtw%1Mvu&4(Sj&e>0$2cI_RJ
z3Lbsav|p%cbKJUFwL^l}JN4FlIZy)Opi+PoT_%xn8tT@>(c3X4AcF@;&<S7+brf>)
zq`X>fQ_m@!B<%-Z+x57IsN$~K!zkO$1i%9&a0;WAODhhrp>p3bCFy{SfT+35srm0U
z^nC1l^V4tJb~RVt6`r`q>Z+rcZ=^E+Wv~z&{P6A(#p3(-fpWjzu0H0bkG@Z?%$zv$
zTK4y=hHtXuJiqge8MvQi`}G(RC+&+{dLA|O6{j^G9i2BGUFnXxdv<#kdG!lf7Bw7B
zxR*3Q+alw&cp*unP&dZq%G3!3G8&TK1)r=9&(r&%6D=?0=bx(tDVo+U7WdUI!AiKg
zrK}^LcLyw$=)a_$Z?fqv8N>xt9sKSSxClJytRA&Xv>PU6r-bV($<WkpZCbnkZ4gh8
zo~f@;&#vywI`O{U(btj3aNblLd}oamIp)uwqqBIgwj~RNnAf~Zr+FjmOa-TO?d#4U
z<(t^b0$8}Wop~NIv0v20P_xUtIi%nMKLn%FE+=PU*0nB*BS<%IKTwf@l_(TdXnj$2
ze(y*#(!H(Lix}LprDZ9K9Zl`cG;gj&{7)G3^tyI|>2Ck85+Wvy-}*76E0R~)wTAK8
z6)8dzAfi9oPTr2^D_y^R$rnU|E+kFiL$Xm*&y2BA(?ILAgueeC{4)^!RJbs^0h0eK
z)z^F}QaAwwC*^=yL?y`9YPg*7!-Hx9x%I+m$cW3p)%i#HRif*bv4|dgxQln_1Wuxo
z`$nVZJ|y0=4qxL{u=gw7zB?*2L4WLAZoBK5bq0BR&J`=7Ug-SxHgb6;&|tN>I&Y${
zy%c~E8~)ol!?QI(Tz%EAn;e_2x>`yup7(Zbx0QLnqTVuQC3Px*{6oE+1Yv)L5Q46D
zpOz9b7<s}XYhmir;y0IZ{$cB$_$XY$$c2d@Fi2qQ(D$xW2;H69gFkDQYK66O+!<bT
z6~DLm#%=DjhITI!Y+?vgK@yQv)&f}Peg*truWcnh1N0E3NeBJJc_)&^Pi{x*&`nOO
zfij_L$=KXnprr5Mp&Y9eZ~EBrhoRzTH!a<qtoro9Rzlc_g&Z2JyS=||rY#egBbZCo
zW`Ta{KHZL|qbYDTJ4Hg7XviGFl_Q8X7y{CYA?g%Dv8qaJnEMfa$#hiLX>f5{($%n6
zxV{rx?Ql%+2j^r5?ps1*(4#N%ERzQwR{=&=!`T?ywv%!O80d@VQDv+FOr?BeiU}Wc
z^7P+?^*<AK)6&Vg-)1ZK;No#07Zj)2=u|26F^*nde>;6@xkk%IAGqz@)VZ|wm{l-4
zG6r&{87h?%^??c43?Im^&$PxaGzw*V$G~7SzDxCvXJae{HKd^+^>1!9i67)64fJV{
zm<7@c#yz(cD1Qwz-29aq@_JhY(d9m-MiH$aS@W%=azGY4=#dD(9|`T*v5LzQh@u_p
z;PVRd*g^2TlESK8zlu%!>Y-_pJUFNXPxRxf?{{Bin-G%2vUp48jG#=^9^+%Nu$~0t
zAmk3+St3%-V=KfW|0u&X;%G|^+L(0j+8R=EM(ez~6hQ`Eowf;f>%fx>Z3-UYi6;;~
z;orJrySP`JzPN{0&vVNOA>=zMb!N(3@UHYU%^?Swyj&9Iz)aQes$t%aGnZk8J{o_i
zPE;HJlc;$vF$6&rZOwlfBmRXmO#GBtoETM9Ivgs4*?8xO8Gr=bg{cBV1q2s^c+rbQ
ziH?hMNhYhi%=j6gbV75zwwuie0E!?*nXx`OyOnbfv!(u!s`B}>6Z(xuw%N_14UO_e
zlv2b+Kd_#)&83An{)*lI3l~ie;T?h0JotX-9&V&<ABOS!+3k3p<hC#?hK#-c`cGo>
z1?uxgTEfidn%=VzM-&*5vR294M_ZMJG?^RP!j-Pk-p2P#Q*8FUJbo`2k>ilaJOOL8
z{M{Mry~d*r&Y1tj$ILdB{<WiQZ7KR_ev4E)@^;&c0Kg$Su}mhh`u(*=SbEN4r+8g#
zC0E+6*$(b-ou-3j*s$q5E9UIdMi7%<p=qa-0Z?$_73C5!cE<g86)iCQb$}qQa(gEG
zhxKZ5*(f0*udSeCd_3+5$}S8K=|7K8)ukW(UHKEH_H#_pMPLi3owQFlGeFHd8yOuy
z<ZTdm4MP?!lE7oN*j?5)rdOP!)#8v;#@mg~-3a@HWkzsRiTI}7PLN|lcFv}ZoA%h)
zRnFc_BLZ139h3ZAdB+V~F##5YXZxuo$HwVoM{(8SO`J~J(xKMFbXx)<raloVJC4ss
z-4y;=`8s3y;e3S3;N(J_hg_U-@Sg2VPG5>GXu{4p@kI<p?~seb>cEJFAHeZ3R^Gh0
zOWb=h7MBy_;rSKEK^>GT7K1e8pqY3Hn@b7j-%#Iv6Rc_1CoNf~bx#g&GOdg;Zkg}#
zyP#y2o3js{&{AHuV|-fk961i=%FQ_m$N%B`fs@>p;GCr|fk7~KS#%~>c<6F*-47oB
zDO9x1frfQog`)cwrfSWv7_s$~Ro>GpHYi~~eZwdW$6Ka36o^}{w5f|i3|XcRYLYTt
zKdhAV?+WCW)3H4bawt=P<7zUNf26t`j%@0ib&05+v*@;qkrtj{?Lch}u^|+jicDP<
zN*@%>R~PqcG+XxnkjDy<#Km-XK5NIH1g$(Ms+Ski4h!k~MX8-*y*pyE<4afgIg!lt
zd^=?}v_50l)Fi$sRkd#K;5Y;V<sjrpFj4#yYg)!6qCgrTUG_~xmNX9Y-FC%xK?^X|
zIlFumw2G@TBl@kL%!`_D2b`hv?TnnI|5yByF>nw+-E&`HLRkNKpf4B-k{?5Ae?_D<
zR9UC&eeMt)T0UDLx5?T_qiQ!FU_x+7V>L6s{!sJkJriieRsPL0C0;IP)N`lV!g<{9
zbs8o)YAsE)TZc=}WAJa+P~~QDwMYCUg?=z^b1`gy?~$haA^@4^UDbpHXYVaGT~|pW
zh_wu5m%tn}<;Hc?>>MwU;qDgqiFZz0`X+2)cB{qqZ)PR=Zk?CM-<&28hf30RGpj~>
ziWDb)#}Z8w9m{E6$e(|H{+FTDp;sx|x)|$Mh_7&6*wwY>^gH#l%ZKBI=dVV+7}0&^
zVxg&b^5o_SAeOM>IDD+#j!?_G*<yrT{jFPSa#}+qhrp1u<Wj_PD!sxzL!;QjSwYk}
zJ@sdH%w<=J{XNX?;{PQrU&Ah42RM!0KR;Hz?D)KAJeN#3QuNNg&)wHiq1V<{_|;(X
z8S0lYEeVy8+>Z7~-;IAN*Ep+)78Nbb2_{+lj9=MlJD}uU9g`26V8!0m;s;a(_HW=#
zA3cp@bKS22Diu!sVd>WvPDq`ZLrMeAABg!WP=naKQQIEXI83X!I$-Q`NABL`%Juz(
zxA~lQ+$ravRpa`oa@d&qDF_#Z#2q2h$2=Rvb-&bq&z<oU+L{Zl|E6<1a`HcHIvFdL
zcBj+O+(|<I205TZ5|Tq*Sc<F|u8cqaDK%7Nh1vN`*4}(#TI`-u7bV^48S1U((Aq+!
z;*d%&KGZ7f`l+N&sqUG8cZ5ohm4@G_!rz@CeboxVvsh#k&>s{RueDydpHC9dpOjg!
zo3_7mFkFZicYV(a<N%m658YgxbF<9q46BV>*@ArRC0G)xMaB5t=A-2djBaf-Y}Nk5
z-rlkFg<zV%j8A+1G}$X?uSpkCC>oYt>Mn78YohOX6#Hl79;7j8P~QGECzw{$Ys4>O
zXDGIZEuR7)LW!)MHgc``AxYd1AvwHduc452ghA@)1d^#aQ5q~5ZRuBIgY925zrf>s
z;V{Wxkr891kfCq;QOa!L?=a;dcN+m;xhQAJWr6PhGQqZM>khd!Ca#(d|MPMr3AldN
zFDAGkPv2)hIekm~&nmg+Y59S0=;VfF@5k8X?S~M20wBEhPe(|a<71Leh7RehB6~#F
z!G8hmk3{HjR_fK;=e<$dH|9?6gecVx@c)UGZYjJjF1A^`xkE!IzB!sVIA(b_olzD<
zB6(PgmNNNQOLy?_335umsU_i-Zx0|@3#|LYg=|%?sx8*Oo)S^kd^_mcIBKXp`-i@Y
z1;@GML*0SaLw)mpXZOhB%G;VZx&vdSNmC$pG4+=3#+<r><XFopE3TB%{>qcLWlQ<X
zjNM=_YdDT*k5cdEge-@c_L%d5-+(#JmWHri<O*~@za0dxC71Tl^q#a*cD7#KXNVU6
z!u4o|t1FE!*lXOF#O-G4)I1$OQBMZTw+g?1?PbacZTOUgR_i=XXdSg)+2^tcAnHU@
zwTg@;OSR%i%$pqH<7wYca5HbYTLmsyx<1jkB<S5LP8T1aO>vr}e%s5j0J@5+UwzAv
z<}n^j3>79`?V?}cckY1Rmf<qw=2Ej*=o;ZNE$^1{RW*MxGgrTF?Ccmh%Q|CEV1~lo
z+R_i*Q#!x=OITcuYETwT*MBig%=KSvdVQ+%uzN8XPn@zBF&k^~Qc<Td&%IYHa_|>X
zN=zl_6~mOvm)yBMG8%Bm??t%E*!KxLJ}uAo?o4^wwZ?3~UlH9=X7fDh&^SQfv1{`0
zIE+W|ZIZ@PBic#8ISQ}<^8|B)jW0b%@_Q#w@Z4>5t`8~i4?Vi=>gD`ol90DojM>39
z7N=XECOmstxKxEzeAB|DxlA3FKl2-c<RlfwETsQnuif|4Wq-)r%f$|O+1-#b_7}F^
zGjag{3jYv{(XthXw^ceP`uC{+%pvgm<AwT+H>uqc;|`d^f%M=yU9GCK%iccEp!^+%
z7G3>*Y<;ksWg%`E9}H3|fHU+`C*||1?M@#&mLAB!7wCrEf;8`Mht~ZiJK8lRD$4Rr
z-kG4IveIAd;Hqk(z2cnk1mCHY9fEIdc2{hzp$KXkCW~zr_K=GEB3)Yqgj<jjfZ|3+
zcmL=6r2Jb2sT)^AgsZnH@TvN7x5%xmEn`iS7zHn*=C`Rl57W{d<)CX2T+bgG(Au=L
zJtgo>)7)sj4W|n0gMJkX%z1gQzw?qoxl^08@tEel1IMndR*cF=dcicJFwq(Qvhz<f
zOF69qCYU(4*nc4txyl(Y^Id#ilJ^UDq%nrBn3ZD5fuZf&6gih2D!kY=qha>9r@oF*
z!g|=mL})!dDHFnLRQv0DDxY`uE=p6P-sOrkP|k4VIT5P-z|p1DR@Gs<O+<J**n50b
zE-CYAa!s4x${5y<9kAA%YF)Q|d#&e}1(>E<**8~t?I-ChPXqUcR|9QEBH4rx7V}V5
zR4aS&!-)(h`*pJ&3UfgV`{1}o?$w*|TW@fC$E92Z;k<q3#$jENVJa4V94%P=Va{8h
z$c^4shc^s;6BJRkck!LMqQ$F6ZD~1c+J9qrmaA9A|FPI@3k@a-2WDJaL8Dd<Nvm?#
z@^CJ|&~=ACs-74{zkfzDI@Z97u^1Vy{XqOB-*{f`-4NjF4;byB?ena}wjwF~>t1U;
zQqS%_0RSHT5iyrqKuzVrH6gSiQCHUGrifA}ml1LG`dnUZ^_#N}Yj35`xlZu|e~iLW
zP6^F%jt`=T$|lP`7M%SAs*dcqLK+R1^LXfztbN}d-=S%@hEj2?3^;KZb{@R4bLwIF
ztL^UQCi_7e&(kGakxonsND9nmr*Jy$jr2j8JTl`(5UYWnuczIGYG{LU9Ciq71Jhn}
zb-e8MPn_7O8;&HsW}OyU;PPu2{vm*q@s3WmAP%Z-JkE1*jcVs6a#gpq+Z^e)eywl6
z%JNP;V<1Xff9IkQSyz8ACZ`6;s#X)Vave%So9VSeJZ1EbN}|S0z&_DZeE^AqtavG7
z@=93yRy|MsgdI`J(7HX*h&7Ptstt6Qn$^m#{DgSz#jfd;t|5*Jz|el?$5Dl?=JKcf
z#P^SQgar;0qHTS!3%Ox_DSxu=qr72EZaQ`nGeHHJlbJLJ*|xE{)`u@d+S5M3;OT=~
zC7R)qPXvQ7m~#}JZC<)l|0Tna6~;G`UqQADJykgp-Msbb31)Q&>bP8)+;})WRQiSc
zjhV|aBSD$3X4Bl=6W784(7Zq}D<6a1C<yFE7-e(+{XY*jTOKbq)&K!G8@=qlzqQz{
zO-&)K#}j^)ul8I|kXo46p1C!50((XV|8~&Bi4IB-E_T}Sr_yiS5Zlxr;|r0@-HwxF
zdw8dTQ#cVJiQ!dgpGI~;!=IzJf1mJ+U`!o(Iaid}r6}t0lNbg9EqF-U(F6h)RPt+F
z<Vrbe2k=1$o0z?q+qdNQCNH9B@IMT6;ok+r=Xc-_9BELnK%J5xZcaq17TwN2#_1Z@
zTk;evz<~)YBr0+Ihp{o$@<k{Z<Jj*cLToaFh&<QIGd{kY@J~a(Z<WsO1A|S)kF8()
zxx6c{r7&+v7wz+D0=37g=dM`Jd3iU3vw8SoDN&rk+}4>2FmeomqfCpf<wS7E%mw;i
zOt%PpOnwi{YE>;RsEFz<np4@97wwk4n7sNfpCeTQu^_i_kv#H!5D9+osX!US#LXgG
zbBCqi6X@3)=g6ld0g+v8<EDU@g@U3OD<dj)wBW3$%v9mq<~1uEJbZ(9a2$i4<Z^Jw
zxU0+gI`QQAI|`pMB8X}B0uP0cpk~Yt5W)9`y>}5z8EtsCEhP0sP;|&s)INyU*0b_7
zzNLiGO+e#E9s5@CxvL<s;Q@Bk;Oc0ggG-IhGRTBdDjzb}J*%UFyvnMo;?=;+=(JD!
zBGirM8=%7hRFEjJ-h(&T)zpx>(W4Z%SxLa71^&bzPIk`L@KY-9l!k2S1rj3Fd1a2S
zxWnxoR~_9O_tI5uFg8}{d__t<zfZ#a)$bwLGVtrJCr)9WTBV$e;ptoU$nr^Z;41)K
zsrM6-mTV8*dBhqAW5G!cOy)={#kl%aBl;sA$`$gOxxA)6DkJ*lq;hzV)&2gxUS2(y
z=OXid)#XIr_Tx7C?gs`T!L=+&@4Zue@Hh++YGr}O!>4DBh}>P-Fr;ikMFs6MrL?C{
z%s`7<z(`tf8u&Co_##sA?pDX#occSU84xa)aayXMNo?=vr71^WC&+;`wg4ilLs@Bv
zXfS5gAx(ibmeftZ3g)yu_16M#Y~b*vpK=b<^*t)KR<D!a?tG;c`FNQbp|Mn*n)|t$
zk#QkE4ZA9H=9O!$#Dn(O?Tvetf=jtK=lF?)2kvRFrZ;*?dLU?FrdNrDhLo|SPzThj
zae;-74Z_BRCcj5<{lH7VRnYICtj&}CMU1;|dt1r9txu={j;j8ypYsC5h6TzX1+usR
zT=wQU0DD1FRYPbR`5Q+8D>hdA{`XWgOOazQ^at1{R(U-g{guQ%#Wbx|XD3n*wBJ;5
z&3i=!@64MH#SU}RF=e@6$^@|ucGWOmG4UzlT$7XO%wg``lAVJsT!U16yD@xiJ5YBM
z<QDcsK&{9|JJlVgqT@TpHI3*5u|oIEb<Tf=2rZ`#xo^BVdY^)37MvQIq1QKYqx>|^
zqKW}<f`ed3`*&~I#;*4%o#8-tcsyqfZRSUN8WTD{r;>N~Bh%W)U%6lLh&KoH-7pHY
zDOK0mw41qyJI&Ql5_%2o#Eef5mm(M(65U-~PK^kNNp=~2z^|MaF2VX0RBhm7MmWr>
zv8~3`M&6L>DwI$a`uWR)Zff1Yo7d6D{5@H6-om|^aD#m%j8_k+JB#_O;u2A=^VIiW
zW7e>ti)xRY@2z{hw_~3%X%CHNItyC;P}`N^q%s(slyBGC=dka;YtvF+rh$bYY4G`^
z{U%|OR`tn6n#;#Z2KR-SLAd-Oj4`iXOpAsIL}|K~6nKmX+#A$6lT@+r<ndx+uYI~j
zLUQ5RhfA+)LzQ!aN5_gSE3|12NEG^pksY^nS(1^yl;xr3UzpJ*f^BQ)K9ic7HfhTc
zNJE4910D&CkeN2-O4^H@<8)0Lem@WM&Jitg1mC~#EVSPE?@AlgRY_w!pQ`^N(j3gZ
z)5B<mC!TQj&*lf_@Ptf}FU)hXVKiLyZlVhS=Z(qYd!B-bL6LVRl#NS~HD?$fWro6o
z$hRg(5=B2`tn^pCe2f>)i-{Jv=8<8*V?cYQx_VDiKilkh6Ix~&oiY0mz_wa+eowMR
z6<$=SHuLg7Zff}4bYH-#Os9D;#^gJ9c=!yHt+Mv*>GBvuCD%S?r*~P~%uY@(+w>FK
z-B{Dh)IoSH=oh#L`t7N%&c=ko>=ZimO&BNbl)XPA;;4pf&RlJc87SMGp7Yp>(+D^!
z6`7$-JLSvR+*8YVeV%)u-@!?!hEd}-*sKxbU<2at{8Oj8YJ)CK0XcP3W^Sa4hinfc
zY;53zX|o`95intMbvJ?7-#)cym)PtHF_qDTuvO#m>l1d%DJftQ7I|go^6q(Yrl{hk
z{uGOMQe8q$seUD#ZylGcw{(%^`$z?$D7(;x(K?0tJUV%5Z1Y`P#HpVr*%-v+I=WhP
z^K|0^zXxI;+raKSP6y@+*V{+AjEj4F|CBy>lecA5*v%%?2dsU`a*OL4nlBBSY`1Zf
z)}x7<vj4gn$k<fOl|$klC*<McSE(JUbN|P*9p>fF;7yGJ*oe6njeLMCiDpU{ttZ+A
ze=!(uxM}ALQ`h*o{0bi-8`<I-Lql0Gk)}AUDkXo9kny`L*9oPF#!5d?huoQu9<iIt
zs`_49W{6eP<$XpIR#h2v^{crmfJRSjY6Q|5Mh7k$(bDY)VxW)N`9KUUt$(6G-%i|g
zxhSVl{1GFUrd0(K5SUV9{snPR(0mv(aLB4$gwY@n?gB9nKoI~6A*QyOHHJ{;L7&at
zEH72i%~1{zHmmzQ%VNDLo!l_bqhE>Yox@tUIt;nfWLT~-R=xe5OUxtm4sy!IeOJe_
zltOuO$(aGbqp3hhpo^fgcYp?|*D33#IPnV-j<fDRbS=sSq#mcNDGA^Po0BSsU^7l<
z7murKwU%Q>g)(_UAZ@}dn00%f)p29eYFas@n8N2WL{c_GtVU^0eRM-`+()O{rSgO!
zg}r#C&9M}&n_vJT^av7RkrDNQSv;CG=LKd!ai)6idq5mwBv@O}Q}l<d$C~;mOx(NA
z#^JcB(WX=Fe1``<8S6ZtGvYwczLtAuYDn0)uEBv??F?;Jmj|M2!IL#+R1|kC#Tl>D
z!$p1gxN$JI9@pZMdwOw7bjT;d9hKaq5I+?S&udy@kPn_7Qk%dg(BiV#U+adp5aabN
z$^mD}YAmspKM1<a%Nu&DUDokJeJ@sh!`#ytgRTML-=pxNX9LMYj^`r9+}M~Vwa;_c
zgU1Cncs9DmK5y-BO8^!y;_u<Jy-ZbmdY`@od~xbe2!yar_5rY`fJxSKk=XX_w~+e;
zIb0%O{u&Xft@1;wWF9+EhI_-e@BG{1kde@eC4t5&*VzQbkck(s62#E}zZ^z=34{^=
z`To+}d#hzoY@<%9VkNdB=WHSY>K{QmV_r~i_p7L?OEt+y*5xsfJzs~|U})Aj)aQfk
zeYjJb9Bp{!ZUy|U0si=U;fh1eKhU`r!1ucQc8Cwntti7tV+1e7$S*;1`k!&Ed`*&5
zRRL{lhl$Ei(VmA2-4LaK+@Z7G=`r(zsg`w%trN1MI@UCg+)eizP9la<j?rua@pqjJ
z0)K@}JMP3+a;Q$*Z(!0!V&yewNwuvg1p-%m68XF#(^OHqq@pc-<oWm3%~Qe#q?h<u
z>A%kJiiYo>-1MEI14|}Y7*`#>Sktmbtme3|?<8-4+KO3Uc$F^PQFw_}DaY!><7Kd<
z*vfN|hv2OFIUd<_cH0ci$je41W_R_pE*aX|(FmXakuu3VGgBNmP4hM>XZxm1IJK?q
zikg{6+P%Iwe-r>B`^3ddMp)2~0z4k_l8PN>O^Y8jiFezavi6!YiP#<OcbaYFdTVo3
zB?Fe(bX<^;)2xuH_Q(etY68}1ypq${Nf{L0)p=*+J&ls`1IxO7IYxHJDbZDrqEz2p
z&(n!al>6leVeIgNR2Y!09#Z=_`wyp8YouKqSav%OX9}`23Yz>$Dba4}8~=m_+XJm0
zR(xjy{AAMt*#2%izZNUTkDw`p5}Z2xjl?bxit|v}(iBSbl%!iOvpC?i0!j)X;nNg)
z?5szpM5|KKnfoy3nqV$p@8}yj@hMLta1kcYUNZg{W!BsZnGUvTy|Vyi*3Q3Nu($3D
zcul4mE<Rj;E-Glnxq>67TYrMAChB|m@_7PgMubMZ)+raHfX{Mm-q`wjzvGlw^TmrT
zZh8!~C7(F&)=*Q1DPi0Lr#6M)<}qDse%VGhl&Rlw$1XpmKRemD)xT9tAM!y{AUE#b
zV@UJ)%AV5Qa+%5HrfZs$cFY{KXOU)q-u-`B(gyHCZC`i2j`|}A*#S7aWX!&wfNdGT
zT=?w*W%jpaNOAmN?mAE2W8O}WacF*l2ryQHWlxZj7H}5~R~=<^p4X7W=HKp`$~QDv
zF0Bu3imo6V>6{$Sp2O=`8;*k5zu$Dm{_oFzfri2iti=N%WRFf!IaLkOt;%;@Z=q|<
zoUE!2LL9<=EXh=@#2)rkgN5MXny&}R53L8GvkxWg8CV&otShVL7W<tILD2&QY#_OC
zvQFngsCfP#wY}%Lz@>B#|44!IgDoH1o1-+YX;u3g%xbk2lvDLPgu^ArJ3q6!`l<Ve
z*b-`f_dyQo+Y<ka?UAwOf!t_OzAk?2nM&?K>ZUl{$4sqvRMzY}mSK%o1|7%<cQ=8G
za<7kb8E@!xKH(~61O#FH>v5Qbckp#useS5jX>HDIKBj=cq5duS^PW*=x3DwkYTC|l
z)-H^(Bf_%zQNexzYGk*yX=jU+`02*kibrn1WzVaEggGXBTEL=6ssGMg{o3!amts&9
zs~ODx5TXY&AG_X67&l=by{AIw3r?xpzwSRC2&1X3z^ug}nsG;b55{=V$d<Vj%#+*T
z4Ea^9EUla`2{!&sqGrPvo;E=%y$*(7M&+t^?njCrJ=OWgpVQ0YuG{rdKC$Kd%y=vj
zhwG+&1ehpdcJlprQgxpM*mytc+qF9~ZC?SkkXe)bC<58?>S&SLl1Ava&<Mk{^b)n@
z4B8B>x4|X9Z{p?iLTvWQx@G~Uj5BtK)XF3eh|~gy$Jq3}eI0Uf5k>pHA+Rb8UhovA
zraR9Rb-*|t_BEiE*S_^}xFXmx$W{ICa?y_&YKkdu!T2WUMc6Uu+n+r0g~o0zFf$og
z`^Wlj?+(u1aw0!FsI*ajzF=Sw03M$%_4-m9#pLZply>sCc8r<#mB6Cf@VF`D72Ci|
zXlUWR`*Je+0YTx8m{EvE&UX{z@zl#1^V-EGd#}x2(Wf)<Hfr66poo6t(QFa?lqMR7
zw787bywl9RDEkp{&?Dl!NBH8jO}<q}jx*fS$G$v2?%_K}PE46;3}hZ`Hf3Pe5Dls{
zLI__E{)HcwUMIl?{n4vS)#Ji9#Q#w7HyFfOm!2L-2>ZOHKo^VjY(sP`7$2e<{r1r8
z5<CbZ2vcoq|JAt6QvaT-@(8nsk08fhO;JCBsIT<Eb$esDN{qk;jv86fmTw8Kzbb0K
zEy?n!Hiqx%8(-*%8fZ;ugC@--gR^?JO@QjRS&U&YC+30h*r|x-rI8mn5Mz#cCIw0H
zNVb<Pis?EBQOK!yQqYD1A4#3j+t0NgU9V|%t?pjO-;5`Mp;yyQi?>&3aXUmCoC<Xh
zLp#z+@A8P`kcS5Z4c7d503GN2;DCYvZ9`Z)*uoZ$?lsAfKA4^t<;H0k&hZRx6dIw*
zxL`D$XmmOqeLoq019?-*Gd};nrX_E(w8);vL$|V-BEsI<<7`IO{dQQ}9zIL?Kyk@R
zLx|q{!iV0z8(%HeFdvo%l6MW}-LW~_nb7xqxRwOY<JGQ?{mO*iq1CVFS;qJD8h>%L
zXT#%?+gI}i+hgY|U!N9+mA5yav?Xv1rI)WV3w8kBUPv|5)-KgSTB?lli4lExnqk4p
zo>5Fy$3&V26K_W>i2uCwhi{IxrhkTQx-w&0ZS1;8fjc`YI->c8Gz&FmV=jITgf#C_
z2FfPPn76!-!IAAo_;H22l)H+w#hqKgj6&~ai6aqT_~v*_2nIWWYw#%oxBn9nDpj)H
zUwju1@DD>0jtanS$+5$NCm};{P|7~!vMQ8!fACGWFM2$K*yD{wwuzjP0<)qcXK$A$
zm$Iwho|*myV%JPR%dd>v;9S~cy4F>jf%ohuPH%;Rd075xS$&0IO^%&K>1YcxiP#CG
z`#Ewtjb-}rjsWW8=<T@n`Yhh8jBUFxoN)7RB3}aK9K&!3VwXpIQSEHcYgzjaN)=kE
z=){lsx?SIOSsJwl2M)$;7B#;3bD62TwnH{jdTMIBz`fq0w$XdO@1Ix|w8#nns39$R
zjUZN7OFl^ro{cy+ZJhrS7&01NvLkZT_xd*<T)tVVZmPk6gIO>(nk{KMj&V{&q}VAk
zItR<UT*~eIR|iz_dCe(xf&CZfqrzH+V4gd<CPU>PiS}ES*LgcflQH#!<30PCp+*DB
zEeoIlsm=4>Nod)!0QE?3zV!v%4>vq?m0-msNyFQ3di)D#&C{82kntp8UjuLSzvb+F
zlwEZoA-6va8Nqt$TG<WVzvexxS*X~u-p7?ed*iN5zqea11OHF6zucjOT;0bV9Ji$T
zZOjT-l<67lsHI^M<EEuges!J(D|GSY(E{Gw&{I>z&J5n__geO?IBn$hoDGh`dljs#
z+GX>&O3Fkja`2jvIF_>`5BKVu1P_*?UK#c8R8s;5Rp15&R?y-L(*NiqD0EQt^j2wB
z#aCM`;q`l`X(nm8f@XA}t+zd~J908@o%dBRZW)D7K{{p?>z_V;_7Q+zc$;ZsnmS~v
zf=JoY4*!bF#VE|*YM&#Dy?4eKbkDYez*uh$(?QG_!g(JbowHM6_lv9QcbI8-Wlrvq
z^j)1#rvl2=B2T|LTh4`Yfcw>XV=M2G^^_<o?=72<z!gHTr3}a^QQix7Ha-#{e5n4$
zdnKatN!qVlTbl~+T20>D`-HEA8O#fGC>I|zGHjYps)PUlR^Ob~q9nfI<kntgYgblL
zTr!Yrfxa)SXVcIU*V`mzV2F5Sn>a=8EPE=#>JD*}*GBj9f0_`TgHHBR<m<l;@SBdO
zcC)v??-i!{A{Dv=?z+DFuq4?XQ-3H43OwYm7*NS`@GDRJ`Bbq;lGG!_Men*tw9X&>
zTkPq~$Llpsf_SoNI$dxAJW4!bqwqRx;8(2J#8lrzbeU1$00u1vJ}y~@iza1x%?AXv
zwe9gSlyu})tDu~G<c7Hbegl1wjsv+<H|S{UB?0BJL(88%LH85ST}C0GYGM^M>I#G%
z_Puf1l85R~VWje4vZ@$S#XKXvqyW#&$Mm(|YL6LQh2L)-M2A}ev;DPHejH6Q=`DMa
z?L=l>FOOgGlFI8!3-aaW8PB9CVH)$$v}f5Y{9s|fP@iX7vEn&WBYE>L3m185Aj5xz
zz}5K{Op;<@5oezon2SDB3ip614-o*!eP0pfYhZvMRU__``|dVb^FMGBVXvjLKwy6x
zMN_!z(NZUaKV*Uy#GA(465e)-Y@us@u_U}nCD9~Dm)y{(MB#7<2DqRgRv0W$w06nW
z=>G#62iHcZHc`vTZ3Ufvas<ZrhUw7!HUk8p+aIr{ilH%2RtIW0j;P|6ebrnZe({Sx
z{E>Y7a%p<qp<l(~_D2w}eSvVUEPGd-QF~1v{#FEu?|nTj^l<AfA_Ri`K7PPr#g{$0
z_3w=2`V=Q8nX9X2Go$DNc0l^RLPx4a{JEbGp0)gcX{X0@?wLe7^Ii!Tq`R?BSLbi~
zozyS0^Tb%YRF?>Nyx273XkhB&f+7rRRau9}8<ruO?k@mZQK1SE=_2Kd!8NHCZ`)aB
zw)aAhqt4$B;fu#EkNAUSe~xxsF4dARFUA*ihY#1Yaw5A3#9Or4@6mWY8&xm;Q?UI{
zc;7@`w3<G>zKxmURV5aV|3Kdp!Fxyi=u2FlcbogomCC=Lir@3L4z4uc0XwiH28144
z=#Ili9rmWs>xm2JI;4f`Lg`SavemXc+%#`eb|A~HI;!Fw%K91eXZB(vi><b`L)ljj
zU9?bFC5(c^z@lU+?z0uq_szF(k(HatPb$@iIRNo`>|HWU$#fktpZ7p`Lh+V2x<MDu
zMxw1i%&K#h+Ih2vv!i0ZhX^y@p!&DqgH}T6sv)H!R!n!l#jjOr_4@q3v#K$+vL8-v
zk~%s+0@MgZ{{>ygYs$R2>hzzDc^artJP?^hSTtwEx|q8?6394@grys+rqw*CQo*A!
zmv}iBdKO<9#_RdaojX8g4kUSj3o*2-ue7eVH&>(?Ptlb+Uh@h*JKpb{g}lbG<{{Ij
zM|11#ev@T@r4aL;=q*th&#hnF!LkyUx}pELp=~Go6qIQ+yZUbDrHuOy>vtZe^Do5a
z@dnDU0kvu;&w8?>%WVScffUuW>!x{s{U+3%=1J|rhXTWUxAUU0J7lcYc#I*lnnEs~
zcBqOHv{BXc<0`>Q2s^dZULmX2@z&ptFQhM;D+TOaTFbjU4JE?h-r+fe*4ks!?`+dc
z_9}xgGr51?Trnv7ICpyCsp>uT2DT|kuU9CHl>GUUD}vig!L_S@j*Dj@FUMW2T&y0|
ztVJ_`EacAGGo54^I{V~P#2t6dM2RS-Fe~VBxfreLdVf=9jg4w&Qp#wPf2uglX(5nw
z6YwxDkv+RWS%XeWM2{U%_0hgAy;!NgfQ^_vxnF18KmCzN8uL0Bu5Cw%Japr%M<s$6
z2J=!)gzlpAn>jC+Zl)SeS9x{X<utZ7lU_MxPIVfGN>5pY79y{#+oEo|&mEp6w!gTj
zn|3_tA}p@Yt<glbKEw2Nu2K%~`JIgvEcJXMcl(8UQJVY0S9Y((mO5$tIrA#hE5g`{
zoFb=>Rj&iK)x998c;b<L7+|kt|KqDzDfjBxF#uDo_>CvAYG5>iX!LuXz9IT=a#d7R
z+rie4cJj6Q|HIT*KsDXIfB#HSkdTrVlr9COLrLk*5h5{0mvktiC?F^u0|bPLbjJt*
zDQS>~kt2lBo$tNz{LgvM+0kuh9=C7Y_jO&L(v17c(F0;f@HN+v!Yf63xuXsbg%5?f
z)GmkY0!AgM;hnA4cfiq~lL*`BP@h$M)~A{sea&A2lT>*?KS%LU8K6HLoabcu-tI;Q
zM#sj5WKuzVWwE~`Jxl$hAJy_H;(U1g0Vh^i9V>p^QAv&2Lz8FMVeRgAG9y-CZ`md5
zG<zo{QaIQ|5o!4)P2OyyZu_&bZ}Uq|`9j9s_E}JFGVAmz#?ficPpLIx-@X9T01Du_
ztL+t2F3M@(^&K?qm0dm0MbLgpTUf;k7Od48@XsF0wfa}ilIlvA)e?4WX!Xf+<vuOL
z?1Vo?F+sR?^h3yn-z-5v<0pu*bJhS2oypt&iIae!sqEX=aSma}=X_jhDy^0cEj+ts
zB`4G2s5a2=WjPG@yo_@*^D_)En`BJel7V$J<(_YZJVl)g8LE6*f+uic`ne<SXUhhr
zC2{4O?mv`py(rS&-xUWW21(yn4C@Rj@e&fmSuA$66P>pdkAv&r*zo{>rx=pYR121%
z2Ss6uD3-D5;DOWOF{kG+SaNvU>d~UY0#$TVcv`BU01&4sF>2ckaZBSJ=v1&-W)O6a
zk@2s5qP~;m-3t{DCp~ZifTeksoT{~<eu?|<^i=~VoQcwnn8orx0R*WPi37(=N(8x!
zN(2F`iCma=W7x`1-s~qA-OAXQQ9f>F5;3JPJfh;W;4(CH5!8J9cd?GBfhBC7nNy`Y
zEwiw7LtE!1HnfO%vq{aE;m=DNxD>KJC9Ada`*7kjmmX8V$gB<I?d;W>hCE4mtm?w5
z58fFNpc)V2@Ov3DfRSgrD0sQYG<(YL(e}c{XFQi0_}q178(S&a&DB<eL|0Z}3!~9#
zLA^m_X%D9T#kEFIM-2`p(Oa+?uRIp|JR8FZ=&v9E*xNdn-m3}y+VGSpHV)K0pt_Cg
zlKhKuBDPISt@P)#783RG#@l&P_|F9V795=0A{j>U<YIXHyJh=X92*Cg%PHZ|%b$F2
zFp9&hD`UV9>j0Nt_OcRc-xwF*_mAL`inUvIMZm%9144~wYI8RZlhB(gB&GM+juCux
zrhpI(%lFQBc^6=zRGUu<{kg=HRX381<3JG!kk}95XvAXbu<ICb=w-WvW?@@3LsqQn
zz2(<zF6Zu*KPApax|o&dWv_Ip`*i(mEsvCXUGdaVBdH^6(>YcQb8c&V@?F1Ht2>TV
z+AWq#oUUhyVx5q5pL3F$`4hZ{M5}>m_109sYp_~GvV(67Y$~xr0fbBFF<AfBpSJRi
z*@~%jh}42v{{}sxvfmx5xJ}-(@qOBi&n86O@3Xlq8Nb#eTTy3<MwY$LHz%JvgINs;
zGy67Aq5B12yelSJ@9>CLtr{2*0txHZd$vU1*7yq37XXth4ViK8mGbMoI{PNq6rG@U
z9Se9UDW=tmsjq);!;@H-x+&c@(3nr(JurK6ZPf*-dbyis8HVzma<@=nmtl618q4@h
z>T8oB`V<O;8gz{1hN{CyAr!nV>h%T|VhUR)JPu1QU^T!@-v%9mC1GJ_STYA|J9>>F
zFSHt|s}|NsrJaVNhFW7*Q!(eG(U9dv^wJXXm(QlfY*PY~0wv?<AFpOZfUzgZdNaey
zihhCMLEb2blQFaK6i~M>Y`FK*sEKUNPOX^}x2&WBSTXbGn9ThbnbD>EV?{5u;r-gq
z?C1uqods2t4Ticov<}0qiRFBoSHDX8a;rdcMV+%da3@Sk1gP=A#C#xQ1-b-TCe9|q
zy&(;V28A1c_%s5m4#)vWjpb^M2WFkgjk>_gp(;xf7W!yleTE&7H)!M8^(GLl*`H7=
zVTBPPZ3AmZl-!$>+VeWjBLXY8{E5m^xG*R!8?Ru#DZAXRnV)An;r1gF4zY>6;=;Y{
zp=%2>Y30Ko6kO%xXmQB1fDa#oEt|^9woqQQ4ELpd>fsQ<4p}yrREZi9D8P#WaJu>X
zerNj}>RMQP`|sQMdt303HO?S?3#rwGZ<n4J2Rf~h&whyG2VZVqC!YCEoy&D&3|U}*
zp6Fmw-Ea3Skhf>AEvAWsz3Sz8c=EaO<V<N$0ze!J^#3N@2xfc9b|%cQFmZZcr1jo_
zxNnT3@@QZmjg!1nqxmO?>2uc%&qLMMNU5)qlc;}KHay_Pke?VtUd%>%{H3qFXK}zr
z9s+ix#HJnAg^rf+Wa~#H96ifC02YRz8ePqtR^ofH?<`0Ic&IKq#y#+XI<Nhj_4T{l
z#M2GBEH6YeqUpo3pbVC6uliXwmUIsb#ckVOtxOXyD2$}=mGVLx9}5Mx{ECW6wC8)I
zKtVZ1pm0uPX4vxcGVs*1^PJTqqA6?4$pz`KwB{rYQ#@F!{81J`EtM^PfedHVHr*Wg
zt{RR)X;On~HgG?>I&kjkng#V(piYuNb|%Nfn1sv)60(+>j;)s7&60W^yAVgL%v36R
z^lHEei9xs_`*v|^H-E`CLWDX|Kzu;k$l$rB*J#>sEjtGJ?kV54BdVG5e{xc5nz>)=
z#K>Mx=F`M~D84L_lnr0P&UipALPYE>dp%B#b+K3BCWdB=g#yRb$jZ#z`CvKAp}M^&
z*Rp`>*e^XO%9_nMb5q8Zv)5*SiggWa=}L4D0GmHR8cvaa&-EM(LE~<d`ssXfjFBIr
zoH(kT_BL?iy@#U}2djB@*r<s7dB=f^z9EEbqt<n7{(J(_Bt69juCt2XROMR=jJnRS
z<?ee{6A~`f)7;gY`o{L}mHJgzd8%LBq;Mny1Zd|8+4l|&qrac<kNf_d64Jl|{UItb
z<^Dmw#|?ZH3r%FWNvi<-(eEgNi^f{j80@IkTrsdS<XQC-ZdQ_(vx2g@=H;0*Y{V?C
zSl>wB*Yis#Td`i+!CtO12i$_6C%2i@Pwv@1z1H+W2IFTso%Wk9_HU<im$u89ePxt1
zVVXU$4{~)-2Rox%`*0kh@7Tj*>141$d5Pi6um+C199>zCpI_6IxQx7u{>e6KE?48~
zU$4M|i-X4ur9qMDib$&MDGh*0o+7+OPtPa+87H+mNEUsTxcG${$|-Yh=BrpDAMz=h
z5l=}q@=7I|rmp_l{J?v+{Eo5JWtYdIV7<fpL19yS)_Z(I7kng0MKG@LMZy2F-Y<#n
z>{e-3xJA*OIFfeUseKl+diFYwLltIg=xi-34a5+J?|bi_&EHOAVI}*w{!Cq)=44$-
z8`dPV;n{O{P<y-d_ipYfx8_7qvs)A<WJxLE!jx3$3$gUGTahBxjLA)sN3R%@?*z+-
zYcTx&IFs3W;w*^2s^<us0HM{u^F2myPE6(WhYvae`c>BJcWC_$=(`_w$`fr7#G@^f
z62k1w)DJ+XgCYxCFXHHc-OCn6JZzk$?`ZYJByhm?f>W+?sde5`>ol2esww@RYvvnX
z4V>~{1<!pXJ^xhB#m}=h%v1bXx@C4fREA@#UIth$L7qDTneJTouBp#@9Hi+SS~)6j
zz8UKTX~Le{W=oc?f(@5UXN`)E_RrGkiFsh4W;|!tdHACb#+c)fv+zL2#`fun)5V7U
zgXfKTTkBsMe!3}-GER>RQbZk;dbp8G+oFry-B-8E^oZhylZ1h{(b*Uy_2_`&$VEFA
z70MU3yv;RSthH+&*70xqv=?4eE9q^L>e-d*(KSEi95;l{N?tET4(6Dl{%ucYuFeb#
z011%uRfxN)oN`>u2RnA)7Y&q`lJKvEl}r2VcD=Oq=Z#Lr<u|4RFOrweTw)|{M<554
z9u#rh$pVioY%@3Z%>wSEGrVE5(JpH?9qZ}L`MUp|ABjMg5+7uqd}9PJ-z9Xw*;CzX
zd0oHG=CgJX$6$VX<$${<$cL-#-9Ep4Y)>Ny65@Ao&#q0xoe|%z_Vu#B)XsPn8hYH|
zO{ng#$vYC_=|JYimLc$JAHcPt#74YF^=%9)0p!q^WOQ+ZZ6%K)5o~4}MXWDeZVKiw
z&H0>|QA;hloQbKp-3ZY-7c&_?7w09mAMs0@E+)kqFlSdwKPbA<^bWYq4H|<;wli9;
zv7pvEmO|l?yD~)QA&ljiy^8r%^C6D7<deP9+?Q*XOiz|fW@l@WYi4oDZ9{1lJf>M9
z8@GXMfS2?1w&AEvn11`qPhO3y`=)+=Yy1AUdyrd+hGY)17KKwidH`Zuq6bmLWdZie
zJ;>ytW`Z7@HRCJF#0?|1uWF}`9m{OEu_#RqwAAs)Iw1K&v+%}okOc}Pw)tAig;gXn
zu6tmfx~sP&T7#mpiYftUB4Y~}Pz}OJ>bK)#mU|n+*EMiKUdkuEsV~b*JGM)P%Z;(i
z%(n&iVZ&R9+RgTnJO)!2c0(6G9iKM*T*Ix%$;{1Wvc{@FZXT5k1xTO7Wx+xoz)COz
zJyoF$#;P*j7x>GENT+_iz3y`}cz@b^bo_C)vXgklH{Y9Rh*1fNF0ut1+puw~=dsoT
zlD+>sXWC=KO`l%K^Z&R|0$y`Ykvpcx0Rl+Q0^vbv08lBO5!*-K_nOOi4}KBAt3aPt
zyW(-R*iiK&q2|%FVBzb~tB=&>5lc?=S%~+PA1}Q^BSu;%KW1?8l|TEA-Rm_<%m!Xb
z`{|7CUFE_=2<E%{D9YsWI~`Z9rETb`VVp2*O=7m=j#fHP?cPXxB2WB~tciK|ta?ch
zfN~33sOqvxj9zQ^v7u*zhO@OW5Z<08!`aYIuPU{$0EvO9sMHBhSM>ABStl?81X*q}
z92Y55e~ca&8Q!lcP_m;P1X$oFFbM*a_qGwJ_`N|~$-xqGr=SckW74KjIChP$hHb+5
zpUC=3ihmmY7nYHp_Do!W_I>x7ZE3OlVFm3*Nq{*3aDMVt>LS@qU%S=8`9evgGsHUZ
z=%t-0dFt3SgN+s)=qd3@b#q}Gc~z>1<iLaq8YShHUpM?UuAzNESRGpk!`ak@*`@4^
z_SXR^41k*9;L7=+Zx5B=3Q{NXNd+Jvs3F-|7%DrIkn=JS^8T$3z+9fe^Opa+<wqb}
zk0l284IFe=J|kDBhJnC8V37}`z1K8FpAyTiG)_|x$@ZTP=10HrTw#bK^{!<6>UsCx
z@GyVILQtMxwFYdjsY$o#JXQJMWAjLqZ4nij$0e(}Ou^KM-lHpk;O&df@<dXJWc^!Q
zz!&bX5MMsXX%qEf0=CelPhs_j4LJ#HVkXLF+Py^WwX<iKQCaL)5JnT`zx*s5eN$!)
zyn&0Ya^Er1E;)%%?)$bW#tVs+>?F^G6+CcvHqLDgPjzXiKB8bx2S*f`IZ{gi_(>b*
zG<f{YB#GkBN9INX*<R<lq6bx)i<Ou~_+PP|@1DjFR*B~Lq*_vkBX~!dHi$|BEKwH)
zul5&(CI?Oa`mi|`|3Hg-Yf<|~ir4N4trCO24on;P&&*H{)c;tPm`haWAG8ma?>QVi
z@_B7cy73hmhuXLXQU4$&VNPoWVgLc9kL_t{>7J$6wAhh+BKA=K8fY0-`#{@JKHgC#
zBZTl6AQe5{5~tNxvXH=bL_pDW=RhZE=ldx=e!lRO8?Dm=xp&)-DI~r&e2$6eEF_bC
z5a~jcLZALF4my6612~LWA4}ovV4V6Rb}<1^OE47PPMr<x6E3UwGo?-%)KA2)V&ZWg
zWJ{==vtP65YE`B%>%mL~VF*c!<gL4fg$+@;;mc)=`AWJjAN(4rw|#m4P&H}?r1W%1
zP{5hYHjOTJOrBSoR^Y2e)IwR?V&?Dx9b`day0>KSRc(OA3T5L~K60_Y^mzI+XubYJ
z%CQ;5;i<S6EFnU0a)Vv>aSHYx0Ix}MCTgN>N_T&jyiZ%d%_#B5D3YZVV$cZPg=&Pg
z5%w?3<jn}U9DkD?q(R3wrAV@$cLBq9ZJGU2L{=T7VeC`1FEg&5$qyvUB|PI%Sw9v^
zZ0&Gr9N0Qkxv8>lI>wbptR&77eI-t9p0o2ujMp&B;&~2vs56%?9Ug<(VL~G{!#ORV
zVXVCY#!K61;Gbe{)Lz3KRTzuozX%StRMZdyomzx%BLkftZeq`JXTL(fD5{gyNd7x+
zBodbz*ASG&=i>4Qz&zS?sSA>B5M$^wHWF4Rvse1D%|nsnx>h(cQS$?CPg9gQtKXjj
zPPR)exx%N|@JJ;Kgm>3adCKDBh`!k(p?syMsm}4bsh6Z3M7*Sf?$50Pbpv$urOeD{
zq-9vIonEbRv^M`sjbv~H<KdGuHYx9tO>t`O(<xR@r?tWg!#r$eG&ZE|>Q~?#j!o_b
z39HkXngT-EWCu!u2;hDhyx)Vdu;8@~P@CmwbDF$wrq0k1?cgb^Ju=~;ApV+<jG237
zXVqBUQFO3EEF)APpZF7-FoLe}adyd)puNh9Q?anJvziFAO#Vfp>%c5^#Iu;w&B7aS
zR}f?JKzOPU#gsfk8_!C@;&f*9mVHIw*Hulgl>^F;P1%OjQd9XZRud1#^Vp4?d7D<P
zSayL^i(O=ch<aP)-(nw2?685FE_zF^U_&+6(idng0bN=n2~w~T`N#6veb>%C(7k48
z0!qA#kyN40No0Sr>6gc?GRV<<{VW&vA5Wrav(n4H?`MTozuf$wQZD!$J5-q$YHEl|
zsZQ0UQ3z3zfq_Rq#aIJ6hOMDxFK;Fs6*TBpp(3WdCbIqfrCOX&$pnY_^stAR9M(<N
z{E4Yi$8pm2<cRUGj?e)thMh%0`+2^^7ZO-vvmM$@;26-Bb_ViHw?2FRT~PF%^!TLP
zo+Tz>onKpvIH^_Wl;bLm^4fm_LPE6RsK>4if69!<kCayV*Rd`TYMmX^!RXye8<ED9
z^=*i=Cr_tB_-2CyD_=vrt3SXD&0#`@xBl&MGaJt0eL_kZGI@HYudGWCPQAAdH;7YL
z9Je9@t_>ZC??r~gu|y4Er>Kf}EDftd4iM&nugXH3qUK2!Lb>L?l$Lr%8MA4|K}Hzx
z)5%Hr;dGr|N_F)B{4Vg}%;-zF8;hr%fqSL2TDnRNzdNOj%9#Q1=9Xr%iSyd|t{|^)
z0ECt5xQ)-An1eLQLI?P$T!udK;FOV=J)cg~X!j+T7N#<>NCgSL%KGp9r@3%XO*+pV
z+5jt0l9&%bJm;yMlw@bJ?-tQN^IMgHKwuy&&9vn)O`iYMWOpRj!V;oRfMz{gc(NPx
zRB-_#HH5@JN+`wC9@iZA?jI#vJjs{rGlEr9Q8qUyAN45y-Lma`otZ=oDagfTO#^)`
zeESzJl=xcK5zy$ZI3}BTlhVbx71sU^LHEvQAqCxCO>7hwdv`~(tI^iO<r5DY`|teZ
z*Eoz8ppm{lMZnm=_OwDcAjI>bzxsLXTjs>kLA|G;&8|S_;k9hTRYZ7EW%J2!J>JlR
zlzF_*nI+S1Twq?o{c~@Zr40Yqu8u=elXrFeWA{uV8K4wU#cI#(Pyx->iyCtB8_o=v
zbD4ncvuD?klQH<+k2%HZGQsjV+f0pB>9qa8T6*3%A?M};(S|J|&g1`trzKm9-K!jn
zx--v78PTzecht+hpB(OnY3V1OcusE-^I(WgoMIP4aWa(UyNCh5ki4HMJ3nR;6#N_Q
zIz}wDjm`+61$Adpehd`w>WY~%_xF#?-kiqqJX3-{7EvPbk%IcZ>d+qRq{p`p1?9b3
zCuR)89ie&fiwk?yh->FgY=>TLlS<W(_E=n!EuV)(9K9Xs!#Kk6u$W&9K!#^^r6$ln
zy#`Dx*uc0LH!&7ne(1<}w6e@xqYS(?PIHV<<+d7bNHq0rED4p4VWXhrTH$hTrXSF8
z0!a>@5>c1~chPoD^P#3`iDzUJZ1K(x<`<X$g_>OoJ&+Iq0Yj~i8N)MWWJpse(jta>
zux!yzL_F%lXNTKi*r6Wq5UC)oI9ZwAI%GEzy$f%aaVCmfjCME}WPH4*cC*RAIXf|^
zd0^$ssfh2!xOLXV8R3b}bP7}fl_!Z5Xx75^1_nk)r1-ITZ#A&hvoJj3=c5EAl)dn+
zbs{#u7+;~jw5^h8a}kSi;?&AX;Zwg_9`=FVui`@cjO|q9EK~MNF;qkyX{Azr2@<tm
zfaoFw`2TGlzZ-UWPFmhcQ)sS&6-|M+rvw6c+0Xs{IRH2r%M`ZC?Y<be-sG&U%Jp1H
z5Drz^(T4)ElD_#D4xWEI`!9@m$Hg|#z(N*q-YHE*{_2^Z2g~F|{s8pu>j)+@2Ftg7
zxswi@At3<m#UA`X@n1eihq~t_;?J>=FsDObOB~zplL{VY^>1&+Vko*+EF`XGq{SNm
zYDod=SB##8!m5zz+LX)&YU>Ee9(V^8eX<59eyKBG6d7yh242hT7f}F077m2-zu#FH
zH>D^GY#hKGJce?LzvZgKWR3bklzMf~8mY;F5(vNjo9yjh+NYBFJ_H7cKcLA=V16=a
zGy7acu`t=Rs554caB{#ZlyUEbW$_lOTAeb2qo2cqJNrB1y8SA~W~BX<(jy;Fh!fR>
z^++y?&CE$%WR8#b4RH2-0D!H-k~|0A%J}RQTl8t;nYT#8fre>MnoiHe+6O2aecB;H
zEybPkx4ZMGdG_d&(j#XZ(vDcWl^s;k6VXEqxpF2pL@&f!8eJVHkJpe2g_VK8!QhZM
z$>JVuV=H+)m5UUy=Q%Us&x72$Hr%!A|4I~@#EYvt2NQAK$#3J}BfKcy1MB8?aELal
zWd2{j#5@ayUB3kIFJ==b83*vUJzJ}Y-C)W+{5p)khk}ih4Wn3OglSi{I70@O0Ej1z
zmmg8Ivs^U$JBi%rKlT24*W+?-DLQ-xjP9ZHFGzJ$T=w%p`J(~%-Mf$^AAiWiuZRPl
zNhe^EE7!oGCL+XOh35$C{9PmVAqcR4A|A(zm-okIccdf7Bt5tP4h`QGaEv<B<1s1p
z+Nz_LqT=S$t@K}acWsS)Oc5BfH5f%aY4euV-_Lv{8@@TTWS<&gMq`~T-triD5O-3X
zTn(49D=Ci*W34RScL8>Xw|Y31N=3a-s;=y$Er??XK#7E9x1a)^N{wUOKbn*Q1u0+y
z3`F@dR_dB=w|pPC!Mu^xmgK6^=1c!I{@JZd^Pto~m^K(D&e`Q%SHcbx-$sS`cRfth
z?=I+R9Xg?q{H?A>XA6aDu14F#X#Bs-0$i1(Dc7jegKNsz$VDi=b@OASv3YsImO}c_
zD^A?K1YH3Hy)DW40t8mp0v^o~R{4~J8-cBKtiWD*0B}uqc`Q2Q4jl%WZ67mz3;*-&
zmB$j}g!qc1f6|1HsdK?L_})Q{%0yN)tLxR5)2$;aE1^M`cU=tuKb|ULIgNDuH;C7r
zLT&{_wjs4}E^jih0L+JqTX#KPV9N0?F_MeIbG_kvD#GhOqM()$0~u!!!OVfEamI0U
zepSD%FAsB0UG9|e!Ejzh_7dQ5dbr?(3+&y<V-LHyyB9n54$2lc5D08S@icSwCsc1h
z7%cCU65P??KD+8t#6J(E;lkeFu@&f)@B!|Gxd^Di{e5|Bbmyo@XzM}c4eg>p>Iugk
zA9`CQ0wWZ(?$cSp6lV6uMv(Sej3B)R8j!Gm4;Gw!qE5%Dv5j`0?F#`Y3Bj9P<}SZ4
zME!BZqcntSV2P~&F)&bClQ=NvK`j-s^g~6EoyTv6o8WiLo|q?Kiino5DG%yF4NE}?
zkoXPYdgi(ArI9`hWW}@85=Xh+rY;A34njuQ^`f1eb$Wc05S4GuVgptbAS`4(cPw;b
zZ8j}B===}M%CA^fo`<0(HIEq3U8{MN!^kXzL^FKyB0OclC*QNn_n~m_Cf1Jx_@hjv
z>Z)z)*&nDoFl$W4#La3XNzPtV5Ay^E%Qt3Q2qzLjLsS1U&jV*w9NT3lo44fCeV@f@
zX1&A$#FU>;{gVdU;PMRu2FYJ>i?dRL4zL0$+tHfb>r@UIh6FoV-tUz5$rEtgnb>_9
z50?*0NY(}$GJKpN%E}Eob{<Oj5(6_WcB!ox+KU+QGBS3ne!YK?o$45W73=5<=V;>?
zp{gYW5?b}aI&Ckbfn9oE&Cw0ND2e90%l08pqJ96xV6*MP(i)B?6GN#!(Vu!}8-el?
z{XeRf*C2)W`{g`L=;(vj;yj|W=Bq0tXOA0`8aR8zRh_fxx~)xqB`hw&-hwLGH~7os
zai19+e;~FwU-LT-_2iJ)Rq7lZNTZch!m1E&0hbsIbevRrQ#-`){|p>9*5EhrYPD*t
zR5lIw{ci1)@tcjweiLT9+9*Qmcka|VI0;R#0PtpyK-X+Qw>>8Q)UEnyIZn@`6vmk7
zME2ZAaVP<siGn2-iA^V~UuV-#1^*uoxa{`+tJZ6)EG{m0<)vEN$#CD8uengJrv^>u
zJ#-_#JZv3)OUkn+xRqZX%&Hdndi6*g>)y@jY}crwtK8zcYP%`RI!Z?1DFO=1%YE72
zb<N+^?WI^qv8ErDtUv#j`GkB!dFpL^ds4{?%#)}foQ$vOUwW(TqFj20gCDpT@lPca
zw9Zuf?k@&}Ubaz_+go+jFI5C3%7vcdG!gjpdiRc*JqyZO{^$D$pGXxXWR3l6F)>_S
z-UOZu`-ZR9N&N)7kNq$EGSHfTtnWUY#aSz)_p#M!J9kQvgG{Bkz_-uRA!|Ux0TQc)
zgcJQ^Ze7}BkJG00@2*r+OZgk&tTD%FDJa)~ucH6>*d32di2KHFlVnQ4SljK7&7BPu
zYy!AJoNDFtQ@;BFYka=^0+iU?+S?N6l1Mzti1t5~hI6b~F<KH)+>*qV%=t41ouJdd
zuRT9ZZYE;vkvtT1O!_WK$o3JM)379?<cV)9kbT~dbNJPvZmBfo_tOx(X6N`aj-0|W
z1v7wLRKhFjhM2geZiLCJl}eleADc+1ZqLy6IS6N7<k0+|w4>L|b3ILJzq(Rg9Znp{
z)&GUZ5a~L#&<}HpSlonz1uwdE&OAG1J^2mD>6#L{B30eaPr0$Q7(zbTq^9QAvYz*S
zigPT50%!riX~Kg_pc14*Ff`-f9qhDb*>bv+K+q8jtK6XngVY|v)weT@E@6Y$8vETR
zC5u6RPw{)~$pYtHRkgE0?!E>{*}jur_rqD+4RjkPGtPgHWpkBKekvl;2vrZqZto^Y
zYCjl^;5fFXnc>#EcLU`4E=NNbQA=X{`XFQgxY`Q8mqslN`5WGv?LGVrlU~H9FM!#<
zwnr61A@s=uyD-4e{iB}#J`G3Q50O^i!T>QUVv&F-eM(gDfcekjYx{`4MmDj8zQ!E1
z3T~R$hj<Sd{=^_|SQ2ZJE@>;rWjjt`v~^Yb<cyL3U^CfzR$uzBp1}4IZEl}L(|Kl|
zpEL|V1t0ti>}CDmpAiA)4kYhA;_rqIA|Q(jDUC7+qZi?VwHjWo1jK9hP{rB!=rwLd
zSWU=gA41*E7OEJKXy_4#%imz%tyG}On~u01(r480d3lyWPF`zCS7VSb?~e>>^V-fA
zwoCu^^}UGqwcpI72)waAA?d#EG>}JXZNMJMe~>~NF}Pj9*XW-~5K_B<<lFgcU?U5J
z@MSaZ#OWX%=GuWq(mE5UcKqR5jBt4x(mnQ5VJoeCNGgrfs*<|%XrG_Zzf`aXaE`fu
zz{npF1e8+q8r`F`x2LLur>JSW^}H)JU0TCDSd(X=T^cIte$`m*N=66!ZB5+60Awv5
znPr9y1Nav$rw@&fs%f8!%`dfvw!eA8Z1iQTIZ834W|*1T&-a8mg5~$^7Z2vD5k_J7
z8!2dyc^ta7oZ8g;h3k~CS{e)P#u3qc(A&kx^MTk7p#{gGxRzG(GR_Ok>vcN{w~lhR
z{2DozhvA`a;eUmPhnF2?CJdbMX9detJEx%#n~tAr8TUf#fq?(U5{<ti)Xs*MZhDoF
zH5`X%mh1TN85yA&*PZb$3MAuz+Lo3Uv>2L^VtJ3BmvuTQ!~h0CvHPZdtY7N*!EYnF
z!MB$KKqa@-y9_<rm!mO-Hn=aoOg#iu2*SeS4&w7lJ=)AGTz7jN?ZX}c%l?PhB*V)*
zL!7q}P@J&4&y+p>d>u$w)WFzcbTMb9xTi-PU4^*l?m2Omh_0U=WB8yCKXL5jr;|P;
z=p4PF;7One44j13^KFTWC`nDbAMZZ`uol1_+GFek-#9S64~wtJ{68{PBu5(K-qcP6
z{T>I=0U^Lq=G+v<yPYmDc9Fc*zM@LX`WJKBuq_ngP`n&#76eyHmMosxb2kGP1_T~~
zqJ^K=#-sw5Kn@rWIh;S=)=5d3tzI$+)QC&W*f%E|A~<+bfcI^J9z5(>BN*9CZs={3
zO&&jAL5ZkDt~;et>L0=wIe`~<Gd3Qy?AwG{cG*nZS}|)EGIVh2#?qCpr2fw$XgSY7
z$L<%nRK)Zr7vp*rJPaF;jx0eQ6;=~Eo;CtmasC^y=EVv2Z0%H_Sn44j^WpJ2im*^#
z2S)vB-WyiLFN%C$h8)Govq}R}BExU;hJStHpC?z(#6Oc`6~f;vRjG<yJqoWla((93
zEQH&jPQ@RHfg}gir#`~qrsiktPXzd9&E;92Tua}4w!H9tDeuQ*3W5Rw6;>zo>J2Z_
zl|NjCz1OEUAIf`31eR^R9w9C)84j>Su#qQlSM20gMwRM_H>d$}Vr=7`E}cEk6EEMt
z;?^zEBt%r|V4jr&n@#s!+xk(hAdX0LAmCCxAYr&|V#$@|P{@BTrz8^pB&ITxq0m*Y
zu2tY7a2V2JG<oWqYp@fxrW6xn@=8Ghg@l<J@?z(BOxo^aCLVWq1ElO$!zP8+yj@aB
zU-ydMXCm=>ClIhuk4pB|&shEz&iM9M@)zK@@Y`a&cWIW^jQbTqx2BO!@pe7jHA6wI
ze3{zGtAQmG`p7XuuJ`W7=LbHm77cdo%ZYxFYNo*#xKW-&%2HD%f|qlY#sHj<gV~(2
z#<6qpT;+ug4J?@(f@!>e{}21&w9~h0rDTnvpUQL1DgUCbd6fQ(+8w(yO!|bo#NX?5
z!*Ez~vSCfvjuGboWO;iPjcIOnVH@Y{G>gqoN|?NU#K~m>uOyDFuO8JiG>nEptXKjU
z_gc4l#}rlYbiYy&i`IPPm$J4SYuKA^=M|sV(1fA1DCl;|0I16%Dca-|?8#d}>#S6Y
z_XcOskmR=YV3)w`RD&*6`#6kl`?+)J?!ou3-8V<X9sJp@rIQ+fA%QNe=Vnv$*fX2!
zdg!+UP3Q?vbKVU{Mb4h^zOg73bvl^Xa0i7L6{=Vbs#57I-`Lq{pQ-X+Jn3y7;QDBT
zizkKY9Cn$w;OEoY6v>K;Bk}&{87|&C=H}XJV^m(6^?pS{8{#7P(X-2$_Zh1dFk|z1
zLi?h-<*c+~qJlnp7D(M{+@quSp)U#a>xSdrc9X%^S%pE!&1{9F+K8vrXP7y=up6wA
zbacRDq;TnYw88%;g#bqcK#gOf?2Wt$W1}XIJ07#B6VPY15G)y4G!)qq_$G8G0|q!Y
zti9H}vM2k=iY}nDfeNx`?B%@v{#E(i@rS-w9#CTMeEy@hgn_7cjw@<e-r$si8o$va
zS5OPKvOM3jV1LOUtk6~88|b>3b5W}M2l3RY_%0dt>R5rvRamL&H9+I5nu&4)tn<_7
za84!PS472X!Xl2mNkJiuEwUH$Cv6lww}#9nJ`3VnEg%6ebvV2s*3D;vB1I)tB6r$6
zi(h41s(f%0B!NGEwH<w9;|>c@UR4(k1~$~yscmd{c%6&Z;ikz(yQaR{M%xSM5zxuu
zd`<=+@(>fX$$56)J-tf`{kYSCU*O9%Q@J(bk5^~n0=lz?%Y|#&DwVvmNI%Agz^XT~
zVVqZsv8scRRFTcHdb*x<2J?r)F~Hko_Un-z$A+0?rG8_%tm~9KvV<(~JO@+2iR=A+
z4^Hj%J(|b@il=lhtDjd+4ZlZX$^%T#--MdDrBl1}zek*j3PMZn3c_q-|AF@Vwi;7F
z^#p_4s6C1T6iGY0(Xnb4+dq3)3y0>`NG}mT6_+&1ikz7{Yk~OxNf~<=%zJGyL98J}
z=0FgQMFDq$6pyll;2&dHNj~T=N*o8hU$wuoc&Eb|ES5DB0(*HGu+nS%fM8fT+#`mN
z6G?Vm)jJOX`stg}jUw3>L(Q%321ogZI!54!W_uMpL6Ut9(40m|cgIv@4uRr1>!>$`
z5?|ThsvWr~%e6s_*I<D@Ge4{8R)P6@aKH8r?8{R`{ToZRM4y4{<SXEqU2JThTb^ew
z!i*d>Ua6B6UU3^gSfWwM9PAAl)oxT0ctFAMJ7#%!!|oBuzca4u(%8&-ywnvlvn4Ca
zfZxc7qSgPQM2HvLUQHjE%BYY2Je4Hd3)G8NQ~5+w@6-$bo?_<M75*eYe)b-N<^e#v
zwt}+jZp&G;OxbiL@cSu1Z1JvLo%jKEIIKrc;Id_hZjD!eF&#tw49*40Ykt3kEZNJo
zW#XRpWlFok=8U`V3CoXj*$u6hn1x0m%+orr5XhS9|6Q5?h%r<0LfP!5_p=|m3Feuf
z6<kc1d$571PgNJ1?N%yIL#IsZw8E?b@y0|paLBSMr=cCXheH$%mxBduSZqV7MZw{T
zNyMZQtRyHdwp6@{X`1h%4!v)(eOAUw$AD@HOWsaUhN)HKT#DPtV(^+}u=AAks4%}M
zCP0dZSY;!KxoiKZd{8g;d{{fbG&=DJm&)$CGxI**UPV8*l2|{nxKG6~I7Is3Y_Q<4
z>L3H0WB7vFUGA^q=TOsp?TyZ+A<>nfP`&#(PeQN9;3Vt$!azul(~{FjpTrVO|NKnc
z;WYH`vmSm*K<sGDf-c7r_h&RQ|6c}=42l=56I1r|uYfCE>SmwBKb^9x9lI%l`wxQ#
z+<_Bd-^xWKo^f4I_nJL7k6H7vMF>4Vyx$E0PEtpeAcEiL=Ic_*Zi6IFA~zs9JAD(v
z^|<;4>w2OPGdbPcXD-)+UZ!<o?7J?O^6c*6lPiFh;4Sd^&l~!Vhg9)`gHKpn1~o@H
z-iki(O*oiZc*EDhC5}1{v*jnbUK&{Bt%XIHU&7E!J)bw5C9p~m!1DA;*Jk7#GkL_`
z^Z2#i`G>^mr6#`z#RtEd)~Qo9dVY#VTem@U5x7S3|B}^!gADs__a{|SM<)OK!oeQ2
zc&$_cwoav9^XJQY&W$8O62BKY8c?>K{_kq20d@>u_uiZw%n{N5DC?|`ueN@sR)lox
z|JXQ8DM${7!DpO%4;Me7MbzJ`0@ra0=sFjm4qhCFl!*J`bE=h88e*e3KuH!@-*Q#8
zh81b@Yc%S8;zeR)$wef+Dc}$eiKCwykHgm!#r1MnmN>AcGBU~|oi+xde*6G#$BjPY
z>gQ-WzfEQYJ#*$9gH5M8{(x4)T+JyEyy2yR`<ne6(HK{iT_}V=7CZV=V>;&Lv{`xP
ze4FV&@a4)O!U-Hh@2T-4V;bxTuIJg`t(nPz{E5hlXAgyWctEVGhtvc&M*mFG92;>B
zgrh{fRX^~ADBo>+?mkk!XnVH6-re9xm8-05b~+iexN#Ecz<tv*AdM^kd+Z1u17F<U
zkFxJFVW%vxM(Vm7hyhAC@(=H+zxQg8jF++&s2(ZdN~^K6!q%aw^l6nz*j)&C5?AD1
z=j+~lVSVAaG}Wk{nRitadq*^Geq1Un+i@&x0}{<5tOXb_{c3|l^K<s(A7sC1SxI!w
z1i(t%)sS){VTHDctU>zTGWif#<<icS2C(!8_9)yX(6qn<X=K*&P{Sgz<5hO7qnu@^
zM~~2zQ;*M>a`6@sb%0Ocxos=_jG|VU*wn!OXr)g-mvoV{%df7cXO=m`*u-c%D|B|U
z=h`+bpcWlnx_UV?e3(S3eBbrc*D8)6-t+p>=DqzF6hy=g7Y~L%N#IOV_*u4{Tp0B(
zSUUy5age>q&LhIx{8+q6L;;e^dASqj+Zrkhh@YzB8KsiY)iw%JUea_UZ5&#A7vv8U
zC-Jdx%chEyNKfd|q$>$%f-3C=4*84zgxUn1)V#G=*=-FF6mQzeh2;J`Od@RC{K(e{
z8X(>3Lb09eLd}5S3Y0?#TC)mY$@A?4ZW2yx;8bGC0QV}%yzcpydC!05QT=Je`9jJU
zM;&Bv338ml`&2wac`D)l*)j`~4xQ%unn7F5XPj5z#)+8|Ua00?Q*L&dUA^t?Y%Bja
z!s4wLyVr!SM9~;R@fhd{dd9HeO`VV-Uxv+w$+oGiubExl$EHG22w%pxE%{Zs7_}VR
zk(RnTe(G;!UVf;s_KQa)3!Lo9PqnzaH#hhFTld@N>ZL~gb+QtTZvnHY?Mn}LEU6V-
z09dzIiNWrd6i`Cf^~~%gQwzq}uE0X)F&n=zC?)<pg0X0pR4uUos=2t|+dGjn81sFN
zH-dX@<k`Z(8t^#OX#aNb%k}?7cIdKWSqLF(%ctpYUV80A@Pkva8;6@0VVCpurrmO7
z%85+?{DM$Fi`=H{0fX@dKYP>sArC~Yk+RKA=~?sCM+?%q!%Be;FNchj1k_1D-qUxb
zRs{!S0<qqgr_#qgWEC&9Xu@t}fxUt_n0^20(M*8=a6F(Y=(fSKyRqhlFPFFWll-b?
z=zLWr2@!>WA)(xS`t%4B;<VnARcvgu?o|pGdR-lB&UvSkgBVfN>zja%@@y#OSHUH@
zLL)ybP;`-rH;TwxsfD1G+|NXBWsj!nd!1jkBgIkl@$;YPJ2ds`CSQ67j|co#B;;PF
zESLkv1rh0)9}-!1@5xUpSIUZfEWL;bInBL8ygR^=Y2>zJ;!*|KcK#sqk=+0mduRP^
z>fB$r+qd`vBlF~q*&j>!J)rJLo^h`X=w5rqXS-#s-h+HQgU^i>26rq3R@TrjzZcu5
zZT^)Zykr8DWmU&C6Lo}<2K|*f+AkhCd~qOe^)0Xbm2t`c9)L>+r)hu0cGwyn{tf)f
zNbMI70TWAwX=~P7pl|ta<!yDQ*|2MCq)RVnSXaAnyvbnvG#;~}&f>WOB$L;X?s{+G
zGgX+mLS-mOrlyOF7+#9KVLBh*254Xc&3EbI)HY0^u{Tx{+YH-)C**s4wSzRDH}s_s
zcbb_a3}vr;G&<jm<~H0kOL+xoRBB8(LoYyBD=NeKK0oU~4QBT6Jjtf;1pJTjwTz3Z
zHhN_-X<y}#wO-7Fi{rHYrTwp$bIL>0R^s&NWPt#1@UgL-^lc|8&(f!ouL7gt77)kN
zi#QW2=EVf*A5%@Tt1$YCPq(GU@8vevQpF-C_KTZEJ;%|-n_ncjgWJ%K6+*4R9wXGv
zvCg@w?mS-a5W&18fuoK&_g0-dc&}#C|AFYgYPn#v(`U%jeZAl`sydT%{|Cv;)hqHk
zkL>&LeTItInM;JP{@b}(On3d(oRP-AJ4#;iS9t{@s2kyr(jWe2x%ZJkuIf$yi*U`h
zSIqZO|Kq$O8&}k!<%V23SoKZa$lR{eKYI6b@!+_wuwh+t%D+O=Sse@@1~-)8<=s~E
zZzrNbA{-F!b7)R?t$SJ0(wONXp2gJH46EJ0DN|S(lleqDV)GFi|36Pl@Z#6N%15Yj
z8ZS@)^-z)Ia}`go7$d1(d@T6tDk$-qQd_O6e!{TK{qLHV-6U17nmx2(&4J87lxC`5
zORZ+*jmcY(()GgmW=83@e(R&0-c&|=3bp@eMZ&gYo853w6@Z%;zCOK2z3TD9Utm>>
zRIaq3GL(JNzZPFsS^$kt%uPQ@YbZzFD>kJSfj!3t_c?d`IsI~IHlD|pxQ_}KTK+vf
zQg^DISVV+AK(nhc*^UuDv8!>QT0+d0FO8n+T&gJRt5GA>hm3@y2cp^$b1EW1g9EfQ
zMt>BOBYY;f!Je8AA|z7<`Z)_;dO0{UfS!c1W5BvX2%Q~KR>y%=w7t#BWPB?A&O)@H
zeM0z^3dmjHB91k7R95iISso#~*h1<26%Qn3sPr!JoPZ44<LZL+_wx6ZhS8^ByoBpS
zy}#`|%!b=ie4Qbb4UluUiAnk8wxPbKHq%J)+a&s&Hh;pj!atX%o~tJ(ZiXbI!a+Zi
zHJR4!XRN=kiv<XsNOT1_ysf88@#`ArG4dKHv+$$<IgsXgNO*mW{`Vd8=VTdcP(l&H
zQYzZ8vs?`o%qyI#(M=LN0Yfu@)d3Rae-D|C^^iSfsU}@r@ut{eGv6=XWvvd3#cTT7
zY94#$x&BkVv}0kZ<F+^AJOp}pOn37*r#x6F>DIFy^b=eu?MunL%$M{-3BCtm>z9pH
zOcs3cXnJA$(+uv&j%A*}V5)N5yw{;FOgRK`1^qX(c{$id&8A!3j~N|8&#cpNM|5x=
zf;kR6>};>W$Jsk@)7y7#1kCb&uh;2yi0j-z8f%o)i%0TN*t_qK`<<?T>u2d~_i7yS
zNZ@vKo)op=?1|agqXYG9QS@4#RrxRGDn^5sun`wENW_Z!BAOmZZ@?E2<~i&3Y2jVK
zXmg2VUjy(q@Zb=oLDpS4NRHltn^5S%Er4f-&q<w(0R-<I)v!1fOLO`{fWVEeK!%EB
zCke6=$<O&v>FwdXx!Cl4jHo7THeafwp7~gq2g?`0dZG?^8fn4$QIGdib+DZqAd9bd
z7vnh%ZY-p|pH0X0*x70CL%aN?mfAr10=oLtvQs&+>Y4Ed4g0Ck5`)4Rog^y!XrC#_
zV>C-xPx))htV9IX*5}8+(NGRPzfI{?yB|-$Hv3DUv;Kpk`k{S1dgXA%k&2?ZnM@R3
zQhIODvwq~QcC*f9JQ(#hRp+32sUIUvKjabsU+aXCh}Jm2n5|D1CF0?5f8q(_vmH-4
zsM^cb`fo1Iq2PTVS9Y_zpByL`z-|Ny-1LfXw#{Qooba;vL*Kn>a;PON6c~K_{JZeg
zuRtGGZ#fd1#Yxw~5$s9FZ0OZVIb83kyM&oc)&w^7VDL#_-#}_N{oStcJy1|w!srF}
zEPVymM=~8`lwBe?K-W8tg6@pDZ`Ni&-!4Bpk9Fhi?EX<UCk-Q~`tMpD9N0$UY@)&X
zZrFT!{75UpluLf*PXPz^No%~)#R-@Gr*7a}|5ayjN-mlYbp2qB2S58ivS)g1b|1Pv
z=1#g@t%`}(vSnH~e-!#ifc5gW1Y@Gh*)Q%EO?;HK5dAK+IpwV7A5Gg0rCaooX--^g
z9aa~5_&m6cBLB&I02f_8r}sblF!g#j>`KeoV|>*%p?asCx+=N%p7y=O*P$a$-Os+9
zXQZc?x3|)j<Rhy_U>+Zsa;#Q!EENTO=fx8ChZXZ)U^^>#$6m!8DeD<`s`(c^EyC*q
zi$BW~?wgr2>WeqU@YZ(l$|>l!WZ6_!+DM=a2`%q5n1w0#rw#<EZ<O=%PNYdS{kG>8
z&Vaf;Y=c$DH)xiGhh0D52?>3en%eOCLx9Ovt;v55^Gw>JrS-8cj(uT*Pyd4$3;a6&
zRJlX4<kVY8!OFpQW7TN<X;qmEqQ>N1*p$pv0$X!Onvs|YmP3TK^~Kfv8cEGEfWZtL
zVfHJ@S&qI~rOoJ+#IrTu%*1&49-n;3imZgDsd5>qcRLTq3f}$yaF<IA#)Ee8PFCOf
zbJ7+j;QlJ>osZC~U>AI2T{|ApKL|p8$Uytr@!Q8kYtm#>P3$H!c8;SlSY8XAU#GY5
zJjh5?kE=&gaaT?C(6j=Ek;JBP7^z436EFL$y<eSwy2b8kubeh}JR77x&vp18M^C7%
zb2x_yAG&6>*xJMB`*=<642W5%u`|*%N64MN)^9QISeT{OgRq9^8|xw{_U-5KhP5HE
z1x4H{en3vMo+Iw8j(ySmYv`Pfuax=I<U`*(U|$47pKC1}Aruz>HlbO$ej~GQFh*AD
z`;{!RxX}~NxbD)#u1{h<c7L&Qx`zj#nJ1XZjD2LjAYsq+O5Lz2&%%YW(_+WM!s|Nf
z?RoAt!$*}}F8E)0YENv5>;tKkTx@$*^<z=4E1vhZ-*FHe^Dl6LoJ2rfuJJ5)A68f@
zeW`X`p`G}8h;Md(_eqsJ*_x60$S-n*c76Ez(GszPKDSNMMrdrE{5%JbVacD+up$dH
zF{<x4dQrB?9nJsghkamM_PF;s$zkMI$p~K&)h(c41h#*gQc%yO0Y>g*U|t0Vlt83A
z5vc!!RoG#j3|`Gelw}3%Q_L{$%&4+jrlg7;iTe9$B?LpmevC}Cq_3(YjqZo{a7~RG
zyLcrD3PN2pA9uuwO-`p4p(6~O!Ne=QZ0&2Uq3BifN%jkF9ZM{G0X*EU{o92zcG83A
z>0q9iI}IZl`52Yv@8^A#%4&M;PDq+(W$e36HeUzyGuUr(^mCO@qVC19@4U`PAtD-B
zK;fRYshuO+a0w6IsvJ9SMt^eUV-jn~x=1S@1!Iz-UykPopI#`4S=^X%l14@&60l*<
z=(l~1m`s&r%XUAqOE5@O%%|N5g~zwS*+a{iQ2$mMuQQVITc2b1SPPH*NT5LnI>LF+
zcYob%ya6$#rGo{L@$*kVqW-*FzN{!y)(l3X-<FP(GBnQigf{#C`FESnBVe>=p^Y!1
zQsC&nu<S$;+|&|_eupHjPgX7D{84efjdA)P&-kM_Nk&w)n|(Tr&!<)0sp56-f-mv-
zE0_J@yO0Ayv3l#Umc|l(Aw^Pr<A$PZ+G@j@=4_x!pvFpc$4S3X^ba0EnMl|po_)C$
z@eD)(KA&-gi}gbg<2g#;ivJGDeHFL06+Vl`yQ&UzoS@M*It{_IFE()bP6t%2<NL8)
z){)|`2q6064(bEIikt&9<;kj?YT>Grk3VxLxUlnJDN2G;7T)9j19Kbz5|UMKSve=3
zdPSixSP-E;pb+U)CUCUEL1rJQgPj$a?~(^Zz-E^cD3kiN!SYcw@5VxG3)=lz`oACR
znl(E<+9}~>{GGDWE?t`;5X{CR_07viO6NBjf;~E1kx*cqgxGXei5F}9b|UE>Yx2<9
z5N{*(^Xc=29Gi~xSLSxZ|69Ec$Hyj)JjE^0HaO}&`|hT;Qo$3;AnifZ`%2MsT|WFa
zhAU){G2(`yB!L4jb!&teHrk<ind3AZK%2`P*#GC*^32c5{J{#dA}*rzv!J>>Mdz9$
zI*8+o^<z^ZwV>E+;FcV$7hr32m;<{6zCCxnk<hs%j+PvlQ#F&ra7zZH7EQk4Z!|3-
znPekLKfU|$s0=%sOZUZoM=9_fr(^)hck=rulfwOY5(-3Ls;}kzh=IFeZ_mV)_NZh>
zS}!J{v0VcfjoGoqIK*W7>YCxN9|y5<2>0Zq&PmJ;kJVo-w()u*E-Bk)adiFb`aNk)
zIFr8fBFDyWoz23Q{c<TceLAL*wCdGPmM-mWPvNIg@oJCyGS%D0yCg=d@*EX}N+TN_
z?4D@qo?CNSuPb5Qu-an&4@Ctprgr|0C=`YNDHKcj9W;dXtnU%m+RJtj{V;vjgyh8_
zq3CXpF0Q-4i$e8)JZYT+?2nhvX{4cysN$f`J3}a!z<+;<4U3L9szMkeD^*)|!%w4p
zI1ajaAr8@fW#))i?;*}Q*Bf(0h?s1rw2C^R!}NT@J08dq68uZ|iS@Jhs?yCA4;U@v
zz6o<)1<IzeUHu5xMTQE$=5;Two}-wVqVItaDKD>QJbG0U%E<D-vbu24dsW1z|JS_|
z$~N^rdx(8ewi_i9hxj=~3DUz?oPPaAIb89--_MP?l@i`Cysh)dub~<@gk&#Uwe#kz
z$;f)0q=&QG;5`|?%dI(|^ySv)+s5Z<lgG3ZpLA&k{j+9Mm9#$|iijD)&mEopiPm1C
z@sJ|&0|CCoTXDvtz6n!N1bB;29RIT8eoZ23&+JYW*(&9p&+yMvq*)2=)Bb<H9!bbE
z0mnKk{|j4U-K<=*5-X1^>N@~mCuoYFFnZ}dN4$Rs0pA}1^1)O6o>$0Xb+$-F&bfOv
z(C<U8=0nt3b|NDi&y(vF^z-fQ`Za7KNN3ZLx3E^8;U55_9Z*A{ZS{2RB<t~Vpv!IG
zzRgNlX_Ek7&PiPOx`XM<op5h>U8@1Ae2~hd_PGz9nk8Q%sfpM2vhRO(m6&~$?aqUi
z#5|A)S4}@+R9QLGbhBcsP&gwUSdDdrOO2<GRM{QG!WlUtY(V@-;u;Tc+I6yRqO#Y9
zQOY%fmDha6elvI+OC4KB;8uFx^P#;mxS2Hvpy~J2ebW1ERMhn8q!wBzhq%PK4FCS#
zKbZ>=jI_F~iJL(ZBn7@a@gJ-3(fyBIWwdW&=gT9CoT;s;>y1`DZ_02HcP)^!!1?Mn
z%N!+wfdz*wwq|)7^{%|zfp}WKWUfAHD=SDpEJw`?P+xWMjACu*{DeC^JO<Id20Xdg
zRxtRZ-Pm(}@7=e2)qY=8L>!4laa_p64Bcy?=y#sh6Wed+j3vSN0tCa9q2C=wD?<mc
zL`Z-(1gjPnUzLK?EoIc)iIhS|@`J3(;R|L+aSy5s>ui-?W@$F`KO$nOB93)DL88dV
z`4AVc>$G7@xmuUL6Pt5y^~_uPTcSn8fk77u;C%5;I&_}SI&4hvH_YV~T}KS`)HU2=
zh?g=5o1OJG66TkRzn1m>8dvB@Qre`0gU}#EZ2T|J+T(t$gz0@5ml|Z**_(k3In{sK
zu-W9ui4>fvB$M{>!Kr6)O?*{t3qG+aKGrKOb<q`$pm9pJKS@ah8!w=nh57n!<VX$`
zf~?m}BA>$$h3A<qZQQ;sZ6^l%w*~#oBjq0Y^A@);8%GZC+z5J`1-V_d<T*|@;<#He
z#T|z&ArJ#`45e{bpKoXrWbt%EfR55+yEkJX0KNlkN|1W*2Lg=C$X|?*^W6A{D(u)P
zTSjn&-pM+loRGWfxy0un!@*EH{x70LU9o9!j#jcLWzVuxXxy3J(4`fauW7H9Z+bpZ
zs@%jfNP+)(J;zepDYn>{+bnzeTO&CQ=>i<u83#At|9&v_)w{)lRC?#l_rAR7U~3gI
z*ANGi8`|3ySAIm_#YW36ZJwUm`6l8sr+fFC*Z?evy*uB?wvuo1g0Ld)W@z&uaGoro
zH7k4dsKD4D%<$Wi$ktmIj|nN;qmLX+lV9gGr0*_*fJImD6`_vnA$NE4`Pm!G?X(*2
zQKU^(1*K+U<6$h7JS*(}R4aP;r<pwl+X~1aovnbW_S1OHm3gj+B+hKYRREd%?+dY8
zUwSsoc4B^b-R$+5J-G;6yL;nXiF;{5X&dug8e?&bdzJ_f34<Czu=Uh~(>&kc#j<B$
zLv>$GUGGXgZ_1ogxsGbGD1ruI{=GLNs?FGC?nvmx1lS4B_EJ6wN<&w&+N?}3BJ!DJ
z4b}tLr~EaO1z;=R=ZM7o$!_)BV-l|0a5`e(I!JPCKQR6JIl~0J;7%dxI5365#-goW
zO2qBB4I1uSn#1%HcbW&D?n66MDZPLt(=rUY)?79~RDw-wA%^BR5re;g@&Y`&#?pq!
zPg7Dp340hSknN@3e|!LmHs|xEC}1m7+dV893iRm>!pGG@A*(*4^P97w-TT}hFNbww
zCVnqJ(U`bdhWo$%G*<U`WO&mU&6UqX1Ejv(!ofcr$QHl5ZfVNTZ}Q<qJf;B@Lmha3
zmE9KiizjwzuQ%L)od>X^6<o1ZO&C;`m$U`;0)Uvqp4CdEU@|EH^FiFm_}=YEAbY7N
z<dT{ymFZCjbw3WYqTdXX*f8cHxn;^p;&5RmrWFI<%s5kQ;Nh;Dsmb6@J>RfiS#Dp;
z;AVLI>-d_&)RJMZlaS+=y;CCA>6cb66@fh*gtGlTscZsh_MTcUu)qY8y=9N-mA*gF
z&{4~kc0(CKQB6oF`}t;mMpksPEA`8jO@xRP&)NSA-2o#0!j+b%>wF&B{b{kaCreNN
znw_&!oO!BKj&qFem{zhqSt2+r=eH+wYu6v(@QAK{*xHjRPNfMBrDcnIT6?nix!){e
zo>m-6QyfYQUR!UC=z{sn>^!pKP@3XUT5{UoEl=x-Jq{0v8}jdjw>d-^@<VZLN#y*6
z{{sL3|NnRaWRlHF12GhZ(;scMKd7K0D0JygaAPS&OlK|{$z;kTQ(89>sg!O8YR8qU
zzKbBZ_YrjOQ@9jd=t2;0!i=>{;Bp}IoqKLBQzto6CWJgZPTD9Wk5$EzOlXe^dI~|F
zT;fH)a{rM-HMNap0L2vj!*s`Y2L;Q{j_;a?Sav;OlV+AGk_O&>)1M<08@YjMI(7r!
z0V%cTyTF$$w(~rkrNMg%<_sL}3t&B1bSztkX=;vfnYT>iVXHNbZ^qH6M9g4?=KCRv
zP2NN`$&z^?r;7PPJJdOYGU?-&m#h8PG<-K9&t;c6kTI-~H3V{#xQJr28>oWIuA?Oc
zjB<7Xu1?$n6lYScE-e46G~eyNc><6jSF%D*uwn1u>FpCaGgUfKmbGiH5<3cl<`_c_
w>o%H>N741LF^=L|hDg`p`eBEIcB!HoMCjEs<QDcai(^6o{v~tt7XSeN|8O4E8~^|S

diff --git a/SPI/db/SPI_test.cycloneive_io_sim_cache.45um_tt_1200mv_0c_slow.hsd b/SPI/db/SPI_test.cycloneive_io_sim_cache.45um_tt_1200mv_0c_slow.hsd
deleted file mode 100644
index 63bf1a8894c79c29ec0b4fd174f933e92783f93f..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 744397
zcmV*8Kykkm000233jqKC0001M0BZm=00011WpZ<AZ*CwlI4&_RFd#y8X>4R5HZnCJ
zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*D4FJ^%m!003@U3jhEB002`00000000000
z007Nf3jhEB004La?7a=pZE02>G{S@s2oMqm2|v~qNtARBoPGA+Ig@D6nP{LoYTa%@
zEa=Of>F(iXy1%}3_p~#K0W?1qgp^Q*L<CX<%~*j<ux6q#u|iBz2pY7E0VyP@N=lei
zY6xnAC@7`Rv(~%bwcdB{@9cfP{=Tno_Z+60@9ewRe)m3S@4bGW^{ll%@l9`f(`Vqn
zPse}!zdQKv6Y$?J{n|IZ>2H7L`(NDs8vNVa-_~rlj}7~=-#*pcdGd)}-r7FaZ+D00
zsdo3+;jr!ZPi=OGB)ElO8wI;=zoTH^G~*6}`yki_L7b-RWX0~#57ml&5FFZmX!X?1
z*i?c;5bT>CXKdTY4!f>xE5R`c4t?K2u)~*W_w}jwL2zu^Ev^xQd#qWlcnE@hKXfAn
z`)xDu=ZW9C*#^nOVZX<cEq<Tfmfxn3^c#Smd>DJV%D&$>+gdW-oZ+x-b<J_t^85Ij
zPrU7=2N&;p?wNbfTs-~E(_b7n?md0~<@;}a*TvIsef}NqdG_ALR{uV1(sug$aQD_%
z-ucdpAlS-h9_R;m7wM?(p^KY{i}e?}SI^i#AGRJ24}HCd!}GEsXRiKVT$P`z-PI-~
z9^QX?v*rIig*#FHy>qweHck83e$U;T_RizYuxa|o4&!0hV|#n&Z4Dnr+}9TOwcFu<
z{iYe?0qv&Q7YFbWDi7E`cGw=e!&BY%&fVR1(;VXQ<7S8benJQo$IEs&ez(~*@%Y1L
zhxalZ&u1f?f5+``Jg#>L$M2fW4)07jp6_!!p4)yrez0OwexAdy?Vs9p&>_3<lH<$b
z*X<u0_YHo{0l!_p+4Ya%{o`ZW40oP=@s$@h&%W^DGp{`J;+>ZtJpat*;+gv|@?pLA
z^fS-hf8Pc_#irSq3fb80Q`u){M8Ec>mt1Pa^3D3?DH@mm?NFc}dC-mD1tpr4=O|Ct
zE-6pl!o6yHs2S+QVcQ;5d8S=Sd79v1w?7<2c^<Y&-?$)uR}<W~yH@oL6tgNO7vv2w
z!M<&qy(p$(zpGD8<!OS$;c)1mYH@R#-B=4!d1k?}qfQh-=qFkNKQ*6x6YPh5w-v!%
zD_SWEQhA!-uscxEVa44J7hA3<%F`tKaXZ2kP;%&1!~3}#D$gw0w|o6MyQZ5+@`Z58
zU5nows(Tn)H7~a2D&;BqM)VmpXV};!g}8IAcJb;oXHuFk1&ycYh2~5jUXqhtrWMy{
zPCdz9&rvB)C<SUs{Lb<hy6mhxuTy!7dhD*C9@7KsiceJOqI!J(g^L$9CdG}HU$}Vi
zPH5a`pTTSQ>@$b$9TCYoIm%p6=7KV%-hTPT`xh@gc=^E#7x!d`YH*RZkB99gS2};J
zT#X*fYw}~CzyID>++bkq-YYLW_wxOBJ+r}ub6@^$#jY1G-t~@m`*APAL3+picfQ*X
z)~}^vw#1B-{6K3>%(`1tn;8B5?Hc0Gf4#Se=jFW=Ys-(gxA`qE9;WFn;<0jHXsP)z
z9{c=*i+GD3T==hiNA~6KR_t1Hi<<476}PC_(oDbdzkJ+B-}~llrr}V-A2BnnTg_u*
zx1)7|nI76sEkW9q%ybqUIvST2wsJch#9+A~&9n*jyQUY%9QJBY7ye+ou%h@)av|^#
zcKt!@`EY=*PzlmZ+ls@sgLQ_r!t=DNPfaszf`|PuNc1rD1FoSwwK%AD>K3QuiUa;n
zEv0>#RrXH}#x_{F#$9(cORZZIGeMP?u5x{h01zBdSeKuV8*DNATP-S^w$J{~$@srf
zDntu225-A5eD~d^X`=5ePDt>bYXf=MG>9hM*A{2GlrNlX2}VM2BEEY(ULKARoNzn%
z>UFHrpBAe$xZYie6`GN;OFTavxp@8D4(EqPiJNrMdW#kA;>SYdqrpMX&_Q<VbBWL)
z>|Gxzq-XaM?G=NH=*q7NM5x^C^;%e-qH%eupuhADZ~3_uk;1-_0DzG~?Y1%uOJ?LT
zKqlBvnB}mY_{>*~6!r>=z;9oO6h>;6HIYIOBxy~gFm}+6;?}o}x{Ht^Mhb`%7)U`^
zwLniQL6{x?eR_Z<(36Z55Hr-0F;W=&rb}y%h+)f<H?Pk~K@?ijoHvHC==w(^1^jyF
zNFm`$*9x<oBZb*0;~Xg@MC=?X<VaA0ne~yvkAK;hyyGu<q_A(Hz;ZT3x9GC$02RUd
zj)w*q7i~t`l_CWb9Dpr}J>Tzk$qRQunrRapk=lS!<%;{1yKq593MROR<<nE|8>Ae{
zQ`7k~!J!{V2~x(k8`Q4(ii{LYut#!34B&o0rjWt~87Y_`|4KB2bi+iDX4(YDZ3CDS
zW*VTnWG>>*BWBtp0sZYHO4&C6GK+7s7<_2Vu#K2o1;Du(eAuQyqD0`_@eiVpOcYW_
zAHkSP*b%}4$zepdyn^sGsSyr<hdLB~{LRJ@uR7;}>&4^bNgCulinvQd2akhfJH#Pn
z3Z#*r$K#Ne8I;qr66q;k2nGm?#9&}`tg&wSxme??pZnG~|AZ?sjftjk$4KCN1>Dgc
zkawkEzlQ>#$}xoC$tNP*F%B(~pO@ew5$+gw%u_-^Kt};`DOZ#yF@Y2PZi}=VSDXP*
zBHS?y;{e?RT%_4ekd_E{48wkpFGB$3kl=p*G7`+DQxDq)NDL#0u1D&-yhc%C={Sa9
zDSy%Rttv5Fa#4v9a8O5jntt_tDVG2(7W5P{t*Qc%dv(#pL~Nz=WRr)-|E?<I$8og)
zq?qcmzsMY%RoL~bumGiqhRaZjC^*Z)u7Ip1>6#wmn^JG<mY-8^|J&Dn@VS?qu>zDK
z_-MKX)Txt%CSlzU{tZbHX_uk7au}Vtf!Heq0RR(wje?@ylHj4+(NTgP-0uc@eNj-<
zTM`_)-Jm`i^c>Y&6cqKA1o!Q@1&Y%?HiC6SXD$kgdb3mS56E|lV1uPgK~Zl>ux~r!
zLQrq~c`HFtZ+49!tjLP{u^a0zqv|b5jzdFb4HyvDK*%{>q~e`P5*{f@LSRz>oSLjj
z6KppJ<d>bE9lCR}raZg$g>V<e>BQZc{FaKI+I1eVs{Td;RD8V7MKyf6FX@`~ltiwo
z^zPZDp_5CQ*Lv7LtD4*urhL-NNJJQy9@07&L!!^P@CEi!F#cC9T5VDin)!%xS!2$E
zAxGy@p3LBDL0c4{I7l9N{~YALX+ld!0*gC`L|O`vT_`C5q?T=W4tD~IhvT6w3kDDb
z3Xog2{dhRn1;{Nwk2{y3_Y7BXF4L=WW7=2WnuX=(wC_LokzfAFuX4(Zs_}_)38x4z
zIDJO&0P!+LpE1}bXg={7A=tuusssz?a@-D3(;&`)f>>w1qH~$pa3pjL+he)3zBw?I
zhI5H{wiYa$%frx!y9zB0@?QC6W}VAn4>DpUSUQ)x5n2t-W!p`>(Av4Aze>p#h;}^p
zqE^cqWQN=4`(C%s<q;Kjb}rwb&ZY2CGH2jSSuwuLO;A>@=AfS`D?(qL;R}&fvmS{4
ztUvIc|M_2XGpk^b(ee#Hg*hVZ0oWKMj}kvsTD}PmNOHn@5?>yWl%*L-yATbPKy)_>
zDl`J5Q8Vj;G_$rM$elzzup-#>YPemH9+3%xsR?_{*acvCjabvnX2AxW7RD}n04_CR
z9f9aUDX0*{x35nffoKGF3`h_SA$F;Mo&ty-)n9=mP@z(C(ac^RJm{W8px2GlfM`9Y
zcUq_X!v*Lt=|d!g#u$K~E5~0p*U0#Bls+CSoDJ>u8`@g~qR+;Zg$A>l8no6G<U&ZT
z0Mi&mnUXs(-Z~r8>oKO!edQngHx8ea`Jb26xS@0lh(Bs+P@ATPN(HAiZaWg2SmV~B
zXo5(S3(}aTt;hDLD1iOJiePQ3MRP$KQxhCuBE>ud?GofHme<jknqa7L+evv{6r?dV
z!J#>*=|hbh87MILerg)iEVu_niZ&93Y4}hI(wLec$^vm|BG?N28CMizYLehy(TBj2
zNM_ed3SwxINV4qZ<UnWSCy&3RkgrU#-viI(n*D&Ja`l@_KF=h_vDpi@*pH1M3clu|
zDW}0xo9p#(_pBoB;k^s1iMuzcio?z_w`|K;LIwgkV}p-V*v<|xuB({g{Er4D-m^Nn
zaH$Ug0=m)mPuFYgpL{~#*7P%P`td-10bT;6=oB_jyWmku&0Lo3+5zwhAi568x#|fM
z@GMIX9f5OHqjk*EmCqu$r}<&rfV98@D6F{y7o(O8&-VsINj~3P^Kek`CR}HDz&FS)
zLx7Li4*#njF~KnHI!EB#c*H2#$^-6?7|LeJE;PwQf7r=)@4G>AgM}m^@hk~SiM|RA
z2pjd;37MJXerQRT1QG_C`b0A5pUr^GhrF_cy7(&<XAcT!gKxwfFV^h3s(^L<OLLK5
znv49>;NPsEbo$a@=gdokf3=r}A5)r>A1`@nuxt9#@E;8~pT0D>!0UWz-f=k=wG;d|
zpv#~x6y>5jK@c5IZyS`IMmT%eAUPG_bO;o1v}`*Z-L@ONm43o@yW!LCa6AOc<GCG<
z?>Bg|!|}Tf;Kz8ppsnG8xgCxlB)ZI8jkepg1=pmN6j(UE#Lw}A70c~6Z7jF#@O26b
zdMm)HFW2ohU9697N0jZx>xV$`{bk#)zw0(#Oeb{BrYq735Gar5b~t|6bba{!x^~kQ
z-@mKAzifx&alP^Wcm1Xti|gkP6w(>p<OhqFqmNfkN9eC*n{R(x7j)&FyV%0RX^CQP
z;<wJ5SgM23&(*tku~-ki<o|H=WQD8z^n+)gEepq-o6qcXW(s6ML;Wt1TfI8n@inVQ
zITHB4?nl4k-Jkp^*@slrVhYF@GZ3%`b#ug7_?{Wq(ZiimoaL}h-c;IE0Wz6FIEUQu
z&C4sAnH{_4P(wU?<V?`HhBFWkpCuE-Ge#3nWnkq?evN!?Oc3UpRY`nnn$%Fi1tTB>
zCk~bsE8>}&uonwJ1`BFg(l}!E>W35`(oXLDMU*bru#xzXCdt2&OGdJNDjDxiW-tOc
zN~K`_g~ScAHJ!n@?a+iF-ta}knVh?aM*Yd=^I<lhm-(F0Iecg)5{E@D5IxQW6shxB
z98}O8?>&s=R**J(n*GmIMzF@ETq9FDsmCy;<V>dDdjrB4*sOTeP=klqD*oP(43GH+
zbsx-}0ifI?!B<O$=X^7Oaw|^SfIMG$53y!=&^J(ZERq41*|MEOb@uS2Z^m{|E(8*w
z$(8jdNSsOgMm;*K;5vzB;x($)6lc;T_i)YxN!|D3gd{9o9!xTYi7-Q8xz*RX3s3sy
z03MvUi2Ln`(xdVlNuu1=91yChHbCQ&5+a4u8#Ix*olplL6xVO$F5V;X<@WeS=nw%n
zd2;r6kH!`ZYWbD6MnX&%c4yst29GbjXYdU3CyVXyZ?kTyzcM)9-ZOrToI8KdundHX
zgW`LJ1LJ!}7qw`Jg6*4r&yIPj;+Y1=PaM(c__4w&I3Bg93G08mp5{o;GF&h`&B9ZH
z>sMYdx82ifalM772v56k%^^@8&uu?`#~0o=)id?WQAafVe()rV<!Vn8?$qsin(*fe
z*BYK?@%_a)3^!c1!xzT&#z+H>DE!0tg=^P*@`J_S4}l7P9&=6a>aUeIu@}Ck`l3BN
z)n?O!vL_5j){gdc>P;iu#a0$Z+Zk?}FgME+^>SP6M63PCD|xBeo6S8HrUmu5I=HC0
zV}fn~YR<=+Cw$qVxeSVjY8!`Rof3|f=wIGN;Yjs9FH~|1`Af!nBzBE}t0%1^vZQ^b
zQqY?mtiCJJYoGpN9y`p-<qMwsX?aL$lE5EUZ_)G|mmQjVB<P|1X4<17pR(#-@jAFG
zjEa8Y`+x5@|2?#lJK6xL7as_=p-1B)jfzqsbK>G!S#$Dm$OhZ?>N&U|!|W_*Wl(c1
zmFSC@AbY_{h8zjrNv9;bA|{Aj@<FoCAl^*`X*Wy|$!XS2;L89sQ=cX6!k3|e$b$Wz
z{4zq;V}ij=aGlGN9Tp1^s`@5z&M$Tev0m=7u*NaJE*ZVH`RZVczU?on0nTj|3d4!M
z3x%g3+irLzQVyGi0ZVNa9F-|_=Vh1+Qk3c8ba13-{1R1XQO<PEBHvyXdEG6dZF((k
zQ818Z9xr*ArZ$oXJnCJ9{><<DXaCguJ~7+6%b3pt>L~y_fPD<g6$9!-q)WCgZGq*4
zIua4=x|H*EL4lZ4B^olqsol`-P}P@}U4%gGBFPKwF%AT}%2U(U*{R2-VWyjcO#YUF
z5;LV!qpWVL%xCoGQw+tgQG%l+2w0CPaje+ys@_S9wvHypLuSFgip_#Qb<c|6qM;IT
z|9^2;Ufg#Vi%*IzBr#;L*R<dQ*x0p~Ts--NsC)e>H*hc5<b=)=V4mvch~`hXV1o>Q
zl4K22Eh$DJt%>}fX5_JA0%-j{3Px&cBBKe~ANl5ZnkH)!Im(j5py|>POa%4S!kXa$
z-W>M8Y~<lUz0*XJ-kPn6mbZGHASot%*w#GpF#^;Qy(MhM#|WF(<1VS2b6I{d?VYRJ
zx%y*t1i@i@rU;0zeNz$83z!)KdX~x{Y|gnl0H`O`RRc;y<+L9UVDqG2vVaXysuPY!
zZ`&f*xKMfnFtI2-0$_<^n}9$F+8Dnu)nM?PN<atz)-D1fz#O2y<8qZ>)*?3=5hUa;
zq4~oB5GWiu+4l1@HyU$|%>5R*MhKM0b2}Ul#46?*iO3b-e<9ZjfUL+hx5)jTf}lyh
z&o4(GFGEu93O?q54)y|GGsuQ}b8?Xg4&bw_2!QV(&1=GdY!qA5W7Fp4dlk0Jmr<rX
z3vW0>Dme;wH<y8Wj!?3o0Tq|XtzMn}&YIPu9OLcx|CaCg;1_#ozj8t>3z--qByn8c
zgq=Fv1dsMs=c8tVN1KsTgPY)yUgS?c!I#ej#{u=4V&<AHdVrMN6uy=wn643F^tKV#
z!bvPA+JwR)m_5i2Li8FcXCdy&@|BFb40BD))e4v^k+x32`TayZ_tC2Q!)70}Dbl13
zw1qQ2)O&EM1bA~rdp}fq7EBTClTpY=?E{uq*_8uq@Ire}TBq|#3F@LYS7>8WXdj_`
z1??@{;doqc)V{D@$IYGSCqKSKqxHwhS_7LfEvHA(>9O^fdtDS=D319covOgziiPDV
z8Y4hzj$e20{r7)$si34svkL*YPzyil8Wi$|01JAg<(XXtY*uGisW}oBkE~{{6WJx~
z&tQ6uUQDoWP>D`25$*t-Kw4fGq~^$i8V^Ab^&K@efSMx<_FHWV0zskF#T6O7nBcw{
zm{cY$0qp{-Q}Zdwg6QZf@z97QWGyHUkVzhz0TumR67?~YJT-lDlSHFJ{*w4Qpv~65
zWWis^{Z&x2Kh0>DcL&rCIXl?Dbxyh9FCd-HoU#<YRN3eC+Ld}q^;(m_$mndFtF0Q+
zlBi6frhhS-p8bn+{*G4A>lrPB>QNnee`_@M8ktLVZ%z0EhIy`=Kh1d;wuWnCRraob
zuUI#qUaJKKN#`yq$lEHk;CXXqFQt>R8(3J1DMZF&b80W4W%=0GQ!n&&x2U#Zw{?qn
z-ZyeD^mqQ2FM9Jgc?6;O%CvvOj#N4JZ_wdGm!g>HnFG@aL9h;MyhwuAgb;eSg_%}f
z0RsY0zf*EOJgEsTTA-NSR@)4c;jeyxfkjU~QKFcK)LmTw(h}}0P)xA86hOtuDWy2s
zitCM`8np;MS*W3Vt`T^e)`9?=RI_N|Mlg$4#Vt+8B$Ee3BRBOur|k-O=o->?29F%?
zhW<utj{f`q`#1ma$AykwqBClplt!nK9KdFO<!c;}X>|O8<1ac1oW&Y%jyef#x0D=!
zTurL@v-iEstmK-q0d;z{s);%Y1ZNhXQ5|;RFPGO?=%oE&lt`i<(Ymk_j5=xGv}_e4
zxtdh$?$;Rd24GILjR=mI3{hXB2DeESAJFMte10?yNYQ~WsU5wNB-+@Zc#tPYqna{N
zw5SkO+Zof+DGaKgJW$YW?XD%-D*EN_f4d@k7%7z{q0)i@UGv7tlokZcXvPXzQK%$B
zG4dP+Xr;zNfTf&-g$UH|NIIjDQ*_fL696c@s5%lRa=>C_+r4+tkAeO8`I!kQkZ|EA
z$K#2N`|$!bg-!W+JQ$D`UqLs9w^)YEA?sk^@Cqb_o^;MRWph@z-;VEAfx?RA1*l1H
z)IuCmJGlgE{;6O7te^ctPcy5xY!I)Z2BGr@OK3~9Btik9(1bJNN<sdNw&DP2L$oIF
z!lX6RE+nq$pqb!N=qCa-sH4V|1|&E@g-<%pSByZ-QH&@8HAk_M2-F;hN|<TzZ*vSP
zi9pQ}s03vKfq#llC#k<Jkex{>jy&mhb`ax`5heJ8pML@q#oNPvZK8^CK(bZ-V+zn}
zYa(;2M152YU9BW#s<ealO?<NxcxP$}=9(ZTJw0t}Xr-I4iHD1uo;}=JEuS;0;_kTN
zk_>B5+<dRYrnoY$$0fmfI;fr=?FD6e26c4y-J0oX=LRR2JWNyEjW+sGr>6bFU;FdF
z{RbV?QJ$a$P>D5&?z=FHVvo`2K(iNVS53o~sz;$98RN_aX_Rb5pF=w|Da+x4^chSL
z<5<&lsqru&c2lEd!8{8p_XG76sas6YXF+wl6q>jowT=n;C@55$sfl$#`V1!Mlb`_p
z)IW~|P$t=fB_#n=kG3ufdfAez!=fDOs14MidHVVCPQz6=6eA^mF3oA$dQ=8Lom+*S
z7Ey^u87!$F9BxUkII|)>r<W+dR~_}NTYfI;`Ls8G%hx=y9QCX$uRmHfAKhxqi{SV-
z<gsUp;bYVjgBfW+6qCs@>IuQjv@v24^?)BN5D+5QNttYndNK-wTKF{F!d?Fu^<a89
z=MqWM8FjT~tXHI`ai37+LP_9Ilal8m>ghoJ6-kuR)Y<kT>OrNv_N4)xaMFyjh<cEY
zS8FiNG(RZP(>-zl(rE|D@r0-OS1+O-_PN4!f=!3M&t)3@G@UGJv!)7}V%D8A5WWh`
zX0VTIX^*Vt^47ZSJeaT7GV0LOto|R4^Ua{#bWrqI#r@qgjr7&gNQGup@E*Y`4d7LH
zw*g*BNMztuwYdUp5-jT+AEA+BRX}-p>FPN?noLimMSdeEy+8YVKJWet+HCIY$i_D%
zC6(@1MM(v|4PaA4inI%X6uk=*#OxAnXoq~VjOlgms$!}ph`HVhm7=IrSaMO2-h~PF
z+9FTbuwvq)AiWC{M3PL$`mt?e`DGUEAuWX4rP>zCo-D!-_Mh9BaP+CTpDkv8t3~tK
zZ9o6c$@srfBG6{1*_tzL_Gp#J$24vB2mj7j{BtKDE0{}N0s`8HJ;g;xkg=bXAS-C&
zC?0y+l{7~d+%@cs!{YI6%I~;ffvj}!kwp-$d<}1u$jY{Z%g;$7MsoEPBeH^AINKqK
zU}|0Df(5dIJOf;A2m)hKx{M1(WCgnsGKru?1}<14E0Qqb*B;RTtoVHvwU+wBX&@-y
zd3Bzz7g^CY(UINNi=G$E|MbDTwY!XeifZdJ)uksXi|3Ezn$O6}8CiMex%=;vzA<IG
zn)&2!`|#)OKIF)XzIin^yzkJEP>3t-Rwm4$@1rEan0Th|leUmI?OAjRhRe21G!qvr
zuwnF*MrxCNGMM8e7~z6ZgCX6_ngetaUTV?NGJ{R!u-Kvl<;Z&K<1IQ6c45*4Rzx>B
z@%Z93Mhyl6khUL#`;`V2`<E#+7?Q85kKyr6QDh=3_DkYhuz(iobJxg(!gz8)R<h&(
zP)Q}(sjmDf1z9mkv?EL^c?SbhOUB#Nqdy*y6`mcfDr?Djf6(5O6i>O%#6Sw)J(`$t
zK<8xnInm2lQKcXmZ4BzkSfR!>S+ib!qr%8!tQ}ocO8d5G5!?tY(~nenCuB!AVyVK6
zs#YPmx9NlHefft=rgW(AoVC!Sfc2yg(Z*cWYFH+F>hpr2Q<l!6^!7O6ry8>dvg2uE
z_E1|~Fob|$7qzMjwYqh2E^CJiw^fQ!Bg2S~kpt+cH!W+GQD9Ioq_kW$WVWdQ!trE{
zgiXH64)TBwg-Y7fGu!F?I2DgSH(fb5U3u{QGs)t=k&&Bk|NBqB{9ey&E3MAFmqfC|
z3@RHpIm7ss#91P=jy6Sdj>t>%Xe)+t1xtaaUGRr!9Ze8UPAV>d<*gaNG>#^SA(F@y
z)7OBnRr7Oc8?)enV-hHc$gUQoPBTGtd4)Zu5k>e_p86!y=w?!5B?(s4PR(RGJd_D?
zr&_@^_H2!eUks%~R%2@L;H4pD=dCUzYKn|pQRuGzk%vMxv>yQGSJ9e5@>Idx$hO;u
zNjV@G!G*b#^0#<AAC_>ujNSFqlR;dVJIykL>n+V4dw;~%98BsI=I+*t71ky((qnz9
zDO|BU(QSKc7M7n&O~36Ee&^@>ZV#X|*>Yt<?opmCRZvRipo_ziT5PHy6MPK`xnZ~;
z2Mp4qN>>FnN&m^N@o05-k(y5JuI9_T%g*n|>br~7^y{CH8+2_dov?=0s4mlhA^k<U
zwQi@sxove(aqWszKCRKUY7r(4vu?8F%)%G@iz@3gA@{VfNy@4VvjPXgX+$CW%qBb%
zL)jaAPDWq6^x)+OFI?P{(h%*-e6GO0|Dp`#i>;cyQL_Z5xtmgy@vhHhdy{#>?Hf6y
z`Qjh==l}7SFZ&ZKscxab=b9$T932otFV&3tQBE8~8WY@GyXLuJ!Sq{A@aQm?=uhwf
zfTx@>VciGQ8gM)cf8zKomq>LxGRvhd@M$o2jv?9}=;VYx$AHtm&>jR~rKRCA>!}$9
z)eYez*Tgr%9J)zwndtAKKMu=QN#5(zKt22Ji*cVC@tZ90(K8ftF6PTJ3x6VQJTF`V
z*~ncJ)7Go$m~|w1cJ?Q((Vu_=5ng+c+wkj#K7G|DB3Mo&%;UpWL~>`g+cRc<@4xrn
zD=$3vvX=Zv`xom?SQ6pRY`2d&w%hOcz7K!rE8b2)DPaa!7(uLWx?U2wu;Ph|l6Iwx
zZx-w@NLTW+3*(N5w&jFi(&DC5jA_7ZMOrv9-kgv?Qx{2WbTCYiHWL|N$stRJ<0wc?
zV}j`KMjAf^hfbQuML{|kCYTBXxZ)_u%qU0)!vxWt8)t-(Memr@*e2~V2O~>v@ii#f
zgQ-LEPh3&}i!6yuxYY2@4l!m0u}Kc_!NhHt;{!^@*Cf$jjtqHxp>01IMlBA8Ne&&E
zMDmSvYON1&UUVCX52zjXOlp86QoA=wg@0X}Kh2A;Y37g|1!cXbjobGc&b{6qg<fyz
z$zFhTCuPAa{0u>i*DXJX82{LR`^#^?@LrpWY}ZLEVE?SsM|*_rK2iJ<1fCSI<#|8}
zCRGEJ8?>KPcA*Q#q}2#6MI!Oo2v8S{Nh|cvA*BOK12eG|wspanwAy#*P#~vnFo9k0
zT^Ec=tBkOs`X*I^x?oINdA<xpnR-Pk;B**%6{g9cu1KgUvs)>`Gs(k#7(@XN9ZEc_
zi!A%uv<ICk3a3+g342?!MHQ&JW*RxET1=p90AsT<<Oln&f?ajZ#E?!KQJKvLPPUl+
ztrnF{+h>30Wc=SKb(A3ulT&7sr1fvip2>3<vQc$hg>>7wpAD$BPwhIO*utebJ@QFU
z{HY4>%oe#lw#d3$R2z+Rb4$ULUwm-!u6Nvj=es?!m2RnbG={bKc1DT-G`X&S<U2n2
z_x`dQUbWzL<O2)EnYV~jFl|KbX)^VfMLviD&@qkKO&6rXOIrXT(Q;o_Z1)qv82NaJ
zgjSSls(i&5`J~1`Xvf}wkXxNPMm{K^wa5q4w;>p#j~Mx2@(Y^1X^14Py`x|e`HWI1
zfOw|s&=IO!QJ~fQwYz2z$P!dm>4OlLEFzx}rF1foxR8vI4+1#!ww7pWD|}CvTy3Jo
zu&FtnCQGw<RKq=&C8arZ<CqUHTRO8lobe{8oqjQurMASV{T0(v&{mX(5-qhjg27VP
zL=%|$Q-~%tkrW9>%#;ZygaEucKcQ@UMiPzTKs*gZ7vX8f<N0{R<GCG<7h;Vc&rWv5
z6a$%e9ginI@5k4?9r<}IK?*=Kyywzt4sGsowJu0G;Iy7@$I}aRw&Ra3g1PP%o$dJg
zmQ$E`yV{Qbg`fG^&j=|}*cSk2X2*34IW|m=K@^M0&h1{xBKE8df#B8xXx+m5ib)Y7
zI5aY>A__|2k_7YM9F9pN^)d>IVn~AMpTyA;2+5ItR2%81mH^sT+zl-3MSqdqR^sBg
zq6E-M5XF1!^NK!}13QwGr<MTPR#e;;*}on=F)Bd`plwBztcZ0Uheq1G##4(Ow;vK;
zfkaZA8zXHA2NaURi?lU&^hO|wE*lN~mqJn$wMiae$oa+Lm52jVND3!6N#ZB$<(uz8
zV<jR`NS3$fz)=}EJIh9D$#{QIqeRFA->7d<*Ho<;Z_wU+n6bkvUq~+c8#Ko1MK~&|
z+hx(Ax*{`NS{066LuQ=~6`KbGbnV2-N*f9xxYOXDR3P;XNgEMx7*i`o*>y3doU0$7
z+z*&zc_GtZ+;`dD!u_bc3H1(wHLq!>6Y~%E;grU)9QlR^BJ+A_{tKS281QKR`9!(-
zN7c!zD@ze~C!8NHK>>6~Ml_zEZ@r%%KUlFTZ?L%^cUfcu2l(U^X?nBI4#uPFV63}E
zX9weE9E>mc8(;W=uk^AKt?x91Ubh;c<7w18szs2nlqy9j1f?fJ6J~-ab)^A?JFtV<
zs|2ZUOc2esXcYmx?+-Hd4mF>7MH(;@L_p03T}TedY^w6qv|lC&&BGWR(K_n-Q^jXq
zk*dZ7Q5n-n{6AohUTSZc1*wEgkoCH_Myxnuz-;kl7A>NhlvIPS8`B6}jf>NjCpORR
z&1BbQu{Zxc{#PxrdL6yC)us36nv(0TDLLKNrRVlkTemg~xNiAcPZNy%Cw~8@{nR&p
z^1{4uq}7<S1B%ds!ys>4f{-nUNu_K3$UdhkD5MF`U1`%HONXVz8EdQ2pQu_<oMbD8
z!}JSL9b_A53AmqHd}KSdb#EP@Z0c*2ASMa=1adh4s$+ab31aNjc>+16Rn(_0vVeI4
zIjY@`X94pF@{ZgIYD_!#qT0$@W#NNq7}ad|F3fYsRPao8z{fO%2cyg<&5!U;^ZohK
zlGBFgugO62m^IyC1{Arrd_=bb2>UOac(Lh+-eBZCFld#W+*YBjnB*Sq2ecY8cjdLa
zg*7GU(?@0F15l8)X3$rhJv`5wG&u@EAJ#6P0IV4v=#5V~2RnEo8J_43(%EUs`F1<0
z+7#d2zL8Hk-{EztuQNQ;8=rEH*_dTM|7M=l3x87i@nH&D{Yk|<^!iEVKOK2evAeDW
z;y>B=DU3ItHub-endgl600nvcCyjAKz&RN_QqLU6q>^H4?b6I~1blJGIs?#C0zUR3
zh*NqQfEGq{p$`Fvk{1Cl#%d#i^eMj(DDZOG_J9*VSPVGp5#~CQJT50#jl=gCDUKK1
ze{jw$FA7_iW{%@}iy`ZnIbP%&bbNO>VHqLoDDZIpanlvwUk8c%@v`mTzmpm3;rPR*
zD;i%wp!oe{+mEkCxa;GU19nVc$H93Mdpn>REt~6%ckW_uhunm1cGwFKAGUIMYL6l3
zvZ=`h2xBuY)fFoj-b<cNo(pfhku%v(8J@|4mP%cc%L`7cbhI2Q53}TXShRCza*oRm
z&6QL<lnwUp935i$;NlgWz}U|ZE<8u9$JtjZ+0W1Ou)M1{iWho$xzbiL&;FGz<xp&O
zD5rdZ)pv#N;+nfMFPDFYxu2GYr0OaDu-pLhzUr<}I!!vzLwSo{_jiS^_}~1mzw}3b
z!oz*F*%t063y!&Q#<PPjUOUe6_L=vZd3NF%l`cu}U4Z2$o*fW);V(zuCAcm=F$lEe
z<F5D)O&<gom>HuP92Za%22!VyCO|idW3D)WauNt2xMlb7^2-Fb1%$jUJ6pjG?AQXV
z6x4h|`h5UyFgqfW6(MhxgQG$C37EP%&*s>$(3zgPE@M7A{hrk@fI0g1_o{G$AIFsf
z#m-)S`wQg!+{SOI16Ap{NQJ-KWeqQ~<;5oQ2x?5FvN^oK$m55-!<|BHm({6mAXm4L
zpz17UORrd-n%BZrHw?2A=_nePr+WJ<-}jNf|35C#p877eHwK$2_0msC?uzy_w7?RH
zW+I%IG}~pgCnVP}QAP4`jFPAkd_}6av<3Bs8WUNu1BJN~WMF23-Y^*%6V2QCid1hV
z=na#HMmW(<6MFIqI*caRkH``Wn%Q-e`Ut8w6C5!FRK5(lm3BlL;)(_B3B7?dc7@<p
zv&4Rp1?>q+IPt7850sH!u_pbye0EHi6Grv{1*585!<u|{O%hces;>_SGfPt1D&;CF
zNfl-_q*(RkdR$f)sRPHOVdErdWXR}B(m(0ibHfevDlJjz-{ztid{C)dOIQYVD-322
z1GKS3h<hgedFlz9=nquHZFOc-wp~Bffhxd*g@${jx>J?q7mUp6NjxXSsbFA-<H5T+
zB~dEVHvH@8&*SP=(x|6{x9O=~(0LP;dZ{;ly`2bn-W=g1w>m#Ug?66z#B+#~{OWw{
z(MabJn_RH&7S(3y%xJzIM)PO=@Q+`7o!4?|zetN*Quj#Eo{-#=s9<Tb8yHT~Yj;3v
zxw`ACBq|fJBX?{7=G)YQH0^fk%v*xfpM3qa3tojJD$|M>AC!h|H$$7!in<GeH0`!x
z$htO@Q%j;Ut?1o*N6fAjufk7F({3xKsoW?f$_|YSifK1Vln0@yF@m9CnCZz&g=~^&
zU<>4!G0sj+`=#A`(Z-K7HIYnI?r?UR_Pi$Yt(psJpr@-ZB%ruSg8D_zi#gi8C7jcb
zx#$F_X<aU4a?^^IQeh*8S-O@bJaRt7F=p(t7|Q;-k@ruw&;I%z|782@ukZ0s>Kv5m
zTT{9-WTkT%=V{ot82#V_2;ks8fFR?i`vB>oKGg?U8&<7bzP4WstA5wt{``OK_jse8
z%TOaY;==t{>OE=!#$xZ$cB@eX61Ww7QuZFjh=i2DJpeWmeUY%Nq<an7%HucD{#1Ca
z5r>MX(E)SC1vP@wN{wxN#hAcF2X>a7L#bg{#1(Q_syOalY#pgV5_Qsl^q#36d+GVt
zUw8SGmYQU+n10QfSa##~<LvC%9~YikT#i{I<BL-|bRsqNV}EgL0;;wF=7xJr>QK9z
zCM_kEV~Nn6bZnQR-85vx?@f`g^#GMT=IXf+*d!h3$yKD`4|N{CNZ5LEwbcrq_y`pV
zTRdY}O6;A@><iZ!P|r=OS7xVM^iU|SbJ2?NS5|FbJVeNE)(;W#k~7p>zhCkxEj4+F
zG!1Genm$B0-%UP5@2CUU{1lx#Au{n=Bpw$U$XA^Z(drO+TaSmCS1scCIuBcCN<r);
zMivsUlCbl{W0fh?%xXBHBqHPSk~#>-bK9-$B=Opwn0UqY#!PvO#A}hbZp9LW^K;wJ
zFTEjsQ^^n6`0KBgH?bF4U8EM^>JPwM51SSavTY*8i%nEbf+U2!)6JOpY(-t#%7xX>
zS*@HAbG(+ZdwyH8prryYkz2hw-Gw!)M>)C_-}+B~_j_OXq|6D^*xCwPGQ?*{Eq<Q~
zW*M=-fYc(XEDAKO0V~6&C$D$_W~nGB(#;TzKx9N}_vk%`01-x}-$_@XC>W92eT%8J
zBDmd(rw|4C;P|OKb_D{|giukHyj|leCGYAP2E9Nm@1fg*?9FyN8tO{H$6Z;zl1Xj>
zLCXBGn(6^3#U^y{<4eJ*SaSwHA*GLd2?534z#9Yeib#9YrI}pzBdR-*wl)Y~IU@&x
zTl6Tbo`{HIgVoj0Oe2KcVlI63NJJESgHbW8ZpJgwSt*a7T-*seJ5U8c=Ufw)IaxD2
zxtqS((sc##=772D#dV(eRKP;VrvjTd@l;@0dn)*`dMe-r*i*reullJtX7<mx^{c|I
zC-pw!1_Ucy*XyWX;AR{2u#@;!6So1T@K)UhnUmw!4-OJvyWqBnOCHQVx82T*SLK@(
z?ytX=8RxgZO~_xS9qwWaLk~0wOFNGwflWfjCYFK&;&=huMZ#$a|8VqVt8Mj_Jb~;n
zWY=O2;x7^ex^bpJy|{Vyg%_WB<(U_qb(DWZ_q(EJ<bqTGY+f!eICocg$e98ah-vgZ
z-|`>*(4F@<n57+q&GWQ&#ejdv+yQX{V3s<R)>>FAo=kA{6pN$7DX3{|#n?<*{E;|H
zf}W=dViq2!%;6f*F<H?KzeakVCU|t_1ih;)sDRu2$O%RtS@7t@34%2yxtFpnctV(S
zhx!hNmT4C}bMdaSBp4COzXHpCBFT`_Bo7TrrRiOvU^@9sVNH5hCfV=z3JmW1eWH^E
zNd^WciPoRm!eu|Q?@D!@@&15RkBT*({m@D|dGU?n4FZ*cNhXo39->9xPW|qxfK0q*
z-ILe}7ZpoEcb=M=(4*!E46<hiGrUo-$KuKQ@42e_aSr<{u!`DClkMQ8@bwOx1%!~Q
zsslGHyyJpZAUrmwrSLM2aKq9I<)A=84~S+jlDBRvOJ4XXAFD)$b+?Gl!)tMig43cO
z5v`jhxKlh#Q<sMaB;vMyjK>NEKKo19ip=@T>G5m&hM&^X<!AoSpKg|$QX>r^$+YFB
z)QQa4qePpIN`#*x^5qa2cylGtpvklptiY@xi6$rqQtPyYam9#yp_2<HBohu{UztiU
zB41dKGu$DFwr@OjTrr?KKub6b9tIQCLmB-L1*x0t8hf-z5f9*SKvxy47zvjV-9gzn
zDlBD5;6OFt7ttLSxhH54#KQ?@6FG{G4WfD7gdrKw9e5WR97G@O>YGclD1W1ap@aBJ
zC4#x=D-c3cL+w=Y!c~xs4E9ZZguKgPx$F|84>iz(43M63<p_8c&fw6ihlY+MgwAY+
zM}f_dp6p9>_oa@&3O|FY-BiKC@)V6mi~->1eB^t7@zb3ot~ps_lBEnSVyfIi`gl1y
z8bGn@J@VGTnKcUYEH4$Xt(fNdvCXwLsBl4no>JAw(c*C`U|VrKRy<AxY=XnF;&Ccq
z6Fgi;?YL@;h(#L`t$UV}(9}oqKUYisN!O}tZ@uz%rtTIL>$vKS*7N1%6D5x2Cho%2
zAo|NSw_3UqAVp;(IHU-bUo@pW&r%IFqWG~;!5pFysXx`10?|m=%S39ixk72s^S9@G
zL1|DlDvfh+ayf+;DDR49hLBoui$t#{RkU!6YQ272w}|I`Bah_!V^2Nxqd)GpQ7MCF
z8#C#4*rB;I{rP>HOkUarOporb2_ANP^p%Efgf}l84I?+0w$TK!4zsm%{HKDnjV6d8
za_D{{F-MnLR{N=G8?zuH4fXqBo{zDtp>H_V2i&U;JPZjXd_~$uTT%Pph~R)qD#jcx
z$l$~T4;<7WD`MK;guP5~)Fkn3*;Ip!=mnNFoDBBMqGT&AKI6$H^=xZ`D@jzCk~`~K
zmFeZeWHU)H8_<H9KRQZZH6l#A?kt8JYJC$tnGLD_>lB)lf4^uGnINK*`B1mKx(L9?
z^kH4(!G+>~zMg-I`)5upf_kY(iPJDk@`JL=<2ecas*=!EUK5*FY9pN<+Xb6(HtXwK
z2wuBcUw4bnW_|rFIt6q5xqtZY{pvS+2Q~$O>zrpA35R?lBG5VkS7g<Ugatb{na~=O
zYB80Gn&L947ISJSOk!yevxH5W!<0a3coZ?Ewg=T;1xL0(E?0~>&!f|BY1Y&FFq3RR
ziBlu%n3(>4>W~jX|A=F=Zi}4f<+E-V4QsYbYW>LRN}m#++qQOM?!;zAyJows+k<vw
zn~3oc6E!k--cH?))3%i==grHzD#r`SU&QTi^@$S4qU$n0yczcNeBk<_BrTPC`Hd16
zC~bn#he|U_j_pCJ&5I0ec#=1%9-or?$&)PjtgVTzdmKcFhEM1YqIDkO?BQA79MC^R
zc%B$DlBSde$?!05&^3U#IOZrEcBytGNQS3*GqyW@KlWR;perAHAt2jzj)$J*czB!}
zZDC$~_wYP#(0G+81~4S?&D5W@v!D2s3iD7Nk6d+NTgRSK2-{Q2kC${Mv6YIa6!I9X
zEYDNgUp%E`CSLPXdW4Bs7qn}EdO(5Bo!6)xJqwK=EN<4sz;oxdYwW!C_P0s<G<&nz
zOf9#A0gQ%C>PZ3Fh^lELw%lf28nv-33B_$sfx;*ffQyai$o*lnz<8#_LC5^*isvXQ
z3r;z2^Kv<dJ52!NK@)_AIY9ZJ!ZlM~-DQX7P6b@&+>Gt{XL8dxzkBr3r+4q@T?x1u
ze^}m?b2GM2``mB&;<qoiG~iTfx;+am4Gz7O8!{1vG<$_l&4X=2ljw7X`zRA2Z2{if
zs)vAvK$8XpCD^v7!v!P>?njNG(T}keF;|7dU7%4gV5Jr;z_zYS6}|9Hj)HAnhfCv%
z=mc;SY(v{<Pz5NN>y!O!OQOcE60@dL`+*jNRI6XI0NVhyp}d1@c5F&rVuF`|Z6l(C
zLUPgD5*O#;&4O(n5Wum@8=3j1UY7Y>+#mm|9DCV}`}uiJMpr!wwt;tX2HV&|yuI@{
ztn(w#sVKcAZUG8TR<sgD4z2JF=J|uP3#KX-mZxZ3o_YPx|MrJ}ZRhG#`3DAaWS-5I
zt)`KZIAC66$+OYiMHcKv<^_<uXta%b<RVd>nxN0Tgi1R`tn!(c7;&XcfwV>fIc!Cr
zdAaLS?!pDBPE8OxkIe^AQh2cD11?B)YJ#|DoT4GMhtjDn@}&x56}Vv{h_-W6!V=wh
zTM`=2=2PyZ=Ux1LN@7)>qlu=THK9z@sjZo3P=P2`QLq-3Bz27H6DL9Gwjn5?98Pz%
zg%Z<$%Mt1Ec=S~I)13BOqapn`N&_u1by(A%kc+6e1pw1AqA`S_(zq-PU;=JXG(v;D
zIuE?G$mY=+c)3=2rWff7%JZ+k{gZy>cYESj<3&@RDSAYln5$xlu$3@Wz||hxchF(f
zH;61#y3!(0t;e<%(GHUwQV61&qQ-Lrss^VPDvW~go}ib@QwLCuo+XDgKoHle&YPb)
zU}}K;IEYYIY*Nz=7mNroCj2Ex4Wv^w87>$QHM9wB(Yb_(+Sa-pyP&XA(<VABW1^CL
z?ZdXJidj^2qNgU_V0N*J52j)+(QUfaT&mUej(<{VtNxwV{@xC`^V}Wyw04<dU>$qY
zUN)xX?qU;gkmEZm6b<8d%bqMK8j40Q?Y3AtJnzSRyUL_%7H(1PNZ-~iQVi&zcwM?%
z=G(jxsyu%5|M+u1_j|q3z8Yq;r{?eW$6^fHQxiNII>cZkwWuJGYU*p#p4y5>Ty4#`
znxIdthXp3U68-!bjD&&pU}+VCi#9DAEwwRo+~F)@HZA{yTd25eX1565q7}2}Ir-`V
z$~bJ|<Qqgw4H6>Wi9mdX=&8dq=Kx{30RRHvxEGV%RjCJ>F`u2F^J|t8Z0PaGfKN&|
zeq{FoKRqLjq6kqsO2zBta}kcm^%j%u5t$T|ZX|;lkEi?P$J591E&XxKg1!B1(q1|}
zt%!m^sRrI?2@ME?Q+&F8OY1Et&xw6oVLqw&>@8eazShkIIi7#~5B&0P_Ud&Vo@#m}
zjZ%FKS#O}LG7!8;v3c5+2%08X3<TGjCl{n%F~LKJfu2(3Ff-?yPm2ix7NA295=k=%
zK{F1qrk`$tXwO6Z0Ldo|8BtWgPtE7Y1ko-DAS?y@VKQQz4~hx)ZKsWVP!BJDdOS6s
zArnOBC*TnD(*c!hRhFMxAFni$8`b~h9Pr&EL6yocND4naNiyA@vgw0hHiaas$L#FL
z&toJU&kof(SyS?9Y0VyY5upr!BJyafv&Y+$vi_7*{*0e}QTM7soU1ga3YER6GWX9*
zsEHn7t#Bue1W#{^uM6HzJ;%9p>>5kQfT4sp9=T)uy0PsI+T_NzH!GB<#2)EIT2P)7
z-F$A9|IEeH_g;K(@ve8=f9Jcs_F3u~uDggsHQ2%}s_ol3_PD&x<`lN)|M&0y%kTPm
z$MV#dF(1MF`T^WGKy(~#V|4=NMAeB9t1w3s#u~nlu?IS=G-CSEf-$wB_Z4I8fmZsg
zwC1S~n9W5j&jqP5>>3m44!$l5DeAd8G4??E+2+fjn4yS0$|*Rh13pSuSdvl+#zY7j
z$8b`Q2ucn(hCSjV<cq|bT1L^C$K&6oNQ9t*1hWQY&AnubiZx?}`H0@ZT7wVNQ~k2A
zW{eC`fR1sjKr!JarD?w=c{R(g*7iuwL<AnjB98PglL0m-g<~eHi{$~Tw`2C}07(L#
z7?6n_3jx-722K%@$<N~<nM{tg$M7z;V)Hu|c?`D~lAX=|?V0^_%g<*2l^33SSt~h?
z%w4_i#xnQb`GtSwN8RjeA+6PJT7^GQ7F0RH1`XSN>NApd88nc(5cm*K^kq}Rk_$@g
zX@cG<!Ky)AP@sV%n394dsP)o{C3emi4Pq-IKo(XNhK?sjg|8^kKoZ22r6Hh5xM@<*
z1qB*Nf@z!$D^|zJw-#u?1kuw?(u_z;rU8AvqCx{na%e{_Sw-=rxE%4@h}lmEf^W9x
zP$E*)da(HE?u0c38nEvJ(i_f>+RaQSGs)G)T<y1WAOh%ld10m*U;cGCRX)XCGrPm`
zsTV^s;E_dUMqIBA)cn;e`^E6wf&XCGs{K9lA0B5NLIDe-5CO20do8jZfK<N4VN>2!
zGvX|51=&o2RGAwZUS)*xVJ}(X#pdcjULX4fb8!yj*VhDId)a1EKMS|$9LTS~MW+Pv
z|JC>Y+F$>x&S=z|QCsu_sx@IWU{+ha>y>#C4Unu0NGCR+$$AKwbx~4I+G0OhQfSBv
zu<mLu7}NmKW*TZZ6U{aerCl&u^c0_CMO2K|&P256Zm1E@)`HQZ4<MQ=!w8^+VhDcf
zXwk=>OmkRYRD^<iURhr<8q;xL?En-IJI$0sEg728kQhHCI}JCXmMko~PTi$N$LNAu
zGTt4aF!U!VNrq~5ozdYs?0I?OPYkJJg@1J=`I$eV{t?#f=~z^2#v7ET8o@^aila38
zux7kNpjV~IfWU5)v1O81_EFR?xEki5$41&_`j=_5C*5I%gzmYpnXT-zB0~Ba=^rMb
z#;bQ-rs-fNEn`AhD+&YtfEl;7B?oOMWMt92{}j`&_S%mpv%JU%L!dk%x7}Ajms!N{
z;dqQ7Dl)<gZE1Hh^EVt1OrXdJL!dlAxBdL}NMZSTvg^W$z<?BU(b^6BBP*7dq;Gmn
zPPC;=`d)nQpZ%g0Ekm!H*?yFD!UrP7`>0>uauheis|FR#B}as6CJVMGiWWi4>8r8i
z2<UQpi=<OQzLa972$k|#uoVFjC&D_0mDfmV1awgo{%A7WQRW^2-J^UPDM`;?9hL%c
zGPpjt@g<hMsEM<N!{9;HbVoTiDiSf@nNU!L=GdgNhqXlML!1kvN8Jjm)MCXM1$@r?
zo@M}~aZk|4;vr-O3_j3pLcD7gaC&jBpn(73xBrfx{^U=|)5?@uX&JRl>R!u^?=kO9
zYLK8efnU*Br8R)T(bKaPLFQl@Xucy06|f0H_b~?r{>My57Ajy996=A!Q=_g@y-Ytf
zZ=wl8r)b5{e$Pgz<uy_Po8Y0_a#9B9ENFGCKBlio1#E&xYXSsX%aX^60t8w!$)i;P
zu_ws!vH(%Qc}@6T0@tBwH3F;ho5!9Yh?5SgfKj`U!hp2M+6HR(z~fg1$l;yoQ%t>M
zkmzi%u06JG+qP}n_N=jO+qUglW81ckHMYKa_x|df^Dj@jQ>jX-I-RHczIy(C_?s4m
z{_kO=puHyf;bBOV^V&0M#pWE~2-+kUR+3@WvsFAB?{u7^vhwlh4^GyDyu(j)guURK
zNJI!6!#?G1pE<z9q&;%e?uDyRVZy>}FJOyI)Qf=qAZ_J8L-!f<j)`D!A7+C-&Wo_S
z*lCv(NO`V~7MO}ZS|jsm=IH7>wN2nvazZn_W@W3Zl(tMVNU*a{okiNxmH%4JugA%Y
z`@<y<?zW}e!!K;3tEy(?)CWoDT@&CyvKyCj8LKf-=E08LRj}hlSY5y5B&y?Ar!D|+
zI>*<8sDxgy;q2!Bu~Ra@o5#Iaz^n855W9fs>eF#?-H#RF%XoIqagg~nzQFvX3dxU6
z_n5!BdCk5ucA4Ag82^cRsc%Ty@CpsOc!|cf`Rv>Dn2mj^=bW>A@vk11Q3KJDlN@<$
zBO*9_Y{m#6G8n8vgh#L+>^DD0Ilu!Bt37a-NH${&pd?a&NG3mW<-j9eFant<WfTSJ
zU>Hg%QX?kEBwlB5q}Ai!{Q}56^mq5ge7Hzkv^9;l{^mNXT3~hfD=D4!XYn_1lwc3)
za>sLgg5QAL-|fn`4nl^>hMB3nz1D6!4<qc!?d90>v<=>U$fB2hyMC2Y_xP#%_^rc@
z9(o>r-Hgbp%fS8v35GuXl@#U9CfQvarr=6^wcL|EKZ{-bn{O`dUz>{0Jd0@0_}3m)
zLleCO!Y-ShMXE9fU2RTIg)_dDO2*E1x8Zt1LX(;PoT~X-1=3pyCR?Su<FR4q;T<&%
z%l%j_c13)9mhFT>jLF#PE^-SA%O*cpO?vD(38DW8oqf~-@c}MVoX<DmCxIqgZtBMt
zgxl{k1A}ob-M$TE4m|JVTw5=x?(P2E=q0l)00F!ZSHpozdQR#`1$3i>g$~_i!~BcR
zgGiB)+5*uorT`x+DvI&RR^HTZ457|t*snfR(PqOhsVqRGPpKgyS`Iqr!2NL--{<|a
zA*#aiPvcGIVMCLMSOV;~q1muab>|2V6|Ch)Q$&=bgl$Lc!gxcOaVHl9jA%bl=z2j~
z|FlNawn>co<hQmY&|M{B=APamRd-F-O(rmpyi1isD5z8~Ch6lqK@-`$)yKY2L&yQ)
zGLH%r1s3b@43XX!7U2?Slqf<W%ishsPYg5?izCdVhot>yp7g>Vxkng|z>`JB2n7!o
z1S(Fdb?`19QVc!W76m%ao{+o&ED_2%^j0gVm%u+oH3s!hpLZ1&mYEahR%-QSu#*|d
z4jwV%d3T7m^9lVHjNksO&w|_6@(t`KXay7_c>l$I7Z_r)aOrlpt1L9441!Ol;jrfN
znnR^EB}E76@-(Rgk`1to$wK0BGuW*vBy~;SANG86oaw)7PmZDyd5L>?zJirQL5byZ
zva&|2;U*}J3Jl%1t|sq7pG-)K>ygv3pP*`20mzCq6a~siG9x&FUQ;oZASnqJ(*HJU
zO!gUK;45nHHz|ncN#G@L@4RmAl$U#p`%0gE7%bE=Q|%GR*u^Jf7c=uU>y7bg07CEO
zR-)U=zzbnsKz73qJuWY!U&zrboCX};h-o{JFnw6qg%HHIC3=K;dD!2<E=){pz;ETn
zXv&PlDCdHzrE_zwXJX0?=Il)m2mSYB6NEYS&QQ-d>#MYa)m>nuyP^FGst?c>1nX~Z
z8KgdFh*JZF14w)VEFlCi36R#|z;r#MUhiZk(Gli>`0rGcQ1%2x!@}XD023@+jO;C>
z!bNasez@KrFjVZ(v)VMf+Xu)M69al;$+376VfY^W-2eH=cQ&q=WKdd9a(XReP!*y=
z$aNz5Y=J1Z$#TV~qsFH3thg&;r{}M_U%RRTDs!fx+!dUd&Rxl2DGs4}oZ1W<^5<8=
zaA6p37k5QZY=AhJ{;J0es9lL_$I|*ia#Gj~BJ(V&o1u3ze~pL(6>2w(@13>6$fh}@
zPRnccDQhf9I3k)^#p*Eygoe`@6dR`@l?{CByuDL_Ch=7xx6Kl(D*PXXMyf4pZp1Z9
zHR7hqwwNiER!ysx4X=N#u9_j_+am-EBEw9fP=^m#zMlJfMpTWhmu)+?sLMBB_+JL&
ze)8EN7HTU;uBUUtrz?zDBh3~UYK#BKGQd0eONY45tF-<87iWQLPk|TB1cgeZFwl)*
z8wC1<VWA+3;OQ9RpdaN-29^g05J;42XvqdbD{XfWXsJ{YfQzTC{&%6<*&|`#t9G4)
zi*;Z=O1(r{3TyD@1ghwECJ7hq{OK>pLMEP)L|)y!vKi}TDfV$R{cfQYYyMN*4a6=q
zu@YVHS)1+1G8Dz-Pm5dTpciw^^8#^Kz7d23YR&VCRlUpPDg-3%h`#5;DX-2xL!j!c
z!h^qo9BX>T>*NMZ{%+#{038PINa3#IwI&$yfG33O%xDE-cU8|FYSG|Y5p;ZTZJ2Ia
zJL<2K7z^M6M3!&vNYQ_bJ>&{s{9tO>4P6GV=<<FMfLIL-W_J)ZH*uIQ1)%104hR;l
zjPNthg}?dE7~qeWX{=%02<efmkOv!?hx`7?Fta_P=nxjeLQIWH72zQO3qj}Fo_%HK
z@=thKLm;w##Fs)YD);!z*MY^*yeK^ffpRsjok}?+FPCrCLh~W?wOq6t1m4Qktv<PE
z9^J54{3|#kH$M^hKUe78UD~_*TzvAc#Do6?xq64=TipwsF2_S^=>(wWXJrD9!14_-
z$HZMG&r}Bg3>CB(sI-Bzn4x7Oebz0NO{W;Ev{@1mE-m^~;-`2<UZ7oIm>0XTF9woU
zZW@}<`%&?5EJ5+US3tX5vUn$_uAb5@(fSf_EZhTY?rf~+sKhvfssdtuFwfsQap-z8
z%qqe&rlgCPRRn^Z)6jn~z&>cGM0i?YWtL86Ny%u}m0EPFg$R<W<<KG$v577yK(qlG
zXXmHeD?#t5=b+Unc2zD#TCN1Pk(7wu1$+DQSeU9In-I@7N|7oHD5eQ0o_C**BBLB{
zPQ0b%Z*6;InWzrFRnb)Sarkn+KWM;AV*>bV$k%yG!CzJIop2_3cx6oe%2}QlXK9yf
z%Stwau4g~*k@MoA1)R~m#jM3h9&)Yr3bEgzJv+c~s3fRm53^e1T{o@nn6LvaN*bV{
zw35_BkP@6wNO%}D#N7-<1+A}^G?d~IU?SN`NFeF32$#Q{{A(+H%{Rg^8Htl=ld#i%
z_Y_`^Zq<p4R@IC!&D1Y?-TuX9NuZg19OpZpOM%2dU&f*h)D{{NMmDEu{3!8hL0Fs>
zYBFy{ZeFkO*ch!qlL1=x-r9zcBh%;gWN4#s8T63y%d*i6336?B6q|q8=n83<f86+x
z%By*Qe|vS~nqLfMte3Yf);n#}a%rb!?T>rE!v74E!9`W5`)>(tXb3GVC|G-@$X1z+
z0U^=S%V?+Dgr=f5)Ae<eIgJ=uxH->gz+5A|S}p+Kvi$EE;HPczvS?8f+9s(|<&lLk
zhmQari0lj>g<?JZ>D!>m>pBdX?QXULEVzb?A`P#j)(!r1NWDv_tB1$N#WhBxx4#7|
zJ@jT3{41qtU*o6z?`<=SYVnxsd@Z`Qyfc5=dfvpj`9;!pR71TZJMR-yrmV}ffl|JC
zY)c^AlE+S(+1FiVl@4@)B2PSS@U0ise6MbHer9`y7~QV-j1B!RPJy|YF#n7(7iwB6
zw-Fhy<$;FrN)=M3pGuYKhM!<QXAwj?B(M5|m5;|b3UZl|VPNGqCTHz+K`$^e_Yc+%
zmmo?YkSap`t_17n*CYdNV(B>JdJ08?R<vPa29k^CTgP%!sqZlxQ5gXfKM~?t6jJyt
zZOD$(TS+!3NF=58*8ZXk!cY+?vZ?LHT>PspaSl7g=;&q{6M<6)ZADYoFG5-!Yey@2
zbkMrVD7mAkXITjH9O1yzjW+&$N5(kpK@Q$u>OU)frkICg!jfp`$4UoM(T5#p$DFg%
zg=<u^x&}C$voZU_Ed~J&M?CE9doJo-={?UpFC3+c7I14rk1eNvPbCf!4{t@-BP%aj
z-9_Iw7~z}~syx&|NA@HBpjcJq8K?cV$X$+}ImQS~>BbsKp#3SzyW%s(F^?7wdIaS!
zU=u3V_Pw>^{c+ko4R)xpVf~h`bP|PPYDwL+%K|y~Jm)t?M3&(KC-v{#p2u@Gn6iK8
z++@oC2sjhfPiP3QX%~lQnS&z?*9>==1g26z9zr28MKMGZULfuvLM?|ZBn5&vhR}Kr
z>wbKG?2+eM9tW8(i=h^(w^X_@(NBO{0+~OHM8xnyCMc!tnJR>u^el~KP-!a}QS6xa
zyfXaP)A@Km{=NRK))}OhO-+#8q_YJeOwQ;cfHds`QE5|N%5KcpU<I9zf+wHH^rN|S
zN3DW+3(hAR%P)h$S0<O`(*lD(z8ZQ7J_x>wj=_c0^!_BRA7cMyLy5w6d<xk|<F>iz
zfo?-c5><28r@OYfi8I=hN}Nmg0ws0%J^OQ`j{tx|nuYD3Sbj=y*pQRwk8E*9VQ0Ew
z+6C!>F`r#1JRt>;ylq%lR|k!zN(b!e5V?mIq*ICd)_$y9M9VEV?TArj{)k%9EQ7Fp
z=n>N=nwjHF7YoX!qD2NV8#S#i!q5`;h<g5%u~!f>f}&G=jqG|D_@6P9!yXxkf>vxq
zO<(MmGf5=PtrX(Twr_-n1bsQn!=h3vb{<jn08vc-5lGr9ToJ(>WeZcl0|g-Y5r+OO
zT{2@bBhcLoW$3@Sr#p)>bXh>~z2xS-@Zy*B@e^s5K`Fb2))Ao*X}C6Ff0vFB{x_Tj
zA_ZBqLrqpxt7t;hJrZy^$HkTSga{6NNh30Y%Vx1&O`q|EXigkGO^n>TGm8WyQU}CJ
zWjMRw=}+T9k5NLD03m-kP5s}qLT%p+(N1x29`{YBaRt_xI_<n2Z;y{Cp<-J}L=<QY
zo-N411|YgULwxe{MRaI7`|SxBm~mx_h+n|aAwG}eISN{xIv&`|SXDIHXw{HjNi>7p
z{%2GiY7ZRmYc^7M6h8D_K*uc;g{-T!6m-t;fF7I_HJkeDE{l7r6!$V)tw!#I=ka}u
z9y!@v-scLw=kCq@XE_$cqU1XD@`m6Eo_0S`(Iri@h0_h-I~0BlhxvJrmG6?;5SNx!
zFq>$FgUg0#^I5aeZYvDNQnz~b3TTlR{7W8gLcX9Y8}=k9^b6kcL*}!Hc%kR;+0F}b
zJ(w<{tT2?mj#ubfT7Np$v%ygXCMQF}MH$_;*AS#ua0Hl?sY+A%iAoqKfLhMcC9Tma
zYn0iF=MJaiI?jQiMfg`v(tJ|#PIpD?JH5*GdMCfviz)o*-<9#Jf0J&oKhiP2*NPp=
zEH2snZ0x#!*k%j0n=UOGI`#V}Ui4AW>yJDp`K;x1{%J8|zHW%~l9e2y>w7y~TIy;C
zG`acl?tFpwoJjLM4m6VbO#3$qhiRgUECGy5gAZAhKuJe7AVZxPYKh0FiAsB-{6wZc
zQhcKZFh!3Mj7!p-7n{l&O2Yd@&0_>FIVvFA(BZb*<k&$eDG$0Etq8zp8WUHjHj6P?
zUIu6CHnl&y)g1E%RxP_IoG$E8DLYb^bYCvLW2$S<dU9&-NP7oYIEmN^@(}Uqe?rh^
z<5r#G>xi75^@~yjCi$#$Qn}VR#L8hdetzV>UH;7cG+B7mAYx~(;>ju=?+iM*HcBWS
zi`kS9t7uhc<r9gz0LYN7H?S~2C{1Q@w@x2579Pvm`Wbw6%vVOT8i>8((9&y-U!(d9
z-;{C@#HGH>v1S|fp`d0m<3}0JJES{RQ*e?zC;Qp<=S<y~B0_aZUCv<s5)NgNTy!dc
zaYL15iO#|q9x<?Ex(IkcSdeSDCnZH?^_bbCJ3XmnZ75(Xy%~b)T0Nx1R=(KGFEj>;
zQ)Ki2R^@-<OEH}4le6r2amjG9Oxt?t4)SdBzMgo$CDCaP?H+)xwp)9{d#$f-ct!*S
zoWQ9|u1y8d&t<}70f%Dv`p9O~GpjT3tkrv(`nT7iIlKUoW@fbSSpXtZb><R3Q_<lU
zKO5pY&~J0}Z)@XvX0g33e!PrVHQ7ITwQl>}9e@PsiQSFd{KjjK&0cYzlc2#zB3mb=
ztu<U}A<f(XJ-7=}hEPQn*CK0VF8U*Btr83ki}FoYW#UVgr82P@-78Q~OpOB5IUx^c
z5@^p*)gYyWNTHvnRue*3$EMcYtvmtWP8%>&H0W8M@9k*s+9Zk8A@abbV+Z9Hk{u+c
z`PRofX*&c5^fA0-G;3;=&c<8GBtkZZ6Kw0xgHWtTItSU}!oMA!6NE9SBq@ia%y(}<
zhDOy#lS9YXqduB8otRMkWLa!0R-@S=P<6SSASL12X_wazaR+>l`3v1_3(dlInRf~u
z*b6VCvUNM((RO)viU!+dbwOI6*3q3H9-)@r?Yf6C%*@|=JJpH51K&xT=wfP-wbM)d
zGPtNZjCU&LnMoo|dSb)Qw#&saMHlG9d~l!2S*-ixu*hpjw=WaqQA8P2&$~A+8y<7b
zsW=DE+}-G5EF+B>*5RrNr0HOI7y3o_gDn8mnuUV^^yc<#?KiifqZ@|2kYY!p!-!a^
zoahekywgA3Wz1;8_zbJbp1Cz0nb?z$B>D9^N<yY1X(AYlHM_U|M$vv|X3#*sf?z#A
zy0V<(axJ54<;bdTH`IH_6ftML!|FfU`CXKGkyPq{PnMFM06!9(Iy^+R!R{P2{!?n!
zsVsGFG+CTXPmuscBsDip>l8EZ^0!SmIp>@fa@IjQ+5?TbUyJSHYAJ6g33r!yXc|){
zgIQBg244V~cUR|(zY12Zp@5pHZ9oo+?1R-EmqweQYB|2?T@I;)<3RK)0Sf<7eJ9L5
zR@2xl4Zr9$4;>wa7(ATv?c#klUN9iPcyjW6^t><nx$AwO{S0EK-56QU!B7bL<%|K&
zh?~06HN-4}LC>?{T{|M!8vA)91(D~4w<6m1G#_&j#X-t4ZHP4&%Cn67riqPZw&<E-
zFDvJEJoabOI^i#8_o!t^whfMp*6BJm#hXvQW@?V0ely>Vrq~QItGr<erI;(b-#zjc
zQ|M;}A8Yr%_j;c*cWD>zM03gT;DFt$V3KJCfiOc^R$4_?kHGCELKGg#<1UFRbGV+7
zQwCxP6ej6-4-cTYZaQ1}d$%~ml-i55%4MOQPL<YS$Qt%;wkqvLaRHE!Os2DE3gIuY
z8?S<bHMu)R&DSMD4CSZ3Yg4OakiZX2m<}MRx@R&yMyZrDNy71-t|}oJ?29PH!)h~U
zxdv}#);F`F)3#!5sN}h6QZ{Bfiyu07(yTjWQRW$GOwKO<SzmIRP4IXE5!hS^OB=Ye
zR(ozD1^xrZjp_L5nOLwh;IBRmk!c7MzgXPUPKOV`c%B?;(RUQdSvb{?ijjr_ARb*k
z(5`oF;6j-Ofq`pKuY6LDca7qK-iwAXMxAf*PD39ojLh6U08PNsx&WOnJm2%i@AuZ3
zW{spEQB5;kk_nRa*`<->|GQ-s3#iAVmjpmmlFVOlLcpqGuLrzbq>Nxv$aEfk9b78-
zlnx4iwp`jJeo{681yngov&K5NB@}O>9Htb1F%R-$0Z}cn3m009M3bJJE;d{XujH7W
z$yqF#`k_$C^>;rLWR<2evoCn=VTKe|XN|u`QNP1^Jc1#HwWxoF74kF_WIW?^!QXNr
zJM%#&DZjZHlvr6ifceCgH+_@bd;j#CUB4yzujZ$})c(e1+#5w4z8R9bXg;7-zWWES
zbR@MMKNuDsKa54U7UYP);9*z>j5-WUD4<j4aESPT{&g<r$wah_w*4KgLkJn(6YGco
za1jRQ1*lk8NRWcAF``9&r(Y$F2#y*UXOtkIZSn?z-B!USx#_7-MtVBBa{CCBUYd-h
zR~h6B228f>`BT<{!X%EKK|OZxw87g8YA%oMnv}dR5=FMM_UY0}&}m*m;FL<@xcL#R
z1aH@ma_@PO{`=*F4dZo%<&rSAXkdP#sS63Rrk#y-kH<QWsq?)V1BUtg!qi6O+3s|#
znnnXIc8g07(R4NBJI*m+q0xX&yx9tfPpo5;G(-XP!c-=~En{<;(44zKl>n;?-I)I}
z$ULElcF2^Eb*3ir)ysh5QKYdDqmL+K6Iq5>r67_`>ibsUX@-fPg;M^`e&BC|##wY^
zqPPj7U@vf#D3Qz0^lH|>Uk+@h8$1artkO+@UK*clD9NEe(tMNfl)Es%R;T8JZK1*P
zaU5b(lapEX&CcL{iHwf}@O9n_#*fmwhPD&TLBY9Xo6%wsV{QIAj)pFOV4$6;BuW&3
zG8lZ*xl)eR2Ixr*L6D~7UKEHVN+DDeOYB4np`|91vQ?Xfu4XrY0Pvv;+r%J99Xc~0
zM162m7`ayxSuilWWxeN@eTcXhuvZm5^eF3Hz*E@eI5~)C^QDaJcLQ1bK-Y{=q6$|M
ziBOFXBNj!fR-%GS>d+IQ_CY4E8Ip>1>Wjr>rdAh-y}tDL6Mes^FC^W)w7;J;J>WMj
zV(XT2jt``LcsIzW`xR-QuNUO2!#&bo_A}D$A#4}OjRXYZpLnCKXLLMuS@@LuIDeNL
zk}1f?nao*8zB5Vhnb6N^f7YN`O&ER^vC=$+)||fe)+3YmyL`WUSZHsBJBq=(Ex1u=
zKUN(0So3+S@)QY1oL{g~4^^pU7_3lTJx-6DV4N-K`@=Dpj$L-{+H+QI-#ekgt)=Pa
z?Cv!i?z_s#UEj6gA}WZ%|Ds`bZMhuB?g$KUZgWOE&HgVb>_Zm&txLD_9E#6@9MJi7
zVC(ttE@)WRr_1pv;ajWq?YYgR|9$uOyR$^5>E0*%x#EE=?P5H}yftiui-NMTE1=18
z6+<%HSTpnWT4K`_&X((Yd(}khYj#c993bu+(3qc+NH>lPdITjQ$F2a)!#RqRDt^92
zD#C7mHvdd8T4_w5hv!jjpNdYUsQR6_<@pv81%8t}LLSK0I3nJ&P;$4b)(Zjc`R^CY
z<h-@ImE!T&yWfewW(4G{qwqx!IN0U4hEO^{vV+_$TKCZ@MD?cson!Zvf~w-!aXx@(
zL81~4<1oKSsUPFaDy=3!rcs#4E|Infu2G!mrc3+>bmO6PZ!myu9{7C8xQ#cw3@DA1
zv|mSoMFc6By=;eT;kH6;9aK6NKv7g9g>c#ItxfN^EF8J&`>uu!dWvpV$z?Ky$H9x!
zyixVkEFc6t<WFD-Xqs(XK9WtSY=r?M^)m!JbeGC*)H;%_-`_j?Ma9fx51%i_ycMa)
zaatoq0c$=e*oD=e$3*Gv1zIRDRsMqnwx{|fSlO6z4C611I3E!#U!GU^O}|g!s2FGN
zR*$mrtb$?D&9f&B=4K9umFYxW<Idq`wX=3^(@xP%_vCA}H8GZ>5KXful=n1(aJMA&
z(w6tHfH!)?A?IEthSHX~a%N3!onW?4duwVc2HOGTGXbfvzo4`tm0@37RZ}$@8`06-
z1)=Zj(yBk8qu1Fh9pBBVVVR<9N?J6^J<;H`nH^dUJSm40zj&N!fb9=>7yO?rzJDZ8
z_BnX;DjH_ba4VlH`X@pmgbB5Pz|YjK{{j_5VuXdw=nwqOvNCr&3{(v9G^gxCilC%X
z|Md|v)>q55i@)F*N6t}a|Kr{I)LIoq4Lv!a@hUO0B7bTKf#SY;frx7-v9i0QS`Gxt
zR$+f67jsB{cT!xplwc%%t^{gk5jc3%y8?+$(;#{Oh^-G}IBvN>IYJ+l=E)u9XNT6g
zKv4h+NyLLR4DBk#GXoG4^@6_RDJJ)Dj{<BC=@yRI@4`#j2j~0N{+Zu>ea+^_6|}w1
zYxIQJwgMnwqj4f&0#r+IXR}1V7g7l&gSbk$BBsbJkVRh7iRUkZgfl>p)<#kARzg|?
zt$>l8D`SfVx5lJfUDF95%Gz~Tg_gUU<(9#H-DF=EO|W`tnK)}nabBBD!Z|d92xkv#
z3G)spcMYp5u+2)(6L4!F;w{){kB@V2g`;<J$F=IATtL3p0;Tf%%9Mm(wCDM32GYx0
z!b`s8T8L!q>{AL=1|ys!c;}|)m-Rg^8QXV8C+96r196|nj^rD|Dj}qs={P>s$?ojk
z@BLV~al9``oOsZ#=~9?d+-!<NC}fF7TNT1G&MRx<f-JnoUre{rkH)F(`R<@*HIWK4
z99}L0-RmsR-Qy4rmGZUf;AkcCBrH)PmVv7R^$>5`3ts?)?8)z2^0-CyXp*fLj}t}R
zYC-Pd*Tq2|DhCSoa@JwUQv71oSJc5ty6Qg+TM0UB9NY@L*c_8KO*G!MZ^l~1As5C9
zMm0<%5Z3X~5nNHYKvfl}I_Hx*KgA>pHusM^^{Z)!r&}t^9rxT(A&zIub<3+-$Srlc
zwrkO5bNN!DTuI2Y&8y1BJ1tHYVh!@q*sE>4-nccZ%wA~nuJr68Eshnu80AUt_*M0g
zo#+tT^RfcryHBqA40o6GM1%#DG%c_@kh_>S@aA-_RP64whqa*eHwgO1+YsNllQYU7
z@w~`(we~~+D^>Ri_Hp%>n;!?h+0}m6Z{yNV0rY}TNq92d{R{t=1ve^xe0q`P**R3G
zC-UN;yK#Vk%Wt2SY{cgZ6ulCTI9Y#UM{1^!Jm@b*2ba86g(!V<(~r4o$2O21+X|=v
z;(X$eyUs2bpYG>P<02tx%UDg^%6_8@?{KO$FTDDTQ6^g!<r~Q}jsi51&7P0Mgf(qk
z<#aQozQ}z?*GbG4pw|MFtTv^M|EkQJi#jPSkj^qRaNp8GcJg%>=W`0q*O=YfM26~b
zZdKme*X4vgY{+e?h%v{6V2Ic&nH}c9#+r-6c%#St2xPc{OZK-Z+@t7)c90#x6u!JE
zl<F>8V;vC67JL1|fe)M9ilzkZBS98XG=99*aX8$g%mhb$>sh>NUTg|cbw}1rhdWT;
zXh&d{6hUlrdue``1f8|$lD|$HS@oD(hp{qOGxAZTvWe=ZWn#`b|0_p1{r`Zp6}HU7
zS<R<D-WhKe5$hl8=`2VtMyLr5{=*Er@4n8z@c!Iz1C>H!0;Q%7D=*jcvpLv4-qq@T
z3GJH-4a(&FQE`{ENUvCofr95tVr$H;`aQa_Am3mbH-`-{gYqmIaC!&qTGa}fq2S3^
zrGT!y7EC!BOg8Lq>F_Q5<>iI(31qWPITJ|XM&@}d?pL6@1WEGo0xHl{;xC6h0Hjee
zeq2UQ@~`uKTzZ}GDN?z+hnlYI8)%t_xP3w0BuL!n+|@cY{2LW(_-FT8()KO?6Tocu
zTu!*nXFA-`5%M|d8}>Fe#zwfGr8H=%F2$B*!2e8x16tVlOAj9ZWe3S3(B{uc#RYca
zYEVDk>c)$$gLqZ>;mj-O@_M5eE3ygt^ZFkgVb#E<Krc{a8Qe1{gak`h%gYwo1fHph
zmf{O|P1VfVB-Kg$p7{8eA9JsOmKxm%9jQ2z2q8SQWYoW8Ml6x2Wt$jYGFJ{IhN-7e
zhzTa?NG_A;2YSP0!x&ieP6>L$G>#awP68&xsaD7x!aBL>H0P`Np(20YcDkVh#Q3+l
z;a>3C8zj748rGN2r+G--5ens861%P-%>EaI%0ml7%LXOXKkx}(p$sR<a97GqE>IQ%
z3QyRz3b0k2z6ABC2EY*rU&6juI#A*=IU6Ev_cp_SpG*HaBm=w<QktoW1T!~<fm*$v
z*t-Cewt!|4sx&QwDkmgRVIQTA|1>{9<8NSfdq^!d&BK;o5<Y;NKUIlZWCpe!zsQWR
zQ1FsZB-dlq9@M^)${c1N195H@g3bEi9~)dK_ENrI3kp3A!^GZ9;RJX+G=-9xVG!3y
z&IsS3r!+wNLCR^R2$A8XQbH|0*c*!gCgZr}Foxv95lUxWk4AF1&3R>lg;tAvyJa%%
z?gh~BgoGcovMk%|<KPFUP0H41QT9j4^_lweHor2by#ngBRIBpx!f6O}-N_I+VPOT5
zxRCdxil`gY0$O*3xB{A0X;iy*Wsm=Hqn5yRJU?GxD$!QmJCg=t95_Q7#oYw@DnPP;
z*RwUZFif#i=P{ggxY5AX(xC}?(PXnuze6He7LZ#f?uK*u+}VPfx3}!#!imMrYkun&
zys+8H?6vQ?2CdKhx#iZoUBp?Eh%t1*!zL$*7LM8s%(!KlrWh6z1HlY)3CTvp#KVf6
zAU3WxWiwPDI4s&iWSa<CSRCD&bXI#$NJotMoEqGpEV9PkLG2s!h-o9rvanxm0w|Ic
z<05F4L$hXhlnP~3>DWT#1BUE;L13@^WbHj1XmYkBs8Dr@-$;Vl3gL8olpat>r=XEE
zpPhrd&V88)0npVU6LBK5a2|fhCpyx4GXC5RHCRb$WTmyaq*)O=zZE?}PKWwa<vMvX
zz3$w-<e_vBtyy<IYjhHnl44JyVcGKWCsop&uO!{`0Id&Ur&8Ph5*L^gSJH(=8eSj6
zwTFb%k$cUdXUb5yk?7CL{!zWk7*~EZZ2y9>SEZ(Y1J8AIw-)r|Dp>^OyZ&dp-yOK`
z4zt>Z@PttPk|I<_IxVlG^Kd||QXJ2<)Ws6WC@$DyqyhLaRb4Cey&GHqoLemIb@``G
zyjvd#BVS-U&OZVJ(&a?DF|Q=hd1RU9BfySAv|=vS{svptHy?ppC1|Cb%)J768Dazi
z61AxAzrW2B2$Y^@V&$KBIgGClB7%x#Wb4F*$<e4-df`;`B#^NgM;v{=W0_+bcT~`l
zm5VgvVAe!`uSq0mNiYL5O!%*yc~E1^{~TJmWTG0p2`=j{<T>&FgR*L?tWQGz4v{MX
zlKZjucC=Yq`s69A`P!vGWHK@jnOJDbQZBdi%gQ%BhXm~dbwb5bVl2g}&HuCL3H@#_
zguo%L*oH1z(<$0A&Lyt6iY)qd;`c~Q@0}{Hh_#TpE)Pi8Arxz4ObN1~f|cW{%E{Oc
z4#N}P^(>yC9>zcFb71%yiMSp#Y+6!kQXl_L*{}uV0eT9HmV!xxQm-CJdcVQw5xU`^
z@Wpa*t1NzmipeN#P{H~#G?yeVa^xaVhyclVQa2}D#pM#vb%OWgbyQ}B^H)GmajiB-
zn6Zu;)#XhUZH&54QDyk<iei<1Rfpwd9p*j=%a~pbUAsJ$6)?9$5nR-B>o|g%7iyc+
z1BqYp-<Ia%H4Em)CD{7^iQHCJW56C60kT({5ZX-&7@l}isv=_E`c6%w)bJ%zaW566
zp0*~<f8I8x7?|GIcVFN9?u+m+DWNx1idAKCJd5Lt-%Z1Gg4@q6)s+&+&P<sA!Xe_^
z83&T&B}A-J5NJn~A&6LkBr8FV$>jqvG)9FWD0GX5FO;R1tf&Lm2>^j2wG=Crb2F(H
zY7u$E*99P{kP?J^tODAum(JD*ssHs`R`<fF7S}+?P8^|ZPO{}+q?DndW{@UyA`ihq
zl2gXV^u{^9EsVbiJlZ=}NAOUf>JyHSjjdeO=(4=WNdN~3DW#{jp5SqkH#h_Pej81T
znpS$!#SAUPWTc9#h*vVEt<4oqCEjOC{>+|prTEq$OPCj*V<nWLV3dfzZ2%QD?^J9&
z<6vy-88rW9PH2}n7`pNXk99w5_&<jQi}?qwNsoy@mQ!~$#c5pipu_3J-6~~V7EiQ`
z39!d=iH=HSK^9N4^>*4WAE%9C&F^I4I~`a4!_Nr1nbz^H{mc>PB`Pvf{jMtZtDwf7
z=K?}}+d&2R+A-rg^)mGP=JOk5Epv=bs>Nxw%>B%a-A0skR&Ggv&u6AH|FlSq{OYKP
z$ve~K&oR(<zjL`^`C?I)B`HZGvV^6?dHChe$HJ@G6{JqfdM>~G-nDt`=OM;`(oo<*
zdmae>RtM(bcxcbaiFNj7vWlUaQ4^8O(Lnr`&Kh-wT;~$w9-aWG0|<2=9*J6mjHF0z
zARPo)m*ZuJTpe!)zBo!fN1RpXTo5-g-GZIli58TfA`v&wv(&Kn4a)OX*2EFY`&=C@
zDKJKr;`AiMdYC(~ODm-fRFCOBwBq-<YH(1`e)L8#QnaKhYv_nZleeW5*i=1hk91m6
z6Bcz|jojIc`9@ia@?w+<{w=8FeDS6c^2Qbjb{bJYE^I(J19Qe~ro=*L1v0cxKyQOM
z)d_kT*REMJ;QGeW=y~k_O}puZR=#zn3W;It-;4L^xg3si>ptAJ0CD~qp4?W0xl~}(
zJ{Sh)xcKJYy}lfyh+fXwUfC{mGAVGgo2Io=+b%Sd=D8#P`Dgbr7nuxA<wSd|v^ipt
znv>}ZkR}8K<`sY{FQXmc5Q{`!!rgAbG8y)j3d%TE+$Xk@k!+F5Ob@hZNB+#6dAxKX
zuS8d0YKlajd7SPjd5!vsRix>v#`F5@qE*f?NtqAS=f@$#@vS;RI)9Mim{IIdu6AAK
zti@9blgfWw2v`<@9CRx7{;o{taUB*X#Ciwgc98Apfx>lEa{8vC?DIgGCjS<|e>dgj
zt6K}MWtBG<d)6XUlgLG_F)>`qp6@`R1eFcWXiGy3mjJ1iL}On|7BfM5Y!paQkkAEg
zpC`G9Ci#~{Py|+sBN$KB)+Z*>Q8KWc8Ynr?A4HWeNsTqpu>sY`6Sz{O%8r&Yjl{jL
zj~vngthsO=Vbl*#_HQ~#l`!foAeSm_#jkapJsb#h4$we3*IV(<^3(pNz$I#YkqH09
zG6BQWsv~}pXc3Y8NxJt1LD%?7#bpNbQhOx>|FBEKYU(v_l;oT8->La7^UJTQ%?PuZ
z8F^LLZ$q{hJ0j%{5TH<f7etUMvG`?H7`A$&!rplBp{w7=6q)E2QIV%Kl}92J+%qVm
zN=hwkm2iCIm5!2mZ6<cU^8h!W)wQwAaQarlPM}U;8ErP!O@udz05kVI<^%kehinxS
z?*dk9i>e71&>L#hM;5a@la0L`@Q6dbFyrJOh-By?8DuTl-^zFqSv`-OOFXM7w3b?e
zw}O%05^>BV$p><;@;f_n7{ETQXN89%;RG!A4`E$+LEVSG+Jw7NZr-KQkzA<AlV*pS
z87{HTRHYSr0QZgIZ26^*R7AQq-s0_LV`V{98uyF;81}9Bag^nCe{3p~v)-PZY^)Fq
z+Ejz-HhsS<(dj~q4^ki}$1#>}KMboa?_9JpqOjolFjfm;xj`;~4_@-3WruC#uU;DJ
z*m>DdSFtZ)IoVn)@S-`(ho2@}e|(u#SJ+dQ^AO?wr<K)+tV&gN_c(lw&#ttfyp)Us
zloi@|gz|qnS(6I~HLpcNqLRy^PZ)N+nvy&-_iJb@0=`KdtJKXQdd;3FMiHLWeP8!`
z--=>!F6oWN>mEN4oTaX-7{D5rvNrm*K?FM_zSZTK^v}ydy+Q{5r9NQqmA6<NsX&4`
zf#R%fQNcXb%Hw{~yH)KiEZ531sNo#jF4D)KTFeS^nqAgko|Vs+GL@+NW*AgrJjR>A
zHvx4jL8pGJH<`|J+*{gnr}Uqm(tXw$_LeCcpG;3o2ASBC<6XKLy*oV5OpwYr<|s~*
zO@FpXzqeP_vC6-?brR;Sq+{vAQ8>LTU~}hBSv@gKZ>H%tIa2yeF?V{If$RtXyD4{w
zSt$A`LC}^@Hmadn+H}~WW&V2y-^xCxX_GLQ1Qcl%Kkd&D2lLfuKl{x4hR%D*wbQ!l
z%euaS`9>6)Gw?Gvh_RU8uAX68i9y@0A<Yb=$hUsJ7$+|j6_?}0oa9w{O8NS=*pi0R
zw-|);1yx*iQoZZ2q_FT9X)NievN2t0{=sY<3FXCFx#Lmk2&Xp<cOL7TX#Le^Uxdg8
z;L*sr2Zu@3F?7RVGetXQ>X|3s&DZ_h-+YD7=FJH)9F`R~GQ191WR0c+@P00CX1J0j
zvqJ-QAts|+=Bjud1ujXFrE8%Y4#zq`^17oJ%P|Q~<s6DY3pnC33zMXG61XHn2IkEI
z5e$LwRwh#mUKG=mGuIKclWu9(O5~UX=2sA#^;OJc(F-=ZUWn!tOA+18QG=?wa3g@C
zqQ-HLC+T{WNS(0GNisv0G|6@R$#LdFq~k4!<(TqxKQ-EXY7VqE_x+Csbu#*{?F{vB
zDF2h2_a*$(HfWXU%Nq2n?l1H*)SRuT&xC|K(;#70^|xB=if)EZO#qt(iDM%z?q?RP
zn*jALuFtHK2s#Z^6OOVJY$1TvLz`l4wqQ|Q)UddfnX|U=l8Hbox+){9P6bWCOW|4X
zu~zmXM5jr|$KV>8!Q69q&2|*z#wQ^rM24g)-lB-8E){YSWMblnv(Ti+WNjr;*(H=f
z>%y4|Ksg1}?=niF(X!9fVyr?(eLdl(;pReCKT9BAI#dJGWwV>F%sSNg0o{Dik#vno
zF>zZL8AQSuc!sHCv2U+OKaX*A5@oox;+o2JXx|*%PwdgUU6`Tkn{EgA8OHw>-hG`Q
zr)S6-sSQ&##j_zZM04Ok;bg#M&0~n-z%k=2%GPYhfKi>SRvp^+Hd&DwpgFKF&k{&8
ztPa1MMzvCt8^BV)s9UG4=e|@H$Dv~%#n4Dr)HR-}hSi=5P#+LW<I>|$(=b_yFB;^*
zC~+-r<WADD3l>CWV$PPt9Z;EA!O%8~yWy2V(}X?i4*RPO#;5200gYpXmWhwgMV?%>
z;pddP{=B|hHSy}8bFRS$BU3V##+hG&?d!|q+{xBr(tzJ`z{2?>(LtOnpA%aqBMEql
zf4v^ln+PNCXn=Y>@136t6L(K$I2&v?GQ<V9ikWL_AHtD<{EbhQs&sipfGKbcJK{zx
z3@wSmQ+Vj?lCy8QldnY~dpt4~IL;0g_W&lUfMX?4iqvs}VqNPeA^0T;Z#m3!Fs&NL
zz+W664o}H;O?W(d8*ilOCGmEr=q?e*7&jwKF>V4im9rSC5SSKRkrW_7B2(P1BRXUm
zl8FKbkW^`r4I2>nmv|E{L_S^mi<UXfzB~L)p)M@FuKU5=Yt30SOi3Xj@-pq({wo^B
zN13{Tsd0DWKgLL#a8zomFd*@k^%dwOrQI(GY6TsGRQq4fp98LYC8_Yn=>gVRn8M3K
zELn8#|97|?$nNH!*ItGx9d-cSW8;_^=k<bFKH;jI6_003oJgVy^)9MPnvBG1%WvPc
zd!};Z6gBb0->peVLGNXBIz9LQEd@j+a$ci%)zVn%M}+;&_eOjJs=ARX)|2&PB=?{G
z|0gs+)Ny^)dq$O>wqGgu#(7ipu3vuFGMqn_(0?_^f03t&$E+cgmlRrH1BDQZt5VuU
z^dA!{92%wz$RGxBYnkB!xw?8u3FnKsI+ai#D5wnWA<4&O{z;Gi2*=RD0}3INji|q-
z7K51y6X>_A>hAywdI+Se-(1#yAdR}9#s^|$NxE-t+|Pjx5K4GaY3$pxfj|L@lS~uD
z^vtCTtwnE&evN4@ei_Dhng>^w-|eD@MvZ>31%;)25ObpeusLy0`J?XIF?GD4T<p<q
zdB_7&xd~JX^ufhNYXhlRUx$8CBnYu1+QHhHnzulj?PK-QAGXJkq#PP2VH@&+z$86H
z`$fzGase}+L)Z})!<g`M^WweU=F{p0u@O4i=v+aG{+IZwa;Y!Gj*J#`_#uqC*9Xcr
zU4azT1~9PRS_H=EMi7uKaZhl>6hSRO^OyC$DiOGsUf&B97?HCud>D!3Kq{ClmgE-4
z0PO8qQc^rA9;l!I%grk0T0!v6yHbXxFeta}S`I<}8E}LK>&Me#fF+6Gjp-U6Y&M*Z
zvhhVxj-Z<;L#w@psT9_Nnuz3o%sSsEwIbr>gY*t1N%+ga`Zt31T9K_gqKB4v_m5z`
z{K0S}_vv{#^X~cT1MDS<-z*HjAztU25M+>l5mV{<y)rmATrYczeV0J^;}Rj?Z=nj~
zvXr6dX$7Ai=ti#&_~X;P)(1w$1P$uih4<o5an>Jy%q6hUSTYET{ml~wT+M!6KqrfB
zeFp*5v|a~YkoxmMYI;j<mUH&^PhBnq^$fn$$04D_@PzOGsm<i%1aCSa{g)`?-I(3G
z_nv&=zcx)Sj@EYblBDy=`1DKmJu=3Wbw3g11UG{=GfdCu<np-|$C2cKXd`loaxWBp
z7+?IHl$9pMg9b?Vu?n$)if&{QI|y<?l0lRr=YWv#?JZD5?*$%^m`F}ZEaIh}6>%mM
zCmAGK*iL5{ad1L3XNtgBFGw<s`wHOWOv4ruV#9dOXD7-DrC!bF#ab60eLZpc$V_uZ
zo=iPgo%eWh=HT(~Ryu~}d70g3hf?>ANAN|r8ZeCUGkVCGpOuntz&>+@{sA<bB*b)M
z^Uri0(Mu0GwoVdJc^=Grc=}lh<2hNlYz{Q`f}bA+$z@N`kIFz_#>Upyuq*7eRgUGT
zNk~ud5Vd}ZW%sIL6{5pfwp{6?FqMm`(20lB&_gtVkR%=Ckq0T_nufxnG>zaXe5YLc
zb-}$CUT%xMK*5PCcAI|_VxIAh3E_GI>#=q6PABdWz1Zutj)ix+nl}Su57WFt>t985
znP6$7+R1s^fmt0-pY)YsN5GgX&A21Lyn#QtB?WG<Mxtky7{NXQ0~$miv+8XZUKhAB
zpuVs}u}g5H#{>AKPewHmJ~dn{OePp_+o2?-cg|*b0mt(qB*{CP{KI3q#x=UOpD^R_
zT#`jGykyU4!&3ex2kIocSFUFdj!YU~K+Tk9c_m>{=ZMF%LYk&!+Q702QAmnQ9c<&q
ze8!0tFV}iJ@E1r>Oue@6%3s}g54IE49TlQSjtCxf1j;k13eboC^w(~}IyJa`4(u<!
zl56fd)dWmq*X1p#Jrq9N{k+h>CA7lISPgFg?l&fP8YQB@WRx@^(rg15Y6m`putowU
zCOHph%*;=YKT49H<01<OK%EK2C>m6S1p$ABfIN!EApWbB<B7*8Mxdo%bjA^6gv$uF
zT&KlIG=c#<x<e0A#i*8#M1x@Lzf6#=goMuBpGs_$tr^m{R{|>iN!s#}scSZPphPrs
zWvZ3OyOR@Oj3R<$(m(DpvI!i{Q!v+_z*<T7?bE}L86u{QO$#mCxmQ<tnOD20Xfb6$
zMXK(B)lXS&wQTMK1C6#V8Bt-$3qs+H;-vVB_AjQKMk{JEEX>JvNOz6D;!(D6ewl{d
zg)=ZpQQb`*X@+6GEz{n&g$}9~eOU!l*4(dEd7}ofHV!ZDo9Dy`AO?<}f6n5wBiuUp
z2wDq!n-N7jkB5c38wPsCHc+e%R{IySi{AR(+WYFK>zzgIYnqgP!2So4OsCN(Mwl^c
z2-6h~Ml}ku@PT-8ECFhhEkfxgaEXR2+=x@@g0Ov)w5JhT=`M?aObnSWxKhMWdm6Fu
zfW04)2al7LW4T65>$e@b7xH-%&ycpB!Lid?aK{T-Mwf~+4mp|JVo5^&KWAQy<pm!U
z(`ZVt7v-pf*+d3dsUAKXa@8=<3=4r;xpN+c^rsiPF%io%*l_;CKT@WVZs3+Wtz#sj
z7FiR>1HaEW={-lWu?9jtN=gfL=Q=*(Q76ea@qy8>=1^Dsql}fQ<dIVuBjr3MF+!<y
zE>{!nRad-}q2@Ph_t?I7>Ud-Sj)?oNn^tOQxjX@!_M@Uz?fNQpJbld?p3GxChoNP@
zFzYi7?l(a5WK*R>H7Ur_Uf?NrpY#2DqJY+cnPa1RIlZKS-r->}&yl`E_h?arSM&#r
zW&C-0&r2XGEo8omHKXgc(uyjL`6|PZx4kf4-r6}T_n6F{x)(!Fd`^qG0*)uT{?B^9
zP>!-PM>v){M<`2$V<@q*0tBlX1zflmFy}WJn}X@fz(7$IBx>U|1!7lY;DAJ+7$Da&
zUA@i6opZ(=#Tz;pMCj!MSYx162$&?Q6lv;a+G5@)&qU`Mh?lUg1f>?-@vGPm3%FCr
zpg8%wPMosK3g%n~ufV01=MRj9E`0tI=kBu`pZk8RaugvgZkiNv!CUpujcXj`9E*V-
zD`k8Hnj6rlQ8|Eg7?0omh^_(xGO|c6De0@tP&PDFzG)zEJ|h-GF#EW*n;>9wq5%}$
zSXv*#-wQ0zxkl80AAhEB9R59W+5Oi@q{WR$k8dEMFi+86$G!UFHPGrY%M6zvLD1&J
zBf#tE;Xm+ao;;MOr~;F6J9t_pYJKJub&f5(XvCJog?}Zx(<slrk$*w%-6B=xD=&%|
z9L&=sztct8q+TKV#UxoJ>J^cTIyy~@v{0LXTsbY5NDV3jO(C<qkYq@g*ZV0ZtuMqh
z?`_lp93MIPu=Vf($WvnfX5O~s!KO9u{IU#yYn%4F@dh`0PUXKA(zxNp5+s39kmcUi
zdIWocYIxV6mx)SQhi*%40?M)#ycAA-j$&FL#a9!cN8e4BAO>VcP>spP&1a`jD2HSc
z%d*WPQH;nSzi0&q2}B}>q;g0h4Vbtd-O^_UG@5VbCyTpAh>}3CWF|;hHzh;CNNYIh
zQ@H||l9nXh8edylPD74$=Prb(p?>dF*1%%KI6m0-iExRD1xOjidbll^6XvS09>lM7
z;u!x#X$K!gj#(G9t??sfN@fWU7WtX!qpeE0lu?{*cR7br|H_H38)0*8z6G({CXLrG
zV^hZ`Hbpu;@=9gqQQZ9GU7aW6LE^#-MjP>{vCUs0FhN`rqak3a3hNomm@^nxSNM$y
zewZ!ZF~XB*5;<r4(#j-yI`;ic^igHHIRiKH#DU>=GWmTbzSGG8E`UYGXdu3CfN7?d
z<l}4rkm=vQLK%Oh=oEeRfm?x9Z%|GzL&=C9o#1ou+K7tmfks-6K<p*cNvZ}Q7_Wb!
zJUWIa2)*Y5#nfD<7M;Lb%|Okn8SCE>BlJ5C?F>z!tT75zF^kz4YI_8P6Z@q#wSHWA
z<fl^9l=<K3DJSL+yA;3)n{b-J9|OeC-ZBMuc#tBB3E?iy#~H;JO8=GRF?IhLP-Z72
z|A#wBKnq<~2$gOFGb&lK>^OL7j-JL@kZowQ(pxMdfIe|zoVd#p`BA%BJ;5PxIlyty
zTgb_jDVa|V|0&=xTmgLlEyJ67v#~b+txF)zNHkJ!Y6fR8Kd`494D!UwpI7kjgO{!Y
z##o|mv_q0D^xlvJGKMDA{^4fm<nr|N$zsSOY^6;<^dn{yYCPLC9AqcC%rgOQblyGc
z`-(=?QCs;o97W`0)tr86Bqs=mVhVs+`ONEc>U+*6)DKr_9pnnWde{pUeVM8L@KU<5
za^1>xR8mVI*+NrE6>e2Z9uW}lnX99#j&eXWa0B&SZ6ll{9+q%j5)@&Y(&zr(I0Ers
z@Z7w&W)Ffk<BIifG&Sob9Kq~lNg=HMO%W<bn$;&El=QzW!m;X`;w(^UL$u@n!_LLj
zLVYYFGdkl^FF*TwuDl()qqdC@qX9;E%KfmIZvVZ1mamgK&uVPzRd`Q9GrhPeN8@Qa
zz6}Izq2~zcFOQ%#k9%`zI9~9C&h#jJon@T69u~O#GmmM~ow0GP?>(vi`am5C8<HB1
zVG;J3twC2uQ3RaWYEZdfDdFlQ?MXz8hF%;p8K`G7rnp-|0GkbY0@hiMxV1{X0La+S
z3+5kX8^#@vROM^MML-q~*JRnnE>oB(gI)}56qwIZszMT;YgMNkKS2#mREUg6!PKxA
z$Q@Ws2DuoO$uXi&>+x~CiUvMJGJ36dS*^H{YbNkIJ`Bh>jz>`)Y9&Fj+8fV7Ko&8=
zHnwnob#|-f=M=#ygS1$5_D%39y#IQ{b@+wZkGdG%$c$&zKI0xV2b^9se0-Ip<X*79
zwvKF!Y93}+GNZ1+yhHSwP0>4=DUn*%)hJ2F#p(>c<kvoLH0YffM%~zdK`;&Y*RzB|
z5#AS`L2q5_aP92Qw4ngBQF$lzoe6J0Ckl3UIFz~Ok8siEk}hlKs3_x?xBBwddF#4_
z*YYopHMGqp^aG+KJgGy|o?&)pa@w8V@0R@M0=(A>vft&8+N6UdX*89jgfZncyj6ih
zU?_YEL3bJmalyVW@SYll`p43m0bW0-eSraZjfsyHmbf+|ERup1k-K%L|6b#Ft{RF9
z{!=7NOzXiK%l`+wKtsRmxlh3%8GF0NMboHesGgdbEg1$p_~-T**jigAwL<eDyq<Jz
zF<iZ}hxv1#D1Dr$d`57OViy(7c@2Vlg@onR7*RKm(th~EZ~5srFPFAipKO%2)sSI<
z(snIp)y)^8MHujaIxJB$nB6uhO^7HUiiFV(g)6q*QIysjOJnJ}*dd~{49bOxf~l|*
z!HCjgmJSNIp=Q|Kx&|O4O1nq9D6O+N?1i@xYv2l$7A4JG66hzIY}FT8qO|ClB>~NN
zK%Zby*aje-uH#fUX_`tuuU2!ay5^{#bIPbtbZH~<FRt$7``MNio>XGEhp&YfXA-C<
z%@Fexa3x>ifL@9}VCdytM9*lQ9g<ezJx0<h>|LL<S_h0xu*<dTaNY8?=gOqjgHQa*
z5B~VFI<%w}6FtSdStqTwL?u}1s|^{VVhBGYP>VF<a}Pp%tze@%^js7WkNVUxX_be8
zV(3xojb-{nn*ygTq=k5C%x=M<tCKuY9s1}_Tx4BC7u8`N@U@3>uU9P8AsRbquR&&_
z>Tgr2L*xfV7Xz=FtQl&B&@I`<fue(NsW_1cD~weh2MPkE`kVd}31(!!k&gpy018z%
zT!?uliAe=I4phmT^s{Zv)oNQcv?Dj7`c#=Fu3a8Oc2h)ytjE)b>+2^t(c=~x*ME_P
zstewvfGz5A2?~N*tI71}p-_+&CVixs7j?zQHc&D7Pcl6U+e3w$gjC^#rQ&3d1$YaY
zJV0ovsPKaTqL^?zx5N3RA}<^d-CB?z7TQt?(&)=6)#3kV@7;rTOS8JL7Dr?-7eExG
zVmPrBFkK(M_e-h(O%F1{5a4vj0t@HRGu;h`ng05uyEDwBz=(+G{Gk~uA!<M-H6ns0
zl0vQ(gb+y(n8d^pLNcj9LIz|gNGcZ;!kFK0U7od`XYX(C{eI{DzP_-BYR<dAckO5I
zcVE`!w|;A_(BsmyD(Ug`a!U&b^oQ^YJLag;<^;V<UG0zh2x9OOsP!NJhoAA<FA24-
z+%)GR7*j3rtvBe8gSR|Wdgab{Xw;Yz+_Hqlc$#3)sL$59Cur2TSIs#J9m>zK(5O)@
z+)};ey>TLQ(5QE!|MRbwJf;Z-iyGFf{net@{X(*Ts(88JTMO8bmlU<`lGbk#?!|72
zj`Q^;ulUx%q6QI+D!5v+zB|sMCWE|^996;eEnWS_=h|^rmDr<sb;pNdJM)<NKV7ol
zYu5AV<2r|q?fb;_hXI4RiR)?Y1jPeLdRNdd*SpC6i`Lh-2#OY0cNdBlL>B1yYXAzm
z-c6}mdqGE*@AIHv|L5QRx!?8SW%8|hKdx$vn^b6Duy(C4$(Pv$2OZ<=K@U2{*@I5V
zL)S6R9&|Kr=y8lQpa6!dBB7WKW(i;S`JQ+@8QDjJ_Jx>UQ;#8-J!o8gqkSEb0fh<+
zFA_d1v#x%94u$r0WUq%tvY+-Px!*=Id(c7qk}8u%GT)s-(SrZpfl?|Dx=^&xf7b>R
z$4_rOXsgiWl*Ep&oo^5l_YfdHBN_MRJgYk-Y*tF5`CJ=biJRot6Nob5CP*&!-RL3T
zBa{y-K!c-OpY-@e@EqeFfxgC!Gg~Ar8yelHK~g1eaJ6nU-8IxiG(|Wn>D)6mjkUk{
zcn|*zr@iV5XY22#%el>p;N^1XUE%ury!BbC_?y|RHb(GmXw_yun8Ff6u6x^G4Y9*1
zzP38Z$yYzI1uSN^@~w_oVSn0C*EP<!iZza$>Y9Eq1gcm=w!=s@5D-EiNW0Cti%lW|
z0$Q^hhYUIO$D2b<%eOk?G;O}(V}<|h_>=E=Z)%DlhSm*Oaa?a;E|lne5RrOHE|Uj=
zi3bZ}$hbYIjA;FEaXrjo$B1oZ1ND_$_Qwi6C6_r?z|?r6Fo3T?_-)<w94qYbV1o<<
z!OgMhUug!+FC>}Lik(|Cqsp(BXV`uYW_GjL8v=BIENysJ#R^-@0SBbTr~>WlstCX!
zpmOvzuq>72)QcQdJcMedwo)y4HOy{G*A#OZx-Eb1ZR8!wFg~gDOWg=*4j0ZCE?j%&
zgFVtC9(xCSnd!i{M+csEi`t-SE{Qx(N#u9`JIg=w-vkDz-i+II_y40FX(TW$^+-(Z
zw3*x-m<~p;IWQfJU~}9v7{TVSXE1`T0@G5ygEF2r50itQQoVyLm2}0vGB6zu4Rc^R
z92(}p6!k#bys0-d%z^1}XqaygoeQDa_J)S}{tSeMlYIrX`sYsVIAUndgU5KMa*_1p
z`U5vVg#Q~pNZ%()b*oBoE9GLds&r26oEB~lrgi`^rq>?9Fs|+)AjW1Bcn84q(-B8g
zk*7Ks6XHQyJV&%fmT!$CbN2uI-+k=EzBUR?l?vE)u{$2om5$NFZnTTtagWdif~b>h
zS%{L{F-j$RZIed?L5v1gpvqZ@HkQE!(d}RGA9S&^+;s>_z-EF642%HygcV1+*r7Wd
za{35xP;F@y6tSWNY_{U=$P@_RtQ~4d6dnvg3E2D`w;L@n0sJL1CUTQMP44(fE-*q@
zmPFNqVw16?CU;B{)hH}7VWovn5=@d*?6!%4duVlJlGbxONeVRKKWMe&+%2rRIvW2W
zN#@%F0)+h{4Dwa5HAzZ9?dQ2#vOy2l1ba=<!6cb)&~dZTKDnp>vO13>DIvA3iQ-n?
zAzXO;uRi*<jfLvxr9pYCD3H)E){gNRqIbKf-&JP74F>=1lZn5L8;z!ct=7vY$V*Vy
zoL+D8`P6*3n$O1!hTO52pk&ptSJZYsVLn0$qr>?wGe!u^vT9}k5VFGfWIOh6n5}ww
z0^<_|@^m|3PyC3Yo&(M4WdX;<o#!vV^i6Lm#UIb#$L5o7e#^bmKTyg@ru2jmTRQC)
zwYGE)G|v-g{^LLW3qSSx2sF`l4RECgn!1IzcEhSZ*!t~qxmS$RzOu#_F1TB-G1CZw
zM^HbM&lZ9-crLid2w=f7L02}~T?o?N6+x|5WV7<6`6D4nbLWDX5kgl8ZU#IUg?mGg
zs>%h?upX`wtR33bTI0G9q>d_rdm%(pa4&r#;E2lQS>RXGA92AlCJN=6V3do;S_H)(
zaY+pRfXl#R12|_Oo|hDV#3kVYF4Sv6RjCp~;@|=xyCexN@G@X4kf>FUAW706aY-~%
z1aT2Z$F*!EN9}wui5j;}$?FYRQ(dI5qfnUG_@vT($Z^QTCzVFp&OWqsK(y79Z!Y&Q
z^cwkb(>`O!F9!_Sp6XLg`_vF`+VZVgVu<&z{@8ne^$R1!y9&GWDiutTZJECnIs{&t
z#DW-iVGh3qx6ncv9KwuS9mC!?4J2bjD1o!OmyN4|STSRFnBA*g1d!zGFeXwEk6C_f
z9stFH{-T|+Ar*GF=1@QivMdhzFGF$}yDQUcM`_uJ+q75^hQuP<_%$^xdy5H7JUCQu
zmspXpJIu4!_5dj7Ikb3bP0K!jRVhCRm%sf%HL1h{Yed1)&Nlz_npA>WPI5K(sDtcK
zy{j9vVN*E%A~dF}k3Oo<RXZBG*V8jqzfXaiXjuKL^Dw4HZMv7$<xs!cABMrdY(Mxp
zRD67zB2!BbvkB*3LZ?N2!bfU?xL{@=rn^n_ZcY#0UV6}U?1=Sk&Ep)kohNGh)OUT{
zAOFB9_4d}jB>=#TQ`&4mK)I+}YQf<QQ(8uif(x04n}OGiQep}&tK-pHb>Te&<IowT
z#FP<&lvr`Ik!iSj#f(v+%w8FceLVp(iVVU%JEpSU9`hx|#fU>^6!{3?TCrjD22kS}
zf)$F4U{-5u(LKPtmLw^(A4g{a&RY9`_TXnT$YMLK^j}O{wt->m<Wn)c_Mwf1kag4L
zFmN$;%?sX^;hoE1U%|6brp8qE)|_ZG7*qYs7d-g<9}S+Pc6;%(ne~1_R&Ggj1<GWx
zwlY%hLZzjyE(mX#$^>}Uk*+qwkPxK8b3riwN%$iK)D@({b3yCVOkl@n)y9fccrLgj
zPgU|a%e7^XLXZlt2x9glFgG^eTA6wZK`Oi=2$~~85D0<=u4%Inq{4GSWX;(3l<BCo
zrFlY76kd@$u1$@tIMt)RJ`z(E$<2~^MXrfxv6W;@<&tR7qOl5+Vb&uc9i8ULC6NUz
zAep7jTyj*27Imcx?+TcTQmXgrt||Jr8>E|uj*U-Kw5^_4-8IY!3f?`;w?SB#0jie+
zKxGf@h_;(^PcLEaY1%ECqnPQpXgMi8xLnYvfArJ;{l`q0`{08bN9R7+{KfIP4?>}s
zF!v!siM15x=HMhpF=ebGo+krJBS6SeOiWeWB9>@^lPZcSLlsY_WQ28Fr2b$JGxwpa
zIHh;y6ni#BrnZoYq34Fq|AoPuyM~>+Ygm+1X&(v=a6#95b<KTv&CmSs=Y1&B-%5J-
z^tVyqbFT$tg7MbovL69btm<c5M%Hb%(jq1c3XtOEK^w21lB{*Tq5vtrB1m@H@Mg{A
zMJ*^Q$OX4M*7dS(bJeMK5*6f!20$XY`~!x<X$snYC-O<6f=uv$h7htM(iKf>0!@GW
z<*_+B$U>kmLVJ!1r1+AH1@lCxbwX-g6XkJirQ^Be@rcBWNUnqsm#<`1KXq7d0B%7N
zP?F4Os3h~<Ic_(oPe#;-3S46`q@(BCv(?PMG?MBJGn8D7|90fsv_~3}I-UA99sgp#
zh$%Gr?Z}Zym{y%<zC(!P&}ELl2t6U{t28P8(O{vg`}Oam#kWP-S92jm8@Fm(SL_Fo
z<huubNqgN3)NT8ap(ov|FL@v4pzjmUESZR&m}utXQF&wnqP*;>zYn*YR8DN3i;t&o
z!>KXRWPoYX@~uBlU-WbS%4fZ26^1~w|85AJK!eo@8I#_GLE7TJ*;fq@YLGBRP2(%#
z71yR~M^KuTpd2gG5V+tVvx<hm1+AI{h5&$z!rHMS)r<@7FtHS-1#F<Bx4I2MJ_{~L
zrxuzEg6JL4u9$gHoc}F?V2Rqq)>u&tfiJn+VD_5eYVeoo2Vcp9GF9A9!m4>tK0LI`
z!a7N9stPjZ^5p=7Icq~zWf?g-qr$vO;pG$PlZn6etH)g9(o8~w>B6Y)q%G9yg|<NA
zcezh=U$7!hnq3Bcf+;=GQUEn0m^PLU*x^UBOGVO0O%Da8nn@Bo60ck@?mQ+v12SEi
zU}V}~X0^rf>DQh@w-#<kET@;p>B^{aNiR8^W?bEE)VM$xt=3K_Guzv9Q_6GN@_owl
zpS=71zxr4xPeoNa=sYx?C{J|m5)U<l?iv3L7{6!e1+S~2HjkDu%^>MWldrsDM&}FO
zk3km3(y=w5w=+7wJuW%zgL%tkXWj;tryrWERd~_JGVVE`tVYPGJYA4I1w_+f;+gem
zi9=^}-pXWHgt6@6<9T>Vq!3oTqV=&WbRHOk<q$BpvOP;to_?C>Jzz-$bSqa9X`E&?
zyd=>1(umR}&!=Wp2V2`R-8hwVl$9ethcb*$=aNzFKM2ofT|2|`uV8q7sw-+Q#fY-*
z)283_`XBw(j~&&f+6)A_PIL%YSJYp_CfB*$vA+leq5HJBD+DWTy5_7(<~l))P**er
zvo_tXQTr!?X!qS_2eUTCyhy95>rp>ho1%^nRR@}xv{{sK=&Vh*`;Doo^~UPtLa@@N
z+Z6_6Q9o~1=BbBZo$G``)2!&Wn~<%v=^k|hy5??;e(;Un&AHCPUS)I1T0>9Lk)okg
z?LBk`Q`Tw~ohmG^xwfrq4Y6-m4&@r3hSZ~jxc)D|+}Ra9qbtf}FnVvD?rhrYGNQja
z*~^<(kKg>~e)1!KA-HeqwRR%)s90JHD?A67bT&&Q8Wl_EcqlKmeP#4|7X&5;tthA*
zxN41Hj?}I7EQ1krMr;Igq>grKiU^YLptxxqI!Ef(ryHeBaJdw+4Z$3#W11YDO{swr
zeqR37IZ`i;th%wXwY>75eNe9*p%F;&s><Tnq8?omaDu}GOG|>e<e8M7YQ!tO!X7Vg
zigubJqet#*U#q$eHASJbFZZTNLj&>{iFoeH&i{qdoB402#qSc6r1+bjOd_7kpoi0y
zo;|QPrSzsP-{%v4>Zg6m-}vBf8);NKSOG+(XQXY^a}HDh@k+X2>E46*5Iyqf@<eeB
zm7WU@R^d?T6~Un*94fscI8=i}rRRb$R9BbFQ0W!HtE*+2Mzx6PS)Gb9CA8J3>uMmG
z`vIY^s*VRm_DOO|ae+=%diNeU)_4!7BQ=I<oqJbtef?`k9Ut5)4O02BJB-_RaKA59
z7UZ|y@bn&&Hm($Hmj`Hv8rm#AxtRYO-}HT=)Q}d$wWSwr_v?a8N@+y`M5H_NB0bL+
zJLEpa?xB~W`P;S#jCiiXy4VBi7AuZg*lx90dZI6|&`P}h?r;pOnI7p247=C3fL2vK
zgLL%tOkW^ZXswblPQHDefT+fAXMPb!2WW_{^XitQHPcglfg)y>{oz?%t(%6uPdqLl
zs$WmbRYDTfQ;pkVP08}RB#N>vSBY3pjlN5s_*7q(r~0ybs<EZ*CgnNt$A|yQ6Nj(;
zspdzk<>kjKo@#v6eX99KFEQ&r)i||tKGkn(bB<x$JEJ<pCC^y3Sg!!r(Q2da#R0JU
zq+T3Sca^|x^x~)pA|q2&(SCQ0XEFNy9!~4myOvoZu|N6;Gies!JOrv<AhI3STpIkw
z=6Z8Mzq_2ydUt_0BQM7nH(~v2oNrD}um^CJ`-DKdLrxBCaK1S?vEE;7s^kO&s{Lg<
zPI$Ar*yLU)>*K|y`uz~7_vd!nALpBUv22zXn<_c6q4rBZShiz-T(G>HBqPE(TFcdn
zT*W3?{5yBCg?LfvKj@c?O==;b|6mhKZF1`3E*9J5kpPsysrl;sK5bUf;ZygYc}B+5
zUOxTg^HLY})YET$@*Nkrw)nqgIAKN5=gp4El?D3--g4>7r-=dCaW0=mPm|6uuG+Os
z%jG(pmwRV$Oz!>5x8Z2^`<}gj8C_CzKmSQsB!9)-%2(B1JW(lhj8&hzeD1~PF7Llt
zevkaL@{_JCiCo~^aSh}LOuj4hOp99UT(P(-{c`1m`z|ea;aS@~(OWd_u5ecwT&#}`
zH^4%DrQa1HC49-h^;16=odlG0<WPrGkd8YJQ$Rm;Op=sIw3%2WdO}GNc!>eXiXfYv
z!I{s3^46MQOakJ2-w4Y4Y=Sl;Lb`i*CgRXV@^eH7IAY6G;@Vv;ADSLg5!8Bma-ZO>
zH-{Fb?T0>~D~rN0tQM(%4E-Zt5ptM<hC^fKuw?4;p#=$c$s@)IOY#q0<s<`FN#^d}
zTQK7!`-g&??sOs1?RJ_w^j1^W*H*{2Rclsv2fbr}L$hNz@|w*L5+Byj^RU9WP5J3t
zI>_};*F>~mBxMisYOcH7xO{^!h>ndJAc^dvVo`QDdeHd}9rp{6{CJ*+y*0Z@lGQDO
zTdck|5cbY5s_qeIBg;Jkyk8%E)Zf$yrElm&c$1@?H(dnIrFx&m_bi^Lf5mR+?yc%h
z@G$#g9sRNsNnPP_s&wC|2|Xdlm*hzHr+i$VQlh`Pj`n|@wi_;#x}?d4>d+;`M@>7R
z0bAvBjg5zEUD#9G$vvzKE93Ce`NJiwTsZioP!i#D%XYX>5)Y+Kd8vz6gR2FFdpf>k
zZ%P<Z@qgUVX9N0WFT4;^S6cC~;a9r^;Ski$R=*W4o{^d3@(5LD+P`mcjm#dGeFtsv
zlW$M1PUQt@pSj{T^fa0iKj+Nt=u~&c#jk$2-y-@PFU2iNZjye41uK`iI@9hgN`8`l
z%=^MMz1mT|+8dp6i%uyC`sL64&wu^HBKNITG|nWlni>!my*=*QGb~YVBQ{m56&}T?
zE24AAefQK3iN{j}OCMcKhk{AXl|JI}xS(2T5QLVPpVbJ8K{dhB&KzEc<xS!o#h&_#
z-X|QT1=3|MA6m?5S&^;zn9>HFNg<(FQLL#6B2Ps|JOnYwu{}ricw7>NOYkI^9t1t9
z`89%b`X#seW`=%jIg~HZWDBi!%Q_A@*qI2H`u!EKP-A07ws-W1uF}s*cgyYnHjB!p
zf9L=9$;96%l?-o-0nLe>Hvob>iI_Phv7>MVXFVy}ppUbl6J`4M^!eWQj9;NgUE^On
z(>-l@YUFHC82<grrypMXgGm{RWopB}i0aTMj=72)VdMbgxLR?{5#mJDNw)5IfB1LG
zAXuu8eCQlqq~T7ub&X&SF2Jw>NfHVPr9_f=$t&jA;t;ctw2(3jR-wg4w5F6CO&#up
zG#%AuUQ(5zg;g=!3BhIibE@Jb^!CF5K?$o4(vhNCGv6I>0JPud0o2p>T2|p7>;&mo
z3}`v3uQ|^uJV%k0Ud_$AsR<iZ+Ei?!=2X*OK||6nEhFz5lmDs~&T&+)avf6Ex^`ay
zQK;Yeq|$wbLwbyfT|Zj|UVN*03ItT`Nka#xOo4FZpq&DNTo_)zTZ^wM0JM&hT>Vo&
zJEk;ZDf(3TZ~uq?!>@dF^siBy(E7E5LmIMQJ2-nG`?c0@n0)p{$HB{f?clJ0?AH#=
z3V7o2?APvgN9)4{22tx<X1^A*V09wxZUb%r^jSE-52>Y+{_0Utp*!SL)?P*VH8Mpd
zxv>4gaig0XM+5Y+jH8*0-K$)t3=T*@PBTbA0-gYJ)Q}e-2b(B6O8#W(=H{rGe#_)n
z625Wwa>jgaubJibT=cn_<$0P}e(2x->>v7IvW>lA4(ek$AqUY51{i6@s5=i@?_gUg
z%Z0{it$W-b=FBr}VO!qFsRmbsIr9wL%<nz9seyY7{%_+|G@F!4EIErvkbfu+z+69e
z#`-Z}NGWE9k;m2D1>pruKSVvZ7KzMO{}!$OoauS$UPDQ`y-d#+zu_zY{#Sqa2&26W
zt_5|_T7fzdRjs~lfzd83Sb$=il?A#XNUQIHF}N0K6fK7gK`H_lED0P$<>E&Kvs-J%
zU9ez$drZry=h04zUlpIK+x2K%X~P$E!zSik)*VZGY=>JJ{@Z*loB8{Pk%_grN;e6T
zEY{&7voR5oRRNs9wULMifV#}&Nz4bWxRVO7R`Qwb*eM?b9K(r6r7?tpscC;c9w|VS
z&KE%(U}es(+F#(1v_H4g{y5*vodqEWjWY7_1tm%Qb367YG!k2KZA!E%J&aDbMfRkQ
zz*e0E$83vk&lXL)MJ=*8N1*44K>xkp|A&6tXG8?5rLT_8S|Kbudt*`^@-ATV8BS?m
zA#fr(>w*XLmlMVzGBs8Y5`t7VMR2`hOe@{R!8|1L8G^J$E(q(k!J(zQxMkl%km{!h
zqIMswNVel%3W+eUNIm0%$L*SZr;zzyp=h)@G*yrbqCgZ$Ca&1ijD_0C1^39qDVJcw
z(v|wh2ngqr+YS0i>965f!7MpyFx3_s+mCift!Jv2vbqxe`$@)9ckwb3+q%`Fc+Wod
z#C(lWR4bRQisT29RcXx_bjL|%tnKdvGgg&QNV!smU2DVj(EXjnY$r1eKHxH&Q6cE1
z<2Qso@e;z03acQh60iwU!s(XO9^u)NmRYYuRSZ+eVP_vFJM9+Dmh@&?djGvo`H^3K
zA}SWtt#QhVM@)N+)qwFq*#H|<i2<;$%#ylb3jY??E;|HsRJTKC7T7(k$hoP_iaDy=
zFE`+JP_SpDAuXvNda&}Dmed7PoJa#mYZm87o#=va8aOweMa3YHG=j9GMNn%7Ac)J<
zt|*qYNWuV!Ux1PU8~qW-7A)5#ZNw8N1!71_b8yj>MRL7hp9`Mm(wd&9HECsB621mU
znNU*OBIjQ&QI<<ui3+L<;2Nl-lh&;6&juaXxF)7u+RQ4Koa`H@<qn>q1gi4K2UZ9G
zA3?Xr)50p(H>p++(@!+iz52K-x>tYm+bNNGC+c>e!SP}L7t}*UqPdC?iP2#buKCSm
zbAf%HVskrnuEN1jPKwlZW}D5&DU39rSCk&c@xih`t>yqePcGX$D`Vv@IVt;`Do!sq
zVB_>HQ^nQh1bzvlZ-299_u}R2UYIr-xka;kaWn44M||}2Kk)t0`$=y`*S(NBN7#7q
zI7!dUb}1V$Baw<xM4dn_nB5DM<gK;d50e1f4iDLUp$9y;PBe+AcWec-dx7=XpAHrg
z#SHDCvwLCPq_85|&$XUS(m-hUyuj>6Sy5*X<X@fLi=z$zz@eA>eS3K-_X0N98(VM&
zOTIpnjd6jT2R^vnQ`^`fjjA!V!aLh|R;uvA7{(_Ru);grP-)q?bdHv7S$yxO;Qz*Y
zeV-`R1-vsyYYx{>a~*CbT%V?_JHYn@b4>+5(OkE-fXx2C{)wOa$Da?tQTsx<9<we{
z$Z4%u@PjFZXcVWEaQn)_Bp2MRfznYBs>HHnAxJ&ug3-fnhcT-1LWdyrSP@(?^5BXn
z*VZ>b1gXc0AV{84hK-VGprgXtg{D$A3H4YJTrIR17}eO4CCjbpsK;Coc^dv|z^n)b
zl;{(OrXDMTm{lu0cvNGf0KPu7=rNZ>%tM@&J?xg92KEvr70DGw*;9WXw+9=j?gt;$
zcvK3aEL7=CVa%(f7a4P!dRZjYj;kd9H@=Ba{+<6D-^3?9*x4BJJs9QO!|wd0PN!p(
z_P~yKs2!y@XZvn1+c)hNwT5HP_MIo&_t!rBC!RWKc)B&8GnTL!U)uuV#7l?AhNr{s
zi8;rdD&vX7iZs4uMF>cuaddb(Qj)NMNMwu+Pd}g&H_h7E@N~pE91+YKV^kxHgAnIP
z<Ll>GdVpilyNr5?q7AS}rL}_B7z6DUlgj*{hD5QX@=Z+=BbGUkhBd}Mkj5oPjjtLv
z!~8A-`;)&vY>ly7RXs>)Dd(9&F|m3eeCNXcZy4bC<lp(f@lD?+N+m+51APAPTw{DA
zHO8kKUVB(iHM~=!jcLobMuXAD@A#R&`HR06(MCUNGP!x|V8SD#CUEqTK!Dr5U#(FJ
zmf?dZ9?z)BOV~UXZa#V`5U|1TZ#%ta)C2{|+KlvoNq?<ijhdXJc`TGDJI+`Di!e;a
zvq%D^lQEOrwoC3%$tOt}fH&yqlrQ?I5~ZRM1*D43BADi{{X9ffe;K;5zj#;Khwq!D
z3p#ug=Xr^qO0G!#-##@Of1^}Vs6CO)%&<Q{!``0I4#WOTgnL{5RP8&5J+~M3OuI#`
z1)Ia3^MpO`eDD|EIzBf8^B56(0QfsDEc@>On35cA3+UWNV~_1}X~T3wFk_DJqtqaw
zpF2_>G)N&>VUEi+TP4bvNlUVXV8$GeXsRgj5n2&R?j%Er8FNJ6aCV00+ziYp#-X!d
z#vBou5lSQx69{1=m@&s4&`?`(Wvv(E9Ba(c$AutkBb3d2><V)<^cDwSEb+VYSx&Op
zY8NBq5j$6mcJ;x{W7k@TIrR>QBIEPk!*<3e6?iEUEvd4A?0mMOWC7#F`pz53wjXBu
zx1<PUYEGf&D~Tc<P&fyP<1kW=-r-n)C~y+T0_VF;KlmovE1e$t*h_G#@tqp_Ok2J+
z91MN_&d1#Ql<$s&v62Hj%x=ugb-=|ss~L;1Wp*Ez$m&v|^bDM&(zD&j++t5&61GQX
zscl!<C1VTbnkHl8&{TT9BC;VwX2`?V4!Tz{ySK+RQ#TaUIpbN7N-tJiQr`;PVg*id
zXevDyJYbNp{1^mulH<uMiqdn*hnWqj>f|<<-J$Esc!2K6iz^znx(4-sLmkE^)vo>1
zARV;ve*xaisp8W#;LW6p?Ge5y4K{80J`MJ_|K^|hr|%5#Mo}QwV1^0NbznnkwxwK3
zQ|1iEN@ajyti}T+_Z@zlcw@E(R2?;#uekSi)X+CJX)y#Vv>FJoW`N-`Dw8Dyslj|j
zOvoX%6Ng@+uUvCzx@|6KP8OBt&eFB9A~l!`g2-YmhM;X#d4^y{tD#ysx1D`1(O0}V
zbd6Sn;G+OI!0Ptb)M)im>Rq5d(cY-OlA~^$>pImG;kxPe(#WYnR_%4-Lg9o$d--(4
zG*D&P1Fp<2+xgSPse@5^i-EPsAT;k_G{N5z9;&@Xrz*o4OSwHPW!f!j4a$tAoCiz!
zg@5V0U-+H~EA(cxM!9NJ-I}M$C`Sre2RdZyonB{lMYV}&%f+Bkjs}4}-KVorwz&_m
zwflWz#p-r`Hl7}#4+nuZCNvvm;2zBSfK<D1GFrb;-Xo+DuIwJp%b-y{EVgF8aqPO4
z;K`<zrgX^6>UWmpc#x@C^34Mbf@ln{hXVd@^R;aHcmD5nWnw?BlqjekPx!xcT=50~
zF()A_HxpM()6*R`)&xsU-R`dNh^21L0Fja1C;uyter;6h^{cQduFz~^yv+S7Y%H!Q
zESNQm00{@jid9_UEEqI)8ICIo3uYv)C`nWV0XDZj4xLrlehDaA!iB>Iy#M+fb6ioX
z>UP#bF8`P+uE2~U3{qqSBE^06l671Gx(p63Ku_la$unvv4VBH&_A1#lPDT1S-yO91
z-z#{uI$A|U{BrGw(qZdhL~Q%hb+%B4t+PRAfaW5}d`v%2lw>kO#BblBH9#wwBR^dD
zgGOI_aDghxlNG(HYm2241E}cZ37TF-db8TD$^Bmy?WY9ksTfku9qbPsQ&P^&SLMH<
z^ZPzgVmOyAReu3kD*&AUYnc&R7v-TgK6YT;TQz*}rmOfKc5;v)>T&)nR54ba3s9Q}
z3?W)2$aYjA3V<J*dU;9<9^&oDU~NHBdn3v#?@UnCb4XR@A6DaiWGax)KC0&*z9gLk
zOkbU7OXra4JR#M)|G?&n(}rDWNlbqi*gWh)3OVxN1qrEqmcwSDy$CogM^nGCqWB{w
zxLxg#Lk4`gU#}(h$}37pWrCRAr%9qcM(NQoW<jxbzT)Iz7t56q>0m+I6~!O16|Gnh
zjJw04JC{&cxqgzXHRnr%P=>l4x?%Yw)gN)mBdj)mH%3AW8e2({q~@J>;RK;cgRIF0
zNX?q!kN7XwWEPGNN35M$qW*|WVjdwtWBek_5bT_1zCQ;{cZBai$yGBDa@2PyW>yXJ
zl+vASq1E<hH=);%tC+h8wso!kLVB7uF3>h|jiZkX)MM=J7~wvQI}L4?d~O{euXu7A
zxE)@?JnX{S6En1Y_pklV|Mi8KTco)!N5>*pJTd8xX=mizFmJRoimt6;DJx%bdeR*|
zMr0}v>MW3wqC*!e^1k|tHua3?ST{RQRqldN^l0fK;oVAR^5hliZn_}G-(nJv#6p-$
z+Z;M4-3@PJ(6p3cw0XrU>3&=wx|1chsMc(j6jkmA->o=<Rt`>PcKy30v~<Zqwt<9}
zMRJI4Aga7bV#E<x7QC1`3O9bysDf4<m&gI4%k;02Ce!U8DC_nV3{NHdILh+Pr7P_?
zEU5$81{4z#T2?+yk<nxH69znwmVmvsvzv5kO97pZ>rJW(0Z49(f-oL4FVYU32#}sa
z=r*=t$qiWvtW_OZJF{cy6{P(o2bBUcjM1n#S~|onoiH7=w7;~TOZ%gF+bN@H1&oO0
z^zxK|4x86%@NJLvNVITmU~k&;eZb!RPx@<r`&%P7p`=@{EjJ1-97J!y1@}+&kbPy8
zCl@T8wGOM*U<<D3tOX5MH;ZVhJvIxHE!my}&A3Dh#i6Nv{m__MZJnr4a?$pzqV{#c
zXhe0OO`%?k6{&q)F#1qI@3)7h_H{uN^=TWb1D;CNslMWAx=IyG<4}ecc`)99YO(^k
z-LV9&kNjUG9PlvW3I-KZ^8887D0msKxpFnr4tQCr_geABYKN>B@aES2tv0tz<;3|S
zgoz&v;0fm!ou)_hs@UeFmn4=7y(C&!Gxsv-l3)8ncvp3@emfn6vfXb=XH8qaPiMXN
zJ>T`VRgj!?p;l*Y_Y3x{h2j`#1`m&kg`^_bX|6-tVki3Oeu;-m1i?9K6$gV$7lcMb
z%+D3Kt4<f5N@r=~4AhgM$*tn&wg&WS)>+Z6X9q6nkj^UYdH__^s_2QwE1l)tdeHPp
zrc#C$9MxG=GU|l{87XP0rV)L|v5`N-|2s)#l|w(+<q+2Ey!5Q_Rp+4GF^<{yi4rMC
z+CxQ}Rn{3*7AC21dYV7)9G3=ezUwFwU9Z)1*Va2#Y0YG$+an`QyG5<ypON$PAm{J-
zhG(CBB#ft~F&u93B<vvZH|L7?Lww#$MoP0q*D`oIC%<zhe~_k2<LT!(NYfSM+_Tg}
zEZv;RM+;jkhB%$1TMQH0NyKOEG%wahefx0_Ugb`0A<IMN|E@A{V=}QHB~z-{J$L^X
z9{fC6v>Hq^QpzPLT=L&#bZGeRX;`TE?*o%X=Oi+5l4qXg6~+JY<8cuNUlPTaa}xRT
zxr?|8&s{$E;&Yex-;C>Z$qRRhOLnK8UH#(oPhP%o|Hb>yUEY%~cx9I&C>NO@v157v
zMaJ{-{rk#&(PiXrm3=Wr>}^=?$>+tSy<|hhe)#|TzTGcH=0W|EHjWJJ3$c5PE7261
zI|HD43+5T3K4eotK&^4597kf>3aV}>xC9#C2<A94#+0FfQVT#;aU}ZPBb&((cH2R!
zavZruvRTl7wEM7OGj{q2I5YOOT}yvhM5afS2sY=K<4B})HA?_ND@lwMMd$hTIp9|-
zgBJ}J+Y2e^Mv+9=EDfj-n|7c)!G&BB-?O14=);ovt*?32>4XVkA&c?f_F7i$=dG3r
zB&G$Z#?4O`kjam}UtlZ%kA7HeR_z@Z9JxsD8I6l1ACi2YqtUiH1QuxzG^-NRO{<;U
zjoxgA2FW=AZGRc+zM>*Go7w0%AFeQZ(i+Xc8GvWVS|l{BwZ@&a1c3ZoO-q1RR#jpn
zEm2L_0r9NnnQ=S(g%!>hx~9N~?0#QWqC=qGpWCrNczM+(-{CUh2C;a0`K2E{u4csI
zNa0+E#b!_c6*l9kr{DVIJIsQA*$Xd()CB*AmdO=w>{yv3U%rhanR5Q+kNbt+{rWLB
zb86Mst+S|NprJa8{upSrJG9i+90To-7#c=(0VQpncP;9Hn$9uMcE?F4)PIY$5MFXr
zRmDID0*qXd-77=^#fnu7v_cT1b>3{*!5i;_qne7!N43?x90fhN2SRP5nC?x;W!O^Q
zVj`{nz`N>U>8?uRAJ3O=ovxG=V704tVJUeK5!Rc*f|ZNIik;QLMcQHHizeFrlKYHp
zjbtyjD+H#zivYJfMX{PNF-hd#EX@x-d|N)7+1?kh?^udVD46_~VxQUG7l59ZFrm^b
zz(&%f^Gwh01+1}^+M=IHee~%M(Pi}zVXJ+JE+;=kkZiN{{FB)`EZu#G_{ST3h~6|{
z+S)|b%%&PPjgq1dO9xX1%NUk&EgbCR8mz?jMWS%Mx>#q%0xT(9>9hj`D$^?4alrNF
z0<TXx;PL{mXx?9pKsq3|)Bd{)yi{rb^~Jh!4c5)?m+iDa&NsUT>+Qw5at+pvYarXP
zf6HhWb5M{8y;@}xe#H6!U9kbVij!-O7i)M5zPZM?NF*T=TJYQMW^y|2t}7p;vieWm
zf99DwI(2id5x>7ZcZ#4#qF2aGUY+j3l-08wU5fwu4}asI{09N6Y7ZKZC9NY(^yo()
zd@B{QuZ&0Gg8S8hEqL)1ZV(HJ6%Ii@JuY}~W-hvC%Ji??IEpH{2yPG|QO$!wD~Ha4
ze7=g{VGrW22p*+*WESLu<br6YwH7A@3=S)hvac+bEP`m|B~Hq5kJ(?%kKr@mg5XM9
z^Dj`7TS18>UGliy*n9v~W+)FkjxDjIOQM*GL~Q(SG`nErm4A+yOqbm5WKF!e7%HG~
zUs{t6pG)r6Ao$S?AJJt;4Yo^8GH)qByQ*tu5_xsSa8RZE-y0VIkmtUcr%~Gkd<ea*
zWpkmKCBi(t*2o^+(0aXL6k)t7N}DsLx0f-Uc8l6bcg~oeCu90A|LMQ=TfgqZA9>`?
z>mFlPMsG&Pv@aIyIsl6eVohs3vhmn%IK~=+W34dZMJa&^JZ)p>xRp_gq-`O<T(ba~
zhhBE(^kmb%2ZLRh?3hqyt=VG5Y}&*9*e_w@1^uB@2d%9zu^$?(@D}(nz)I2et+s?3
z4ZENX2V*ZhmNqwLm<-|~xjrn^QNc_BBF~k<jvIhI;K>L(9=$@1xD`LAGVP$pvb84+
zHfD*przz2}A06#SQQ*V~d%*&s=IGh;+QF}oqhk<(K<U+aX4lJ@Xjl_%GdjO0-=I>J
zgb7X3n5$Z|x<mUde><)x=EQa!AbRlS7oi}_&J&S-`-`&sWhr``CqVV~9tk_q*W}n-
z^${^ZzP?J693TaggFL3&R(;(wc2&POs(#gGqkuw}8P68)iC^XabP+`Q_Th!Z2a`XY
zOo`RK`eq~rXw>(KXO);{?h*OFh^iV6z4m2F5oM>Sx<!|fPOd`8F?6|!nTal+QU$Fs
zc7(7Dp%LN+xfKF+2+i$qW!6ISPx~XRtoocnpx&R`vA@(5q)mZmi)VzZ1?_lio>3KR
z_D8Wa)&7Q#I9{z)XRx_=-TQy&XTu^X3fFRYqM^{@VEMLy>ni+u#qPw^c}UQywhuvp
zE}Te%yr#bPFd%B<LNMWfm@Ky-kp^h<Mx)XIksJJ48=A5$9wCE_HrEY>pk$E=B=!iz
zyFGNquhH7i4h>~n3y!KLeV^O9p-?fa#OzsDx}|x`v)YN>PgOn5qO#ep+$R%%qx7Jn
zK~P=Q`bc-t^x8@&oths253We;fF>)XOY!1Bx@0@vOGGSHJ7Sn#aPsr<8l<Z&#%Z#C
zj36sDC0VX~zI-&&@o~OYvkE}1gIU9-yMtg5X*p3!Ma|%1Aw_q(nz46iL=VgX*z_U6
z;I?Q_2uB5<zkm6qZ+i00Z@E`SMGI+YN<R)!)zfZKtE%S!>^uS35B`zg^9?^1JRS8y
zy8)QbA^>!%j1_ZN8*DWOrJ`7i79u;@*~35V*NBoBSD_zL3rA)LKPIXImu$nO?BB;k
zRq#PH*B0kkx%XwFDxxk;poL)N-6Kj7lZ+M?GFTzcOUTYWdqGI*5a?z%1gZkC1>sK#
zmbw?R!dAC{b5)X~QpI}5SyllUuvexIC9JZ>3`sJF$2Mgffz1M)x|+Kq=EQacdjYMa
z<UEf@^G4E|`ToR^SW>UrHS-NZg43qNZr4pvH|jlvp+7ya$!1-{lZOgt@^W!nD3Z~&
zciqtE+CfZ9&50lewivS#l21QVboh!V??fm&$(`spD>+-nI%;UDlUqsIJ8`Oaaq~gU
zBfsf`cmKuLj=*Y&NREnap{arr=i(*LdIRBu;cpsrlCV@Dt6Hl&thPQFX)gq`)(BV)
zoEc@pgkV-00jpuSWqXdbR*$Tn^?isHvsMqZ4BDLrC7Y<M)%OJ~L-L9yDhpN_vjEF5
zph4rJICiDg11#Hv$ALBTm8`US0n1=hq%BY-neR>k%aAd%j`Qj0`St`@wo%FI7v=jC
zU>QtB3s*_tV25}VorVl7Ls;68%y$SmKnKef0(@3S&$lSRG61@Ruv&m+AfDDYbJV7I
zM5=nbt}M~-y8SF9{L?RU>M-oULzxy`7~Ki&!wV%2mOotHh>>xl(*6siOk%ENWI(Hg
z!xq4kAVXQ_0@(s#H+Ym_hg4<cfWB%a&FxUq(AhxN(*9^yQIRb~spkXo`AYkX8c+KJ
zxvN6ZmYpKou|JWvOf~^|<0Pa_iY{Y0y*wVmzV0!>+5FYKOIR+|WHy`F_cmd*IpH`b
z9PdB-bSXwb%?~k=S7BYsw=KW@ixRx6uVWkUVmvs=G>lGR2-{dP+urShz1k_*Go&w@
z-YVhP8vbl~Q@pzri<a$v<r!_)Y~sYueW?CuT#0OVtAt}Kn9XkRoe+}O#<Wg2qRPYg
zPG~jLvClc)Jru$dIwW_zgDT-z7*9Y1IzALhg6?tb3grp2)CUue!FfXD+gUT;p2AOp
zap|T|>-%H;r0oJtaayl1-=HmeT1W*8SYcA!RQWsA9V!jBcMOpmPcz>l`01?4VFZ5^
zzqwj7d#`Y-;eiV(u(58MZhD@0yh=F2+d@W?k~?P4>!XWFD_8!2^OK|7h=Mr!ZF*bg
ze>uZAyIkrgVKP8}l-@%{cA|3c+_B<z1?+Es@^T*Q{8${o2mAh3(y;(VQ{KR0{6yVC
zMh%WZ@?ylR%5E2*+}aDO-6mu>Z`ExACpU9s={B8`U<Bp2D&K({MvWSN<_Jev61K_h
zlFO6auB5O*o5j^-NgEou3X8VhL^2pJ!m9`fc;lnr^n<S-g?EQ0$fm0YHVnuU&W35h
z*sM=MuR*Qk6~-y6(?R2uwdpHj)GTJ(g1RlLbSL3`C`hX|aa9U)2vnDfe2*~%jnb`v
z0N4(dM0jsCvZ2UpevLNMBohbl3)T$RVN^X+E2ZGJX_KZ(&%)u!)kRhoB0FDid~ImW
z_@v7{bjMu}cf0y`rp`hiSDELLu8=P)>g>&9tDpRl|I=4LHKtOhM-ZD8xPP#Bq%5}H
zS5~R#k07>@-`W7f%lbkIBCf0k1B2|I4h9C<I~|S-as)B#Mdt`&Kj=m02x8ca&IWJ5
ziyl>}s;G?dq8rA-yXFj((1G%)b#a@oWm6B^H}6EgA)*+&*`K=3bBOePY8+Zutp4v@
zi|_`3dFNB8s3%Vj6i{05bavs;0-Q%M@GA0PzyD{x@<0CAP`BER*ZFwXn-}HkTlibh
zqB~4LQdMSO89~7Xk1Nj1qu^Kz^Yw}zETdxY;TS9O2Kb6de^~k*4MM2EqnWny@mz3s
zJhDt2G`kfhT{MD(1B##wrV>FELp6d_w=RezJ_kauXxJxs*;n}0RJSg;TOFBtryyns
zH!Dgi%O#N_;*<wSV#<a>Cb6WXvWg`7rs&Vv_`Jd-Np%~ND>iw@r39{2|DI7LI?XSo
zlxnY<I;elk0D&m5R&%P@ZBYpIXoEe*6B^L60+r^Mf@AT%5*pA_1IPnBg~zAeJ_3GV
zS8gO(A{rO)C>>C?Q}_*Kmn(jmTd$(|PS%LeO4?s|i*bBrp-vfk)zTvQu9jvTtc!yx
zK3zT9vwTyT{%Om%95~XIfA2Sb=izl@>Jf^9Y%2~W_hfRstMqsLRXuD_bt38!t-%%e
z4ncaOF38$h)1wFDZ-ro`9uEu5x#OW1Ys0fckO980h=xqycd3@J$#@}{)uT2pg5Yis
z@<5}^vU)^^;DywiVA7wZ<zvOH9sz2wClZw&%tEtbr5<raV?bjVgtD??$x1yMuz|B&
zBZ<(inbji}B2J8>ulCmAJgu4U4jNIBut7z-$Lxx1YDN{QXq^Yq>8MexO<!TvQCAg(
zG+tn<PMfb~Qx9*BsBN65$*`Vktxhed?;CkO*TtUE7rjewldsLGGx+QQfBC7?-}%^6
z|LLf=31%i?Q0g>ba@BkI#N+veMK*_u1>WXn*}Sk%Jf795F*C(~MTK5|v$Y=4E*R1R
zn4K!PA$pZ7#uv&Wt5bB0LvJ~AbZcFq!M(4D<diGu?g*Yroua*+t5a*J9*55A6xvqX
z!R^q(tv$y|ouZSCRi5;?#FaWlV<o~QRFYkvSn$&Fd$!m2HdQf&lGN=hqIUh#n$GIR
zH90|j3Ui85ogyPAc3G=O56ye9&!z@t_BK1!fPBBhTm22@ZEn8phoAeH;FapUL1$0+
z^g+~AGtgb4b<NVMV(lvn#$6D^c4Xm1@W3IiU|5DA)uRi7Rf>cLlo>dgMqCd;aqw+O
zVGNsGql-ziBGqGAQPbmOdx2ly2-4ehK{#)q4&cz}Z7EJ<K1ZrY7fiz@SDiysJ-Xnw
z44Y)TnC8%;9$nHvWSk^?L}gFdSGbU(9$nIgTFY5VL$rLBq8>xi$4$c5Rjxr=lMi>1
zEaN6|pW16F&%H}Bu9rdy)L<ANB&}KBAAw&u8WQB=_P6I76eBD#`i=f`^^5WyvI%Lt
zN-K#6D#?6{fD!o!%QdV?vu3_Wh5x$W00gfjujOg07B)kIB5cw4jSD`}c&Md$_}rSF
zk^{iDRn5SB=p5VCZum?sy#Z>ezjHT4Ew$xO_1R}?>FrTVr`@6!Mw&}0&r?eIai4Jb
ztTb#@JM_A9<lU+fzi0xYZ;BtyuL}a&zj`<yog)_nXl2$HSpWt1?JIZ*G`=o)SO762
zd;}~PeZ?7Mh9GTk5oF^N6<l-%UD5OwL3D=^%nrpY);S<nq~&$NEu1G=@c=hUi9;bs
z!|Q^3@ArEwaA63Fb5tZ(>^X;D1OBVE@k^3S47=oZxz_vxS~XY?pCm~r&Lt6BqjxX@
zd%&dz?<L86ci`w~J3WjgwB|f6IqD!ZcK@n2b!w8w5*jK6#-n`bv|?o=*1qskrEeuM
ze6<%aGi`1lG3<|Fn;1S*p4gT@)eD$P%bB)(Yr&WZdCMpNz+e4^7`Ce171zGbWErea
z=lkt~iRsuaJE!(_!NIa~YTqI_RCZ47TLcTo8+uLSL;DK)oZ7btt`^p)lRcp&NC`n|
zUl$y#(Wdq-f>)PlBjC)moIU1DAaqA!uBR`Wgr>gcezjdmBK^3-L<zAvewNqNl&ZT<
zD$Z+E;1u9&ij1B~UwyZHbGi4b@O`cdkCWjs(j2HBJWeLJMlw`2W=h6t9G;rOv<<G&
zb*AEiOGD?By=@viBU_7XaXKKn%2XwE(zPY;&*vxbur{zmHX7OBbiz_lkoK4Dv_H-_
z_iILS`K0Wz5MpCFy*$nZzl%-el21=p*aLf0N^jcoeM;{yef^7X`MFSfih;M}m`GPF
zS2iFefO{%Eht8|)Z%x!_(iJ<@MoV&G?ahQ^MJhdC5pWQ@`I2sfXG&iF5Tw#`L30o_
zP246xsPtSA#XstDg6-GIy-?}7AaYWy;bL}b0spv8sqmpKf;!9=g6q}3J;yp-v0$Ey
zOJdBPda<^M<8fupGiGX4$ux{?(INVcWgxP?3JsIwUaGNostW52#DGsYYrL0(#<K_c
zrZnEP<@+?=_rCL6Uh~nTz2Jw+GP9eP{K4C1U!!lrnd>(P`P|vf8{~6WZr&iFyK?h}
z8Ql!B-64$L_I;I}`R)u?dRAU|>2BXw>6zW|;Y!c!hnG(Dy_KHX5g+ELXHR^Xqn_{4
zAV*y&cYZwwIO?eM%nbSAO3&&ZJw&A^4Uy{n(|a|kMa!8G`^&4g*i$^x@VC)RcBExS
z+f5b)j1|2T)tAWOMMNOm;SoP{H`#We3%bcx^v;oDP1axL9v|p?!6-85r4XpjU$*1?
zr4}h|^4%`<PW0GFS^>qLxVqcm#y6WlM8rKjmAo{~UGMaioC<+VTfWaWKKFfp>V5A>
zt!pJI?huIO1^{h((tWd)D#4sCm1}Rg^hmmc6xwD>d6|7haG*G*5>EbMZ9TZ{D+8ci
z&<0;JjZc8N&c9Oi7C}@nYWXN0j5_^lt!w=p6<1~&A3#jAqPz%2P}=E15Cf}QLF!#U
zG}`WQk|r|LASbnl7Tf5OsK?Zf@8B>A_?<6gm7U*i;ExENjz(LZj6e0RuX$JjAeW<K
z_P2KEv#%Io<hz5W@M~OjoF@R5j%2<)hm}zJnIGTT7@M@Fygz=CR)?+d+72Kbw4?}7
zf#N)O3$lKBbTpJ7%+BL`a6sfdi5S)Q9Oyu-xd%9};QL|G<lOPw^F2E57uL9J(H?yg
zq~z8qER~Kw)4w-muD|h2(%kmx{B587jcarB*X{FU>)lj*Hf{Mn8}$4?_>8+B2t-Po
z`#K_Jt$yjAP$2^C0S`xk6#EKlPPCkLMc;40i5Js?5~o&Bl$;Bqd5I!Y;InFOGgcHG
z=YqS9YA#T!Y_LTLii$JA1coiGJ7NgZ`?sw}L2|Vg?>1B;H)IzD=PRzZHn|b=D;1H7
z6-B?9AdoFIwqc?cl>v&wg`nCXlf*1j5@=b`g31H|xsoJ(e?QB^8V420-OgJ0y5y+N
zR24W*i*@};y(+-d6ilipVQ&$jt9Yvx+cIKOUa%acLA$2N#4eN`Y{$a-soaibhTum4
zBthH03b<|tg13kD#uT2uP$SFtDZGFB?cee_j|3p4=h-SeQ0GZOfQyWZfcA~96ds1t
zhy%EVd#O0MzorVo+t#DjYJ`CK!dM?6m=)du<(bfoP!UiSntuzytne@hi7K>Tmt=*9
zbjsBeD6+x>bCU`WczI_6#i-8Vd#Ue!-I=qz_44H@y-0M2ZQE3{zwC305}6`%ilUk4
zMVyjp6gwC|fCgNV=@Tbb+dOSCTujrB`jUHRQ*Qz_Auwk!@1}G_M|9%;nE-i<1juWt
z@tFX59s=ZNf9AXXx#z+ZYLdrIp{0D#Pr+)D!N%{D(9#sTU^w6_xVhrn+E)k?==&Ez
zv_a4$^8!`5jUY{-3zni~3~TG;Z)pl$u++q%(6-YBp+6hFM%h_&XqrM_5p#c)gNV$z
zwYRjd@MGxvyCC4FrP@q53hj>(o#&Fr?V2+TVXs%<H8#f<b?A~&H*6gqqeaE29agK^
z_5H=L>6cCIuzZtkW7xp7Q(pmtELY~m+t!&qe_gdd8|`&*{x4eB%+CKSI2%1%-rKXh
zrfWu=qc(t=E$?|O?@xT*hgN?uEUyN5Zh1XqoF0U3j%d}vXcYyV%7}`Pu|nMn^wl%n
zFbAQ7(P|Zh4vj&~K`6%Uvg(0ctW5{UtAbE;=GOKaKoHv>lTo+9K)FWUu8x#P?I{hT
z+Mvje7mKN1L;^y$$4UTdt1A0ENMUYce#^lIO!Q=#SevU2<7hJfFf!fXVq)B3O8jbi
zD-zdQd!BZH^0>``h9Fsh`kIb^Y9l0^Z=Qz1Mo6bnVWn(01-JqeMSzPuI^m>Klh^hl
zkLc++h@A%_ie-B7`6n-5xc}n)=PvKbv|T<JoC@*k9T4%z7yY+C`_^c2pll2$Q54Qq
zAs8Z|Ul%oK0;>pmdsh^7Apb%ZvVCPitP4haR}h>vlM{k8r7jqiDR}wYL(`PHU=R#<
zs8(wZO;cI~S1~%vD(T|TG^H*W1Vc=&*Vr@!X-Zu%2!_%xi%I_w6jNFx%P0x-LQr}@
zlFa0_AQ)mep*Ai^k`;NajFP~T?KKxG<3|sIAvzdzB<bn6WQ>y7JL5eaJ>Q=~FvQ?4
ztBFjK`34n&A)tP+KI&&f>N5Q3K``8Gj6{*v%(o~Ah8Q5$xt{qRC4%8ba<KUx^%D&7
z40q<lT|qDe<wZ#;ah{Sk@?V7Z=$eMb<!T0lT+4-0PbnHKT;)lrcl2r}7~;L*|K7L&
z)IAdn&qy$2QKe+aPIbHNxu4yxG9>!WvroSLZ5KX78XGY`+6GKtKK<nLSBJ-EpF=(E
z7R?C8bkBG>X;k=f!SdbRC%yJ{7auV)vD6$8@{POGKp7vod5OA?#ljeu_EqC{p<gsp
z)L3!nAbJR9vwqls97)O&h&GZE$mTLz^}`0_RT0E=)z(kRZWlTsupI+d+ycsn`Ohn6
zn-1d6Q8@wImGm0Og4v{(K1^t6+BN>Ud>5UkbmkMLI}6V=yQNiK2XGelzrs8SFiu-{
zvl>)_5<YgeKzC9AGD@+HOIf*H`#rlM$}jH@8k3SuzmI#+U~zQLyIKf&A^&tRanc<}
z0}A0x9WfP5n-2QXujNn(vZ3C>c)KR^Dmtwe^zWAHD98_cGRQY2)e#4~^zTldN0s3m
zehz4+?~}nb19f<9A+Zz&XShZ{wt#Ek2%=APf=f`za0=Yf8G9weIMV?~I`P3WqqB1y
zAMWNzB?TX!z*kUtQNcZQoGQ2p0-)2SAI$C8zb&Me%M);olx>_2*Vr>W`wg?-z--ow
z&t2YsGe&b>K8+}vD9jx}Ws0Zt8xOAen790YfATY<|GD-Lak$31iJ<y?Lo)&z=W1m%
zS^Em^0R09RJfZ0l90wYv{aDi_?WitImt<b#l}(orx@#|`BN%;x2E;kiZ}4-pe&N)0
zDClbhsdb8=&XHh;Bp*v2E7EUp!96Bf%Ar9`uxaceC~%ESf}DV+k+AU?oo)<@BuTi&
zC6DNPE_EMZd!Ux5ZZ;);gG=r=m|G@mLbukxXOiU(<N4s>S}CQ4`fBC-%%~dpQzv#m
zl&SX6-v0$jVQ$)c#t{+V3KID9$C>~NI+8e7u-$|YdaVZNw*0AfeF9aH0ji(<$ntG0
z%Q)=afBBm~?OUUML(j9-r|dT*`gD&lLV;kvUbQ~mEsvNiM#YQ%ABsVTV7knYVpcAd
z07?TvLvFPn9W~nx|E^i120^raQ^+<}q(|n0z-g7G3}n62%Ps5E<7%Oi-QkFF&W)zZ
z`t*ncN(gvZB7$iID}8!gkdOu~xnTK9)_tQIO*Kk0H7seS6UkOnR83K06t<1?%w`Cc
zY4L_DEsHyV+B;5(pmT|vcOYzevA**LWnLxsU%MEG`>k~tX$PdHwpBivk4^H|C0wlh
zb)=Ki{&>%CwNnz#mvyV<2O~m2JQ0P^g2AWrm+f@^w6<we%19&LkSRQg|IDxbMY+q^
z2<^FrEW5iiL8&n9=ev(66^ee@3onG!gykPnXZ@~E|Exdq<6}PH9wbqzQr_d5x378j
z0WkuLyh`Y-1yxOcdzE+U8fJ&#4#GP<fF+~M62HQA<4sJH=RgEeZXI7J>#XC!>Yn$g
z`_hb1teACH)`FdWnptPT^QE6E4x991&+cc|Sv&Lw6*eg*wp(s54qf?x`^6e#!bQ8G
zuDQ8Bf*tt<Lc>uVjdnoeq*h_pJ|H?TnFqSDq-HvLb$3vetv?7xsI@499r@9Zm_woU
z#7m6rY0op;l+vP3Yvc1BpRBJ%Z(cT>9#y8s=eZ1CkLbm$nnO`0Ro~}?>S6k8R!nt2
za2?)Ox}ev$?!L?2=<;dsb8{-q=C~f8aijC&MoEvSmmA4FL6uyOH=7gm?#o-Pf9#un
z`j38Bw6oCny6cK2wXV2tXpXEn2DNqNiVkV(tTuq1uSCK0Y3r=k166<#YV}}N>);il
z=nty(h}z^<xurY*sCCkST0d?jzj2jXM<KVVbqoY*4_&GC1B{udb+-0wFJ!IOb*d2|
zjb#@uNRGy%_#VX$_&w0ojU#OBEL>S*>?B47sZp_#=eUiPS_eb{!hlF_t(#$z%=f1h
zW+MXVKrhnH!jF!jcM#y3hi*_Iz;2Ngf0dFLp4{4eX=mY*J4~!MGl^jrt@)`;FB(*<
z>BTUTc1`j6e9a@Kn@Y;)2=;4zHzymaWMG<}f@&NZE2T!|)lQg5fr$FX;g>6cBKzgk
zD%mfWmQ85~BtlL}9H<gc`vX$A)s9X$Uq1OBh^)}jsY=|SMN{>IWji7d;q|0VxjZEh
zLDq(juY?*b#FTbU_B^9OQ~Gw-Zoc9T*f%Y{{#}1D;u3AD;ReiFY&;s%l0bx_p2%&4
z<XT#H9s^wvx1lSBlB;YD%W21o-gNb_LPwo?MG1;azn>iq7;cY%DzzXDn6HSaWC75H
zO_8*EEuSL|m<uBLsvU&T$6w4%7Nh}lLDa5sJRK|p3CYblQaQRHdKR$*2qI;e#`edE
z0dq+k9e}tE=b-s#`wG`b446xz5Z)pKw4`PPlh0CdhH{1W?Cl{(mm0)MGT)ujWS6Hw
z(_Kl@zwo02wMVqbi@9A0CKi$pp~UH!_bTx0->X2Lhird2b$mcxl%Ja@A=wxkB2-TA
z!QPYKXpSAb>3QJ0_!D;-ZjOzNZSEBf^E-^-z?N1iUV^u$KrXFmAfvzPeJ83gn+7^V
zNj0`yJkh+_w8(Q37?&W?X@3ka&CR))GOr*n<iPQqrTw`b`-{($Hs#HAO%2>Tz4C}H
zaCP?uBf1MOXtlpiy@9?-0S8IK(rI5$J^j`v-+_GQwLv3$sYaIX1G>NH!T0{&&xn#K
ztzYw!sZu!$&k(o-_$LQ+y2e`_m9q+TyI`6A1{R9>n)a0`=OPGlj5xYDbD3+FSLE$-
zL2I7D0rWt7nnUwW6~PVY!%_)_K18h`Z*dVku8D}FWNO70fc1}|axQ`>l!8M_!M!Nw
ze2!GkE_hgN)N4lxve>RHD9YI-K{#T!TmG88L16aPozs+FQ6!gj&$jq`T`m>+L_Se0
z|EGG#n+=JG{mE5g-;9)I(cYE&WOV7e_}C%v_Fv@n=8CD)C`4kqlYXl`QDhXZRWW7H
z>4=KyXt25XcrHG^|LoIZ+FsoiQ(y7+uX@{i!;)%n=V6XR7X^Mpf(z-^jIq}#<4A3Q
z?b_ld8K(mbr2Rs{Zm}jE9#_`s7t~WJX$2YM4zs4h>GrHaVo<{3nC2WaP8V4fxc#kQ
z#_1xfvRRvfjC0Hw5SpJ_0%QZGadYSjr$Y}xOMq-wGQ>1Pe-%y_2@qg_Z6d2lzu1f(
z{BGea$C{(onbtJy=Bt{5<0jg&*Qv^8rr;oysj9h0*t!DgCQ~heOA1BOi!L=xFCPld
zY}aqkuA8nLalP8;Zf+`ab=A}<B{{$H?ce>oe?08E`oY}@d5@rTN`$OgBQ_OTSgox`
zfOR`BB&rAvuF%#WwI@xOT1Ci*1;}SSG$^D>9E}yL2zgDLD#0fjtT!v>2pPyKx|u@|
zO@ccO!g7QRY5`+z3hs;p5r@tZ@)m)w1?Na{HHWSuWU$nfG={R^4uT+d-HrgX7A$5t
z_!>#5c1=P~F1bT%Q2cNN@=N+kb;K_vIW7sbLHm<2KG)2W|DaJ1K%&|Q(ITwz2pYzk
z#=vVMDdfab*)Kxp9IE$xo%{|9)Ad|-=b^6+h3h>&0MNOdM*(6s(yyeQwFd^;DIG97
z6*pi5REZmHdYRzBnleWC5NLTMeon2p5yo8F|D4lI?*gp67PHnK+Yy^FhwIbJIL}^b
zJM9+DW_|iCI>oI2t-tf@ANBgEv{eM%!*x$mMY3_Xl*X2kq|$KR1&^y016O1v*Qoo1
ziOGUF?G;mEFtMZ+6!*X6+&n9}Vp&SPVoFhPlnbpjvyxhxnh!0x4PS9dQX%7dSYrml
zSukfMnWcuc=88+P)>)8d-G8-_%OMEYqmw~orL9YrRwxJXZ`wp>m6bdkcg#vsBV#l{
zbCy+B5~LbbG2t}Pv_x_U`836>`_Z?W8-OHc)O6O&w+G!=HP4E+F#;=8Yv%g{3X`@d
z-*1o&uFiARRVX%G?er7^N_GL%Am{w0cmP?1pH<93ecQ4=qI%#yZy~&n_@vU7!?ca;
zTX+srkFmF7#Qr>+;T+~nmpqLvGgxMe9A<j&0UY4!9#Rey4iXB;Pw$y_y&UF@6x<$C
zU<z-<>ziGQn{g@L|6hIT7rifv#<a(vBL&uR@X=^L+B3^XQguQSgC|kikM_*+5u4?L
zJ}jeemXDY$7c6~Bdq(t#OJRc1r*!4?PCI?1@kO<mU;*}#AU7Up2#QNl1c@Zj_dp{O
z4Xi^@TnZN~4NW&&tEme?B|7;@mX4+y%#eivj)6my46qujC{@tyI-Rbz&PGe?MjNW@
zZllIHjA49g4R(AJpQgyv(%tr>8KIw(>8GIsQ<CXH>r}X3A5hp`K^D9&VJeT)9`_L)
z-ih2NEKj{ZaePN>B3KjqnqU0%_y3mQaVje95tCum;L5Do{s!**NRNT-X3erO^8YXp
zOkUr}38uWqqp7}NX)TUJkm}73jXEoK5`vC7qUg0*u}W|%^^k%K>ADwrK2>jJ#Uqs!
zS3IDvPP1Z8a3YjP1Oh>zNS(Z8mEhd$oZt`CvRSc8aPC)2&eg<{$k)~+cjIyTS%NpO
zpydI*o9Z<uDMC>ns@$w|U=OKCgt9W~>OK3*GZnLlyXY4lh{WNByZnS!i%<Ck_&L7m
z`$UQ1uw$NUa2j<}qUw~d6gMR0E9t-Z%SrVIB-qs-`!j%Ge|p$q>)n(-oVI+QKK#_L
z{;5y=_&|_!p;jLnf&_9o2AZ@gBwa4%CbUNYwbzh7j2;0yWQH0QlJ#LJqb0q&)(cNO
zUg^WqOaRHLw(^GVo?RoT;sv!AaFhevjMeOwtPhU>!6YYz#t1T9IcwOg4>6}+wH%dP
zdwD8-2sLP|6zJFvHH479pXCm^SWAw!9Hm>IWkvYFilDRe_Yk&gCG*`u12!!nBX6g(
zW;R#2k4(qW6mX1iKKiIORwX>t^*~kWXmL_#-Hv0b$9Spc&V-sa<?LjV_FwQmXI}dm
zdF{en-<dX+QE=(S7KjMdTCS@n_>%NL{f#?SHP499?IA+fGjB6@<UHJw!zcXPKk_}}
zh!Coi88V^snQ=k`@~99Ylt3WLpy0y7kr2#?5W2!@Bm@I!mOlhDA_RM{DU=wV7J?ZO
zI<D57#|Dzg5j~8=s)b;M2%*y~!WM8XI>Sz%cswIQXuS&5Mg%vVACnQG0~)7EY=u%{
z<8Q{H8$@Wm6v?PWcga!H8x}JVAu(3*MOa<6!gYm({_O;F8>TQm@nHGC_$EH(@A)~t
z>H9>96a)2`*%=W!KO%H`lw%L-sit&-rG7}%=k3R@`Agp$Dy%=s8N_I^A00g)4oe&C
zY!=E2#EKJ--VV?sfQN3svm{Cp&4XWbke3|g>_N=~MUSrjx)T)SDCaDlB`2vcQ2|9O
z@IxChoS?h7d;U*%J+<r3Raz;p+$R%%qh!)y#yLyP3J}ySmx;oqJoHpis%(IufOTRE
zyd+h#0;v&AC8V;QfDfs$4bB(4uvN_pqz6XcpU+L~-zmX;RSead=4)OXxt_LsA5!zg
z@A^mY|3K(4)wOM64yBu9iISO%8`rovl^a$PbGx-oJ#sfgDZI8tWSa$~SP_3w&>#e}
z8)h~8m}0*~i?HU<*$uPy`&e-Un4uBOZWsz_SQUgqQ;=j7SBXPsHw^fU_S;3LosO%R
z-LNfuPIIonBW?~|xnaPaFr5d-=7<2Taf)Lr*T++M>VCpmL}$1YQ+T3`65Up}1Hjg8
z^V!gJj7p?vN7p@}W8{<Wb84e{U(Ek)7VR9goJpTxkseOt&A{~;fop-L@$#L{h|%Zx
z<>$10<j24NYu**9I;Dj;H0`Xom$2o^ZnL7+0V)nkLQ6#yg=d__S6RyyIK5h{fzH8e
zT7-&T$eIPeIol<!j6l<%IZ)GAl46dW)_DZlE}rs!)47&XVCHMGsj$HJ=&>$!9kJ%9
zbLr|<^poq_qO9Z(reyW=Sa7UT8*eYrr*r$%qaL}h8G$Z{=8mzO{i&Of43WN1)X&M?
z><O7?WcrNA^l!9|E5GlnzvCM|K6JB=HgVl-gH9fe5l`sar~aFLg*cgSe-ZS_nqUER
zW+D<3bitCB0;j>+^u~&GMvEXw(%O9yT>#rdQ#ZRH8aF|Q!Oz2_C(E<NigZR@FeW$c
z5Y>w=3qd-gE*O)W(A|)S&U23Fj26K%WNEh$Up)(oGwPBtWNE(?*SeBq+At)`kR|xK
z&A}yY=#rRyr9+mWfzdfEpXR6@rE*cd)PpmMHY)BRKlp#TOGX#%FRuUv+7qv%WQs{-
z@3p7lc#hX4I!f_+8*jt^z14VII)$ebKDFf)&Np{iXU*E#>csD|f!B4I74|&el>0hu
z`M!Ale_uRyn9#`_ISKJ0kLd1=w=i=IGUkX7n02q9q%FWwZ3L^-{SbGJPo#sBV7szN
z749okU!CKxnH7V$XiC67I*5yA%n{?|SRMn+5tAfZ_D6*|q5+?98-P)E#xzvSib3*P
z)k*`UE(7c}y07I54YJp&yK^;r4LrAedyX6QrxllYx$MwXWUPT79ax4|I(P5*sDc)~
z+Jh^IZq_dYRM2hX2fMB=3Ot-kmFshI<(rq!1iT(+cP`C2V`<J^0K;e?m>9$9<pvES
zeTzo0_2z_J&%>}XCM++hr0c`5pYT;5`iU=&P6`SNwlFMoH7oE4nyzfFSD=Ry_ZoPJ
zcGBpCO2IV-Pl#F%!JG|;FKIJNF=4#LLMs>+AvaVeyYua=uXL=KVc1BUV@6qvaAp`5
z^S#xV#s{#SX`^Dr48x-8(Apjxm}svBGYkuV7iynrbL=n(!){qgX_5{4JgNk#!c;Sb
zm#W*Z#`=gklT>@Qr*uzv#nea4q_f*2otcgs2`pOAe<q!shje!5tN!}`xe7C@_Syj^
zcacj_gc_I*Njm%IrJ!ez{Ae3yW%oRSB7piWfjvi05$kMG1k}W1G_!t=Q33;l+Xikq
zdWs4d6ePCX@Z?~j%e;pWBXv3@g6LkJYZ=cfdPp1Np+k-;dLoOo)=Q0w<9)`x3s6UL
zlo`~K{6+$G+D<|6UaLOd64PZ81)riIQD|qObih~B=sKX{sipy;0In|Z2Jw9n8Nlpb
ztBdb6=e<MGn2qP{8P93AXf~cVQ^WsZPrdI4-WkSIJ()H}4XV%rHJ44aR>i28+9B1j
zeZg!MqZUq4PcU1>s9x;9?FnXcj9RShZVAk=ImaBMmibV9QE!e>4;y5Bgx7<~A#E_5
zV^pM>8JP<K$jYiB>aD3ly9ImkLvrJ;K$4^av`ys2SDdxm$15K-$cnyMB%6j4dPJ+=
z2dY=hY_`1t<|UI0L{E3#wo?ZCS(16da+GG}DKfDOrMpz29MR5Hq4QIP5`LH7VpwSz
zZQ@pX0-`2>ojv0t;nHlBr)&JP_v)K=i)N!d{T7|ltMA)?{)fKt?PL7i=$A8EMzv$F
zJ`nyEN2_8Eqmy1|A1?{P)v@U?qpkJbIH5Gz`rx7~eT&{^qrCMNysRf4Dx<=Q=?F|P
zV`YxkRlqUE=df&?6xy|wjr)%owTrYJY6BNSG;n)ZER)){@fg)?;Deg3@L=mRuKHU)
zOfhs*WID#MZA$A&Erd;r3uK8SM3uUM9HNTLkwVmlsDM_rpu3<39H9Stapy6m*H!XW
zgxV6tga(lJmxm+m&+Qc9wzP*6%M37?3qfQ0y~yRA(pp!1wRAdib-dlfU8IIFH!PXL
z<gZD<TE3k=Tz#6J?U=#|mX^BNy~z<v+p2Lkzxm>)|I0u6k75e1avK~}E5snQ!xp9i
z=4KcKW?wa|EtD9rT{xzufpn~{bO=%fl@-CD$BIZvp`22QvLVR0zy;9@g0-P2(A*y7
z(3$7Nr^*HQJGkc}xIoix#06Q9ae)ikIpTT8{8|P6{Lqs$OLCK&2AA?pQ8M`zk5{?K
z41ArA(AUXQ)tNIwZOeL7=2gp?G)Iq3N(?&L$BR6W4lf3fu>6`!E7bIwH$FLD^NJ1B
zDOX}lncl+|ID;CyRp1QgTa{Zu&7H!=Vyu{cja(epDzAGCN~=7C(AZF6u4IUNp*c6c
zJ(;O*PwvQz-_a{G?sa>(*R)&I>gG9Vbe^QqPkd<qU7s5+w%&}ceFKS>W^21#N}eU#
z4(ceohae}cNeza5E3Jp@D=YJL!R>yrk*YFIkQ%aYrQI?Nr!Xn<p)31_&do5bFoc*d
zJ7nLs=rb+Y6Q-gIh!-oqEbW^**}E2Kf_*d7_ChLscqsek{<8mj=k9FZUY+)Bs^4s{
z>xlVmbu<0u=ic+0U;n_E-wYxbX5mm>Y%zLGu<B43wO*O%H}6*mc0j?38|03g6|>*W
z64fgm?5tM?9qjBk4?5Vy(_QyHjDxMpz&eE$2Uh>uOq%I<(&5*3zA7s<GNyYpz_*Ru
z0RHBjY{ZoO-y0Wm@O}fq`|L<RcX>}naqIByXPzeHA;U_adHT2refR8>Z-3jx<<n0-
zFWwfm?!E1~x4x)or+nw5yz8%?zx>iSy(RX0{yw&!eDhmkXMA^dtonM&dUmAG<4AwY
z|MD+<@vlXBUw`Q}+Cgx38JoPXWoT<u;?{FzVgL<z(FBaMpkUQr1?<ohX0l0p%q%Dg
zWD|@5G>4VpKUN<M*PI5=tA)2KA3%flpd>lx6(xaeD<TE1Ma^h7)|3@n%P&92GJs~c
z6h2p8Q4+|$qIL!402<)m&7lR9^pmulG$+t3B+H$b)Ls+5<^r%S<AMX;k4{0gP?JpZ
zxCE$9-vM1tJCk>+xiTzglqN-3^Vr^<s`MIj-xo4c=YZTpdoh*g<QGY+mH68i(jmI~
zMb!-gfG2cyJVebrpGg6X*zulpIGq6f&QjtJdNKeikP8xfoe34|Pln5P4}jd+hlp$%
z??X0K2s3ExLrBXXE-{0~KIAwkR^qQ3uIg^UcJ0xVQ9OA>1I1glMS*DI1BWxR0}#OL
zRPB&~FHIH)I?tlOD!TxIdVg*QaJG`saA|+Eo3EM}L7?8B+i8DkI+XTD8R5P<e|gK(
z`Ext=mmWuHQ!Y>7cqm>e%0x<8kb3aUI4fK7CQv?<Mx5@Am>LK>Ew<ck@l#K~^~rab
zIsdX3UI?kFVb8SX`@)`|{=f_W&o2gzNKJAJr+}-<shD_XFcw_1fo8j#biuY1d84~x
zi<0DY0eUJfr6%%-n!h%$ki#BKA0?fJhep%*CO+b0VkZI=WecPSYV){cGb6c8C`qS7
zuD$YI&{`7#cVXKf(b@51a@d2?ZD=daRG6g-K|VueMJRWvU_?rzTe?lu*d;-5V$(@x
zNp=!H29#sH@?A2@!M6v~?Q!s`$QuR)KZB=<B5z*GYRw#!mU8eT+HNWv%-0;%!>Y`h
zj?b#ctd-FN3+F;B_YDozb<j>D6rOzIUirV~YuVI&Z<RgVQxe|hG^Fe>Dbr3zws~x5
zrHn<_C+(Zwc4%K*-DvMDLq&JjP`CD2ha#P(Zs#n@oJG0+?9&ofFD2qFAK}awfTwzx
zUVQ$^%NOpyct7HEnpp|TnWf{$yzjI3FLQi;|1v`CJMx|T1lUMlO^MG>Au9dm|Mv^m
z_rmNemD|m}m$eK|dZ1x(LFD{t)({GyU6z)a+E<oEalye!4^#v$I5=*B8l(sgO<SNF
z=z@cT5oq>Zu=Jnl&P90QahiP>w4tKtaIj$?L3$ka6@Ik@e=d1MOob>~LOOAaLUPpb
z(kLeD7kvZ6&R)?oP_6Uy$S00+xxLo(&1}ERY4;g&`5Pq?SHa&d{}(Xo+${Bs4WCh`
z!zj<6(7V_Im!rfvfD6#*<@CVD*1JMEUK!ZTmiG26?X+9e28T1udLEedy`TQ}_kH6>
zKJv&N5QfV8*IHT(6`Ix}s1&*OO|4^q?IvfxM42n&=DyB9+0qVn{;4eOdWi%jSM2GC
zo-HkaBy`h7kc&v%3VK}YD9q~Tc-&xC63yZfkY`)5n=LJ(W}?tCFi$|qu%C^kjp0ie
zIi+wx9J^`}g!vFh0VNLyr$8pjq$=f-3S$w>#ZJAjz^wj*_KPLZRh%b?yWPe=`Sx&(
z1#S-{1;fq0!>C7~Vemb){t1iy;NF9ZyLb=LxXGd0rSD<zsBe}Dq4yrZODO)tgL{|m
zH1Okt`Y_fT$k%|Ukau(fwIACZ3CiUE`n-btJwNx!#NQ|}1e4;yNRRmi=<e`L@vQCk
z8_bN)7aWr5IlsW{675H|!z9?Yag~g-w%dKN2a#R*`FMXjYbKxa!m|8ykPn^VYQiHd
zYvP!8p36?#EFit*4ZlF086h-0Li?pL@8bc^HMtju?FQ2$>3+hkZr`))6<ipIw#8%V
zXj@t{x%?ML%*U0|xdTe*6u?W8=~2Hxk%Ov(!)Di+pNV)se$j3NSU}DbC4+5sykNwB
zl`u#5fM103M0%=y&1I&zOJa75st%l|RY3ZAPJ7WV>lY21`9;H~y<V61{6)jx@{5K|
zf6@3cy=d6QUo?KqFB&BCi^k3LqCvWP(YSpAU-()t+MBK}R#*WP&$Qz85;X@IpI`I2
zP5FiO`T}+&0s4mvT7gJNK%m_rV@T`m1)uv;9<g3s;91D~^RdbY<aT5L*87Wf?sB-^
zT&$~v0tD*)>x9A@=bL%O>)pk=;$1<Y-k;lXd|a@+xmjGSbG652b+M^h+iav@A@UoW
z&BZ3SrCc8`HdR6f0@d+lJC48EU2O2~l<T)yUu>#`3<T=^xt;buTx|Av|Lw)5`u&^c
z_se$LALpCz|7L%&smeVzyg=#x$#&YG&r@vb<K>XzUA<am6W?x~n7zHjZ?{NiOMe26
zm)j;&f9Ec?iZEE*+;m}X%5z*+-pXz9%_>*()ct3k5tyh@F(MQqEL5W0BIvl!6>^hT
zryoCM^(;sJ+Y_(3^Vr9oZAhrmW$Avd)~$k0)?s*>Xfh70E4dnDO7{EF!Lg&+Po0N^
z^6qpTf~u9}02nsSeD*Y`6yFe&o7G25S)=66y2Y&RWwDl(t*k6%Z6|9hdE++H-@DdS
z0?TR(>?DVuES5NyN#k_}?;z(5ofjrje8GB$tP|nZt+I(?;`&x|{!#%LCWa&CD-$iA
zUq1iZM2kxvDqb&-tJ--+DA{<S=0_3|G&rvc!k|0#{+`{bez;rC8Oget;`R@I!B>9v
zKMo{ID`4A>Gkb0O!e*zN&V(rO>a8m(`iw56vJ~-Qr%8*ruO_%fD5_C5;1`Xuy>PrP
zZYTdig2ElDdNj-pL2+np#p4p4rWrYNJZU>XOFVxQ1OmW-l>K3RFnO#fF0P;BYQ;t}
zZ2F=U#HfNFHIoJEa7ZP`m%w!@mV5~<a|{=th<wUlmBkwwKUN{N-Fwg$>;U`mk{y1`
zWVI<rhyzl37^x9&UoYhaKto<itq)dUA+EVnDqRxuA%yXRmOB_hLr5n{if?X`_6U)7
z!!}2aq>$fSa<x8a_Z~DGZyyb%RQl0zPysLDZ+4HK9^imVc5t>j=P8k@uL-V`KEVqB
zgw=VT_!t2&$d3^=^J9cfLn!i{j5~JPV}#ZGG2+LT?--8}V2X!-j0SLsPM<wt-Xxz%
zh=AGWkj4Pvq`>Kb)0B1)7_Hu+a<bsm<Tw^S*-6t9-~)k38ONv7c8Ze(Obq12v_HD~
zRB`+Wu~NLMaI(O41g|odL*$HiDqoH!EGO=golGEaWa@>J3V|w)m+d$pahD3m0B}^{
z7!auU=XTm3xJ$+{h$~gUAHYn#e~n`h2Lk+9a1i23r{F-iVEJ_P@hVQLpgh~MiEjZC
zIq+~7-vadU&DT8!5D2HLiU24DAR!k9@Sq5h3ftz@-zxZ2jtg)I3;X6|9N}6hML{zP
z?9rvi;CI3wz4QVW-9T+g+1HVft9C7}topUsb+G7Vh;YMV89!WI5HrBV;fYHAy8K9P
zz4+Ya{WlATSALq5%M{6Sj7m^`2$@26Cf}8e+H|j&d%(OfeJD^l;l4}DT_mi~8>F|W
zdyK1gHO7-3n!oH7e^-c#{n_{Zv48D50u_T(fD%9Vd36g4aI*y~8k!GA`=Bn1eP#6M
zBDg~iL<G@QNxh2@q-raIm}n(gAQYP)mCPK1^cY-_Rip42Q0NXMr(KcC&IJ!hT}lcS
zRB@YD5G&H>b;0dnp-C+qTIkVGQu3>rv!=dtLCl`sNeUC)md(=-LF$|$sMBTHJMmy`
zltWNFI+r}6JVa7js5mvBDoN6#b4e7@uwNzCgukZvVv?lQaY?kTK_Zy0Ii@tUS4ccM
zmjriPOZ=If?W~z^4{CcsTIZT;fb!y}rK9KjgQTPPjl=|oRx;lpOA+E1A+Ohw%y$U0
zcj@=y=t~Zkpp8yP&$kG}YBfm*qPykIU2>8?sYspmql81L+xBlse0hF3C%sC_%K^N`
zxzzY0r?{p7ce<Amz-=R^#jao7tH11^Ip?u}<!%ekDcF|$o{rxr?H0q)AR1RWIyYTG
z(|C4tJgbC_crw}1tvwrN_$o&i6*+^RVUyt!urmBMy*%!cuw^vdu+zC`_AFn4gJok_
z71hk#v)kjIO}j-iVs|r$-FJTPJ3sHwMXwx<F5G*uSOde~eLT^Qg+w(tQXzKRoi>fz
zZ8jZzO#(HIUZ%IBL{mAIF2Uv<G3KB-w1jT9;vQwVLaf@M0<AsA3bDg)5mpyEKX*_W
z>NNk=Ae32;=<UjQHXeLUGL2%-)C6UqrGi<`39=Akaj?cLpEs>^s~4wqxjX+T;khAY
zW$=Wwl?<kAhlLZjHf>f+fs-0i(mKAH`cwXizrXzt{(Q6@(3DP>56hWh2y6E6ne=^k
z)|R#%uq`_EEG>s`g3)R%wT(K-1%do3uMS<epv9==VnurFE{G04Y>`Xm!nVU)piXkZ
zQp>VO+M#9tQ75?|_=Jd$xFUMxY6KF8rcQFf!hhSZF%zvhM@CdGi06(mjWBFYZ^nvs
z<q7)z3Pz6MITooTNj{an<{q%S(Fr%!=`%?tk_<vk1f@JW$hJ1wElDPlAdo<r0Sbr@
z>61={Oujw))mG=vX~T(pdq&l<s>6y6J07a2!@o8XLQ6Mjq7_%X{%`YjDBc2ucVTeP
zrJMl*_w=XH3~+BGKxgNnnPzYrg8qu38B_>iKeLQpw7<?HF;VMq6YBb<-~ZFE|6n+a
z`bu{d^Ta}h1AIww#E=Z=D2e>$qq-f68`=aV0AMNSH(%4cuAmN>2QLZ=TBpPL&5C(&
z@^Dtn`=QChSuw|aFA%+34PGhc!5P6s`2E+!fUmw8!C5hv311H}BUm&Pwb#H0S8l2q
zGu6ue^2(gx-gGcVQNT!N^mdFe>g1}NP|MV6l4x)Q(PKD4J(8nK*wBdQsP10cAJEN7
zIZ5fU9Q!jTS>@z}@Si+a*-ox14S3p=z^*_wAP3%Nnc&T9y&w5!+wcF_a7Pud@DSP1
z3v>!$B?wZLJCs1Ra6GS<3q~ej12<BXnSEu2&_%Fh0@hwJ9fBD^#`JW{1b~auLd_XJ
zE|~!EX;5rTJsK-!__zT4yE1P*1gZ7>90TBo^VTBL{Qy7wy$*0rtyh+W<BAJOFn(>W
za2#98vs@B@HGy-HTy;S13g9mwH~j1R3Qcw$Rl{8kbSDpQ5asUb&Z2N#y%sGj-^BmR
z-#?6up2Acl8}Bo!fJ0lT1DUlIAI79Dki~{NOglioR!A}g+8we!X`ypy&)em-%4CZ;
zf2!N6^aMoJ>ilK9(T8bME>EaOA#~gtl08*D+Dml}r|={G%2)i-$4CD2DyPt+b#{zs
zT(}$$mS~;4^EMvLPGJP$==#*In4|R)grgD<{$}kxW~VTMaPYQT!R!>4*cw{26NG1{
z@aUm6y7Wmer;I3Mrw}uDSc(e|7rph`Ls!vyRIg&m7WSyBS9i+|8~PyzXFu}hcT0OH
zcQi3*mQV^LH)|BU)>o*hUR@I+kt*BH-KnYq;M_CeO-Y_cUURa_O)9kkP*sCX$|_!=
zZ{^P{3$PC@C9S{B-?=n!t<zJBi@7X7`a5OscrFVlt$GtRC>TU_q~FiIDO4)s?-V$w
zV#oLITewu#vZ(AkNU6G-HZ`SB(b@Y5o2om}yv+?R&eP!Hw|x0WJ@&@HjkNWe<3`qq
z4OREvK@#yy-Fi>~{UTWUJpz7Lzu&&H2+9TbNZSE7VraWHs~UoI5nM2OF7A}lo%<uw
zMR37rxrkH&osKL>7a;^o$HlF6CXPeXMR38=aFL}6{4ufOX?C&%1ZvPSmwQe!hBFW7
zrDD~H(~^T1`k2XiZ_#pz4RY|q$0P60?X-Uz3rWpe-hVh2B2<)FHHd}IKK1VOSi~OQ
z5p7DIXT_?S8jDO@z7L@OoJarXA5KtBKbzr*h(I!jyEXk@x~87jw}$0TDZrdM$sB@-
zY2I!K3h3p6Xb7S!qFP8@?GTjk&jhVsENGzMM`&~tg7PGpAbM~sHogVJQeE;8q@uB{
zM==ouC=zj}!bl83dA4jt1W8N?qG;xLum-&$$XLWz<Sgzh85a_Zxa4lN!LOx{kMfqf
z76lDcB%kd+2TD*7d`{a|bUY3&v52n;$^|YAL7mO9xk8imp}PD5)ucGWLI&@{0nMnw
z>%yEUdbIY@v*#b*#3$9dH^DoMWAGeOl~hk|Z-#1K4p5Cf%QvMSr!C*79)I*d`S1SX
zy93GAg&fH)Z=m+(f<{@RZ}gCQ+@jkR-K%l+xW<5B5gb;JrB8L=G~=uuqcXIIXO`9D
zamA6tS7^Pg9>E$TUmLn?xdxA{HVRoiV%9NO^dh)4mb_g#c%QX;T&(suHdPs%yJpEs
zJ>o)gO-fRu)(5ZDBWBRCq!4tt?WUu}bMYaYof|ri+Q-(^sMll4If`Nr0Ib@hXY&<R
zvB<C1YhGJ9tNsnbxTrg7I#<l9SzG#rew$N}Wyh?lRjeP((S^M(G1D<Z<;JJHDnG|J
zeV-_iRJF&<{x4`4Z4PlRL~J~1DNIbBG+*nqDKBrJ>cU<>-IJZBORw$8PFucDRe$uS
z{ju+OO{nUtQ1yva3#bBe;LuzSx}Zj5pLjf@>VvHwGpdesAA00*#Wi}iiPA8P1!|CY
z(!u4KYVp+5Pu+X!$rqnAsM%O()DWtjmeC#&erf!D&?i;pWz{idAC9T-Q%6PBQ8J{#
z0oGHv0e*0gcRKSd&d;-m>R_o4@^|pA4&?E2;0cj8b)3JnH|4q7*^MmUrw%`O`Jr!n
zB%0ByUTr<s{pPrb8wH1yGkqIJMsl|1@n94eiL8u;VNn{v9M}V2MBooW5FrK|i-1-@
zN-+E~bk)^tWO#+ya|N{+eJd%53|Z@JRh}!Dz#4`_ch|m_O(HAq+loVi={%y;u=+8h
zPFYa}7@ZZLRUwHUQN=Od3F2yXqzcBnY{jCNP*tvt{NFy+<=^?geKPSkN+rLmM+wbE
z3NKBO!c-MB&1LF%WOK#d?N#heyG5-UpOb0lNv3_=zy1jiUKooE3XP_(=q2-Q4qzd|
z9`#qA<jA1RhwQ67$&tYU<u=9<SvT#J>&V~$?ArL>Y!i?vB07%ELkJYRD<TbAw;5OW
zbLwvKTLAlFh52twdC7bmYeB}g@;yD^GYNZ-8bLWp4vK5zoQiLQ1`iN`Wmi6C{c|e5
z4FDHK!*Qv)y*2aQ0V-vUu@|VptS-?c+aBiDdp*%WroZ;%-)gfnxMF{K8S}dxT510U
z)FOQDNC7JJl5=nk^3dUD16Du9Xg)MQ`(b-V;e0azlf4J3q~}O00qKgLpcc724z*xN
zOnTcp<Jj=!Ii|BsixfIuzyv3QW@%!a(^@c=!2F&Me8qcCfzXi}u3Yjq!?=P%6J*@U
z&Ji}`KqM-#!Od>Nh78dnqiGS#a<OYen;eKF9{SR_iE-#E5LqK*cvY}eLFfk-UPA$5
ztca<#Sh0f8i5ZC?CV;k=r-9HH;D9THZf$d7$r?h(s166)*J@0XB+1~m`6&ADcvBi3
z$eh!^6@-rdG0a6GR>S|=pss?@QFp|CD~#$e@u9il@;dp^kyNq9l1M|>zkO7rYmjAm
z&7NV$`hDt_tXli33P#iEK5N(;CVQae|Eib=aJF^(!@qh$xfw!#s9t_b!*{)Y7n^A9
z_bP(Wzv*W`@;Ci~G1gg(BL-wd|1S7fE$a*|ov5mjf&<D#_s)1K4eRWP!MzGDp#>B(
ziFrk;YTFH%(gut#qEMWP*a%WpyWn;wE21~)Vkvy{ydqV#3qrZ*z#@<+#90l&QRUJ&
zh7I9bT*e~es-gTL02t8^-fo@W+aDZhc0Ce4=#2tFtvBe~ckUi9GRYXWWQp`rw53!t
z6!SD-7%CzbcwJRZh#HPczDifMKB$!7<>QGFPy2H_`CzTC6BjS<FD5(f&+W87&NsK=
zWD(CPH90ijX*EVjrC;P-Tr2p;r)v&-Y)9bWYdd+<mhW@&zV`q4*-v_JLYo<|z0vuq
zHc0)^Bj$9&JHYIZ^->z}9Uir>pb!LYmfcX<cGz(~IRsG~sPB0Qij!x8sFa`&1N?fA
z!J(oMLQtL$6WnbUWC0*($7CfsJNeM!<eA{%h)!`-F?(>e+7;!AF~RMU9UbVqZMRY(
zmsb=g&kub#vaSOKVF<RB0UPHiPF@j2F|r6^Mmjy#yrRBcev%mN2Iq-OYN1LcDKs*Z
zJjx_A{BF!+Lu;O9O>y!}a*HO;(ANCnU`(`<`R>>lSxR!OR$g;dXR2;%+Da5vsjkx1
z89n_zN7<nidn;2y%f=@?g+3C4E`P?J66S&7h6sKE9iact5%wF-8ey|V2YNi8u21bn
zJXN30(D^Mw=c=DZZc%HKX6XDp(D~PV;G=%!#{xQ62f^)naiXWzp_t7?L~sC}49js<
zL;y^HCG8O0?2Sim2ZN_jMFeY3rJ!I>WlN3-VAU{{f+iDqkHSH*VvY!G06A9dDS@F~
zFTYw{3c!S%MBe-u+I1IX;ftU={MD_X*majgO9UWL@F`HJY6w%Dq<}X?a<OF#Dh4>H
zlocDCBx%=Oa*y6zV%Gu2%Ru#raKOH#U3W?NNF39^qpz(=S6Y*9mrJ6PFFYAsOtb+O
z_GPtZzCUO*rk)ByLGY>QEBKm|eFZhkb-G=Rc$b@ATnP`jbmxxC;9vW{D(0b<ZCx?_
zQNMKpkZo1)&R*LJ%2yBe+DtbbkiJhmkKrtQ8_vw^M{(ODemjNz2uMD?^MK@WbyM&E
zW|KG%p4;t~K;?2*H<qu9NppW(f6_-x*Gmws{h_;0z3XeE0f8c|EjQ?hMxv7E+$}K8
zS@c`r!x9fTHz-I%-pC~cYe;?tBp%8dFpsFBj3Z05<|}#+DX@(?aJSrc69hAe*gaNU
zf;HKkqeN>a2w2)6d2}{!e@q3*9}&(`38FyBYAWN$WJrF$-DvN3<Qt`4G5;8i*8C(F
zTQEquB<y4jU_%4vq90@`2(-hKU2kl5cO1N8wQo5f$0V(JR+7wj2YGE;AFR1LnpRJe
z`Szg5%YM-Yk9u=4D@fi-sDwb({q6Y%9XA^eq+&u~X${!Z(eoYJqv?TO_)hBJWJ{rn
z*BJ7BLlISJ_rd(S>&C&iY&di$eC0;N|J5(tc!+wpKY8}Iug~mNX24hFzkM?CH%h>0
zcvRW`izri!MC5Tb&6+SUX(66!G1)nwDxQSqt3bPvMlKIMdk2kc@(f{eGOIpeqPFu1
z^AQS|4IS630gbQ;(A@b4%e$V82Df8>X(JY!dU*=o&#qtBLGA2`Kh+M*QRXd1nX0Qt
zZqXcN-b_pN-~RdE^S&<(e?+Ma9%VYG35|Z(suB<`h*b<Fa%&)3JQoBcO2RM%(VthV
z$m}c2JGmhGrE&T(1u<@=S&{yT3q~=>1|5+bL7F=kL>^yf(EyINS#xn{swx*mV_qQO
zu!|^-v+*q<NS#y!(aKKhfDdff-W;0#ND;(v7j0gImc#00#ERmNxMXw@1=VekpcQRI
z_n7P49K0fEMIX_9x51M{nSS)BZo%bme^AvBsdN&B%Vu$N`bGKvpcU6juI3&^;OYh@
z$?67e(88YA9bqYmZ}}lQzn2l7KsC8#ii@E#eZ?w6&PH|}u5ury$aGwc0U*0+*PyNF
zlEoF1nk{xaLm4KYU<+mkyb%*i?mRZqN>j@;YM<s5suo>>Jl>8jPc`07;>di$e14Ko
zAied{@zI^`6c<G}1hJf6p5kI)^ID;%J?<m+s}pL@F2?P-7}IXi>|)%Ei}6X{{CWS|
z9}XZ}6F=@^SQ;qhondU-RILQno>pD0n5spBdIL@h%t%ha<^=T)JQa0XP;x|jlLZCx
zuoaQXB?ny6uL4kHL5ZmS&}ecEJ1+@p!(JnuECIC%ZZ}{+i>cf0rGaE#QNkb-+#&Ra
z^&~XD+_#zEoS-gasFBf@;S71jIzhc!kfn(wcc`&yezrm$ewF}mRPq3Xpq0!CYQj)@
zg#aqI*IdaYwq^mH_sD6Vn4m6ZQ!VgSq#m`xb-k(ntrs!S#rn*7nA-TH(tVhL9>SsL
z@Gq`Co5nE%Gw`_HbB3CoC)Rgf*%|7owC-sM@YX1i*8T5(-aFs=bHNo<>*fm8Y#sA_
zO4vh8V%%!`${0T`7)3RRhuS(6DpVI7tfJ2`K}0z{vl4Ph5J67Qtb`o1MU2xkD<Q{h
zB^<#FWJIDl$av!M9J9fCXf%Qm=7x^O<*8z}!C?uaQ2hdJub!4L8sQY>SA9^W6Rl%)
zd$R6p0}kE&B|}RGLYaoJs8uj2GU;@_Yjbk*RD;ZMe6>wA_=Yd|x-b8da3M91?HbQh
z<6AggNVkxXfE2yF`=0Qw+0lbEYHB=R5oL6opo<lea8leWR;0#rLCp8Pnr}^w=Ym@l
z(Fr4wy`EYc1~r}wqV=3khtUpqf_}5A?~MznZ)&_Eh#|uQz#IX<w7;4f&jt7EwHEUq
z!6H;WZ(m_W(ReO7Na3!y5Ca@;teLqGmNf%w0+}}Tbkou4;QP^|-@y(=m*SSX<RmSs
zN-i4e>9%F0e4ZgS<11U4y1Ur^I};qw>pofup+ADs@q34GbqUaHhl>qNYxim*D16jE
z`Fqd&<ZB;!<j(6Ji~M*?P>ALwJ<UdQ3Oq^ydxo**40w6#`?~2#v~DT=!Dgcpt(#!9
zLqYakUBSqIOSEo+1RMnCM`FLz8C0Tm7t|htK(H62zELN~iW04xAckt8^o3A2LORvS
zA*j*1pCtOG;Mh#(Z#ySh6BN)OTFC^MNz++VqIFvnum}WXP4LdD)10nAAKWW7d-r@&
zI{ud0KVi!q(|g{uSybwSdx5#*qm}IOubz{?cNu@ZEY0%p*KUG8Li704y0!65e3~Lt
zOD7IkN2eNH&$G#3#^7ebFW9Zo$hNw|kW7#D#Ssk}QK|sC-T^HCRx&-;7nr4?xJ_T1
zA846U6MAu6gPJL8!a?qkTGEq!v0Xxf&moT8tw>Fe_66`Ib|1$RD}y-dM_Yk%eY!4o
z$VcJmlyrV*JlmSJcS)}vMIhQaI`|Ori_o2oFc{wgA2XHKOwaZOw1_vK^i*4tOq%E7
zum}H<=ZR|Arft&nx8Sn81()S5z~9nafGwv&)^7oJ@F$xey>K<&0_+)oEpGw;`Vzkd
zZ@M~8>yS)@G?L=9P6kJkDk)`y$fW8{uoAtQSRvew9L;)(#8ev31eOV)-*i9-;5mx@
zWjpP^zQAi5$6qfl@G|E8<(WzQb35%XESR+a>H?2c-e2DKv_H3Fe`!Auo9o>Lp7OLD
zeomFaTFdJj%WZJJNVWsbP-JYqz1U#=NZCQ4`u(yU2i&YKHo25;eZ1IIDL)9*`*S<(
zzq!}|N-oFWEH5_I@82}PU$)c!+lzHBUEHiMHrwj_MF*xI%<b487c6h;<5g02dbP?X
zzTLQMw!L!~-){EiscqrTY?8vea~E4h7=9c#U6`AqaLdXYxh=j~A$(8Wf99F1h^S2Z
zxh=U<1fO~Gd8rTx!fGWqd38dAQ&!J%gbyEl@qhFuJ~M)8MXx-Vb}VapPoAM^(0n+`
ziW^)%nyt~EJY~KV{5JJ;Yz+jeyf40DjF&okcjFM`9dp5$E(O|!+UpSHQ&9xVaH$?9
z2Q`xm4o{cPJ$Z(wOH+IKn#0qj`Chu@!%UZ^V<e7``f8SCY5qF3(@t8bZ#q>tSn){@
zdn+}7{&MtWSmxgIr?E@LsSUNPlw{Y32$9o^4oW|+?lwZ?W)u5ft6<O`{!n?<IH*vj
z*PP=qZTY@*%#XhAufFaBA6_D6MG9P>I;yq92tc9BACVH)pv)nK8k`I$5mgT@=E%$J
zD}vF^sT%|*K?;}9B37gob-{q%Hss9<%cVex)Tb_3V({&DyJ-cfPm3Umq%;CqY@}sS
zlor+C<Q7R5al*S*RijS0DvH#AI4PS~_Q0S4y)-xctfGUK!6w^D4bjl1O^F3uE8PWr
zf>EruRy#Bkx^9oqWr}FT7PQKGu1r2pW%BoZ=a(Em5c~t3#_JHVZY>{0jTYNmPM9&S
zoizcb0sK+RXluaTr@`|Ttp%r`ZZ>8r1ZnVG5J@}bByT}VR?8TIG<Yt!!}l;xEYLzT
zA~B&MNP|}dk+%a?N(7A~5rPaLir`_(GGYiW4@QR$K?V>mcsOzrfxuHM>(CT}0uj3;
zW=kmzeTN2{%CJt7RCzAB#VjnT_yP_8T$97a=$7b!AH*(SPUaw}8K26(;sNr15jVuA
z{5?O%H}OeNa`M#hnSO8@6I?id$?)<uO)ZwLNk6dXctoprnA8<u(htSZ68LK}vV3ba
zh?xAoum8SBzBLq{h6?WCm!SOMQ^Nt5wIBmgMHd`|R#G5^6W&sVvPgz)42YcC8qkbX
zc)ns#xX_1R6<!Et#AL8Ra7Ii9`vYghguG8l9Q3sZ&WOpwbO)|N0Omj$zX9FoV~6TD
z<Ng09E06~-1rZb)U9RZ@$z?o@xXT57#cPMYZVCO>!sI|tl{b}kz{^s>ts1yjJ5(NY
zORGAyb6ZpO%Fb=4IP@%G$#65v|8af+cWZ(v&R@2ZBBD7=n*we}Kp^!mX$VQe)PP!~
zZ>KjJu=i|4sa#uUO<TTCXZ@-F@q0e_W52ne5*P*y!a{M<WD8CjQh4g5Er2Pi1EgO;
z!%%1GZpa`Ar3&r}cuwekLRDm6xszrqf=R9|*HH9t)&3zUPMRMYOG*<r;2}mwa=~jt
z1AML3?t5PPJgQ)dx|kxP=T`e9{;qc+%Kb&I#%2S^^cqMeBi@2mPvEVql4MFGsN}2H
zq;-GfElh_~ihtU_PLg%B&Z+$(cNaOw1*{QWx%1&^!cvY;vf=TT({9C<T$=LAONrd+
z5P&_2H}zIeTfXnD{=}dE8@msS+>PeG{jIi4I4>2;PhK5EF?X2TDg4I1;lgsWjrMZD
zus9(mpxu<|vY-ag6Y<M~;hEMH1mv3zlScBXw&d^tOF^4Va$tbvWNoJU;cDWd>o)2d
ziFC5kiO@$riEM9QMqLFQaQpB=il6d=<tWX{Q)FTnN+)7XSIwzQlROsbJ)2*Ci7k@u
zX<d|=`P~V<l8Yl(PajfA%%G=;a~CJXP4rv*y}2o6Xs_kS@_owiweMN~<-Z%9Zxs~u
zu*`E6lM^q4`4nD8UlH#*YV}AXS+Z3geIfhG!ZH_x-Eqb>*xZd^m3RRGQ90au4;^F0
zoOr>66^<AHoeZE5-LR_?FX;J02#jsw(1fTFq%!n#+@gn)ROKV%g8|9)iWMu|6fu_E
zqET-vNoDA3mWF@Z1!^=~NjlvwX~{13y<3W8T*}F6Q<P27R^@|g!0RQlxrk}dt2@Z4
zfJKP5q0+K(>7|0Ubwx+JP?de3OnthS*#3(MaZY5PKauV4UYp3iZsyw78_{r`7;#Q0
z%?YLZ&pv&@2V;40`ScO4seOcEc3p6%qCvd){F9e2+<)=@bC>tz@4CY?vF|fa=Y5~O
zfB817Y=ksy-Fw?}Z+%hQ0LXXFRja>x{_;!T^p@D~`TN*@^389Fo$=jraYw~`i5@AQ
z4Dr=}_8-3M^FL!Os=){v7CJHggFdpbDw%!HfYkl_JuI0JBVYurgKY!?$sa;DBMd^7
z6?coyBzs~NzTyF0;gMKjifV%)JN2O@IJGcfusDH++*h<VehAS(ARlUqctqzVBYPjL
z2~qr*Dyjh~+`=D}zBcD5hTM;h?)t2gV|4=i7S+E-p#uLoXs;;XE`|`HmqH^c;F`xj
za2^nHlEKX}L|JmOAy-2g@sAipHLOmt4q!)x>nv1bL1{emf6dov`CBpK7W)8n6L=R_
zufV*s5kI35=LkJakV`R8dX*6arEfv>tv6rsA<_T+JHF%HpAjLEX4D)XDtThmP-ql^
z#vfY8WM4VzD^DhQ;{a78irBMf8sF*=q$c$h(Xdtfm!hcwTBOv1g8JHuh%y+!5Jx^(
zkJng{n$%YW_l<gw8TVx;hfhuFf=G?AMi+G+MU%#g#D`oE$TcT;Vns}z)@UpQsYzWB
zP43V*g)43rFeLSl5l!lnNY9}so%|Yf8m}ZLYdujzCE%z^ORk>A(WtVl<zgwX^H){5
zt=eSwX}o69a$<S)J{euV#<gA9xsvmL5wQTT$rTA2!DPTkY<U8FfM(~K34-0Hz{AKP
zRRbo8R##xUv<1uXGM}0Nl$n#N;A!bXmQHviCxuF<C7TW64Upz0>@!xO^I8fOKUlWo
z_>$vGn{s&yzzzHiJ>0H=aO(?sg&TP#n|6*#ZZ9S=Ei-b9T3~D@xt)jP_FMmpuluv#
zH_rKxX<1-sU=&14yx@!K42|6qgB=(_z=^kR>A}#TC3Qj6AhT<?a6USHX=q7Z@Q~_m
zEZq?+(vrHM@yGh>ZfHr1AUb&pB@MH(I;}jZ6J7AI-fB@R*mIrMLbRkVxL=?L8SNv=
zJ3EvZv7|1E>x1h@NtCRrCAF{aoMuTiUKnDBOo$wG5#y}cMuztKEo-)u{M=_Nr^v*9
zlulj7dm@L~n7$m0sXeMAnwnV5m%Z>pNKJ?~sNMZ_iXAJ+$8B|M2neiv#l^qz<$o~3
z0Yv~j9B@1!(#4n)py5D33^lNF1XYzV$QJWQ#oZ0T8d%xsIK94F_7sg_h#tZKS#f!g
zA%Ypx=?7MJXhzr^Is+?c(TH}*Onjikr3NCjG{t{)>H4rcbTOR_tn9t(gAY2)=U4$N
zrR{^Zb$loggs;A8s_0y!+jUf++Q}=;OPx7;ErcGCPg7LSxn$zdroucPm{r)_muEa+
zuf>Rt>8P+d9=N@DVA?He&A=QFoF^W*`1ue0n`z9hntUf46gPIY#Hcm#-Z>qK7Qo$D
z<x{#~c<<Yl8NLwA@xcGj-n#(nmZoK4lRzxFR76J{5GfCl0YYb!|9%OA4AUBt8YY!9
zElH7N4m~~7%yF3A+wP_vm0%Q12s#Kwr4me1A(o^d(IAEi6%-6knGqp4;Ubt6-ohma
zv8YLzf(V%Bc`x7le&1Sqt@ZEo@7>*J)>QTEf1Uqb-&+5=yw~S_-tgWr-e3>|Ub^PN
zB-74l$nijQ&7%>uU9pM>gbIc}xsAHbKPJZmyV5nkS!1Mk{i~~ZV1>4N@$xqqAJqs}
z@j!IV1Iwj8Nn9VPQTUaNu6fKO*0f%dObFj6O4mGocN2|_#uzkXV${^$&Rz4Q=_R^*
z7+v0`-T*Yc)6`o#@Bpc|3O_$K@BrtVQMfSOC(UGf^>)qAcKz<`x~Z!X*K4-xw=<pK
z1Apu<zW@0Mkrk`scHMDm!5F((bSM-UBD-K>Z}5?S_LcQXxM0D^k<hxOd0>cK1Xna`
za4En+D*(NK$SE665iFz(m?F<yWX1;4t`|Z02e87#1_J%p2-2>*Am+lr_A1(N$?{)b
zk#@ZZ0%swJNDMv@ew{2RcHJd04uOS2U?&gD&g5RP>qT;fK~3}(ju=~{5x;#ko)PS~
z)@r)DP=aLFa~xe3se@Vmv;P<0#3%pG|He1*NzVkMYVE@dWkvai%e|ws_ZgiX`*ZDt
zs`lcV|3#6l?dX_M)q++@MK*3XRkgG!*{mqC-LRq69@r7hJ_ps)HT!WAsti(7bF0&C
z(QJID-=b51e_#C(pZnB*G)A4YU94Cef(0Dqb>C<<nd#{k9nUw~ZOi$2-9Z%3QNJAI
zgGOkHY~5Nt^_JTBI&0H@X`>WFFdN_KGU#E7&&C(c;Y&5Xs4eo)*Bjr}(rBA7h?zlk
zC7BWY(YXM2`S#~jyzclF*1B*2rG4|mVTR(O1JOg;T0nm=kc0-kI(oi6hV+DkvgE9%
zMlw4`(Y=7=Smzfp>*2RNx)=5<x$f1`t2>1LF?EkH=ed1~1S?Y3RMvm_MbdU(t(ou9
zcD29=D*pD}u5*tBKjLeG<(Wjh`0W^_S^uI*NeXo!0z|3X-5P>=9?>45+pYhjdl#Bo
zd@W9h`!@bp#rmtFx^N%fNcZY*lhD23A@LBlaeXUB$1`$I$jc7C?Ooo1#?hIE8O<XV
zujDASLkp1usNhE(%3D5m;YzH~!oE-N9|8`m90&w}3r+{*cI*$vT4oUod<bKh!HAnq
z7y{M)vYqzF`DXYL2zO6n(3KQPET>bbi)VyQsRznf*yZ%Ld3)SX^^9)Us<UGHe|^g5
z{>W)mA8iVi&yOc3Z-MF~!9GT)K0Mb7JiMy#$cyGQZ=_oH2gB8eAfHA5F_CFq0wO7@
zJ_PGDuZ3>V$qh7RREO!iZmfU0F$Cu5sH0E?ov)}$ny+P3j{*&4AKGXP8cM#o+~sJ@
zb)Wz3TRHxXQo~3NxR|)q<u%s`F1_Y6)khE6U+5*hJVh^I6Clfk0Z)YhHv*^j!P>)q
zTRLmn@@*tSo%P<2_@}Gy9!+5_$gV~&TG>=!R7?pQ=-WLIu!sT6EbjK+25E<2cGJR(
z1|^7szC$p3X}dMYWpG6#oV4r{g4szk)*<PvwHj6kW*-d$0|=)>a_GRR7_^Wfn7wFV
zD3uBhgryxvw(`(`OBblx@wk#)S6;D7VPUQ)@^CyhDBL=}arUCO`-MW&K}aW(m4C=5
z(oXsbur|RZrq{Ps4!n>Y)tFQ*s-Ld+`a}_hVBI#OJ5P7=RDPS4q5KoslI%mM&`^Q#
z$yKKRjc@usQ7WlUcjf%=+yncj+bB!<>ooVkjx|^^eJ5R&+MX%BmgV7m_tWpm4VF*8
zCwe6B$#>oziH-Etlm^Q=6?Tm!es%W1{;RLM{4dAxB@QEp4eubYbKsHjCD!5<zB-M#
zZLwdI?4m%2kK#)J&8FGg1LoMqJpK3*II}ItBL^P4f;UG6ti^cbz$5VH7#*QGruZ>+
z;32g#gu7_WY9o{iUvk7qBB?_i(6ZY>jnIhu1%ih`;&x>AfG<%mCk`%Nr%UcJ#8w#1
z&<cPIQij1yGU8(V)PSB7UsBBe6<WZ!(Pw0f0T1b2M!ffDX(*ik>svYgjgqq=&$%6B
zPiB0{+aC~Y^5S!s51#?Qfml-7P{l0<H$jpixq$E?sA(=TUByq^EYfP5g+rm(<_bmM
zzwcvV*G)fNV=b?1ADD5Y=fRD>?&E&n2j3gPx!w%Nm$>ODc|zFrLEI?%r^#3jbG=!0
za&XzMm+|iagBZTV4rU}(erS}dl!zR5U5Y{(<yqNv<!Pq_fNrkJylIKcR|J)lGEwZ&
zfl=E*ZNYFsOz6`Y>_CMqT9=}->mdA4-vi~SR<N?`L2xXs+1UnTGGn&u!ElU=U!Uda
z)>_PGPw%!Ezic5@Fvr^VFWs4c`h^!&WF`A2AERkS6|OJeT<tndADt7RaG2!2PmP)G
zmQZGJ2}&|0kG5Xid*cX<RLY<!Rmu0Xu%%;oQgYmpn}ko`>=m3Z4Co1%2)3sdSnM4e
zQTj9VWIC?l?D6)rThu0eXS;kJyZq*F{f=+^p5UC)o6*{3(CM>*nf={P%xHJblIFHW
zmJ)6JK#)wHhpO=-+vPCe+pZ~N(%e@ZB>&HLxmbG8IUN-!8RwYe?ZGJlIo{r3bhbJf
zX!LGF-fWk*%e_K6K;>=xFmdS0F556}MCQlsaJ-ESxb=)5K>^cT$vWO%5y^p!1c#Y*
zqWz>)fg?FUfRaLJQ{No{>HAe59A^OLkhni*pJ$-P{U%5aHU2m2_%z2sffkh~q37d)
zfAT-zWl%8x*XKvXzcn6(2VnjeMy}=bl`#Uj_{qmJV<cp>SE`l*q;U$T3XCi)c<c`d
z&Pk0O${LmSN4c@;gn>YP!rTrQ0GN@AQ-&y%Q$QuSV0k$~B^8h2J~jb4NyX3fa>Kz$
z-%bZ?*b8#1KQR@sF>U$Q7P9#Hw%__C-|}SWaV1Uh7WYEbq;m*Cx3@T2>|$S;9(O^6
zUyQHN;*Nn&s!T(WdfWxUctyY;5nZ0_3qjs&7X(?Z<`2;l-=UqN9xsCHH76%vMIicA
zoyCg0-!6z7%K|OD!aZ67L_>xkZ*>urQ41mn^VA4ZkGr7J3e(TrgAtMXF;)~k?vl{X
zQ1rs{M-H(@lJQlML|;7;%cR?)4Q(V@{B%hWcd>hbl4}_|Ssk5v+$FbL5*ErOIy9rg
zMq@Trbk~iORApzq0as(NxKM4O7GE~9bv^Z(e#=#>1#h_8LifaxGSUeUnoCu0R<(R4
zld1(>6?*p44j?N$X{1Z5s-b@FR8`}AEBqZ)HEQ>1q>O}P34h1!P}O4e)23XWz?ld-
z@bvJ^p6J_Br_+}2Q>Q=h)Bn}4{?b^w%76_dDWVpv{i*DD722b|dR2Cd!R@N}>Ctpm
z!M`CL)jHbQEk3LV>Q$9nj9Gc2PLbE@)T@%^gza#Cq@H?Jc8dq=Rh3)3*XFKk3RXE?
zHC(WwI&~LzxL}p<&Z8ErRPzMtM3iCiAumIlmM`3A)ID<=tX8CDkylvFYSyQM2f1y1
znq1fPO2c&(ZR@zbW^;n8$#j>$C#S03xg&b+i2m?kWB(_w`{6HtPcR=U?TklMMnZ%7
z)1Iz40wwtO8VJ}|7Eu+!-CCiIpc*#FN(hSU<rL!DBST8`9Voo$RD_^}(<X?iO>9d>
zw1SSKR#4nC6GR^mDq0i-xtQj$Vnw<DevWYGjPV!!IU0I}prjuB9JedZm_n$+$@bMb
zjs_sbrd=*1ccM0MDW8+|TX$P*<lFc^+3{ryH{v|_gNE@O^ECTYA|>$*Q=~!nFC}#(
zj!KqMzSidwmN9)hJ*i_4`KhLGf~93>;jh=o@_kXrYk&GvzwkFlQb*6TRY3?+easy`
zRxhKHE&1z>S~=%p_v@;xg7)Yqgu)=fA!^*sinR(tN?8OqATDhLvkEHpXteX;9BQSO
zRZtoT(baxg1!24bviyXKqaC(AG@}sz)khT7kyfGh+gqopeFY6I&zehOpoZqMcIdop
zFQgRBi{$PA0j>#RR}K8qnv6nR5|DTH;!;zt8{IpqDOJH)TmfyV+f5sgs?Ufqbh}2j
zhpv)O9)0-V=4;v1W1ZTr=qvi)zLn$OD3uha1r>OoOOj?D2yt3IevoL%211;kq_Jmt
zM1OT^=(n#PfA9O=@u7bd>QN*AZtW7IW2mD5NA2KsavFf0#&}gx4_Pc%Z2>VSX?DoI
ziqD794ILaV)uX2%&<xLNC{RjZZ)*#PDWZD<32H)Sd5&!8sS`;#NrQ$$=ADtaTd?F<
z3+5!vcD*8=nT1Azi)z7~q$#=N1LlFYpyDb?1K=+ZR9KQptd3+((j2x+otMMRO8dL3
z+9j$Cyg3AVAm`qkrr<@&wLEN?N0-`Vr{S}zUG8^e6u?ynPY`%|>z`iLE|DJ8t|(-@
zx;%W<4cgGp<<S?Mo{`tQu^LxR9feL&jMRxq0p~_^RmmuC>W(>UWdGuTC}ZsATDsHL
zcj|{xxw`hhp`zoHf9HSWo4!w!f@*J7dQ$BTC7w=~Na<+g+hIjhI=bbs!}y6)z(?BP
z9{GEEil^kiHRqD{N9pvGQYHG;F~U9VkN)?4m46pEDE(k=CpVaHciNQ8<N0@hr_#$W
zwM`T!N`i=^Hqgg+SKz6sir-%C5xdgo7k})>f6^EK!wAi_Ny`<#HxmNGV4a@OLaJN5
zn@j!TBIuo-V|*#mZh3TPE>@)C_Z5p`Ibtx5nvxKtUU5OFds2zRi-ppn;*W;MnlA-3
zDB72aS*p^;o&|aDeZ^?Dggt9l<U`|v(QAp!1XWsIQ53&R0>8>GQ=BB!Iui|*Bo)6)
zg4#rTLfO7as}qu=YLY5L^;qNS@(a;1)dz0GE?F6htm(ZgSAfHvm@3`Zx2b1zH?8k8
zS=nhSeGpG7h*2cbPF8yMERSfV8+*IcmhV$~pZ$SP|GKXq_jX^lNi3yy*$2SO&Qvtz
z0c+m^*0Q&I1lSN>7yIDR@CS90G5N{hfg5q7RE}WA>To${Z#Sx;m_yU?Ov$X^{$|ia
zA2~w0K6Ztmk9ug&yqMbC9U2%@dAq|CW2#`}Rwu@&`ityB(yiGwouY_~jMp-CZTCoR
z>xA*pZUOvnpC20kMyZr+a8K0#0uj>AR}&94d!(&{1|5(Yi4a=A!%I7i4Mb}_+EZDX
zg^e+Jl&5;MG5{{^50CZ~@E$H$<&nzeagUT(M)=1mPn%wBIH>8{>3P~|4&#kg^R(sr
zRP$#Zzu-&WI_8fer%D7If)==5s!_}sSgFXps36Zp&yohPA;@>%1^24~620t?4)Vig
ze{_%@j(4yedWan^`=e#FJz}|r9B$Ml6rFH&9ab&rqVZypD+&J_iXlGf;a-lMX9lLt
z#iDZ;iyk8%@SETKWuNea<38Zamhl`+WM&^Qb!#j;3=YXufWlxwR4iLhmctp(p#)*}
z0f+O0*#|6Pd~bd*XFT`U=Lb<_%CNLOx-12|3kP%6$xc@JXk-Lb5~I=UoFmrEK42O4
z1uSw03|M76(VoH_H}jZnj(f5;R!^-7(p7hwqc{Y43w4|H_o)fXN>fv%+dp`k^NigZ
zp2D=hSyRv58mFZ|&)2Q-xHWHl-|{U#eonQH?u4@zvi9&pRSEahr*5X!*Gp)>DQX=l
zRH-^04o<^oemcD7tk(IjD2++k$7uLMuqtGM5R}jXD#1lpU1qh;S?a1Tmtfy+lK_=k
z$KXa?6ENz*Le>E-8(0%WI!BCsY}c&RI%Z-C#DR@2on%EWG#(c0SHUkr%S<zQ>J^~Y
zRXH2$j%-}^NBxyrw~bq3-*L6>@xT9_oABQB@-^W-ZfgC>zw(*)zBbYVd+9@S_?B+5
z1xO0psIGuHUUiIpWoZEyL`{X&YUH$qs)QNI5TxF4L8NpDHDyoU+JFopNVVaDTL4JK
z!vxIBG7=$3weEt4ElJq1B1YVS%CkN+y>%BvwF}IqBIs^?tjM&03vL%{f>())Dy?>M
zd054xS}%fZN0A=`{DyTRn&7Bvqh}~yW^ob0O`>`wJk|Kl+TE^lW>cka)>F)t^M8%&
zTh44uM$X}~?ra;-Ur12pLNoHp%c@W=;Yg~iBR~MtM&touD@VrJ@fk{`=++OGPP3E;
z6~8YZkWWn7zwNA(rr^9kpNG6Zw_|@o2VhO{n4mVvaeU~k!}qDPjWJ!?U-GiCza;b1
zCe3=nn@X1U^b~?UzqeIJnznpjuJX0t`OL5V?AWZ4NI~M4tCJEbNCv5%2Ry9SU?_%C
z!VoPPI~f(<r{0otm7pTTkSz*=vzSGnESPhZ@bgeI7r~=|a<X8Rt6c3AI|QH98inH=
zbFOj+wj>2j?J>erv{wk`T;(2(x1zm(nKJyl3!bKHQfRvh4V3=1SH<Xio=e&GFVlzy
zvKry%o2r}GkE^7bNvm_<E6vHuS8cL#ZsfYVMlRDLBgUZB)-%P?c_@y4<!k@pZ~9>L
za_i0Lpv5*Eu*~Y*5$w8+k;oP=if$V;D>Q<Y#Tzn>mBkw}joIQ2n#RiF4VlJl@diyJ
z-7I@@pBONW)<xlx1&aZW49Tu!`z^kfOQPFsg-cG~fjRNoB@i#wC4nm=zJs#{Rt6Kc
zuMjN=aKa_g!=dRSOeHwf$h+w#T%G>w$cG=njO)UuX1j0QuzT{u|IYLnZvvI!N$_B)
zvZNq_uWbq<Zb*+|PxXl1=l~vO(>|N_504)0H-7f}{@w)O%?hSKfH&yaX)Ja&?J=O|
z2%^45Fq?McD`8p%G<}U=HtpMzz1pGH(3rSv+IJ|iNL2utwiQ>*Rx_LS0AmB{*v8S7
zY2Pfs5iB-yZ50W(nWL6a?bPE4yzv;;{^oM-9Lv6HW7(-4C)4a{8;6lz?_c}H55D(_
zk9o~&?mhX&C=hxKVRc48R2+E2ZeLLgQG(3Sj?zI0XBAOL4KAjlDJz!3E4ujF5+D_g
z3m!^66%8USRGNy$1^1;;2@<&$*Gxs@f>Ed3V+4J3j#M-*2-Z3)Q!W<9!)IT$71~mz
zJZ!Bl;er!2(gOzrs)BlORW(CDxUyuMe|t_?-~*2Jq9^W)=eZzP4=x>YVqah4YY7yl
zIPaZ|n`<d|$o(&)odi(2Kr=l_>roQgI1Qm+QAoyvy13W@bT4_@9jZs|ZO;Iu3pB}d
z%rsjkmevKI)=W?F1rS*zVC2y^UE1697+=_IW>guLn+`rd1C%aM1Jk3U<Ycxw9X&nB
z7idb_D?DnkvBFT2Oi%I!s4kUCcaL^c4eecW+H-eVKX=&7&mA`NbBE0{K6h9xKX=^B
z74hb|yTtaRJa=!q_QAW~@*gVG#!!`|;g)4t2H=cTmf^FM%QD=K5bBY+Wd&j$Wg(We
zPieSBM<oav)BcFzP8nD%4Xp8V+WE!n)!6*u%>`bqbbJgft{PU?e8bZ9lkIfC-37|4
zvHuz)imQgzwZy2=a4Oqr|HH*P6P&HL7whWxuW1DG@wuJ$$N6S%;WY*}R}RLyDeK90
z+MkLpHno|ev=^+Y+#<lnw;R-qg$8DO?>@fW<gurl1l75BA6rEjqXoF>!rYW<VL8yI
zI#A_ky#3*`&&u$eGI_^`$Y2Q&zZ9)q1bxcgm|R(~ZyXPoF2j6u1DyPwV_dgundQS>
zb;8ilaK49^d2rvu%QT&DU~u2Nxtsr5Y^1$-qLQE}KayKM_lN_HVB$ETNPg0_sf;`#
zY4Tm6XE)`p^vjhK?z^<yMdm8$z1Leb?XGZF8JFn0@)qe>#B#`bE}~rC_^!IXuavt&
zulb{Y@$<gz=RUf4%}ONV02rsp*~2$Mc@}I#pgmUC)xLteBR0ZV#||4*dPQ&vcUL^p
z5ENzT^kl0gcsb~pEI?1)2-0izLnBG7BYHsNBc08eYfaRnt+)f_sRYxYz_98-9Gbp{
zuLwdkg{#1Ok`Cy+q9{y1M<QU9?fD?>*C9CSl+dS4S?JmwxvJd}Y@X2_!D`tZ;bwA2
z?$8Yu+o6~80u7p^t0J@j%p}e27Ju39&V_M7Q4&KFT1nCLwkGeMR2(n{+8RaUhAV=`
zC0A=iW<)aWmmqOrESDtH^Lw#9F3Fk)lqLp8sUMmo(*t|~zybzNKytT&$K0-&p5Tk!
zZb6C}934dM^)EW{F@kIPVd&Mi*rj>mLgN*J_awVv4Thgia^)mqfJEiwty(8f+}`j?
z(Fn@KY(xd{i)*iv+u;Vn$*sI%czSp172|x7`Y2#Cdc{>#0XMfke{RS5<AUW)1VxZ8
z&Zb_ivWaiuau=@_-@=3T%_rZeo~o)L@mq_qy0JyrHhrn?x9ZB5<Em%wo0Gk(+2@^o
zUO29kB9X_}=lu;Y{^Ni7*N?VnF18yfNg*@VX&0f-U<oSpitiO8(MPJ0Qf$c94GgQw
znc~4=RaEwV=)qZ4DZd71YG70q6<k^I02C<Q8vbpYWN0W{5Kgv|C1Z$KXUKNWfWnnx
znvhEZOwuOEa|RTEavPqB8CXUbYKOZw-BW5j??*+EYqI#&fCrhmiO$GP^varbS=smg
zd=|B}znqaksRl=TN{gcJeBp&6#WcX*{N&I0xX%xjs8d-y4WL`dwCY@4rUAU&*}k$g
zfD2kWAA(ENs3bTFL8?R-#B3_0`=J{UlbgB;L8?R-1WB**K%!ia>Vvem2nQ_-d{l`p
zxMKxDqAkpOQEd||QY99_Ey6Jqw666Kq)IG;=mFFfmxsM|)|5k2CAuJbdGI|0J^U(b
z6DoqD5<?Ob166WilLO<}q7sYb3iRzFS!mpoBpq*;JRtpnH4%`~S#7S60PtKA@iIxK
zIlc>>kd@?gHLH3thodO5$UmaBycFRsYnEgEeiD3MMZF0zQYn{Cl<8THa;!(0DXd4E
z+`rSd<4A~_A|&D6NqP6SG6QOWc`}s;FQjz>tI-Ymcl6~ddhA}WwqwYXwcWj`%G6$$
zk>&f8>G%G3zia&^p-ka?A>rsq1l@uowOoK%ZH=-9D2VB49q6S&aN#*s-Fm|K$w9Ay
zE<~!8EGQ`{6AZ13ArjO8Sx_=kzT#>}j}<FoM3n-R;?R;@Fu_vl-9xP^HYx-qiuM(k
zq`ZVm0dt2`dh?+rfc6!2))n}cF}{MzGz&^1!Uc771_aS-)Cy|G(2tGmpKxD-99pt_
zc}Y>G<&vj^3+ZtsDOn9$v$*Pq9eTi<(-dXuYi<uK?S(pEYGQpYCn;0aJl#1P`tPPv
z`|`sokacM<m_fy$NA1H4sp*KSGykU|{Y;wj-PPXNI`_kDo!7!8ta^=WEidmp=I`El
zam?^r)0++!H<&8tctcW&s&;n+RclZCh%UUbs-3ocpQ`<t-}9CK#-IK5QNEJ*cR<xH
zgc>}*_mcj1RidLHs2GnORno6&fgaVq2>T6C-suKB0TdMqW>mGyz3^k^LuXZs9!_|n
zk~lpIl~5MUs@8_)v2M>+$XbI|suuP@R@{Rlqct#D)oyj15tKK4%=X7rsunp_a@$jT
zZ^W<9Ct0gn%;$j9EPCE(hT{ThamKDWeub8X5M3BrHc95YV{I$=<(Oz)t6D&*^6fE(
zWf~7O&^Omez)W@suyLS%Bj<^VPix`SjkEJ4x=(&POoBAnSHC^qq1|H7fC0!5)Ff-;
zaekAadO0_%7Kixqk&J^bj8dX~2!${IKs23w$R<UFZ)_&qEVO^2xtbRA8QdgwiWFqX
zc9bz1a8nJ=ftg4e0A>QjrU)gaPysUmVU$o#_sH$7I@L^Eiy#poi~?W)KmmB;>F1^2
zFvC#-j8Z2?`Vk-nm19s4f6(gKp?}{3%P}lS*;nu!adJ<;Ct+y@upZA}e(BrZ6+i0v
zhuD7lnRms`im_2KN9y!Q$pxAk)l9oZt*M>+T+h?z`d|FBtM@(#W(U0)t-EsEtw}${
z$Z!QtuiC<AgNTVNL@PjWuLIk&Ny_#xMTQul)vQ>#E9(X9x<rPY4^tnya#uJOL2Mk-
z9<6hcjp0@~9|@DBRjgOc?#dR#54Pg6<Ao$;g)Ah9EY!6)0vc4WSh*{}4w1-_PKfrT
z=Ic|rD_giql81!9&~ei$cLnS^%3*epcA)0y)!oqw3EUNcN@_QtaaRyRG9gEYVP^~-
z_L{<*tlSlICwVmyXt%#T-=IA*w1T)nAzU)6)o;&t=(uN3089J}Oe|{GtZtF^_=@}2
zaXrP}*lCN0CcRRCfJ=fijy~!FsS9D9O}b%e#_(*KxLBpK6J-D5Y?Ij&lCLg(NDrq|
zdJL>PA;B2oYkbl#4O&&s<hrDzO2ZGT*WUXH?Jv_o^o;Ob9F4mrUJo}l{ud@%#wZ5L
zVI^4Zl!HWjY=v^@fVF`GIfYAH`M}cwPX%kk#W>)(Wr~X&K%CYyh(i$%CHxtpv-#YY
z{eipyP9wom1QndX(%g>yalxuhxjZEYiK_)YdS@Qb?OJOVbpGcL{qsNi-9c=obyT-B
zrHFgju<ZiTDF&|%c0=K7C}-%ho_T7tG-X9F07K<+#qO9iS{fG|Bn_q_bHS2|0|}Wi
z{@7Pgj?`mC5Ee`8%ouK_m7fr#8Y_aT!cmakuR46iv@}H!PnRGJ@z8aNfcbd2<bI1u
zX3T$L_?S%u_G3SSzEdTVJ6DhhRAo`uRYGsbC*2Q<%|5iD+q7(8v#4ykHuJxIGVyPw
z#4P8B_fK<v{ue>r>SCE2I9L~{8c;OV8t*hBauA<|5`Ym$dMhab4cV(5@>>tM)-r?P
zU|g3~$AqH2(*AsKk`h6Rs~RXFBg4}1HOz|rWjpPU^Ch;zl^BdBI#}}lf^bdy*IG+l
zkyuVIPf=pn#K5)Fm6$!Mr-p4)mDsf9+mM4w?8iRo*^m0$qe^Uv%cUv@C0+P0c?1m|
zGJi=2r{82H1{8|=PN11V7OP${E3v`pH(7}l#+AP5H<c2@xI=M7k=?Umvn!IP-jbCV
z2-Oa#xWFPFj~#aQti*=r)MO>LdxSYPeASDFkPoiBRA1FKVf`-qYi0k?9;Uo<OXmcF
zTGveeH`a?!{+<7gZ~8t_YS0y%wb(he7*bPo&HSmqk1g1QlA5B9W8<rIZ!aH%!8E`8
zlV9=eZwTru{oSpjRxpNW{Ivn%eu1<B$F^|UoV2^A$-L5W0Dou{jZwLbqO;b_Iu0#8
ztW%>p&@<g3>$n)lg#ozA>lEjhbzC9$22`grCpPQ2;Pzf@I*ss^jvMNjmy|%cK84!5
z(=tEms8JD!f~Y>Yep7YT@1Lnm4noU1u7mhj#T@fuTh=VB%U7K}*4J~L&dMwI$;7`=
z5*p6^w4NI6?5Dko{j@o~es^3`re{W6>DJes)9dF+um8C({;}WqM5Nah!PP;rDzXTH
z5=bf3P8rn8fLD%_G#8t2S4J2#ks(N1S_BUqk_lfN(Zad~e$tk@;Bh73RN`WH>-NyJ
zr7j3uEYs`6#bT;(b7<O97eqTHC)Tl#R!ac+9BE5k5Z0SaAb^MNH%0+tU*T8NmKH&w
zZWqCog;OCYw$vq$SW40(i6CAge0ndEUUx~Pg;_fX$ghx~q&4a86-gA=k&GsWmZ_=w
znoGFrl5h?<b&8T`N^T|d?ExBq>2*R3SAw+5*GSUqz9zg%mOpr&C<L@?<{QL{f+U-e
zus4S+{i5m)0Xk>riP28&n)w!?<5j0g0po1LM10LjzKJ>vG4)BemzD*xlzBV&N&Skc
zp_oc<rzMnB_*4Wzc3b#4+?4pFzpRGqdREE37~hs^c9pb2L@|<dX~uRkOH=WBfT*O-
zt#dolGLJlnzG>NH>b}ZSjg<ab%_ly{vrJ6gpOR|tCatOtNc3~w1RP+`@`!1?F@|i~
z@~!1%mg$qf@+W`qKMJ_6n(#IP+m}>1uB+<!h;DM0$tHH-`hz{7O&;+%@la$3^@<D*
z1ed71iDHNqa|DKQ@@#295DfLyhH5zi!}QYYTh?*}HrQa6Be1~+vmAjz!z+Q)0i6wM
zu;Rzm5txidVLW+cnY#`=#cKEkvUU?nF3|+hNTwtKhEMO5Bmxz2sc+|CR;-4vxgF|n
zt0wjhH@M~dGu+@--JnNka8qq++Wqo+EiYQKC{Eogt9SbsFGS{`RMqNWWmH8xM3rON
zKb>y^Lg;x5OkI_?e^Eea^7+tQ!;KZH`$IKy26ENhF3G2is^W8&RC{9@Scbh*(;x+h
zIDeXks<|DyzBWzVj`NrLM%v^%T$<aFdP^@q3@5Ixce4{b46|~4oKkN;^)LRRuX!mH
zzfw=S;x{5nxD!Hmhld2Yd-d6!q*YUIMbN>++a-x&X|h9*ir)oea!b$fXet&LG)_Lo
z6-y-h>lLY2ir{KV{RctN4JvFW4$TL^1<hrL;BIAToDk%_cR_Fv>Le?eGxUnohvq}$
zf`(g0qylI)FHio}qWE1Bh+xim!LgUC4$-bCewQ4ag)Jp8mmD01EsEbI0X{+?B}ZQf
zYhiVsJ-0`G(W&FGsjyTZhJp?y=_G^$+PQocN>J8wn2K#AMxU2|P@k*iuIJq;*NVDM
z<<55Ql<MzLZ-~xL8Wh!0@8Suk1KzfJmwIxXKT$cSfNZ3Eoz$}^^QiAa`QM~_!`^}0
z^1P=l-{*P%&ewnTzxh8!?L(=SJYQqPOF%&$_jq$z*j{y*mIQF8{3>vdSA^y<U$Zn4
z(I9W)8(vo2EtP2%eszbXj0(62Du|A0m@cOn6|f5$7ZuYm3j?Xdq2(spIYJA;h%mc?
z6p#9}AxH)6EAF=&?Tp@`D?_7$5EQFzD}pNlVTR^wj0`peMFIPg7$IoA#A{ChB}oAu
z*qYltniDMxW5AarNsq|aL=eM76*4BPqp@VT<Rj%QLXleD!du`}0iNp6a=H9pXxI3Z
zzvt)pCO%D(sijlpxJN$<-X+w9>dU0A6#N-*kk;RYiMw0;ilk1`37@K8alX5pnSlFT
z0e+w`@o>ctmhGev`5LE9d09h0!dgh364}@-Xu#ndmnRk8Z2ES3-PoSyQ+4NDbGf^k
z%d}h6+Ml`Ra-N#Y)f?`8(H90rOPzsE5apx07#nFro_P&cbFnR$Zm?+3h97%kqwJ-d
ziGpc{S!<)MDu@FAqB{ONB$S!}r3#`jR!oDa1)2gI!5l=9i9;;zcCoQOd;c+$Y@Qeh
zwPOu`hI~_OPc}ATREo^N*Xam-fOR=`p~Da1%iXjjPMi$Sp=;sbAV?hu-QCBFjQJ*9
z6Z$(a+v=Z$x~DzMnQO!gm3femE|~|}uIxb#uLN$Pa=$zFlut_9zvY@dbxtnMx5Bdm
zu39DTCH<1mpDte<pMG6z>BVvDhN%`Q?$(A#)Xl_WV{!)YctqtV<D%@zJ=MNV#ePm(
zzSYgl%74u_yzUKu`=d%{w=UGtD<wID?jkMG`m5L`XKZ%hcBycu9R6p$a@eoYSVIN0
zK@|>K02!|XMhFQlN7gGzQ*8~!6oOf=0F=tvMtF`_E6EGx6|-JJ^N*HjF+vZV67`{T
zat1_mN_7laSm#%#hXh`_^i**uALvG!73J}=Q$|6Wyd8)l@NgR4M*NyOIpY+$2s?xo
zIbX>tIfKr4&Ms%Ni5*)xH8e?2s)~G2a@}OV^?xg$a!$p+tikdAub$}!1v0{iUN!2X
z4v>c^YMr6(?0ZrVm5)&i4Y~M~l{7!cH+`Q>owk8OQKu-Y(?7O5bAfChhas;ZzZN2=
z>Q<zu`T_%ISZe}Wc(b&*F6s8B$NB=1uC}>zIHMMFl4N?W!IkVSfU84y&Gca7JeP{6
zK5o#G*FJ{n$-b}=)OZZhnbBrEv;OnRCX{4fetx)~dW#e={VE+X@kg-~OJE9GNrt^H
zxx*W%F9DFy9Z810MG^tO^m||c3u<%C(d&D(09ycGf~~S2#yy(;5@2YE7k<i1fPb@>
z0JP9)gta`F__F6Ez`y!Sz>h;O0lxQ_fFC<Afet;fV_ofT;UyRtbfS@5M4{T6mfQ+q
zL{4Uw1@Y{MaL~vp1#wasqi`Dqb@ZN9Z5ul4BK#QH4ol9#9@(uyFB|Pa_(2e;!d}@<
z`%AA~9G{a(s;bk-pb-g#({k<H5t$>BPe)Q#@pC|945s3A{4K|Gq#cy!xY{9mGCIE_
z?SH)3R8=>b{*i8&Y{v<2HW!=R`-h1io9g#Npt^pto%WZ(C~^GF`eIZ0g&V5CeEixk
zZ04@$<K>RoedUw(O^`k(EeX<Rq1-8c8aBa3T{f}QW}n<PF1CS+D1O}i!Gl$9SYh=o
zR0PiWh%OJXpcOMx1f5ji8o9}<(|?<?dX}S)_nW@{{a^EW<N06G`{Vs#{#OANo?=uV
z&$d#c82R5eWhxJdr8hz4!u&662S~`&#>I;ABzPWFnQ{8ly1dJN=p&#W;^S?Bf>($}
ztSB#&tw@qE2oklnv9_HM%=uq56gs?ZW3F2WR{39rGa{qBLrqVNYY1uxVaG-Rj7&RZ
zh*a*i7=v(vDQXH^bXn94M50!=`wUPqKW=<Pl*KLk@aTr*Mjn?xyzO7aRWtN`3@vvT
zEsFL?hnAVf^*E<t=QQkx$C%~aANh&TxCkOV4OBYm5=}+W^#ZS@*b0kinlvn86{I{Q
zvRoJ+N(iRF5@u{c3L31qJFe95g<y&+5qN?L&yxWZj5tUMoNYG<$_Ob2Ovg@QH$|0j
zM;RhYWCe!)X2ld#J`u)Q#-P@RuF@sQ_^1`<oU3NVDqVu4vre{T2BuBbROu2Jd^iO3
z){iTrScnT$rAxq+LzpML5_kq}Oqt_;(*1DC7B3N~4%+j~w+Cn-@-{#c3<hmPE6Gs5
z>~IP868nO;ugOx~+&NIAo`O?Jdg#CQmT;S-NbwHbsM|j{c(EUcs6uy=_`Wt%b05f-
zs?v$%#c(RPC3Hb8cm$<h+g&Aqi5LetkdsQQouC?}-YQ0tATq^BO;CwArAFB!X050h
zG?0lHQMMySYsMC7rrd)n0zH9qjIgK*O={w|2xh>DZ;$uvH@x)Rm%aG(m%ih|rWShS
zR}U?GSs3Z2-J-TcFqd!7Q@(xeH@*IUe{Y1~ij#N0!BO+2RRI|*D~i}x<~O)tv?^>?
z9kL!8CKt>N?ULxth<*AEE_f*I0tb!|WZo_g%@Di@>Tn9;KQOzm5v1SXg3&Cn-&*t^
zE7EUp!Gh~YrvjLC>qFCTD1r{_hhV2cL;Qv!>6r&4x;ul|#cyy)B$hFN5Z(YtWQFN1
ze)lAMoGh)UiI)O_66<I)8bru#Yee!nN>_381Z1%gJ=Fp?2EKCwT)9ss{*4lYC1<!w
zRSg+#4vNAlS*cXPVTd}W8X<p$sc%uLt*L6LX^G;hvddDqNS0H!!}4`3zl^m`CoI%u
zX@A*H`%9I(w)|Cgbp-U<7SSbD=9U*ID(0r`u>^_=SJyFCEIY%>Gof@xbR2U$e|Pb`
z-SQFFX|~JiRZVf7FnMnRneTGJ)c9}zqu>8;{|^z*Yj>kVqpjBwu`-bfOpUL*bA<TX
z7C~i;g5Y8;T%@@Ln(uoNEUoM?qY8hpuVCqEmtC;5vNK9=+nQ;YT`*eN(Mi(^(k{DT
zX=UF6@vX^;I5h3D3q~tDM%1<ENV{AFODj8YS_-y~6~!*QWVEt_KS!O1B*{R_C8L!c
z#RyHeCrQ?bi)3kKU#(GYp!<*{^WBM7_SH(Jr&N;p_CzZ?+^d$7K;rpw^wP=>(0oTS
z-=Jt^N1eRAL1OC4nx&N;Q>oM;O1~)IqG)ADKc-e#Tym24qFzUo8g$znnKWoh{{4Dr
zc#k)sd-N3?^cbb;-K}{C>?75?b+3Lhd>%gk7fwuk(*5UFcG6*NR?>5rE60yjEBoxd
z+@1Gg>Sp#{QsU>~<#p4K&+Wau|IHu!Rpa9%ovW^oUnko~WX?hVMmML2HYb}$a5RuP
zpN0J{ZBF)HV4qmFfFK6I)b^<}P7)OS(%-a^kzv_1XYU0Sb#ef)5o)t&t$j9)VDJM&
zFa^Or)VdnkdqD#j(P#n{=rHzF-pls5hKU!+r9j;CNlI3sSa4toa3!(kc5Pq*x68m5
zX7A;&+UV3?fCVi7kR<cnK@kEyVsb4H4Q0<HTQ9ay&2j^WSKC-qhh}@K-@gL06%&kl
z!pLiaBI0+=N2K^)x<XU@ueF4<!#qwhNDLmoagu-d`#$4Kej#WIG%jybv>=&+qYWKN
zD)%=1$=9_c79BKvSU42elRHnnh04?gcVMFu+*Kdvdrr|7a4{NiJB&9uMT>9@B~UrX
zE;)Qo(Qa3u5T!~vVj@MGdCe(WYB7N{v1q9gUi_GxqAdZ*0s3BpoDi&1v}lrLS(&Ue
zD^q7llA)kqAk<#L+ar<-vkgg-%CtyIpD`s5D6=-1WJ$ldq>W1<M>wF`tz^DEn6#-)
z%wV2Je{`LlmQc{wgx=QK$-uA5*p5ggL+MvHXoJ=!{vvP}HB{Q8s$4a=!<4TN-9+7&
zz)bh*tpyKgcipRRa)<8JpOwz0qntoQV&%lLT=R9aNy$W<+Jhbw!h_J%Ge6Cv@Y4tb
z&B4I4(%_q3afFV7@^)zL)C#e^B_n~tjcLiIE#GEQnF0LcZ~o<v`>l}y)X_Sw_H_%9
zGE!x5J23(Zm{;nx7_?*HSRY?lW<`P7MUf!31`>PJE3x7Vc`Z8I`+Y}{YTpGxrf5@y
zR|`X-#ER4^E{HY}4#(iwAo{8GIa2MrAfP84mPw*Bg|g-!L$&XMTM!sP5c(9Bg8DQI
z@|kc!G<5i6C1<Cxukfoy?YpF@Q=;@qy;(0QKD0{$W=o1n;L#anw31Z&E{T&<6^&s{
z-5JI-%0&{h+wGT=>#aF@zCC7iaE&(Dxssf$qD70T4jr4Uly%{a6mp|6Wiv0z&wVm_
zdXGFn$pJd{H+$XfD3O_)M&9nIi#7ls@f4!!s729KCQPq9bTO`OYIA__jo>@>ew?bD
z=S17xCEBLlqSnC8@SXF(ciy-E(|_S>1HPj$c`p+hXdcj0w9bf0UtkI&Y(_MGT-QO<
zL$xGU$UA6;sFp;HxS&TVeN;=LF-*|#!OZa?25QbxoCIHSh?7a21Q$HQ?9xft?SP?a
zRum_}1i`Pgm1q+lPp2nGodlBvgPoBw!T4%XE%n+kHuOu5>&G>bXhY|%hT|?d*-Wdw
zy*`rC?=?Nvv8#<uRdf1^iJ00*$+rP0nbA9^F_I3VcLbjUUs0Z4iI~z`3@Z)vj(<B-
zB59Z8<1yt~71oH~G#lmH!R~zC|NYnR{pF7?)FrC;TceD=5i4q;*QB*25*wP)v4$90
zMof2V>{xo8!Gs_-hux|eAJGVAqYM%TrOQTmY|P_soV5DoRt%A@5{)1wx>lr32xg;<
zB9W#-(NAln-XWNcGQ34BB&8}0JFd=g)Gn4#QH>dy5X8#)W;H1Dfulllu-O>J{8d@J
zk@3Z?ouq6g23D|y0RNFs<r1TrWP8dH;((MMN+;@|4!f`y$0Y&L?Ck+^s=kz-+b}JF
z>@(>cDGB^>b<rW2+?EUDv1AVto33k5QR;(!bj+$C2^Jijy^oHKJ1#b<NIGH69=PoG
zAyO=^pXVC>m3V9`%o=Nto}S<faC(u?1V=|jxc)^aK1Lw2$d6HQX~><%=DK?)<Bm<f
z$-Y{CjL4yp9wYE<RF4t2ALTI`AkfeXW2B|$=A_e_lR%7)bVgi1&Ze#q-$+Fx7Kl^|
z2|F?|7_#8Vu%;3(1lk=k3<~@nOgI(-5)zVBnD!9@5D-}4Wl8&kkfdtP5{8R>!i>!#
z*#lOJiU4H|LX8R{uN)ZZ2h%#l{<vUyvuW<qtL3RA_Ij<6<wvgg@K!*~@h!+l%H|s1
zqJRb9>w@2QH*p=yQfs*nzFCz73JJ!!*fP2czWDspmoGeg@!@lq52Qtn4kil;m+xRy
zSvHP~du3nm%erpY;+=AxXWxNc(ZTf+R($$-Nhs9)T<<30C%$`l`5>WzxSt=cXSecI
zwHHrRvTL#GbC=J(_}t~gXXN+DPrKxK70I$tB`7~ce!%3rLU*+Uik&N#+y46G$_e*f
zS}x7AwtJ$tXxd%juKXQkSN^hB{as-J>I?qvZ~28E8pj<>93h|P;w;SxvD%mwpuAj-
z`uz2?=II2uARLeDXU$W8xnOBF!{pJ<tYj)G7aSZ)PZj2ZyP;Y0)Hy|PXx4m%JJ`V*
zNV*D2zB_C_;|>Of&BL9`xP$$gl{wb)k=bhD5jE}rATO=_W7I?kO~5F_f6;Nru|2d#
z7&zC#GF10xbL3!dq6t8{DDIpsa&Od0Q$M?lCJ={9zcjv?cA!~yxf@4K_j~1FzvJ}A
zJpZ|!oT;kHV>!)Zt33`hwWZe{E(V(Q9alh)qu#{6WUIKV9=FOK_P4gv=J*R4Cb5?i
zhobL%;e{ea#s1MB^G#p$GchJp8?YT&;DivM#scb;UMeuM`u5sa(AUgQ6v6d+t%Aq`
zsFDdmY7Q6NuRxX|D{jDvD*7M<sW@B^T`uHIWE8sTP>fQsyC5J^VBe6yb|J{KOe(@V
z?1GqejWCgdm?W+B^*A&Yy9;iS5fPmQ_fnEAvEpQ%Rf57wS*49LhLpF`lhV;k?sc_)
zg?1Ruc!^73eL#Sm;u_5^L|lM)AB@hi16pURIHuE^%Lgdp2)5SdI0iNhEF1ZFQd3ID
ztK%5F$|y_6;R(Gxg`k;%awc+QAYBE1(%PHiDA`Wu4>vLY9Lc?Scyb>X>n=H*=PLQ#
zRmn}i6g7NrlCqww<mai9|KjJo;ZOWC9}@|A!Nj@2GXMjzx$Fr6ln$)QEv_qrwZP-e
zi2@MZfW`rKEThjPA#a$wBRWA~$B?-{5T;xUN;=a714_4EOD!O;C>qTK515L86;ZQ8
zD<<9aEJ%arKW4k!Y!$@}$G$yBNyz((%aw9o?MXl0tSH$N69gELO(rZCqP)-uR!}+s
z=+FTJNnB7#<K~mBpmYFZ6G{h-ic8g=rKX$h=qSyzp3XHnYrFp8nr=2pYny>2=sh}X
z=G(KCVWIp*oC?~mneR{WGk`ag*erh0s8>MOKH2^{-F!vrW(`Xolt898=j=k>?J|wU
zJRkUSYuRL5imn4su54;9I*fmOauI{$mCcmB#`)}<BFNs37we3LIS60V(|!Tm207#K
z{;ct;wKpg|?iYJRy7D0HR{Jg{Gd=GY2h3)acM|mt>0ypriKC|n{sQkTN2{~$cI><v
z!Z7a8CxGTT;v0c(;Uc%M;3_M5hd!Ja+coA0tE9V^NitzaFHmtK441*P&i~5iDU=+3
zbPQo<kq!?$IMZ9?s!po5qaz&07)d_xcr)8qSn0i75*HqhHC{~c0Jd5|BQKNOV-C6;
z9r~#Ax=EDf=V>Yl=LwC}YC}m77fHWL7=S5d$LhD|dxXb=_XumE38G%}#22%#4kXVx
z$}pa3xc<e2kiVGxIL@pdFDB%x7n4<?^kPPlC|;xZWM_DlUrg+QH?O?eSigEPxqaP>
zIZ!fcDbSVDJbB)o3I<99czR0!6G800Xh6>MicbzTgu3Lx?QoS@a>%2?SOPhv<bc#a
zstD=$D1lV2y4D6FRFLgBJ~_|vT*dhxPUAdpDBxQPbfq*;*AJZJ)#U(DI5^7V_~bmV
zLLH$QPoaivr}M}8=1>O|=O7l(2Nbm!zkkE+*nhjY*yPY|v%lEl`{1mHKy|{ho%R=w
z_H_P7RF|v$CHRTsZ@C@&Hx%&tc$H8|ua<8<`NnP1o%ilz3%biYLAVL}__B$mwg5?#
ze9nC=w#_6)_XiJF<!4G6qLde+4)Mz5T>p*_efH<S6m2D%k#%3#le{tQ9-xyp$;$`T
z1xr>R&qXI2OlQjl2Px$E=DXk^g&e&D7mR85FlLGYu&-csQ+f~chS@}@$_NF6x>Jr1
zy{{P4?hy&l-^h(}IjavtWz+64EJZU}ap)?mKS*CEzOWxWrrr1O*Hu~lK?1uvs}H|c
zNL^vg(FariAW60O(Sh&LOxghji;iTzKZC4zbvNPlw0NV&SzXL-{$CB=(wx>4m}Pax
zVx+y}@bZ;A?Y^gFrO95+-Gu63h7FPJupyH5Pn+U8hS3nG(_h?|dD{J)jJvyJoZa#{
z8JEx?cgGF$J%8gzFaK;fAR6?!17aZ{V#Fi!SIn`2K)nh5b21JkBF5hE_SR~ObF7%N
zJ)mY)f&^sFFqW>)AW0~q5iMY}2X>)i#pPajSMrJ}J%L=CkiauYIEr=6f+;&eAQ*V+
zB$`+Q^IIP}B_}W;P)3!(R|O?p8_K7+7c0`9!=+)TZ2M#CWSou%=aL)Yb<ZbRCF77d
zVRH*45q7sRf0c}bgQBTGT&Uq>9P@f`y^u$M_uW}D-<~pe4vD@_BeT#Mlt;rU3gNTZ
z)I0kMeqYWX@!}{#7Ky%2E3@`5+C4w+F{@C{6PXb@ba9nNU6qKm7b)tl$#&J9vAUmb
zxCe3tpCp#Z4vK{N{3`#aevA_w*jAO;%h`h(U|PdV9bBrXlQRgOKZra--zT2cFcELV
zi>o}hDT34_TC(12w!TEj$ijOyCQb8R)ebpiL<$RJw6Q;UZ%?DJN0(|&IS_MDr5r}w
z4fqqF>`%uBg?|-tYnCgWe;sl+#X`M2$z4erKwyz57M7qE!a!(7P+LW*{U`#)r(Q4^
zv4s@PD1y5xO!2q{Eol%vFGANPw0=}yflC@juk1UBn!FwvIfW+;*vAPhuaKH>iv;Ll
z+HK?(wLp)%x<x#$K_~Si%ul(@Rh6@Qi@1YfC-r0AcM!aKckasn_<<kzm@fzvq!x1B
zT`91UGB4*`^UVQRA*&jk3%ue(V3|PG>`4g37~jqc=8*1+pW_j8Wn@K6ST~m{1nI80
z;0`Q7Fopojpb)CYFa)WwTySs(JC&6SqPBSb40dr>ToMf^I#>s$+X^{xY;jjy(uzYo
z%e`<a1yaXQkS@6g_)#1i40tzs-Lxj1Ntc8JM1m?HQV=50U5RTs$qf)QsrEECm3WQM
z3kef?N_3IFe%(G(tkP!qi!*VBaqibkKQgt3zvMl63Srz2JE-j@$?&U}7IqMZ4=|jx
z!%S$Gniz1k;KrP8+U=>nMjJ<-x-soj&C;~xsn@gTK>XpmKjs_$lkfV-0>@BZN$-y|
zmPt4!Mv1dqAc&B6F$gI6(z+m`FO+Agt`0{5CS>+Yh8RT<U6GOp9%TnzgN=Y{0LVv_
z7pVr~&{V0uA{3-?d6pi|5Tr_V!6Sl7Q7pR+inPs&RH-hwMNp}vpQ8WkLsO-?;2z~v
zU2(VWGM2M6<C2B?4g<c7p3lCT4#O5TQWOgYPsiu$={XzS!(H-!qO>?=TI6hNG?Hl+
zEhm;&?vv5=`+2rqllk9y>gSuFkx!aFCi#JsX_XX>hb|;_Kwx*e2D9h%wlvtZ<$E>Q
zKX>n2zc>)ox=^dZtfGS-j=oo|JNb*J2x{8`k=P0@9<n5Om2DVO2v!>GxMGh8Rowx6
zVYPN(4$D^z<QYhYhcp<bGbp18Kqgk`i$iA(#xV~9|3Y)QpiHt(%o+?}aceFI`B|&#
zvIfI1pz<U?3kE(kKSrFw_%%!8B1RXwBFy6?#VK@2m;$<bToXW`c1_lZU2?a<)2WgG
zp|z6PzQA23<_;DZ*Q#^$e0#P#Nm^2}JB~wgSblU6r2;C?HSxEzW;QVgbl|I=XIEo;
zp7{=0#DL$vhPi1a^DTmt&9Nak`s!#!+jKqiJwh9}<{9B+ORg>M`Xq-~weC2DjmWuM
ztQ$E$X8+Q0XZ7Fvykz;N7?*5eY<8rBY>FYHx2$`4nk?;w@3Mj6=cz`<++%<n_`kQp
zGV5Pta0KohUN5p+(2~hb?#yj!^IK>;t{^pv;R?UHVQ@qG>e2FBLa>=m7>;QLscGAu
zWjm|_+oUt32I(;5tkMsLKm{q0?byFN_X^U*$lz+BUho(hnHRp|@4w*$HyML#S}mH@
zSaOrQwj*mXu(>M>L!U{=Mg~X?I^J}XflF=_PS#=8&m$VtX847^$tny)TqMjCLF+;c
z!AgVefW%e(3HP=+bfv(MJi|Fs(K`2WtXLVDtxV*TUvsjmQ$4g$olrE&ncZYlX)h$r
zqsK+uZy#PLTB=VwonM2&>qEOwC<N{9NiVb_vqK>vl&$-B;9OOpwoYb%&rxWANA2HH
z#6&t_Xv9hbbxIP{NO62<AoMGx{bx7X^9X%z^1VO$6Cbe~%bkLrkKP?D9}0WwFh+Wg
z8qBkE)`3713H+73^dU$M=7Q)hWDt$g(Q4JqveqGHF36S#aThV1Tlx<o-&f~O_luPh
zA#vWfI^J<;YA|0BzAu$0Jaq8VXu&fCsli+j^F7J<3+2f<=lC%>eMt>g1f4zya-C+#
zDtC&qHY|V~8`Hd-C9B-&VU7B_NCI50)%7?_3FYGG8#Z?$BYMP7udey11K81uqLhm7
zq+hSi=~@@n75cMN4Aouw8o2xPohij0?I_=nZO1-jojOHJ#?dG}MA<|FzN+C-HDFee
zf;~tovH^KPg`U)+_{b^_Mbk>BL&Xhyr3|Z*ij<bjP?7X<PbuOGEHReT(!V=-XxvUO
zI$UU6-E9;>A^dn{N`J>E{K!v!(?^v|slJt+;?sf!5Gqj2A8z$xR-hbFgqInDz^iCh
zAYS`)UD-Ot25bldVWGis2=aM#^?-PsS`32lyW2z4bh}`bvvz>YR(eFix<xQGi5m?@
z9J*GZuH=_%8&DL2S%H>zG$=)*pAW%Wfo=d45w*BISl>yKBs|?V;Q{PnFQ{q(R-yly
zwRfdJL1a(Zk(87ymz>tjcV~x-Exkd&9(N`4?b!oGB>8C!J+Ud<Y0Z3p(9W(LxG->1
zB+CX)d@cV)NOEYu5y$~yJQ>)fHM5C}svjm|b<9k@MN#(KB35XxQocu)G{W^<0PodE
zicjX}$$W`$#Niq4&=N?1SR_j+aqG>6@rxw1cF8Cu?sUjk+|5xV7uITemP4(MS-z{@
zM2CuG18D!!O+@Gyo<|qcWdC&2REO;DJw@dQUhO;im#~BK$&8_AINHCWuImJVRJM{a
z>?AN6qBW*SPC?W#<B*$JkO4U<<A6pG<P?%ILsOCHS;F4di+gX>PLZ(QvK`h7T~bxX
zg<VvYF@!*!@!)psFV-$?imwpHP*~smp}vnTSigb@YBq6cB@8}wQnxLCY7TzJGv6Ja
zdD<;%1GE_+bRKenzw;^Yf736G1-aJz3f<8YKxQuh8Fz-HD|9U(jo(<p>;wP+47Z2c
zXaj$tG1%D$Kp<-TJm{v?3WBSQCdftk0xJ@71UuNQm_2~OKs-ADTXZJFQRxrFv;9Yf
zY$c6KeSvu8qv7gk5uX>b<ELaF4UsL#-XwJGdJ2_~wq0O^rNrW>fhn3gu8^d#Y!lGv
z!XrembmRBs+k;<(cv+6Vwi-)XGvA-%iup$Rk{Er!5IejN!!!HQ4-0hJspPsz1>CBi
zLc8w&nH%DvGn|)V`dx&7Yp2Avthk@$Le{G;DtjhyvKL=^FiK6BtzmO=MU)F5EIYx(
z*%bh&>9n|5xb~APfw;J$J`!7>`gvR1FTPURUtEiH!Xt!eEdHR?vGg;;A2<ozJf)Y)
zpYXPq3WXlfBo)tu-~xmfr2%&W;z+Q{w*0BC$b{u-8$I3`S-v%+1T_6;f9aFI_P-7j
zp#4Q2rdziWe!eqh;wEU#!oC7flP16gOHVCG4Lb8QsbgHQ^wc7ohti_By5PY;kr69W
z#kgR!%EAO_7B3FXFx>^AR2BM(gy&JUYY5T=xL`14;%8~?Cj@B%T#(c%qS^VkJv2>#
z3ziO4{FG%YC?=ptE;#EGsug`G()^O!bS2MOB)tO_BpOy6v#;1H!n{?H1Tngl*-0#{
z)-*|0cL(GZk~7AHPHBFrj-GE1drKsD3IMN7dQNNR`-7enHVE+-p=YJKk)w)T^yQTW
zpO+DYMj&`r_-U=!;~<yYn?{?hIgmB4{Xa@~yG}}V9ndXMvgIZY#g-;LDT*xX*`^GS
zw8%-&CoS72#VS?qbimv0T0u>%igC}$lu0t~8%=JrCw)YjcKS-D>gs9B_vz|4|GwYy
z^H0abEM2IhtCukRbgsd|V?gkDb+a}=&5G_Q=p?|*Rlm8-G-bsbp}P(<kX!YZb-@wF
zL7Y4Qw>l&Qm9BO?KYUiWueJ8ix_XE4zp7mTC2Gw?*40RQDnAfn3$Sg~D^|K1(-$OF
z34gS!*;q2Qt3~c4XEkP5WnOYrv+-3IJy1TVu3MRRStC#NyPQlbyM;1QAp;EAM&`=#
zESh{(czL)`{x8;xPx<?v1Bak|qC{7V!61prSj+&_^8!#&HcG}Gc}U<E@FvRG5%B#I
zo|*|^CMY5CN2e*Fj*gpCV|SMtn|6y@J29um&XXGZZ~mq2KmDPwwc5z&w$|DjZ)JqF
z^KPCp!kX48+P*b4=I3~D;58cah43c}($>0Q@NS}!qg|1<)&+Nf%t-|i)I1$Q+S(8V
zeLg)5&H<xQ&WDz`s7NBcC#VjT51S@-L_184xg^qETE09W+t{9^#6?AtWT-qkD#9JY
zQAKw-ZNh?F-H2iKC}V08%@#Sa%|y+z_0Q2zsxdaJ?;zo9Z&e@{%f!g)LQ~Nqc|m?|
zEOuHEopZYMKJa#W3Lx6E4;fJsD{<X~7U{`K&7E_nWyJ&@5(P4a4|;*@K0`S|KToZB
z*@Js(@G=|ryEE+5ZqaPmZ)d{gr~N-a`R8AXgr_EE-LQMP;`}wQ!BSSPsUXV@lo4wx
zK(n{#$_kg{^tFh!Wi(JOzT}!08jIL($tn$}4%JnfT=Uwk*1)Au5T;XYtEa_veq*^j
zgY_u}(M58wK2_Dcz-=TcN6zDHaWqxU3+?q<n(J%wKYz_@Qn0VK^O_W<SkYpb)d1g0
zw69B_%AzyHeYn;U@VMXqA|!2r@=~r!NkyeEO64hpnK=tcDC9}V_O`rrD(v%7%Ud#u
zBV|z$lb_PtB$hup0^*d1BOskmr#k}n2A=8&Oh_QmGWiQWvV3dASaJGeum7RT?~TYx
z&$Cs)lSlXjhe*$4o?O)iYMsRo6!e$m_-8uLE|FE7TxIPL{Rq4rsYz>i55Y<SW7?Ua
z9-)Do6)OddM61L>2t<r@ELO}47@z}89Y7$0?j#FDLNJ342FDClpo7By53i#$XQ)Ef
zCxAeA&`_m-&p&CXQI3xXvffo<`xh#5M%F*J$oe^wy1Piql;ep;XikxyCq?>y{N>;C
z8UNwThDxLaQ7bt`I<ujYi*;s0eRgc3N`KY%W^tVEbuKp4j7w=a&e#_>9H1crn%Pj1
z$2q=rgNnFzW|xQ#n>WG`PRkq4HQVWV!<p~&8rSL7$#?qF_kHlGZ;sUNRzMO?ZKYcn
z<AZ)rlm*FH<*oSk6>K>1Q7(wdE10)S!QI+$q9Mr4LI{GKQv|nwbxIx}1ew|`f<CDP
zGuUmIdk8YK;DQCBiY9;S6bwO056Mr%j{(Y7n>mk2AT@^;hr|RyRAsQIrNf?LMXA{q
z!2^n=tc5O)!UAygvm)+PNN!wm!KrC%%-U>?LnW5fnypEKCBP_8HlPM<&Qfc(CJ7x$
zdUj4ULI$Xn%y$ReDI9sp5}DIbrK9KDvt6u=unH}*iuX;D`TiVNOFR03gW{>BU2-%D
ztj4#CWtD#ior->+roQMGeVvp{hUmAQx^SDSRWUdb_9549<)6qEWgnvA8_C@G)UF)g
z^nIfAFbHghvYv71`;DQj_V`|-<(>be<1$Q50#94MFA4m*pE~@R*F+Ln7iv{Cxb<AI
z;?1ny$3|7}$REwZ)v>FzDqXO|J5E8=m^%6;s{-Iswfh_tFE$z8Re`~4T(^PvNm8~L
z^V-qrm8u4dl|WgcE)7l^D`r&<Mn$ejy}CBcs|${5p->&&fe!K>t1egvIMv_#<}Fvb
zQ|ak^G5^~vDx3bD|Lv2Bf1^}a(d5`<aZ%Nd<|*#<Bciw_y<n-hRFgufnJ$qDHWAn<
zxO96D&{IKP>64ByCVjh`G6H~l#VzQmDriol-d!5i)XT^%YSs9hMm<j&_3ym?+rIGk
zN7SMme{Kqm@elCFCEaowt`SOc(BEj(vRO8?r`pxwD`KP+O(EkTHjL2O4SGSE!XoH{
z+xtk$8MV0JakT;C4p&@)AGcYNrqBfuE-<p<k6CsOO;hNC&_qgdh9O8cNy5HDFv6(C
z1qm?KxS^2wgrGz%E@=@HX?B-_G|fL-)S*jqOg#cc*x{8@t5lK%<rhh^6PcuymebK0
zwG>GpX904-njkmUewHLjPsJsH!R74{hRTNIchn0|<2^AJsXPMR$k-J77blp_5s7@o
zDU@!?{co7>_~hUD-}ojz`FH*|zKKtI(8*-zGZy8QfJL!~dc?roI1HJ#d~3gmMfn5Y
zby)xGSPAP0R%$2$4D?$aCBKtl?2?l(9651#$VosDi68<(vY><^KC^98Q2x|0wV;F{
zj!a)KK#&2I%7K0@+Fde6E(rZ6&3x!p?o9rZFvJB98;qoY?!~lR32gE?<`UKxP<&l+
zPfb*xV@CNy4xtoQM21O}bY8JS`GcQJxf{_bJc9Da>^%vVz?CZ*qiRj+UQ32Q0Rnd1
z6aF4F0Csu|s=K4vHyP+B`KoHoe0$JhK<aR=xfWKsO0v2?I&hI!b1k!nE6IF=_Mpet
z>&_vL&E-@?oIe~f&<`wRtz>nJlp>8C4ouqBw@67KY)y^^)LsZo{iu&V*_wzBR&y}c
z;b2C?Q%`O*u6$zgrT@#No0{Qa#-aY>lOE&c9UPS6Pz{c15MV{OC2f2uFe8aY=Hq2m
z=oHl8%!vN<^WuQ#ES9T<Q!ULLZQfzDsXA%o7PZ!TjyBH|ZGQaMeB$T)U?g7Eb?QW$
zJC3}C0fbGJ9)ukF<ah=nKG+I~kPn<I3V}6e3;|jWXO0`xYbdxy+r1WHLNG_0@SNCn
zFFgpI87w*43<`fC;jZJ?9BuB8OWHoxl)%vsE17>x6>V-;bR{6TIY|3M7R=Emrw}6D
zj3}-rbgrUJOz*Rb(gq3V=GaxVX*gya9CLCyl2x?11nyX4NX`hZe~+|3*p&r}_`uP?
zh#_qvVf>)UR$t>S%_b)gzzSJvgafYIHT;a_!f4AU5AXbM^L0APZFDl|52^#m0j{mN
ztMQf4)o4%jh|TB(kh598JF`CR7R_dTIyz}NX&Uo#!9>PK{PcIc>-jM23XE=>YJh~G
zZI!TOgl!#-Dk2D)ZiSUZ9}3O7ZLvSu=)4ag3m01yf;8(ch+ciQtzZZ@2DuQVS$9Fu
ziP=a@unuWzBm`;JT@d+OcHh8J0GFJmMnaHg-34L%h(2Lc&DLV{5TsdmLGUFpe~lI4
zq_lmKV%A*}m0qPA1?RVvXmjhOn01#lmU#}LSXwdH4?b#wX&>GEs$orWcd?}9gPT*`
zB}drFqW19z$=A*Q>G5vE{>FiL!rj^~T%E!sgn0}Vj8u$q?j)3~O)7S_s1sC!_jyWJ
z+j=P5sXE#KUD?W5O$pPaN;)8)pV+@m0+4|WAT3Z$0n0?rZ7rzA{<59+$N6qE(Is*M
z!$|}>hOxhRe`!-LPgHlM&+*PW31{PbcgELr%7}k78{gY8zW>gT|670acSi+RiJRQ`
z7CJd?u7^HbtvU>KjHz9)6lPI>wEk23%3^94+;Mz7?bUwG(V+|kLy*ST1yK@UeG-^X
zpr2Yn8s8!aI%gB?5}DHYx*$-eI&uTkL^?dCG`=p#zhYBiTRVbOo-T;-_DZ9Dz&W%e
zgJOJL66AtPC4D#^8pcuM3UW!z66Ko6&ZCU1Zj60JEGt!~OM<+Vrk__x`G?|CPBPQU
z!nQVAEop?vZl=S!zj{pdK%6{xw4T4C)gRV&R@9kBN7?ctMxzr{PX&igTfVhP3}*h;
z_kPqDeISAvg(rEA(!ff@xT*HrE#0$rm}CPE4HW6p*qK96JS2m1qAH1e8k3pOr!Cx6
zs(vO2wWHw?P#i1G)y0ZZ^fN&)rRfA@pxJFmUkFN7)&xO+#yT$%F>9zSs`3z&lAj5p
zf=U1hGqZb}v=oBWr?$nZRvZXLoC{Kq&5Bx;wI%mUU{OR8%-I^l#gY=t_?l=UKoXN{
zf~cT_&Zj<gN!S~X>tZUETrK(cjOtTWm|gTr(y>9KI;34A(vVMC@$z%?by~i!s3-^G
z+`ZV%{%IQiC3fSnhndu7U3x}cdY^PMFzoWQ3#c`{$^f;dZ^5TpZ%$BkGsg8DR)>A}
z)9-ok(sN(-qWaj_dg<cX-mjj&{L;6*>*CV)d;TG|pMK_Du`|9qe`lU*yAfm8TBEt@
zc%G``uYKqL_sRbxS#&7@dxYdro|h44wcD)N?jbm<J)@6|;}MJQK$;=2lUQ^U9K<?_
zMK?iA_`4qJBo^HShmlUwnP-wVkK!7nlVJKyav13(7Tr&C5b2b0R`7JxmqB#eWoQ+L
z%a86ufiMn9W_89@pX?<hvz;O@HO)24dba=ieGa_rUwjfoU*}7h@c1OVvB}O?w|{WF
z>=_*f&x=|RhMQCJq%+F(H0;#vFs{x0`Im2h@Cx?=RP)SUKyuU`UM5HF;bpjC_og^%
zrxYpQ`J*5D?|)<R0>mY2<N9K}5QTU8B*o5H+<HI-5GY-?n{=b_9M^B7>9ZSLr(l4J
z6*)@cdcfA&#>_dcKQ0$UTQj&`pb(hDTOA4QaWLjQ6ZI<*v&~qE*gD7c$W$`-fJ1NA
zO=vx83QI7nw#Uq%ZZtR@F<fq{%6?(a@>e}yi(oN%_96Pp<sa^7HvQc8c}ihY5<aOg
zC_Z2m22*3_Slj2^1$z0y!xtYuclqGOhwpyJ1>9~lxjy?2USRupT!eX%D7ZwruW;Kq
zN62trDg%hEyRwa6`ZXW=i60oNA)*rpoGc7ek4tp%)D^#6Lo_Du{$e9-qZhvR0MQ_&
z%N5CbTd$aFh-tjy(s&NMJfVaLzGC67=__C68X{VxG&zo{q@~+q#j1w51>%)PbdUC{
zwv3l+hzDVRpx^<u(dNfgHAH~bl)4w)FaR*sKU;F%{&UzRCFmhcv@~39oMlx*EU9@^
z8x1s_B&)k~+$d@a<54WzpCt3`0S~Zp5u>dOEwjyeR`=()CMp=UPB=sLZy$9a)Q~SU
zwXGYC6QP#<q&X(KOHX&Ge&NJ-;Zkr6W9xsYY=00f-(4Bq9e1>Ucs8Zz!?TG`6ixYe
z52O7r*m+wNk)VPSif10fNM|^?6MU`HrZ~3YUC0>5lz&g}HWKdXTjt-Z&8hi!dwas}
zif#7nb8ZlN=L;`{)P&`k5O!r5JN7Q$=8jp({Cl7FhkoWeKK3=Q0hdOk-8y;C&5_J7
zXd7KGG5VoSKIY6?^p^tr$hu?X%e32~WKyHQ6a&v2L5X-uR&U3lxlsQr?TyGQR(a2P
ziq!2-k$QkxxS<cP9$d8<&8r8Ou7e+3J535gp4j9^n{uwo@xfJ@DTR*_xrKI&PpvMF
zZ{m}$TINbUxN0X$;T(Ln6hCH$vRG$KCj+jN62}+&1FW5f{%XGSV=Hr&JQoM{CT*Yw
ziGxw^+gF4Dr6>I2fI>AOKzJ3_ApUFKncSdz0RxK=9g@2RQV*@9C_hUxSt=ZDo=eP{
zZY5K4|Dtp=9YFrn9$ooEZB4wXELD(SmxNNa5>R}pNdlvV1Paa*ZbqjkT-#C0n%11W
z2Lzz~MLGl6B+=js-$vGiXnXX0kI)zfM7VfuOBqX@?-9zZrG@(fonh=Yqua*b^!$sa
zxh|LWi-XPf#knjm4!-PRzmn$|LjL0LV}5b4m%ljtc%~NzOZkh#kFlzsj=wlKo#7Yf
zZH~-xFUJ4EqRRY`)R>IvD2ED@EKh7;lGjT>l`{x=cLCd!+#d+w=2bgn^S@qS@cDpe
zjeEJi_onsY0#9q+Uu;_1pWER+thX0<7SjH!3*^P}{_^mo{kfg?$K<q33AWx`tasJ^
z^4h2UYi|Y@tXl4HvEHZC+2H3?sh739$FbaIb+K8d9oGAcO$FkHKz03OJI;Tzx!BN+
z_v^P=UTn}m5Fm00)cbQg?Z3O&WDxmgeX*&2|EBr<vYqzF`R4n-*<Nfa5dB8#oaqO5
zE?7Ptcyu`1;tlH6^35mTxJml*-hFK0;Z$D{-XJz{t;;5s+F)u+nTdBFi*3=r5&tlF
zu*%(g`@?6S6*sPAXTnWnN~gR)MX>8m_REz8yCk}rBD(Z!5%(9UL+2RR?OGB|nsow7
zVlczR^hG(HCbe-rK4T#3!4nl+f2{i4<#R7Sclq!c$$!aDD?jPl4uFMb%$_C8WAa_0
ze?8@{^vjhK?z^<yg=cL!JZ_+_G3l;wSN@K&D{s-O{;n`~`HuTv_wC>FktKG~7|UZ9
zi@2C+A>|FcCX8~|N=T$Ka?azhK9Ku`Nt|^jlR*XMD-!>07<4vx)hQ2ZdKZk@@Boar
zf>d!X7_;HQ{oE!|sJmPcv66Oc16$e2;80Jw;1M%u1+EDXYf&d#l$WdsV&0PqF89Vg
zY+up+#6we-WNu5am1vI>_wxh<x_aRjJJ6LD$GZQ6d-dvK6o04kcIfL+PS@S)l=*Ph
z<C6;9X_uI50RM}0jZl7uz0~1K$zDoVRPtBY)s+n-@_oz7Kx$kN2Cjt*$UwVvK$-uR
z57>BAk}nDa3wOSrcLxs*7BdXI`ulNw*-rc8e6xdurnHl~%p_|W%jxBDi!2l65!w`+
zTIuKOEav1S3GLP$=V1QGpZmcN{PIVP=rK;bl#bWFlx)^xh|V}Gnw(3kPESeJW0N!M
zg9-Dj$AIW2;d)=c&uE-fUgyi27+Bxnrb?{3VO?co<E1ak#Z?LaHea7rCf4SW22;0(
zi|ndH!B(Dn<EXca18LvNPnY*3>kazt>Ah)T+VWba*H}{)@fyo^+P_sp=yp9x4dHxY
zKT4c{yvIq+u2fQSHgau>5|E7dW26KA{cr!AfAHUq`7+!6mP6>7FTq%a#`DNJ01fBV
z0T4u9r7go%Isn|@LXU&CvQAT5_GLl`AP3UAQCSD<S1U4|L;d4q+g85p%h<R}+6kRG
z8UBQhs&W^Fi5;n~9hN(zBn=mZPlXGDLQa9IXqIdG?oLuas&bkFlf2{lO;36zG{9uV
zqHEnLFw<QU{|nayDxrEsrJ+5mh$a=lcepa`u$NWtLzA0Hr_{NRPU#9ds*2q;k(u_d
zV|Ta`%R|;Fr#8Ujd~@!C4e+P9Hd1;GrKB3zwUY6X`_oAz3KVw94nP>q@{f_y`W=7c
z$tM#eKzqDB0CR$g^G_-Vl_k@$Fol~vq8tWbPFeBPTll#7p$A8rQ)#(iq02acB2*)_
zSdkB45!3*TR@i6rgdpve3!?u_M}QxJo74Jz2vTXeAe!IU0!;o5#B9xvkpRpk_e-j1
zO5!X#l1zEJB)b0)M#`Fdo6Zvlmq)fp4o*L(NpZ<Ee0OVE{j?@+ic8`b9rQdANNenw
zB=h|_t`JJoU)=6+x$xU7mXdsfpwYFZ8q8)!IqGZPNVTg2xwHV%N>-(Gby6>Mb~5={
zmv;1kZM{1p-Yl=*I(opiOjfoWJzyKx2fAT^MqN;-JgD4o%QV`{I=^v4QHeqL7CS&i
zpG5kBZs1kcxloJfcS{F^K$S0+?NG8S<jZq7jC#+i@<Sm|@6YYDzl5)G{%oPEY>p(<
zlONqA*qi8BDOOzP-)C@(=l0}q)SlnS81~leZyb(JTOJ0giguY+`}m*x2Ve1tuVBjQ
zxfQC9^jZ8gt${wVom-(oAI2wDqIc?Xw^dJg+-*=T+Bhl0)&cG$<Dk7x@mM?B8~Uos
zB6N74>ny?}Yi~(DChZIDT}2F9Y7dWJwnKZjxlOubrCJA%TXerZ_^2i0>h6=r4b8v5
zfo^tiMhTRr>E>(8Nm3P)V$$`ssi;iVIn4fYP$|(_U8w&|`}Zw1Ix7s7eFsZ**O%j_
zbm5%8UE1&-<>Y&BQET7kcIor9OCLXT@t*IAMk#eR+Q<)+>4;2*vH?O_q1*1XD*=ja
zf%kAlG7fMrFBYBHB8L_c`K|Ycb&eHtWMQm^JoK>>gXF+si=2|AtdC1_E7!4P75N>H
z=)0C!g<zmMR>_fHfj=P`E;#2L)a1wyLxxzHB<&+8P?{C1$PbLu%=?k|QAsTGl9G||
zD``bcNG?bfS)XMc`5iYzs4<$v$e-$mk498#NGm9yg;J-_5e@S!pK^4>&&}7eS?sud
zXkkf+r{tT<UB>cFSvih29!0gub+PN&j`Mj;K}8#Op{j*UR^H*3i*jugcd8#C+5cq6
z5ye%gDTU!BJI?e$vg3S_)23V=kD_45(|Zm(j;p&(b{swrIuGwE#+vQ;Obn^LbMzk!
zccUMnm-{`AuB*iz40xj-^S%OMDv+NB`E++pT_5{vU;lBxJ7Rs!T)Q3jx(X^juum}0
zd!UJ1FiCIO3CTK3%z7?d;s3rOz{JQ)vQP|KleS1i#o&VbQlHu#&4q{+X~$i#bbswI
z3{V-*LXdXc1*4FKj^<X78rTJQpghxaL<3s;t8>|DyTl-NT2ko7eOr|iJMK#wi5et<
zNm82|Cn<KkNFuu|bdQh(>ZZmRi5+*zsJF>1g+?;pohZ1WJlK}-r1a}+(zVu#+kzvu
znl<zNDJ8c(xN+2d@?UfwFG3BuC1?SR)@}QH<-?h-945p8PGQ95(-gaJj>OAAl7h6o
zT<pc%)%7N38cxaUN~R=v6yiU*M`07mr*(DRGK6UMC+^OlF!ghdm39a<4RVF@x}#g9
zPK1DQ^<(x4stm@f)1UY&|L&*!@RQLbt|K>`K*a`dAc_Tr3a$UxsNf@}FiL+A=k;m=
z!PYRMX<zZMUTJBRg+w)NAxL}Wf@OG9-(X+*6Gd=+&<^M=kTL3^#ESGMToAB4V0&Tx
z!G0#Wix^>~FqR^?*$`?&!4=XXG^(*8{RtOD?=@*-NXY{Bvqn(-3714a7<-){3CFBG
zN%1FKa=XV^MI8v`oHcQ9@h4mol+>7$#Wgo8Blk{{^e0>r$p{WfmI1(qMsms1Y=4RK
z)eK)>jn(h7(J#A((O029fUtaXIju4q>&2(Zp2TH6yva>``xhp^Mbk)Qcg_h&b~|F~
z4*Ugia)lt&;$*c$j=EWTg^L@IJiuS7)+z1Ji~VIgY%EK!xpfM~iIb85jO(k~Gm;Xg
zl!66a6sMy&=rH+GC_X(+V2|yH&A4$XcG~i-Nn$DXr`Nyq-oJlJcqSuwGmtI^00k8|
z7M=}8UD^FV9&D&@U(}VuGf;PErzb$kov15^XGoZV0vk#N6pe>An9AYVaV-;ofGYsR
zr4`KK8HgJ>C7sQiXiRN`ncU$JjU8CB6ZQ~b;{nnhR2$X;(hYR0@C+OxoIl8}k`2Pi
zCX~u|2lICq(qwwTaK8@Y2eV^-^er;cqIeHLc(>?Ic|%<Cxc6Dg2q)Em+E?CO?2`M{
zb|u#xKi$S3CCPk;b{O9**Av~dK<_laDBq&p2ER$}CDyJ3&eIHps`LhssoFduL($VJ
z*;)t{^%$`<&bIno#b6)oL(U%S!l)hFhiN%y74{a|+&~*vrSB8ZEDav&8jiLotVP~r
zI68W~!Qc?Ja*E=8WDJhbW`yA(2B*q?uOxa)hKJjs=~t4iPWz*>TNyqGROxovPW$sk
zPn*&qTnq=U7CMR}R+I)}fBx@lzj1+a)|+$aNW1u0l$7m;kGKJ?ot{g|=P4=w^|x+5
z@@Jz1LY<~==(xmi-U*@OVY>kn7jo8^?_gHSgA+|f+ZK%KawTBmzEQb3bUdy$oWO$>
z5sYf`Hdd@c$K#T55yRW1&`ak)FNcn3&Cta0ZhJJ^uvn4m&(Cp(kW6FD9UH8!4;{Cg
zBV$a?{e_io1gp?-3;zj0CMA~`K3FeVhmMFs#V^^Sl~z-{ewLH1xEfTOnBm+PY8;!+
zF0qE$FgNB=ce3b?a`q2SXYJ9Q?52n9VeM)b?HrT~_sQsj{n4F4y#Ssn30-NX2%DwR
zUNX|1{;QVPYn~1mP_O&AT8K3tqv-AfU-@a@{la*%Y@)^+)K3f_T$`YpM=U*uZjU6(
zh7?()@j#Fxjy{rQp#G_qN!EDqp)_tn4y-dnJZn4*gkxs_Gh3Kv(5zS`%g_j?S+(5~
z1K;XHR~m0n+g2KHP~BF^vSEE&CCdi&ZN5F*Gw9o*(By-v$Wzj4x<|K`rvLs*)V{8D
z{qGF4eG_waX9L=ja}ve5$4L9W<3Iju|K2hnjOzS%p%2by3phZVJj&Ud!e<K&*vZM{
z<jsDGzIy>vpbXF^gmP}JIHnk**2&%E+*%B#L_)r<!7JCh#WhT+*<4Cydqh`yj9Yiy
z##l<=G1~-Fm0K%QDUph*0S%LV!=$2`3{U#z7Fupqm+iErN;b;yG~2qSX-iJ)+W)iE
zjd_Emyr1+E0>~-_Keya6H<OT(NN(j^jo9W$-G~7$4FDupK?NgHH`2}EkM(`ci+Sp1
z&ehDhn#<>6=v!h2J8y@E*7hIYGXDpD|7ZPypAXxq?J{mVt$7CB*@-on<|gxN(ssIF
z#Tv|tLKdX$bU~C*6o|On53>eaQ#BBa%Pt8hZKtmY>^rOX03w2ZmG(U!nvshOS{FO&
zIPlNgbClGz_M@P>56m1_JnWWI@X0Gmj@kr~RY8FZ`6Mu>3p-dAl*q-84NiSdHN}#M
z*xCyz+ObF;@ym71rPR8rHLDgubj~Ag;txMcwW*TKcLz{T!)l_TfeuSZK7x%esT(w1
z$LE^SN6m1jY@>#|ufX4DlvVmp7{CT>{#@rjt=u$N=SQ;u9c6py(JX+gyGzXiKmpt&
zQnB?$(*GS4z#SpSc-*<^Pj%<WEt)GdI^lLoU*;*((f+qj|C|@nj8x4hb}BSlg5dmb
z7(kedT>Z!yF+_|=0kWR5IvO#j*A?mtO|kljUQzt1SIiZfEr1C~8sgCVy)jhAidDW6
z(@mtF!ZGP>@uSLDYSSOVaD*(@hpzIKC<!SNZ?oLANW3av2{4KzUw5DcZA&>-z7p7u
z9VA(ySy+Q!{F*9XdDs9@DalfxY&tnmT7BCQ&dXYBIY@B|-Fv<{LbK?S7)i&LOdNel
zE}8n5OWmSKZg{aJoeYMWN^-J)pq5^V|5DW7)P_{*)r0-l^R25z?jzXWTrHZ30ba%V
z%GnFJJBYt&p4kg{S!Seu-@Cr?_^VO1)M=sa1^8G|@K__mOyj;rVo!`hj1`sW(q^Or
zG!JMX1%dEa+ZBQm`@7(l{rMEc_v%-Kpo9Z1h@q<zEyMljjAxbD-voiOBu6$@+$;@2
z8Y@cdZ-PgyF~YAvue{pA5R};81b1i^P_XC1SqDN;Vt+qJ6b=<Xx?ui+)-?n*_V<%S
zHbJnSI}S@}B?ZN1l4xx(e_^9pf@)2P{atduB!UyRedCpdbo6|8fEZ?91tk{-@lTTZ
z_5ca2zlfv<o%7812X5aMHxg@tdQ_dSv}V3R(Fg(FhxV2$O^#nrU~9DsOiH=xDxK_u
zXu{w|d<MEJ%BE`hbLPVS<+=fK{je2XuaB^(FVn#^O8&1;CjO0*gAmiU)c_dD5#FJu
zHvohOr}YK^5}K(+nY%xwe%YBL1H8y7G;ctlRy22nyq*G4mDY=>Q%Dsf>J;ph$fH4~
z)*|fP7%_I8ti*)n878~h8CkxKsM(uv`P;tbvt9~2pbR9Q19m<V#OqXF;9<e^0EN^C
zVGA`)5!^6%fZ!JRf6Z}*AQg=ZCQeUp^bSGl85gv91q?))Xyv^OL8_S|h|mp6F2cqv
zNIT$yfTYk?K@cWJbHK48?SKn{{Bx=CB^uo|s~m!~14R(yGgWW_9-8JH#SXY6y0I1-
zWnlt1Ek-^`i3VMAyI+99l<^qC;Pxz~c;=EIa>haB_q3#%nEjCNPLO|M&?z->b@Y6D
zwy+d-o-8(J$x%%ldEg<WAf-}_*kb)d!zCdki&dAV!C0!1&x!WW%D0?lb3U<2iD3mi
z2ZW~?fI+6X8v|JEZ5h#!H&(#YmhV%*pYUhC^|yU<6yf>-EQ3rNe8n9c0-umwrzH6}
zn#b-{^qRXPw{mr6&`<&Uibo8JftyL@S3rlM3UXf>6>t#*0hZ`hv~rn87>A|;cEK3Y
zgW_4+phX2-1j~pXbULb!87oo&yI_pyK?+S>%n%d>?2<8~XTOI3TK^hRz%IF8kj@JV
zm~D2QHR*`EBp{2(d_wYoX+4@=^rKHwmZD6kM^u-Y&wACQ&5|B$m8I^N{nRAfS79qk
zGvqEYey5yBR)O-5&Hv@^`MLSJ*`!2Lkv%!HnS|>!A6qiF;iF2KEqqjL!UI1Y<7W@;
zsVZ{LncZE^Y}zeq&CJ}}be`U(|MV;W@mK#!#7bIe_E@QaH;|P<2m~-2COFZ$1<*q2
zGF>q6GN8}WsKLH6S_~IN4;1VvZ93Y3)fo>#$;lWN1rQK`=OKuCn3%zQXi3SKAowS3
z9Je%d*XJlXGZRFp#5jQXk^^`%YeC7G`Jr_NFa?*=JCF}8IWs>rTAC!X*fS(<R@9uC
zAKT-aJ-P?lV>fsiKxXAEaklN1RG^`+x!llH6V}7Y&h_un0cC!kDDcUehok1q@(<FE
zACuexbA%e3tSRnHC7JIJ`lmIgc|g&yg()csf*;+vRd6|t05op7=FI#TVS=C>9g{4Y
z(a)2d4|N41Qga`KMq58Oz1i9Jt3Y-<>|bs(K_-Xri3;U3ulAm=!!YA;K=gd@UHp@|
zBLgM8W;o59G8^gYzNM6zKH;ajBa>5Rla_ByDN|-Y{OAAmKlOEC2Gl0I888bC9Mi;s
zrHCGog@V#Xe#?sawuYGjUom39?YhI?m?O4`0gvEChLXEBVsqXPpevDhuLbLf&3Qk-
zzM*A-IL92ZfmH&AhxTIK<^9MJTZt;c!rWmysUo(chn3XBZM0ZNY(ryJ#SHkR9Gt5v
zW}rw84pwE#%q7n@SXK2~w{oGXEVQw1_u(k0;X>cZr>wPmz7`oh@SR{Ry^q3`uy4=(
zUboWkmHH~uYYoVybc5YdU&U0#Y%kP^-tSY)yZ2qa<;zduS?Z|KoJzY5o+Yr#Q#+3G
zETK-T9{(86(hzBFR?LH(wOKL4&D6A4FJ*1TvxMQ4TKztN^Qf^Nm0~uGAi0|saFuI2
zQ!C~rdIBWr27rFeHDt96SGQXjOQ{tD0N>29hGeCfF>6ffBk;Nr%rr-@4CeyPe46rG
zcgAgtf9^-$W5Ar$^D$+-d5A{6ZdJ1l?S4|grs2~Vv-D+7{pmu(b!wG)xmtbY#O43Y
z>2^Keqxa`=W~bG;QJ1gU>m<l7R7fO-I^=%3^o~RCr*C)nCMagsMcmUFQOunZ%A6mZ
z^MfBAH2m-Xx$l4NYP6K+)1MJAbShAl|FI-tJ=1=pz33Yf2|{qJ7d_kxM~s<4L#UZc
zdBADG`m&-048%}i2ndC;G9Cz1Xl!ftKfo(*P3wz=0ny{oNjD+K3Az%s!HdSL7`3c4
zGGg#Bd|nOTowcIt;V`Mj11&v|TbN<fQ$B+)j5svdVCPo!M`%TVWlevcjpuAUKRk@*
zli$DltG_gEJOvd;n@FnoI+m-Ar)5<k*s(V`IvnIa&cWdzU?v;SL3m3_zku=>XcRGZ
zJ@A%nJO|+|*?114TXOJ@#(NS6!op+TOq<cIg7?Uk?hf5dZN|X>^<zErNFgY|K5TrI
zRV}&F{n5G0T=M2dKCFM_NK5Qlo8?@wO2Ks>R3<{$_+-Xj=gio~EfLTR1k7_Y`Wc(i
zInWm{^^_=0uQUSY^zE+Qoa1N4{4;m()6Wacgf82Q&s{!z=3=22<!lp!ne%>}$Jo90
zQ$O+#e^un~74hJORl`4^OzQX=@Yc5=3c3I;82B1AQYnJozPc7)vpyJnKLj&=qqMO1
z5!dwNYgP=TYnVxeuNfk)p$p(YW{|i>Qh$yIS+1NBW+^%B3XLLhkx7~hfLblP_nMQe
z@il|YHPVyjM;~Oa$#(~rko{w1!`Y&XzrI8jzQ))&iLXH~eJh#o54Z~0!;W9HMZaPz
znQu_(nb>atY^o%0<bY_jzf2rBr~4r8qWE83(_6}H%Rsv3bo6*2;ibeUmB##n;v!w`
zv%lhq89fHRie2RscLRX8P1?I}f}-u5ygy^|9vO)A>ciW>)dj<YG)dC=s5hDEzfnip
zB>c;q&7V%fa$MX~u9j!b6L|jN<(Izg>1W>cpmcc4B-tqi7n*uk{v!pKwh}(0eb0mT
z{iHv6{DwD-B_syZ2RR`zm_En}iGWb`46Lma5{ISMpnKYAQuH#MXUGYO!IqaQA#r`j
z%TsU335nq}LrzExq!}hz<YK7G2eoElhjn`rH=Ubc|Es&6!xa09{<pET%_gO~oil}v
z7KRt21(rGWFP$`bHi7tDF^>mfE+(?4S^>02HLO)($kh&2nYpp_9EMPJS4&wsvA{NE
z>UQE0`KYlzvUEs7trz#+sQuP)eA$jx7hrLhxx1X!@07`Rf;Nfe6!INabLoAbJ7=D|
zd>~Uy@q*5g{meA`pvRFRiQyD?XSpC``hR)meSh$~MyCQf=N#g7^wZO=HI5(z?9^k<
zRdzV8w2K2EunwOaZD|o)uawSYyIUAcD^{c}bwRY)7?s=}T(ZrfX-kVB3EzbF1WRj6
zYOF|G8iE*mtAdyv*sMrfS_BJ09EjrD6=_RdF!<rv>es9&kZG6P7B06vN(`0cs4W#!
zcV*}_+8?!jb!Z#^UYpY%^W}yvc5yQ*!e&{mcjM}uBq_RdKX03duKstnq;Gm<SW<h0
zM?#)XR5~FAFA>$W<=cpg8tm`B{c~S`N}K+&GjSnD1N-FwWG6=hhat#Ljs~_s3`@H@
zRAPghsj)ql#6&s`;e+ZMue~*MCN^7}d3qofS6yq((ZB%>dV&_hJX0H~7Axjx090N!
zb99TkU$bJ>^noedqzPkrvS;Ec`LgT?fKsD|s6|xFyJ*+U<;gvWMGaYmVR4n@s8*!*
z5`7hFY-tdq^R?-#>Yd1KlJ#miyAl1{eBEqvm6YY{!5PhM`g5DU#08h{ungCW&p&<n
z!owFI)&awZJ;Ajprx7dGnwdFqcb>%E`+xg~e&O>Xai`R4Ewv?56d*WcFV1pl-n4PF
zS+HSS1f&|ZB{|K+Y=j_9brIZQQYcs4f+elxmy|M<(Hvs_gZA@-l-Z`J$BI&=GC=@t
zlo5K1r4@!7f>K5_!6T-lN@EdvVF1CQsSZJ@C79qIqf8+Pc96pc*rQrd%7`xL#?nij
zc|~p0H%S|SfhEOe=OstYs#-h)>jJdfXI<5eug6R1e!aagJw`2?%I0g?%-{3#O=V&~
zu98ftM;ZQijy7H)(T2SwBYL_MZOowDy94D;yG64ponEFqC2#i^Ui%~8_a6izM_KM1
zkz<^92oxk82X;_SArhlvUzsT_f}0hm5r7>O0|{w;GXEq^sSBc`a|u(56+zXhp=Yc}
zQ|f}?rA7jfg5Yye;~auCr7nmwV@C@?B%HE9D;0t?rA1JIu~-qKw>5Vbf;6Qr2x^6c
zw3Qy0q_nPAq$zbl(8%eu+~Z+s-LJ8tm{OMn4Fia7V4eFeq#$x!a?5@jkwjCgW*5_%
zjCx%X?u|zAM-bj<)-XvjC*hKYTZaF#SQzI_lFYXUbI#R^0GYMTv`CWq{-CVGJB~Hc
z)}tt&B$;mz7GldYB^T#l996d=f^>Hy)O9e{gv&a8sWKgKaO)qaSU=@xzifXSke&))
zIrbr%hr*NS`!w!dG(|csx}_o;a((byQ;|)kFQ%#>s&agtqbesLuWE-}6<o<o@7SM=
zIedy^e{q!4{@ji-oWg6<{;0!MRY?fcC(P}%zf=*^{$Rj6g)_P-QtIXLs-VGf{JCxM
zH)n$5*z&V0Qm``j-u?7@UWzG?ProN%G*);jX^7tX)Y;)U({9o1irkJX^1HtJ|NXXK
zi>O|2#_hWzgC0gUb$cB$1p@|l55@et%FqP7Z9M@F5ar3mAVwny48iP*98tXkzy~YR
z!)n~6+7)3L6n?`NM1snH7b|90WY}#W9LG;`*ll0}-X)RB2YOfzPQu*UsS~(`OCB(V
z+J4VU%C_146C_5FTnn@bzx)wgk<sh}?Wo%Fp>|<Pi4|5Wos$mbtFY24^a>^_=Ou+7
zxU|2p>$1H-PNIbdi5HQKxbhArBaXlr)MC+h46Az*mZ$YPfaSSUuS2j)asDfK9j8pH
z5U5l*A$ob-Yq)Eo@;N7QcR2}DQzO3G><HYBBk<r;fAO9FYd8X0YjH=Ql!aGojyvur
z&&ZYd18TvNYCz%~9kC4KZ4K)ZDl3BELJ9_ZEUl@A5TqmEf`<*m9vDO*_H9^72r_Od
zf~Eg=f3zWzAxKBS1v#)vCPQKt=OFzechII9P=T}sb~*yS;vSU;W6xSite<~%Rfz}d
zzY%v}#ky(^o~>MoNBNy=BE^F2&LGPd2DRXza)q|Sd>lugWVDkxHiD00iPip|6y6t;
zUHpM7aN(B~!?vfx-U&(KfT^A<@n@{WBfw`m!r#67*aAj6`fch>vg>o|*_N1?<;<Qn
zV}$R>^`bxVICuYk`>(A3&)@J-uX)YAC*K(HrQ3Cn3=zH9Br;7dR(brK%?1WmCy^m6
zm*6=k{BtrJ6>|>SLg2AJbOdvNA0x{Ux2q+zvx29PQ`s0n!^Rxe8c@M+Dg!yd->(3j
zlS2b)*A^w30DmFA2e`2otzZuDV_F)D6KxWrt~Hc#X}b+*Je#mRZU6%PEqa$|t-<fn
z8U9uU_&`@G29cSI_B5*iAHJ4OOgkPO>K)fox_|wKmx*b|<7U@cGvA+>n6^>LD!q_z
zQ0e|fVnya*R+7~nLMJhPI};I`b(?~btg)YGnwYj&cdmTCN0`a2)4OpIIy3U5-Nn}=
z@0)m37_kWqO1?*v{3P*g5*M0okJm)hBWg1py7wbhM|5F0Pxc`y9=iCg)JZ(U;qH`g
zqm#BtKxi2wWjv4(Xv+?;{V8LBI>$+M3e2Y5=l$VJRNYf3Ce#PycBB~AGJP@~e~+H2
zTxWqmwZCkq{iQCG_CH==P)Yj1YrgoYFeKZtKQ3549lbmy<AF`6(bGL6d)!CD=^2GF
zJ)E9PAJ;rgUA!v2DiX9vr<<|Z6b<q2hnFwG=W=3~hnJ}YCEt0cH#X8&+67+r>#a_m
zg2MQf*FXQ}H+*#QjFc0^JtN)1Yq>eH*NJn7FoZ#jg?;6KMrMBBihd%UOaZ}>xkEvB
z(lbtome&tmjT2H|A`VT%>w=|mYrjKy)tn>kt_ud;2?`Nf(TEjAN7|2pgGG1)d@ZCj
z+C$Uo`ik&}6rBkck?!mrQJcObxYEsiJIGXwia|vbq)BcME49xDczo@_#WV7wBX_hB
zbOU|E_U334s;{}A^TOQ72K+YFB^tH)YE>V2_m*#_9Etr?;TC^zwT`}2ol|%vUE6MB
zvy*h3j&0kvla6iMwr$(CZQHi(PWF1g|7f4At7g?)bvSF{xyOJg;U<K9*jteKhsLXO
zj5QWmskqlSN`D|zA<XKn@UuyFNT!j8gjn+eC|O}rmz)?yuK_nl=%<aw*s+-audocN
z?=!0Qva{hf;#88eoft;w0-O@yhu`$R*e}JHCvxju)eED1KG!q-qe8Ul=;IP;;jU^2
z^J?vwXP<qF(gpgQEG)z{DK?z1gk<D7LdQT3EEaYkuq&f3&t7)PDTWHCuXqdq?orUm
zf<>r84_gLS_I?I^U(y^RAYXMH-p_PHPhYk2jsJl0Nxq|<NFIeHhB~|82B$JeFcVl<
zIQfQBcXmuxm4Mc^0v1f%o!QjLJ#9iiZ2%PHtoN{|B7P0`r>=IhBR!yp{&|cH?EV_w
zA9Wl0)PeEU2iVG@x)9YTba8y5RIWFreC_Qx-&$;O@j&SSuR(9e5X?kXbdMR#q2d;6
zD=`n<Hm^C+$Qob!lS7?TbydOI!vT=<oqKrpzviKmtyV@lt84`V;XRa@Ot`dlSkiwV
zADnnN*4Jox|F5*>Wz;OWtDRS?s`0e9f0jd)Pi*IqJ$w4XATj-al{qRn!TipE`R5^k
zPl>HQ{P4*(2`JYY58&_SYhC>AjFdreX1$D*dH!UZz6ES$^UbjB{!G6ZC<!#M8r&`-
z-e}c%abjU4%Nx?DO|s>B9g?0;dcM}Hg#7WNht6zUiJ#@svSQR<0B|OZGX|MDgx&TR
z-Y&j)tyQZ_Y<=IZ8lA<KHh1rh<$eU%6EEab(957YM%|*fj+W0`W&iR_*2g{v-hOuJ
zzUjfyDQ$0mBm~kHbh%dK<g(YOK2@AK1yJ@V%u2)#a^Yggq%XapB-8z^w4ZKBZx-nA
z(PK7#A({5;r+$tqk)EAK+036$dVpK2rF}2l8BbbI4Z6ljlTM$aHiHi(RhsCu5!*$O
zEdgXg-58B~cm@+GDnFUn(;FOK{@61XV^BW7O(9*5Oz?BPym|;}P1Tt;&@xbsPM80v
z5V<4Bg79z|t{*<UAf9GQaSVFQLS1&t4S*8+L~hh7-#SZrGg4`iX|w3NUsxcj3$;&{
zH<<+fABKm7n5y@o!<5$-4x`>wjAszRY!D%vEjd&OY=-ZfS}E`EZsDqyWki4g40FYc
zLyTD&e;ERwt<l$*O{l*%VHk6dDl#B`f89f96~StWPn+N+$d7`QtkB$f1WYdLFAvnE
zf1T5F1b}5AEMlXjCh78}<=Y>sthzbH8%7rFT?xeiX+eJgh->RlfO_#<=`ZYJB#Aat
z17HzR%$X=-Cd0qg+MN=ADQDA#f%IsPEF&X&*~XEkh(S&Xkl%(*zlT13FIGinv^%yn
zfB6$pHZhhaQgozX^K;wVmaZ;gAboH#qvFd>Tt6LzW$xL-*X5#SA$nq$p=Ey^gm&)v
zJ96oLxL~`{Pe&YtWbU~%6VJ2aOJzETp79?L>)JQYk8A2ZGB69`XdDou2<P(6B8QIr
zTnOcwyqi%+L@PWhzrJ@)Qv@{UkL#i{p%cEywf58ehTP|X!|oaunIX!j1{#@38dWTL
z9t#BeS2q=o4OdwEz&a7FGkz*80XZD2ucxvjy0~oq!)Y5l^~gw8AxrWWq}DwIs4Q?5
zoWYg>d4H88&W@SA9>WfgO=cw#r7g$MqHe<2>N8yxa}`c?@p|DBbeTShv7ar{TpHnN
zZ0Cw?|9skgUuykwLvpepJ~S6VbeAVnlIMX@2rQ)XFET|=48%|cshdzhozAXx<6aZK
z;+ZIbNq)q?C~uwHYS;6-P^eV|#R^!`6RGpNh}C;T?!*Y-a2Y~gju24E$4~+NF~0$9
zwTAH;Q!>No<<(Y#SFY9jUDzXB=U@JJ5sMF6k%H5lH~c%oT_{>|S}QfLQC*cSkut5(
z02etTW4%inZC48DKtwfmJ=6y=5+nDk4-h&z{}e9IzqpomnT}F9<}%A?wJNtFJN!G?
zjB2{qf?DS4b4>@?Z+FKfnG7~S6=#^8h1>Wn+uWqoXt<bSTMgD|=zFfl_s#bcJQhQ7
zHpP-fL@SV8F7kf(`(M|C!G_wZLOPI+6#}3DXz)D(Q^zWs6_AcU4Je;?v*aWIE8o|m
zM~;mn3H~7e@A_`f&~wg=x>^SFL=s*NjBO7tIS*7`ceoxv^0O1Ut7GG*B<C-Kd5l2o
za_byTBOQSPQgbcSp`=7vl8<7AcUybeW9e#hQm12GE9T%Fq~Vieq>$1<@?>tcSMIHd
zU;;7PhQh7f6n)2>SWt9Q(=lsvAn^>25GuUX!u<G8t6H^W#WA%!BL&?zK4L@Y6@Kd_
z@`Fw2olDMw(>4VPA!o>dJSM-;^DCIlIdjKFFV^1KRbm^&rmoY!qnYem*_jKH)v@(1
zbX0v`_fzv{Aopt;`W9c|<k_8jDq1NKtGt#nR&9)b9=<5X6Y7K;59E)_!7qeZ|6qe6
zA^OvMguE+xj6Db;XDoqv{2XU03gIV$*7lLC(Z=sz?6#G->H?QqhBJpEHONTeFLMdS
z^+JE7Opu1Gt|JUyu3Ld24$Aur1<@RHl$f+zPHZ4It0aVt1<HxRZ}-G>|IE7#IT5w(
zFn>Qtajd6RMt6mr2=&6T``gq~d2Xo7v(<mMzH6`=r|*PAc=&ZP9LTULECzDmk|7!f
zA!UF4c?eaBgaM=6O0x=GR;sC|{Bdn9!cVx3I!uhH8i054@ObkXeV6iJu?FZgiF|UW
zd%ciwDOm}u^c`#s94Zriv+BAGAf8k(??FBa3T8hRdv9uc$R2n<44N+($m2Ylbc*p@
zvrzrI5YxW7M8d1^Af1&}V?T-55s!{Tf0?1#sK%z<4KPC5Jw`qYoMUK9ovDMWDWt8L
z1f!f~x1c628=}h2`M4JB<m=3}c9F82^pYLV1rpdWhf^XiJ!>zn@wpMtA!<43|NmJv
zHVuA<o;t{B;~2+O4rcU55x%Aq_a_puGkg)G*(K>Y<XS7VC-hn!x5HWCVilqcY%&vw
zMRE>?#LU|HSj|#}vH-$#Ak&x(@N;PWplONNth9B1`rnjcUe*}$!Dx+ovQwyx8ct|Z
z60EQ%Ls9=QmVRPn6>UwOZ5u9?bX?x^E(&c;!Y_fpqhEWjc<!7v+US_7Jm$J_HygYh
zbed25cuwb41TnRksq!7E@)y0cIY{i^Um*8rW#IFf63+$iwT|c%aFottt_yn4N_l65
z$$YOrdyhvK$m@LqTeayY#6W=SGmNpco-gTzHwjNm6Dnd=2;&fd7{IUAkR^Pay$pw~
z?)Zxl5HkWi_bjj{)8Kb}x=qxmz~`sdpiBZ?w``&wP|wjwk!o1nBBv$a2mA#rWXx)W
z;a1VZ7N0Mfv~=;iio_9C(9;s^#>L9%=BCP#trn1nzg7OUA$PiI`+Em>K*7L0_-6+v
zUoE#Nd7NQgG(1$V{N|Rt>)pf3Ui?)X)D*-sOXMCXt6@-sH?<sy7<<v5>U#)qcFq=E
z_&4pjTU}&_ok@#vMKTLermNhTq&}rN-xRk<imaIkNW`Y=8SL}{q1LCm(Dxw`^Oywa
zjTY#Ou+3UnCx0R(J*7e8)fFYZz3MTVVZs%8=mnH%>V=S5aef9aDUU`Z;5`aert+sS
z;U*>cMkym|A$jv9pn^$>rpgswq-fdFcc20@hb>Ys#28vW_pLC82JkN?+nbR{l;geK
zuG5L%l8*hpFMKdpox=VkN)r(N#S8&sbH^eF+p>)3ItE%3%9=^S<`bF0lt&M7l#@eB
zTXWrk=d=0%^yFySKDp=nsTu<aOtJyZ{_VqLvh$hp;|o3=fLrq@)%XV;E=nVMmdV!O
zrOR+o>GS;{=Y6V9id2aWdSpMJPgK-GBiq5m?_gsHt2&Y1=Vu07!JGsQ2QhZoz$9D&
z69ofG+|YC#!2vj`KJuZc^2eM^X7b+?V1O7ygBg)2O9m~}^W&xt_F@dxKohpq#lW98
zFUWpzIoC6y3{$1&Cq!Kqv`?7wSD@#|i(##21cd)bG4YX+Z<g=@6*-=Ox0mWUK}a9<
zv0&i$w=TVlyh9`-_#`C-t2A^-F5`autb3y8Z7wu%lZT@~LXuLHwxK$V6PQsJZP(FR
z?v}wMILXDsOp}yqDq^H1=A_@`XP+;I-sBq_)C_6)feDmjfI|1f5)+ZgE9C=`5Qjm*
z9m*f51H<S2Dg%i|#S$T>$UW`$y8ZOFyzXB4p8d&n?D#9s^P^+hd#PzzJL&1Yb4mjj
zhoQ3_Qlt3Uv?yvRSNd2iLAlOr%cxi;{u@GEdey3M3k5E&bGfHSvXHfW$b|{CNTpoE
zBQqWNVf@*dk)QXTUEL)Dq{kUsv#i@QzhawM`$z?8<mGqtTB^<*=dzcKrq7tyzhvLz
z=bzn8vA|&I#nO$b>&}yBE7(-{!fTQWAZMIJ%XNDmWa_Hw3{<KUJ$=q*n5oQ<sa4U%
z(l!gX7YwyE2xboTI`aX$tA2th58v-)7#7A+yoy9{UkKRHo#*lZ@F_enb8HfZ!~AG(
ziwxeqyx<$xULL5hJWdaU;|O2@Yx#;$0*;EbWdU2HkprX92?S=v_W|vvdb50L2b(B=
zx)a~Q(O5Z;98og^Y)%XTIp8h>LMu(xg~)&HtOek!9iUo3j!pDpuxc^CeU4Up4pMhf
zz3wX>J=)U?Y}oStT0KcT3l{1EvrE(e^><P79-S-ryIqhkP}(XqhqH<_b-~(J&pO9H
zo$qJ^0dTsD`AKt5MntHhG)OR9`qxC53}<CZa93W|ECc=qF-ys8_*rS`tQn@7kL8)L
zP<$DIxyN->lxNdvs2hH=1$WZ7W`$X33r!LMMZB9`*tBz>Y6v5fnY<8V#`W=gD6?XK
zA*6QZKo7uZnds6q>iD>-euub*A)=1My*{2Q%ISOOAfgVK-BFonCCAYBr?sRh5QcqG
z7O>_dqMmSg*3PxEP!?HLXI?%lPbEomUmjDulDN}Qtx93b%3oM?3Yp~XHP&zCp0z$#
z9kZ1GUfoxQW{8++jWAb`kOd`-T!HuFUqsL|t}P=;PHoyC5Rw*v57z+%GfPfYm%;{c
z)5|EZX(isS>>?Mw1y$1NyOGN9I~s7)R2#+)uc>K1EboX8zMS$#Ox!Q;k$=CJOv=;6
z3K=1T5*zzr0O_$5m4bId(2kg(d>$0{;20X*jubQWX|;LS1y`E4mPHqy_<UcUpZ=7o
z_58b}we&RaUpDZm1~=U8uaIP$v@tw90o9_JQ9$M{&>^XP0{%zmd>f9Ti^A<BWjKRr
z?Fr1mcBw$0masVKO{mz`fL3kitgs2|WZ)Q6z~L=orv$nKa)J{SoG~1&tKHmf7Y3h&
z-~@rgn(#46_P4bgsfH*4BSq@9C;|0!ECyj$!c$!VHqk&`T*vBVIfNC}@d8CSuY7ZL
z^`!vP8_Aix_4wO$B$b<^wR_7x<alK$4sOqz?^EjMNmr_z)BSX#1>H@L^B#r%D!!(V
zWl_}5Xk>{<LpJCY4=ow_IV>Qy%FX*i!K|-Ffd`L)M_|r(EDcNWKFDKe3G6Oko(GSm
z4_?J2nbdZszmFMAC@ILpDieoA<#gijpq;G2Q%2ICf6MuJQtHmOsfiYBeu=KlXTGM-
zZbTOfhB)S{5;-wWA4FQz$Z?{vJskOz_hzoQ+&_`J_e7m@MH)Q!)LF}lc(591N7KtR
zO|@7W$rX4tc;-+i1$QpZA~Js@N)9^`owRAQ9v@PL0#$?=Ci<wFsZJ8;A6Tx|NV%~r
zBTRpX&Y<Z}Iq%1zHN68VRKKSoO|f|1kvsf`16zj&6hg<R$CTs}VIv>o#0OpW7glC?
zH(>zZ6{nZ6yQnnA;t>(EdM=+Smkdf{qxQcK>K|DlMb~otO_aO{!>azyqurzTukXmj
zoXdKUgD_e7_b1wXs)h%00)r{-9jkweHG2I|zY7)<S6wZm&-zLyvmvtp-(v5Rn!C|E
zf!dRt&k$tqUZ)sWUF(o~L}<C;Oar8f$VFdc*<qN^*^2)}e24k3k>x#6TabC9UOcJl
zjnNRFeh3G*J{Xy*3k2C5!K5vsO0GKp8YB1gs3!3Zw$K!u_D{DyB!NZ6y32oQIOT;v
zRz|<lTJxjH?aw$BcdNtj42yQ4^GIor${MKfG(nb7y-P2yyqI}P9;COy9h6jUze7#q
ze=K`w$(Tt|J{2CkvRzKBdPNVqH;Yy}6&EyhBav|(jrStE8Hgqk%j;r4=Ush|XJgCj
z_9pP6OL#)r`&i>uFuEzv;_YK_QQy*pa7v2f1am2}8jZL)*4y~RMiN!l{(b`{s(=jP
z66F<+zeuuG*B=PjWs#MF6x5uHx@4B@^qxU=Zp!$a8)#gjT<KnT=8E$Q#PF#B9<HCr
zHw<FNss`8tN5@MEc3dLjExq;`5}pPxH4>icUt$vSErrBlD_2?zL^87^*;|s(@v;~w
zbF}&}B=4p<FAzu<;s8F0FsJVazPXZ)5*>8I=B=bw!6Ng5ki1*(F{tg^8J*M|iozf3
z52SY5mU%%QYj&>^*=IFg>@EB<vJ%A48SUabd7U{l$gIw*#%l&R^czCdonJg%)A^Rc
zp(c79POHx+zl?%IIXdrtVG<*>MgHB#RmF&>L|8!vh0G9AgSl-Jpai120lu`Etygf<
zfnIM2FYk#<9vFn<WKVj!i3_VH5$onsk<9|O$c<Py#FzhReK^nQ^vHtd)P5falaKh^
zr*3<G)&@_xh7DtEv-+-`@0lhgNnAahnEh#h#7{1y*FkfPc>)GvvGGJ%IP79~!5FTX
z<w<MQ9Dm}b1Dc!nsl5iS%T{INnTuq^MHJHWWZ}v-)0>GmE>3p>_G{5*;#q(dX7XF4
z=LvKziuMCrzx_C^JEGQgS56h3&K!t*lBYwMDS{>J(t#@ib-U)S9>=Sn#>yI*c83`l
zh_!kA#t#Qm_0CzuvycvU=JFZ+WAT;AGm!?P$@?x8?c2GRKcc~*J2;&+-{aWoQC8p5
z7=9X>oSR9e%vw4&<ntn*i)}<T<EkzxGfH|lsgW~Rx5U$gz<-@=-fP|@%O#F(mOar#
zwL>ba-ZJ<bB`8;h3-Vm`j<o-ZtSR1V^m`x`Ju@pXvtI}!FcOGIyLU%x+vI@;@>4Y^
z03%v6KiP;2p)AqiFvK3oCRjD#|8|o%1v*AyA<qxI8ZAc!I?(d*Ne@>>YrP4y>aMWP
z4^^~?x;2bZb`2zJ)qdF=YQpV5%S5%yv|u}0GZd@_bDXG>zIf>Gx6HiKP#J#H&MdH1
zHmRn|XtMw9a*CjeJW^$V7OBe?T^p0p$?iW&T5iOdZ2d1}_2c@@Z`V#m(S?p1MTqC_
zl}Q7PUzTipO-04owx{0+67+`-d;@gK7+PS52Ym}T5abR*LxUblXgd<==-IhHO%-@>
z8Rh}+cRyn_$cjpKo_hSq1o&hVj5He?m@L!-xC5X|y%A^3j3U&6ay!13FhD8fa?n9W
z{+%ji@X^)p1l*$%cq0{ql~=G*iFmO6M(qq|w|tG|QSbw3H@)x=TfiQ^i1au@sBX$n
zqW&i0ZKfJ!6Urs`p7G8oRnfX^il8E!zLJ1vVPY4lMHiY8pN9nOWV=Le3>`+HcH<SD
z{XBB@e1|Ho7OaeKrD!a}fqy*<Oh}HIi?eW~Zl2$^>FE<~*06TB|M}0!H-&@+d>&qa
zu;>sv4-od*1mc81;mwB-Kuq@pV3yK2_k%&p%f@-d0Q#E!-GM@O)Xr&P1|#7T6fnt<
zL%)kZ({~XD3ud6H1xWf*w+2|^K2RRI50aD<(6G}_?f9KZwI-5{X!mYkrLcDc&YFfX
z#j#~LIJq(E-^)5#!h#f}YF--8HIy$eCE}N)3Ut$qYd0jEvc-I8BVHrnl0HvshA(e5
zn#h#r6cO!dCDGcKlp=UY3veCa84gsMNF(znJ9)qPK7(tayOK6|)mlxUk?-H*T!y->
zzxbUm1B}H#fsJG;aIUGyM{zo=lHtJfCtVDUP6*P3(3ve7|6=tcEm?b>;dJ!<LRtz(
zllo>=oywPr@*bU4#PEhv&6f(jQ&!!w3hp>kJ_|4c7yTq~5&)UkYpORu>%d`Ks0w$(
zUEE+9Od~G0u|^@l6{Lm^ebHu0m}ShT4R<2=l?Rs<$7)4Ht<XyAzU7+z@YkPK)LM%Z
z;7EY0Izk8jF$RK2?ZO+b`dD*ZLAFn4Wo4C^siyST5av-LB+`cZntdVQhx0hY&dYt6
z^8XRpMlf#7x)l8V>V;XuRt<7iK-pfzu_+E<*%GJ9vk7I>Bq&9>R~%)qju!vB?7)2B
zai+rSI2JGUI1=hp?b2aQkdxu$wNj|TCj9HFKvhsQW5`jh=#g;7$~s?$aZWbw^x^2P
ziBgzjn~}$XY4rZdTEO9XzZP^X!mzm>P0d%7_S2X988Z7_!j|N}+c9T8?4>1P=&Y*n
zmjU!4oD+PB+#`R!QM*+CA+vXo^I!*IT6sDG^Xl;lr@a4@Nu|+Xhv=9%6yl{Xuij@C
zHPl%1&Z=doGy<#nK?=%b*vctrsQ`c6(norR0+-WVlV9<n4MJPxUnSMB7Z6QtndY5J
z{@c2^$#9ec;f9GHbCNvBsT3^<<quEaF=YUHc(2Y%wc?bXDn~`b=ti|ff{TN$V{IQ7
zx#t|=3WQ660qt<AXYrduEvoLF?G5*?z`cmFjY40A#BI=PRBT1=b>>1`wDCoXRr%Q4
z_ogVi5Y{`RF6gdK$$6=IpvSPIJ0r&GQxe_W5u{XjDGr-bn?^-vHr^xnNmrhwE-u>E
z)CsgC!Wf8(W8hC-dl6YW-qWy6t7>3$INQp?FWVsI<INKgTsp4+w;buh6)|+8{RHxm
z0tAYi0hma(gmVd9KPydNF7C>czn&iy48%R8c)AV!`{i03q)anPFsuX=NytfCu(*Of
zIR;u<zxos$E#(K72FwAtzrq3pq(N9`fo78)F-@fCL&|<)DJtW~%|{;2Jjg8{<iz{G
z4jY~>3h|D6JcHlCN}WEl@^#dI&rWz2CrY~{zSg=-tDHDX$#{9=Uaz!{8}s4$v+atm
z|0LH&1!xo|sHybGPgGLsHsJ1nRj7Fh!$!aa563GK<Tf<@^wf0KKdGzeD8N(!bT)t^
zd&$Lng^I4ChqXD<rr<GIn8<N8A>%quT;)A^3h7a?<9dgiCSmlMe5=uSO98qUvHbc?
z<5T%be{<g+PxGI_g3Z#yLa;TJ-rm9+sbt;%+(gC9xPOvYVUkv$p9pYsH_d&2n;0tn
zC5dn>|L@Gj%}dIBmA$n-ICL>v$_8K-M!o|3OPmsUO*t>RHDa&{S6&R}lTkZ!yJ&JO
zol<a8S@Q87$@k5{_W}Ng^`acc8|T1{UaZC5>$)69fD!cDnQpI^XPIbAf}w5WHEG$W
z0WntpiOIkkreHj8<v63hxibo;x)@1Msv5HYkKcw;G%5&wbv3*U1ddxPi;1|>B~a-K
zjA{<0B3wd!Fg$3qRtwvm_(EaW6NH9BJ8_NNEG6v6lrI8Q<8~$Y+EeEnM{;R|J>~>D
zP*<GM_+xPux60c*4k8Q-2$&AGm~zeqvJ4Vs`xdl}e6xwOFMk%5Ixl<wi0kDk$Mni?
z_ISf%(KPUgcIQ=wEur_K8nY3kWvBwF%1(>8Khk8@uwQ8Swu~_10?M2%p-bTIa^R;5
zS8C)c>j*RxP`!*3f+vXx<TtvC7l6dM?RX>@UefDnVZv)|^n*s+xISuHe_7Nou#10|
zg-am0#}92eqPJ=eF!69B%RE6Fwg6D(x}y6%y{zY&m;+ch>X6p29I1g`juM@(kJ;~J
z-M_K@l~H{$K7$RW7L9@Rpz0G33S9d)lOYsb7*O)&sdWcFG><5adaQcE+-6X9$p>=8
z&m1C>TmH@c_S*}~2oM#^#OwjPbwcHx_K*#Mz;|d_KpTf7qADx_>-}r`W-U)nuXM9z
z@x|%N*e(Noq?PUAMf1>?7e80N*oT=b4d>CvcWjKP6EGE2pOIedKeseeMz`@PB2e-N
zBJ6@^0}>??z~}l{%`{}!K-t>*3M9=*R_RuuG(j{Koi1}@+Tdv!h;oospi`eW8~yJm
zK=Bap$VwC6Qm81SwiSp6-hkDM+dm^IB?k#J1bY@Ks9;O?V$z{?H{ORu+){v141)2n
zyarNk`QPQAPsCnYm@mn|GiTycC!U)z6jP!?Gf~FJYNO?-8P@u~T92Rx<{aZ;1pPnJ
zWDVPjQ{z+-Qnpm8M1^VXGXV#knR*gpL{X`5g1?idGNJMGQT4eM(l@G$W%yttd~E})
zb`#EU3Ta5vrUMeB9vqh>5u6Tp#9G&GjWiR;MH08Tc7e~rKL?A6FAWp)PDmusiOj8~
zCn41)4@%oj-ee`Fa!kJq05KKQR$&E69}Yb%7$m9FbX&Q6PKfaQ{R1tBCEU5YHUXXR
zb=s7T4d*3uj6sFUrAmFfqEDD{u{5f$RrP(buIwGRH>kFb&m*F|@7`WPKWn*{Vqll#
z6dur?3;@1s7pmtYgzo{cka!KXQ58DxSf3*G`Oa{3z+8KRbqVyJmOuAlufr@GJMAlJ
zssf5!s_Z}RBPGo9he%8k6i5?vL7&!n>67HBqo{5SQ}Z|<#970c)2C>5Od};SuBSTf
zXx9=)T_thkM5R(mU-WJTtw`HPkLciG2HMupWjd%`u1JNhVJsy9A_6I_o>NU%k}fbX
z$SkfvP|!<+t+|O+#9Lsj^4}Osc+DSH>4i)Vut5ZKDQW92QNKu*KgQd7*F}3CG9Pkt
zWk6dq{;Hku=wKed@oK4?2HHpPaQNI`A84?xmoC5JL%M3NU(I4iQ^^Y8u_-;P3FoOC
zI=2pqsc8=mpzq$CyFAxSn!T8Ywn%N4_XW3=tlY%rZTN~){RzzdM(o|E5#TG7H(0$u
z@ZP=lJIYsutbO<kGtemXUAF8!98oDhsTE_eLQqZX=0c5Y+W?!AFDRsR?)IsTWF5Ao
ze#VA@Avwx*8(?a(pj}e;b`fe~SC&8-2rPpZk!J?F%4%FJD!S^i2DEStA~8C~4}Gs9
zITp4&0bLyOfhbv3aTl3geq8C=@oW-*UlBneX^q60KWqup!;)RwC-C?lks|)-$aRjI
zWlKxSK%lDf2L6~do14SGk(;l+QB`p@bxM%!?Cw2+w}UJ*S2-&^XSjs-Z4(8UHkj=^
z;_|%?QZ%VWA4iPvB#qw~f+JhwCSCESfPKW}rf;J-(FNiM_`i`0C+X4K+mGTG2>R0?
zDWo4KDm{!3<we4px#49X%{K<W#;ln*iyL3a>eN$q84AcRgb|0eQJKO@_$6(E%^U)$
zSFqcC>g?xHc0H2zlN_7dd?c*5)4{LGLwJid0yJMw#A2xgB7kvh89dUe*QR!A6AL1w
z<5SIF5VS%SRFICQ#?;zd(oilQnndpW5l_Do&h)P5=vvToQY*j61`=lo#Jyo|r)i-%
z_@!kh?4hf{Ca2{q&gMI}=MvV|8{Z-&gUD{%3KP_<oG8JT@d%#CEptjo_DW;}4QD23
z`v?_Z_gj5_v4&iO(P28k;gh>~okpV7uWA4WUMV`y?K`J5YCrSex;4kOhl@+*nm3;l
zW)Czq&*7$5497LrAlv-xhLq_^6O|%y5w#G0*D$z6iZWUG7fzJO$t}c-hTr%5p7REp
zcb|b^oNda21t8vl;HSO=16<p~`C+V6{gD--QxKroUAZOp;ZB`S2_w6Txlo_~bC4*h
zS-;H6sGlHq-~p0`fZwUp+LGO=PG{L{VLAFR<58cSZK_sKoRcznb-suh`LtIjzG$Oh
znRw!G@tmaOJ6Zbmr&12F?op?YP`0^T)2z=4qIBEAP%GgD&0(Plhjz>yS&>A9aV&%z
zKt<?)Edb60*SOQR`3z{fq;2B~I15Ozi_9UA!5fWOLZ*c}0{=|zlUWBYhAVV_s<n#&
zbQ-HKPlyqXUi&CE6xnb_=e9w58;by9YNcAJK^FkyS<s%^N@+PBj`-!+PCN#Mb_G{x
zt*kDQz>q4nA#eSFZbQ#o@XcYc8cCc{ckfAl-aQSVT)UnD^{MrdfSiVk4oNWX=3GNq
zo@Y=I70V?o|LZS~cK{Eu!sr5E*1W+pwv2X5^Rkw+PUoh+i&N=Wec5vJ-|$}Ja@9J0
zf7(AO@B;BJk!T?VnQL2GeEsJ#5?@xsTzqgOJSCPJs+|M7x=8Qo<kHqbz0KuWxsVZO
z!qZ$LO~?+?UAa5ecRbE-xOP5fM9jxL&fTr}9$Q>@+>eJ{yETdLwkL+Oq8#`yIK=C3
ze!4?vf1X#PSN~-@8CSLbXp#BMz~#`KE{gx{0OfGN;O0dBlvyQ$$wyHDn`IeX3?~mb
z_1JejaOwTqAc8(@>!eeOqHozpGw$pQ_A!OoDA{x2{7*Gp6SS3p188hxeTYVj)Fq<+
zMvm@6vV8FuP9=q=gu5nRZp<MOhTIEoi~7rCbXT-qf)9Q&B_u!m((EZRc&b7*6gx4A
z97!bleJ4^qIj6?O={b++1G9H$Crkd^lNtIH-_yjd=MPFg!ZK?!!}4$3Bt^p!IN(DX
zyV3TT^1eT?QwWS+Tu-7+@%%0uv6^u?k$W)y;wX&Dyj)PL`HAd3`~tNc$`cG|ZB<R<
zAa08L+mittB^!nT&HVBFRQm9#_l6GA;3K39x&&YXh!gE;Fizk`!otdd>cW*jfJK_p
zb$nU!1!~AIsB;}&iSgu(n7MDu>S*9XOKvK2nSnelht@571ALBsz)1paG50xbJ9uZU
zQ;XlboI|nw)BKxQbG}M(AI|QOkZOuzd1g^k#MxPfXmN#m8qV`n`GOdMiiO-$vBC_P
zwM^@#E<gyTiI}}}oZI=2@0;$&woECQ&h30Wo_!HhSuM?9ng;rI{w}!%0*A3eN%#H(
zQ~8xs7a|55V#Q3!3n$}Z2@&3hWNFAfCa+zw%LGb8K2nwMYLDg~XEMLe52?uYoazRF
zi=>aJzv?53fc#>K#9nx=Pf&<R%EUWVB7uT3H7G<?6kGa$fsa{2F}s8KYD(ajkza>8
zq)>uU#01H#WA(`<C~dBiR^^EMk>v;m>H>#gx|(!tlPfKB#~(Ws#&syA0%HljSt<(0
z<v?JjIcuSUfK=_R?JQA6f5Fg0_nOXHNiYl096`rz_dBK7E5y6E3cmZ2@$aG$R5Ln7
zU}dxV_!ZAzF9d?rXRI;2<r}!g@4WflMqKSvUX6<-Nio}j5IgwYZvhO$%hcB)Z;ZAH
zWXMlb=&d)Ki@XIzp2rR4ta=*{fvkJA-q)|ZxW+K>`P&d~@_0=(bCDN7VpTFU0Npx<
zF)WBDvV)e^V#n`qwS>f`AWx1#q^6oO%cjs7%n&O32B-%D(Dpa-w^-mof<$bn2V}*j
zbH6No{>$dyf1n0$oq>^j_N1_0GaVY5_&NhZ@_&G%ciGubETLWqa*e^^VL{en1Vp^2
zD`x`m{lKSchC5WU!3BU|lf*rji}SR6;!*l;81^c7KZY~3lbA=iij9KHpO_sAOE-@H
z9QZoL=O=}2_zhU8-e;WaAQH1IsFHbk)+lnjS7%Yj+FAB%^|{%B!zn2frL?j{Gr=5q
z$Rq6n80ZhTz9xCtET}ieS98Lp@<D?m)D6Q^@Gu}}ylXTjSAGYUj%pl+kU*?|7x3Br
zD$5vMGNUuruV>EX59c@xTe)CBP{OIEe5_Cay-baI2+f2_4MaN7Tb?mQnLtSTqW}P8
zi)f*^n_yUw@qXwOb^GoM)73MbprX?$Kg_b)*?*Xa3H(%;)t#yPu+x-~^JW-VZ%Lpn
z4nuDm0OPuX5MLGvHo5qe&;gyH1IjH^uo4^)jMwMHW6c#N-uz-WlsRiL;!M*2YUH~y
zsrx>3Jr-xZR>V+Wl(QQyOO{M-cta@X@kGHxY$p|n;2;bSYP-rfN6@oXxnk%`C`df=
zeiu>DP~F5CB`t0Dff;5fqDM(=t_jf?;bfF7f%{|1KOke6TG}BB0G@0WhLK_};(}O4
zrwGNBG(mB$|C`Cce5g&aiv4F{iK>?zmGt=xXjRjNyQ_8aQY$~##<Ie!Wu(=M#1)8Z
z460-mv%;huA()BMbXQBXWyJDY<V5cCjF04!aHDvPI_6vW22$ckf9Jp*i`}Loj@TKW
zv~Gti6h&+2SY?%B+^J_Sl)q0H8vnrl$TQ-&^fO>(mPzt1pFN&!?>?y;2EB^9JGG`E
zS8!xHtu8orlYeogT^lAZ6Q|=@;ovguAE^k`C^nKxF!HS9`-|36dGkfFF@A|BNXNc_
zG+U`s-whSC<i95qr{`RQtKT*M22wnb`TT|k&b)40^EZ>wZx%a^4r8fA-r?HQSbh!~
z+}0tP#=30m=GRBx!=ky;$f2!HXP!N%6DuwLDp2%u89%oLCco(J(lk-r+hq(3DePND
zsoD*|)9-<O*PJ_?X7dmI{+)F=X1i{03?ge$c2m5Dt>|5%Q-NSX5I@V|%xk&-OabjX
zF|NzG-MN2u>U0~){$byfPLzZ5PX)3ws#f-tZqxo-Myfy-G&Z*}et-A;qdDmxO*t=_
z&fy0b_<@Yvs}1{y0IDyh7@rBw5wP^pSuHua^Nq`s-Gqx4pH|%$JHY+TlDz5BJVDws
z3<Ly8aj$vz`AJ$5NERGwzo+-(sxJ$fst;6*S6B!-F_CSivRgGlvN{vHt9!Ri$K&{V
ze7gJZE7J8A>Kets9+O)(uGGt@&EXYLm#DhFDv(?1Lh{gf^?~E+y`N6!OM(wDXfvNz
zqv!Ny_IiHXe8+sg??bpy>8g0%3zL*k;;Y(pe-3xf_R7dg`DnaLkQLS*3;Kk8`Hi~<
z#|zb8byJ-<!nw<Y0=$Zd+8Q?ljVZf%*nRsiG4>Y!!=CxB1F&aEKlj?Myw|y&j(dE}
zN*gQR>h~yj*mI3Mq`_LBk$)le63i?$ow~b>z*2q|4}UpcBQ6Fdfnyd$!cVm1jK*Q0
z^AA(UG~>b{5V8n!IAJyd>4{K@(;Nk)@Pic`jZug<Mb{J3<im~#gY_MIu&{75i!hQB
z;<R?Jr>R`ikrL{Gh-!*dTl(@M13N}!NSGyhQP?*vkIPLDo$G?)rv7tr4&(MS6LsSW
z*dD4;hDh**M?~1r7fVaSz5^dXr2j|ON!QIVej9gPmkF~1B?g);fv!OIT8ER0V1rtc
z!_GU(sqC{lh5<o=GCHeJVA0y!r&xrpy*JvHG3;_U*%j_xyX0Y2tCI$>+uF=Dq`xJk
z>LQd+z-8;3XSHx!i|gckJQ?yG4g;Vpdyfmj8r~ZCzo3xeS1rVv3_`7u16(xjOD3~M
zR&ZK*ehp~k+Kd4l79)NkC48r$yp>B0ygZeQ|NSgbdVAzz$*3qHEf8z3^!XfTRxKX^
z2H^*_FTh8eOeGsJ2swXVzYlii=~39k#)fD7Wsve^!Afk1wjn#|cYlicBXUV@uY2+H
z^Uq7`k1gNpY-&>j;kcGLW(}N6Vw{c{!jYeKN3plDWF?e~@Na>*b5l-#%wLkl#{qp%
zpyiWj^Q(F3#p>~rli;q{dZN#BX<!sN>i>g%m4UC*%OKtLFX;iAX#FgT<_!lv#)FJP
zae&VGGT~++?!vF#Cy#>vwP7paJki`@-qqCb^`@o|mb6^9Yon-0Q&7q3s=~R`akAcx
zJ@S?_(4gF+aR^W>_L$hRG&B-vJ8HvOf4AKwapP2nWdjJA>$a>Lc_3hn4G~Yii2z}o
zp2d=X+nu}7o4a$)I|RXXnUyJ+VC!s%bk*JC(6D|gw*=hYT1zj_E}`^c^TiMX;8@A1
zN35@O|KM(dE;d1Y`ji5u07rWtik)*LdcL@pm@3aj;_&8W09s8#^%cr+j=!Mqvu5ut
zF6*eQ)kLOM4m9uC^Koa=Z*J^8Rm;@nz_}EbV7_6IhsnPw5kOXf+$-WYV19;rm7BdB
znZ@}qf=eF_f`O{4(~C{?r48>r$_3riB>4p`TZ7{PmA8--1>HL6fp0&)M~@J;pPS6E
z5FS(iUl1X_Z7Ojs-nCdYN|=x_bNSww>zYpQf}IzoF<FR@@k*KdMO~IImg}jtK793#
zCCK&rR`+Mz_k<2?=PwnaH5wWNU*{6kAI`Lw$6vuMNE@oOtu@hEY+&Vbk$W!Ff-sZS
zX2D3_SOLnVB6eb#!XgAt$6hUhaT|c|&~ve9e+1PMWhNR4m4%9!p=N)?S(1&^z=M^i
z`>*OS5Drl`nc6uKm5b1jb5d;F$}-JMqj1Nnin36W2}{cMmYqvt36%>=!FeGnOSEM-
z_Qawt5SI)SoT42I_$UcRm5i`dgY3w@^1|nNVnCr05MHb967a^ZmUOiJzq3oU5gP{?
zyA+EavT8s+>%`1FmK|awlP`hz5m%6A<IT>+thp+N*8)d*(}RZk0B^ZTA7A+2?`OED
zl$*0?dc+k*VIZ{Jk|51yCj+nZO@Egmv`3s|jhkVpX)j3#v}8P7i70-hnsJ7{bUir9
zw6by-N}j>NRbC36^0nfB#eK<Fed39**XqV%dT+G#h68C?vZ3XZ{LcFp^Wa@=x5GJa
zV*}EY%UM-a!Ycbt@r077&M5$%s;&i#D!$$7fvR)K;Ae`#G|VxAGSozmsU>UaC8N@r
zkUX*?gPa@uLMXq5#Tonc@8<U!Onh%1pmVu5A-V7ESa@F}c2l#e8u2ZjyY#iq0nEkf
zS;np`+#Ky=IOK)?g+&R|!g9CSv-)G=;nLg!zJtGGhpL295KrQNSOYjrF`NnaIyXdV
z?!~;u$x#L5TBTH3(i%bAE3u@LB0@+VPGQ#H!?&KqzPP16?}6nxo}sQPHCJzu!&I(2
zLHDVTFc_c_(L9!}?O@n9JN%$tdb)trdcV<eRhU>_jdjGiN(Hu*V<G;~Slq0Ox!H}`
zD)H{`DbK{GZkhbqH;LQzy`Am7=X<v;43~8G<`J=_?qw0-egsYr)+#U{Vyz`VNOzwN
z_=TE@j}^PV5(PI1CGzD0AEFEcklfX)x{E-E!<K$%yvG9>gEz~2n<=o6vS$<G9Ws$f
zAwa0^+f9U~WCd4;N%xROj4N*H;7$K+D{|b(zt-HSen^P;dT9h>R!7{)Pni3{5%K9H
zcFP(%6}S+7z+cXIzo9>k`a=BZiLY2~>fPQyPXUn4gD&I^t<uy-gH1gvK}w^$z4%5L
zQqhl2q1F!*X@l0SUI;n{o={(S$!&ff_P(`Vamc?|8Cfw*QR|x)dP~$brnDx`Th>AH
zaAuS&n&umf&=-J7FytVWm7R(Gjk!cNWgh(ZUqr?svus5N8Q<me^+_f(ee4pSlTp>O
zVs!A>KAFV6fTj|W`IaHRe^hY4Dg!d$J@w60q^rr)fa+x8HYncHrOvc}F37%a{*{93
ziNnU(tVwY^Xh~$341&+9PMEK0-&wh{th&sqsyUj!TG=OubmM$DSvxb=(VPfRiw>d?
zo)m}O1^%Fil#acriS)$J6KQEIO;zS-a3BbWCk?#hE&uFvcxxH?@p8wiB=@P7l1RhE
zhq;sD`9&l{&x@8z@}A~;vZ7eet9)xr;sXlkeYXqM(G!!T^OWBbp%bo+9PN5Ie5dES
zCv=GjVxycmeY8W18&g#)7gyU<>rPWkYp?5Z6=IozF=5#`o;j`GS*r+_?r?Yi>3V;r
z_SEOOga`#R=J>d9&aaA|x`CIX6nBrrdp8GzrND!cv6Nw<_Uz*|{SxvVGP3%GI>g7)
z+W`ZOaHhVPRLmfta?_c_Fg?#VLCzB-7}~8!t&*TP!aOdR&4Xcb)EOv%WI7N97*uFE
zP)x+r*Fh6Lp_n2plxW7kx#lf;&Iv@#`-DQzDI|GY(lc6XaZ3X!H&lBrw`7oT^=vzY
zP$P1OXageT+waGRC6OFX@dDu0_I$Vzh3&eiLI}^~WPtBLtm0LhbO$S1W3?ju&^_-m
zrKI7x{hnad5EIG(t40I>K#llgCojE8n`yZ3%xE}}7Pvbua(TaX1pp8}z$+Sv9rm1W
z7-1w0|L|)_!;wd~jjh2g=poI}0X`x!?J$6Pq+2+s_#sTF7Z28JM&_KAWaPPnCHFGH
zyMwt^xU}`@{H^6JgFkW9dPrC&sA<g8<T*{;Uutqqk>)!3Aq)V)#HK06d4m1Zqi>#L
zhQV%NX{`hmh%$22#C)QWIPq)}2@&q|YP%WG!4>PB6A;X`^kJwsrz8E)oGeYGGpU7s
zd5VNq@MU;s7`=KR+#mZkcrffTx#t`q+Z_bRa_4)}*uwxm-LzQr%QRQ#662O@J#bkV
z4=xUBp1owfe8Mr)NsD8Ge8{E;c_S{bUrjtVE)-P$F-tNchUMCc`}<=q8-K>?u8<o{
zn=RJ2#{!dHYP|_ts!YtbAhMH_#W)rkB4AUrt8BW@8U|M+#4I{|%qXg9AvM_M4X{>Y
zX)4j+%U)bY-X~qYe6GP{J`0aq9*~-2nXh#{!#0l#CdGN4Vr(jR5&bWMjJaZZlYehI
zeGz@UJ%WPzd;IKxPp-=SsZB7NuRP9_<L;4}8K~Y|Gw@O27dML-Hi0ZY%~ZF5U3d?e
zX^S3i!EAB;yy~Yc;y(|k{+E=qv#KQ)E*FtEI$`Kw+)qFc?tKO_JQv+={Zc&aNZ0dp
zMK#-lnq6^BII?WwMSola2fz@A-oUt~Z<;7EF&WnKy-Zxs{!}Q(#JAQ3#}Uel{D3}P
zvj-(*XS%fma~yM5!`xBy#1J5&7|vFN#-UCg26WEUomfGcsZK!+3qke@Bk+I_42wet
zDEf!(`m2@wRq#^aV#e}~<<}B?_R}i`FOG0#6et`Z2x@9HzktS}@K_^`4aN1(kGq=N
zo5#_dWbnCz&#NJ9FP6)wJu15_!uw&5Qrt$#o?Uzl8~BWq>o_3_O~B}rWKAcM0Vk27
zmC5CJUWi!~swsOg8gbweJ8>CEU|dylXH?V~hpghk1a~a?M@Q2tZbS8A+FtDNkJ%1d
zPOxPVB~DpmBWZO>=G9t@2Gw?nJvE;D+ez1+c$PU%hYp#=kQfg~)BwQRNpxq5dGN4H
z;`?^A{c8C!nIb>!K_Gr=2sZoV6rW@nCP(k#d2*(&j@x8uP~dfvvZTPQYhEYMZEjBR
zrgsdD`8DSi9_pVjIi26AFawX@ZIfb^#K5rTLGL1!xp!az>s~cMUbrdpfSHE2a7j@f
z^<Xn8j6Tf<!P$&nW-tm$9Z22B{FJt&_AiGj^VTSo=UCr2{!L!9hvIK<!YUls4Ya@y
zHeiO$@|d$f_72Yjgarsx%_zS`e6y`!e+ZW=Q!%2%K-E9_6>cOLdTK0DvdvfSOmY9_
zz*wC`A=S#@NPw2BWi+E%XoVWNoTf~x!A5czJBgM<Zig4VFp1>FRb+D&&2mfoi0A1>
zI&4~qox2f9&muu1+D{|%viXg3Kicz;i|=D}pn&SVE_6Nk9;YgC;R&(FwNSUAHY%+T
zoM=Uxr~viySHRP*_T3r*xZEP5jy#hPfjG-vz?r%-J&`oN$A|fcP~7isD39<UWB6xI
z!MNYs;0ic(@~)Na6J3Nf9>_~JiVVrDIV7q@BCZ1RWO>i>9h3m_%qjWrZ4w1gmdG6%
zYeo%fir?Mhl-?i+F^VFdB*O)r#5YizZ}U{`gzI+R!NegB5_yFCJpC_$$!iP1K2MR>
zeAdNl@+?#EmysC<`7fglx)^$FGyiA5K@E&p8-YDgI?M0+*>0gd3!dY#!1`o$qoccy
za`$4Uk9}DSVa=ZIU>5qFsc^3*3%sP=`8U@k@`T^J<J1}Y<X)5SxK!t>r#@tq=A<hO
zSu}W?{Gj_s2K0G>Jk+0zG7Nes!wx|p!x?=mkQ=H6iiMeeql^UZzZ=|oSg_nM6fJ*W
zxc^g^*!3O^VXRTJqP1v;0yHO3<iYu&F_49v5omyicWv5^B186-DzR8G0IP{V+Zxb=
z0K0z+IT+V*S$h$U5|avflmG0vIIxwfs3TH03WPjwd+<{)fI(|6=3JB3kX}XN$C})I
z^aB2yDQ4o4eU(t}9j-GERz6{HHL=vta_Y-Ia_G}u!p@MK4-!jq&wZg(BB5%%<H!e;
z&}Dqq&${;75$(2A{K2R4XaWY^eEJEM&aU?z^)UTXRaI9a;haIouTm#ArX>x}zg#b2
zV!n0HIDv)XR{mdmlZi(h`U=v}C<2|r^JXcZi=UNSda=(HSl%y07*<GrL;KL<L_Qlp
z7^nk)h%p<=FB(NSRpxQo>d76wfx9TWW}a6Rt!O4kpi0KeSc%&`>O!U2{^bYXS6y;R
zMxLz9NjO1jIx<$P+&mJ6H2j*j4~$YFPc;yfgD6gfJWP;h<@XS-fx<7qe}9CMqGi2h
zcO`#K=Ou6Mn-^B0u?}@nnxDBaT1njTWbsZ~ww8YUy!yl1!k?k2M+$}nOho-|deZGz
z`qy{~`uC;!=uU!)ZSgOlp|^|H%RrUz1`tvTyCCrt21wO|EW$H{W9atr%Ej5t29FdX
zm^~riSKF^z+nA>y9j4puI;t?s;eCcCDxyF~#7tQ<E-Mi^Xfjy*nUJH!*Q@q2r@KWt
z5>UUi&#9-4tI@1%oy;Y^4z5?>@Wr<$$Y~S4ldG(C!2Lf=nRp^No|T3x_m$WOAqtCy
zF(ZnJAWf*lPEUMo1o7T5%<Z#w)s`TaXIxoL+~A*Yb(ElwZk=NKUD@VA@+^)zdkT}V
z(w!oJ3zG+s&Q;$JC0)R#A?$3<O)Dew+wWPwV=x=5;rm8Cj*Z2J1CBbJYjb4oQ2#<6
zXMw)AF(mOL-CkNb&x-&v2!+fL#O{5K1JsS!etNY!lD<2_w=4MPNpLTm_-PEm=r!yM
zHMBd1$*7ocagFUES<5UFKT^5tLU4yMyfYWPUNq;IumMWK4fPQ&zle0_!VBNfs7l{c
z-&#tPPy1qJBiGWop8y#%*5XxLNhQF*)E7oeeDkbV=x};k@ll!Kz~8(PM#h}Ep2^Ty
z`;)}?SnA754%zhkz+{DzC{J6L0ap?wBuiJR?O5|705a}P>ce}rnwrQ=H~&kPNfV5x
zGG_d`TabCW3KoQ<1nPli?0}^gi)vx2V=tycfO;+CR31Im+$1uOa8B=HC1*DZ4bZ?Z
z3z82qX~#NGF&WlU;TxEuobZl32ancMQQ56VWNxh60-CWzV2{yOSr+J?Y5=9&JKI<h
z43UyWu+uE0E{RsH_L2|BdMNoxv99|Ui5ScR#)Y0>dt;ruh&Jey0@}txE7lzlh1XN#
z*@=wD-!r}Ud<j`AhG1K<-yG^JT=}|Zg_2TAa_8z~^uA(7FZY_``)u0#u&Sg*fZLu^
z>PYE#XfyZ=HzCt<>dyc+u68Q~+={3U@so?P91^j<I}y2kFf{1{^F_IbNH~?W9i)y*
z*PA%9!5TJ-$NStbwKRM;5TM6k#T}vb7J#F10MYx3ofR=)Q#-a#`Tz!H%LU_7FuQTL
zrns5(kH!HEpICZIG+KWH=>!F=g_eE-hFVu*3L&aUah$4zNl6f+DkfOz6uMe(C#f9h
zJWt;UfPH(gNos4%a{sv0;{4?RcX%mrvZ;iD`w~`ufzA7O8!$JHHalJ{Ae`63&9xQZ
zzKE}`P>FGw4Yf>)P|Z5g_7dy=(k;x*l#$Fq3^xf_wj@^Xj_xUcrd5$AEfcFyX15Z|
z<1WDS+;Fu)^zgeDNCcdk_Mg1uU790)WX*nd*Y@(#-~WxW!+oi8u7T2#x4dz#)v0uD
z3C`LpL?j)#qt9l7C}?jU;5!&q)<+~wt@nn}M+^yVo;xGG0xs+pwWk8zDPn;xy{B^8
zN`MWqtcexRT)E$A4h$bgSojEjrhcM`Utbb8CQMVmejc=dTv4hHq=ZC41XE%1zbNF-
zvzYz1T0!ADC1T|<-k`~t{+Kpm^8NAT`w9J7c)J4}`V{p0I=PvP?J(f#R4{ZhFl(?b
z{*|^ha5EoOFpjdXwRWR`2z3~)-!BfKIQC+%OOn9H>(!X*__4C9>d!EgVExA^fF(rj
zUutZ3n=tlg{Y{=7UaJx7k7VOb0>1329yg{03|6OTLc2+-@P|-ija_k^p;?S<?Iel6
z>~We>78w+Ny3qdv7(wU0uFjLm7nel45xcUHb;XbG{UT;xTyl3@5^~MoPA-&YO{QO5
za=!yeSC7s?H;p9oFD_|>y*T<(alh4hG6Ca~*6YpwC=`pEH3ib=lHdX)C!O3Q<Bzki
z?ys<`-plDGYW+TslcV>fUPsO?7so0mAyjO5YK$(N!Mindw+8MyY*+UJiCj60dwT`^
zHW`j)lj3I+9_%v8tY<oJG{HH0W8!20Y2Y^r_^t4xN<J9)PuULq=o;K689&Ny;|f0t
z0bmN!{@jlJTfi*F$Xzy{6z2=bU(B@w9`!unwq`wRs8jUv6i6sGp>HowH`_CPsb@4H
zpCakzY0I}(o$2O({Chs>qyNxvFT}jMkf)n%*~hk+7lFj<u%XF1Z@1ZOgkkb{Tw!K)
ztsS$<17)S=d}%+lY-R_8>B<^!pNC(!f?44K;g50KR3u;^)m&<<nDyOug=rnCLpy12
z$yzL{yX}6d5gbW$+ZD66LvsU@$UO8$fH8T+$|8YM)T(BfQKH1fagvoq!bDdar;UKK
zXFak-IxIJY<-l;Fxkk%?Y0Z3hFrs4#NutS}{KF+jlgLywDYmToZ~9ucr!^b3rRol`
zrG2<6F11-UouKn^_SrZK7w35<POsp#e*vqRgUv@F*tAFY(Nn2k{KLQUm%sZBMWrfE
z%^?ehT0;p74jNS|2<mzmIli<-5L5WniA6YYj&IL&3qcSY>)*09Or`pYiS&-zh)OyH
zrGjTTJ^&hQ8Zt&PtFIe^Qo(b<BfB1%>*_WJFbF|0_a+G4%dUMu7P_us?3tv2R9&zm
zEBvH8cNNB$Uj8YqShm!?qJ-|`Q9*tnCkk#Eym|Qi-;g58-}Cd3O#B-q&UXMxg;Ou2
zx3=N&Su-A~@1a&WP4cknw6P8l%HEW0F*$atKo0B13B26xla{HE7G;1X!Vxp`alf^~
zX-5ZQw9_X8&7v*olhX8=B#bR-tOd=|AAT(rXkQaAjFLnkvQdzVAAR~mByD}X=5nX8
z)jmX%`uS|<@(^LC)MMdG`$L4IRBY2z9*`+Ay@%*rKVM6QDAa~29%#o_^tcNVA5{J{
z1Zsc2@u!=9Ktz9+jf%S8In~0|nBoDj9bOX8G-E&7@J7Rxbp6n;S6vQm_)Et}JX3Ku
zD%EksL$aOXp{5@YO=;r69r-$KmbV_i>3%?ozvBGa4_I+$j<A4BxEDW1AFqg~<Z6{o
ze2Zp7z{kN9$9_D-Zs3&hO|~YYeHp#>OMctm#Dy<QX`7*MR)JLM$-A!Rz5arI3L|uf
zq4GUbMkjLOdhSgBB1WquBUg9CgIl`aQaLPFZu8Jx;Vv^}bh<0d3jE-^{?uRl-T<Mg
ztKG{AU@nG$X-)f8Nz)v6mh=HSK*3dYIx7%)>dm1in6m<rXV`5)K~=AqvjPAHV}1pL
zW8`hyghb8?0Pc#c0X6GkZ8RDl8|##B+hTo}<4YJs16<b}I%fq)>a2ort9qGYHjDa_
zfYdP-z>-G})2x3@l@*ATM5mHfrlHCTl$->(x#~>A1x`}GVMZNe<J$=TX$&(aE=udm
zsDD(vqslkZ;~<S{AI@h8+Lgm28u~=(lr$YEC(rDZmpN(T$tNQ(?z-DvjNwkHKUj5K
z-EFkxBb~B_*I&BuD^q=@b;jPFunTjC>Z8`7I_(y<Y3Uh5c0CxfA9&Blyzl*EseRPv
zkQHD=ayS@F$?h7mMwJm?dR6)W&~#&@()&%+Gbt{yVz<X!huWIeMjZKa5j^_*gE(|H
z;-x}~BO8lQ2xcR`Un2n}D<Vc{4QWp8?*K^`B>55ZxHN?jD`q2(Ia$b4iCfVB)pcqg
zcz2aNYH7AAAy>ub)rw;*q>O6A%HrUXXR%EXn;f)C0vp~hAy;?j*s<_J7vgA~*=fyu
zdk{Bbc%T$3)&|Q@lKK9iy@<tTlr^@SBORD<Hbz|twc6%B<a8bKEc)GqqJaqZNiAAq
zuVTY)#IP)Cu(}h5dxe9b=QUI~2$`Wz=1!O!u^MnE=A6rY<y`8V0o;l7&cmI+)kV%g
zl7q|{)SK9s&+UWqE+#lHG<WX$k2o)_Wn^gf{U3b$KS=#Sis$m`f}y)must&EidT1x
zW*FW7$fYumjFPP=Yg@x0M80C_4;rNz2Hy>IFb)mSO^dpvR`(Urim8FrIL$CZE$TMl
zY0UD(IZ~_pikMl*hDZvoI<-6@NUiRIXgH$LgW!hgX_}}I6s_)(NLt&70rY|PB&pS1
z5`@jnN^{MPu_mT9GctIOxj-<GkX#e@(;S^z-Pc4$p1N9c;zo*>)}&T1k{vHL)_jPB
z#Hv5LUbAJZgNJme?t2r>L8|D7fyACt^1rC<&iz4;LVu7w)+6e1?hl%dQk_|ePdrTh
zoG`8KqdsilA3ymWKlqUm+iCsNy#T9*5@csH{Wkb0hQ<q}?f4+4DcXV8uMwn~@fCxn
z2n|4O*;$f4TB8N!o{#E7Z*N;m&KQFjA5`3hILC}J2%4hx&e#q@Fk=j2e9!=3Lds2c
zj>qGMbpNo!2w62+j1_YYoo)ir&X7cO)n1=mLkDjHX8}<XA*Ocyq&4XU*d|;w0dF(&
z<%G8`d2w{5)^h}trG~Ih)HbI0VoPmiJ5$pR<6Eb!94BKDm7C@EFU<6upuNTfEfH<N
z%w8TO*#mn*v*z;Mm%RLPNKFlrrY%1hB)$0`ebxJ;ex>e&t4H^lk=-~Q`(!sf1Odg;
zYLl(e1WAR&8nKULOmbX7_2`E_8%<R~(z$4g>d{vuyXwOVl0a=kQhSCeO#L+hO%9R(
zc4Nwql50V=<_jdLFaJF|P|xd{OC_$TB=g-tb@9rf4;78-#gR&vDmo+h){WddVE<wa
zG*!w<mq%1IMFn1$j2?72=o!dQ&4AWN0nloXe(1@$p!KK~w5Hvn)*{UXt?Mahb)Wd`
zcmAHy?n(4wAoa<D7Qm@ujH13#l}s?`6Uj9;wQN9okjop&1!ucmQqWqGYzc=(^MBik
zQ5UpG;)5LNctK0L<FMjLK?}fY@19(nixR&&7qr0ZPB<_NMH|5A>grro&?<DVLzTe_
zm4b7#;uB_f^w!L%6tpes><$j(Xm5@Hhy9|XKL~X!oF^hzxs6eLLhoiSXrZ;!0P-l3
z@#vLgE@**|3QmWtxs=(im1Mp_z_X)alu7K}K#?q!A29mnh-|M&ZiHka)*KDvrg$G}
z$*ov+VhF6c7+%zJ$K>vS)1jiMl|`^+|I~L-^b3#YWC!~vXWFxV#qvAu?R&}JIF5Xx
z=sA@8?Rnk^@YR>}OUmf^fZo*eGEgf^FTVh4^MDL|S#4ro22g3Qom$xo-||(@epQ5l
z8c%u{XwhZLlC4&zz3eMPK)B$(%+4NY*%{7~fd~6smTbR+niJ(QkgCdAG6X}~=?|*U
zb(^ZnS+d>Xs8uL5UK_9>eoW4iVFs8rW`M^}%XA@_vt-*1hi5ROg*dGJF;$lAi0L5~
zf^K>PzN;)526q!|i7*hTg*u-$sx(Cf-3w%aZX2p#09`<hQ&bN(%0Gl^(lv&7Lsj8T
z)0eSceDVYO-}q+e6Qz=N9Ej9r^3dxi4^`;E_Lkuk{WTy?5uGXyESQkAQffQvQu3tb
ztvQN&)S@`M!y|$A9K}tqE?zQF^9?`oC7<}W!zd3AqS|&_rWEn|fo@iz95(L6jIwQk
zg_iu>h`9g~G*c3SG|Darct2TLA&9iE1}P!PD8U7f2hyN0I6E+0V1yKcG|EMA+mQ*E
zQ5>2E8bKOm7cA|P7?x$dzOf>WauI}8R)Sm5SF|hAD7)YeJqRL*Q#79?RurS`l2{O3
z3UZR0-oQ~Y$}Wj83icg_evd)o?FEwDtV@;{@~|Y+ZN5UI=DS#5eo*Tgh(NK4YK%{&
zT4TQ+O-p6QZ6lYa+>GmCw--!I<U#X)L&iJ)jgqOqz%7CQoy%8GvZ)k3Ie60WZnKH8
z$3nwQO++<k`wOmIzOv_e#170Tlj(Ng+EFIcZqaP2r{AJWO!d$FzQ6dyZyQ6I96?nB
zdkF~XD9Qx9Ga?9xWE;fR@$;G-YvjgoD7`!7N)BXOoKlH}VBB`Ar5U0Stm5Ymob0mV
z030R9&zKnrd&(3cDA`;5MioCFfvlCV7;O&ikE!D4-IC+AU{;riq{UdqIo9#>;h^NZ
z=xGFQhh{ZNvW)7#+psXiju1qWB}Wag+Qrv&{OpF-;%8hr{@+`cFuZg8{2Gm)Cxqv;
z68;K}*!<R@5P1Lehu*VTkH#&ig-B2I{<!Qp=JaWb553+nz(F`drmxC}*6NcBB0a~s
zK@bE~PLXu>6(XS=w}9-LuyO`CB-zPc`O{D3xMhzVqLe{^Ymr$JIl!snmIJ{48arT|
zZL?yITfptY6%h$7mqtMr=a}Ob@Q<<Z1!ah1*Rj>8;tIz<uo@{~u$E+1Zx%hAaoO`%
z{2bnUeA2ZprE;9IavX!wDdp#?%Kt)T;aS9EQ}_&3g(cTnnR$Xgq(@H%2X>o%Z3w`W
zR6A6@cH6B4tX)O;A&e+_e?BwGR~JT^bizWwl22I7V>&*!V}DdIRt&zWvKO0MPqKpt
z-=|oCz4Ph#Qu2uXC8$lC0=i7S$TXz>blyfFvcenV%|p1BYq}ji5qyGHk))rkH=<SM
zNMgF1I!6*G9;R0(Uj4;Sc*n>8SVR)~zBr+Xy*+phWmpy8$GtV9`HQM}br&=mj5X}d
zM#wF)1<Of4PO+DyAE=_u`HmH{@r*Pg$dJ{Y55a6a0ftcGYjg%5YYX=DQ<d>7`9@^@
z+6-nko{`JL${HZVp)2EAQh5luT2G)#`ju23K>EErVwLoZRNe{@lg7kXNk8kY2DL6`
zRkaR_KsWsEu%#No7IZke*EEKTA0(NaB8e-bk|65VI$)Bl?hnSCNp~HRvsSKj$x+AQ
zRK!y`4<dvySX2E6mAiC0hVJ!1JPP+928xKSfuAYhBv%j~NkeJfP{6?<f&bwi#cld0
zdvhVAh?rh(ATH9k>`tyWZ`i$<u)M@X_9mS$I1b|<iiv*VYd-mB-uT8sb*c;X)jClS
z3`~%&Xv2sqCR%kwazSu3Ch7<ygWyO^1Pma6UQ7@jQ73BDTUP6bZmCJEq2MX2buck#
z*Ye@8lbHh9^rSlv_6x!#s09HLYkzg6*0oiNF%h#_b=+2|b)W?`=Y;M85aczI$**NT
zOk0}}!GY+ak)%g#_x!P)j!J}D$4zRZ#!9WjHY;)vX#ZX`SgCd6wq+#=F==)5iOTv8
z03Jy_-@(N8YSZ=fouaV|<*TaLJ2AQ)AMZ->#=a@&d~mt~Dp#%h>LG)bAkJT0w9e_M
z&0Xhg>h=|K1ZZh4w|jx<k(M#ttqjeRB-4YwKua8NH(nhXuG~CA=}CuVhgrdp1iyGM
z`WJXwIZvFO*n7~pSbt0$UBWn*#8hRrfAF#Fj_qS9jH51D#*CsAD!lLcg%mP>m&Ef+
zE;y`-DbcN?B?YLEB$iVoF`uG(fS-O!$gErvgzpMy1&fZc>)Kbi@)&&(S3dLs*mZkr
z=6kf=V4gM6Q3!c^4xw;g_|XrDRP7fj=Y9P4X)hZ1YV(VR&HSQa(_S<%*OpHJp~gD{
zkpefZUo`Ae3bf6OhMoLH<Hw+OlQ)zsxejH01PF79kvyby1o>C1bOab+t8~OhMnpvZ
z0wy2tM#^76pxq(oKS;2OXSC!$NFZC~Zy-?b&+SNffRA;v%lm^sw#wg(5Vn$5HBSuL
z?8wQQ&tLqX@RqPcKE5DM<8(TJO7U2hpVO@uUBw^VNo6CJ>$*jk^FJVR?Z~!RYQ+$!
ze!py|1MU{xHXm@a=qkeBuJQI{JMDj1ba{YDw_SAA@9&!5FWYH<oG&8N_`&-{cdX98
z$$xcq{tFi@Urv3zinpm(t8C)iEt&u&f3>}J8{cj*>)AG+czl~o{jJ;BD#GwZx#_~(
zl;^mtyp`MHo0Thhj;WP7E)PA<F|`iMon>m}E;D~_VrrfFbMM}nG->aJ=kLC<aJFD<
zq`mko%UI#^=yB`aFW!CrZ6Iu3>H|l9V0oBN4j@i<g|(uWzwKxK@Q+5VNXH#}Tx7$K
z(K-ThIhoClL8Wy3^Xzf45jG~=r~(k~Yz{^PXKTR85M^kBrL7p#WdtUkR}`z^E3S8p
zi?HH)316c=w5UfD#87m&AoT5aYb0Q5LAs0nV=z;PeK7F((c?phEgxDGrmwhKo41G{
zh1sDjD4Nj(E#ZVi6L{YUYT?{}4XR1%fbNbGVCE$y-Q{NqWShYX(F>%kxN#-5kYa1%
z3bJeuL$qbUSD&WDMZRX?+B)n&7F9`(I$^B-sMC`sTG%K8{dbRa1}a)AP@F7}W!n0a
zicQ15sjRpB*p}hsWb59QN0hb2_+ljHc{-fYR(UuQV&n=JU-IxUB=$?2^1`~MCWY0N
z_;l@g4(mMw*B?3Hx+<rUThu1%=Jw<3X+Qpz_jdpJ_k~f{PE|MRrEhh=WJr#V-7P3N
zU=1=2ERDJg7NdR%DZV8RYz+f|_=+~36Ng4xKof}}NFCvV(JWl}Izo^}-34RRD*i>y
zWeC!!7r`=Vb=L_+V|2kj{S=M53&sG`4o$;iEMrA6>Mj`rOaVe^k1a;sB?~?9Rz?WX
zRHrp_`*9gyiXLb~=eXpksaErsvn9xtsD-q@NR~)qB#ipT%1NFg@l2$M#s9PxJHCle
zdiaM?IYJy*;BzzW(lz4NXI^}pyiy*p%H!w*9hd-k?pbOHnWZBfePv&<)e*!!^W`bX
zd(`Z>DV^Dlr|A83i2l;ucf9qT2*jUx>3Zz=Pw#jC>DvQ~qBrBT9mjN6P1n1rsCn_L
z0ky5wrQdG5fo4RANstO&cUGu~6&akn;BLL!2(%pIV8H2ByAauq?@$FqjEc|!UEf*{
zj1?<8zS-D#bF`whD`q<mB7SXY12ezS9cNRT?f7nqnKcZLw`|ZmXUDgI)&N8bL_H`Y
zSq#ieHg?>*;X(e@lgxJ9$j)%|<x$4}RBKjV){dZA9=+!qTxRiUqs1DR4{DLk#NVxX
z71b}up}GBwGiMC-nuoEEPnFp(j>Wy5GmUwuE_>)P@ZA)LB91}n)UMVB>VTlm{9xA@
zpu_B^w;hlWT-}}J?2(3N_`bUW1l8XB5t~92dL=H#Y0I~vCv%}6`Pbj^aUT}yR%@58
zZmp7uHeRL|1^U4`Ty?dXFSH95M8|%)>Ve>>Ze0*fG+veNdL6{P0WP>*ldF+hbh$Um
zR{IL^71eDK+^n@X2->z+>rJI|XgYxVx#9s6blXxd)ooc3)otlEIsl#6!c(YjU9dDd
zVQ6q4D96H0k@WFos6X|<HWl=5-$6IZSuQsX<Z)Cr7A06dsG{;B@j3t((2V+B4ub4o
zdTc@Z??cK_zF}cF^p^e8G<A0+mFTL_{=x*6NN8>(y2eH#sKoS+LnY$s3LXme5voKG
zaa@I-*4~MmQi;=+A5w|$dFGRUWE(0`b*rmH)3l_L=KG0s&e&w8Ok}5p5?jN{L%!nm
zPi9I!ZJ&0o@<5fI79JZY5Zb&?_CQgPG7pq!@Wx%t9w>lqB-^83d6c1vl@CajSbjEo
zlAw-B=7#@omaII`^YfOn2f95yZ)sF{s*-#7nIt_Aj6ibTs0tM>rG0ogDO;EEzoCYQ
zK2hp?SJRwHdd+5%Dy)d4bV}@=vBds<j;QA${rSpw{nWqw&7nV45xf2@p3q^<Fq&xM
z6}+s|E+tyM86C5mWYsh!YI)sP+<DI?6mySDCA*Yoz1i$ip7mz4OBwj&!>Z?`z8YLg
z;83YQ{Zj7ND^9k9i%d?O=H^teW3aQjGmYN-Rx*41nCiswPbk@Az+QX9GhP;GaLk@k
z*SEdnleM?%$P=xo`sJD0eWNr*o}frqul5ff<`4V5Ds&S=lj8ImO+MfW&)p=~INZ*<
zNgh}S>Qr5A@9h<I^?N_*@BG9ibYH6;ggk`?$P=9D{K(266y`uTeAd-x8#*doeXgOS
z*3~lmX1Jjv>*}-p969_y-_DW4|MTq}Rf`A^wUT8XZ0D$S^%hwNYRfCMb5MPVGT{f+
zicPgtl~~4<7eu+2OLf1TO_e2ePe+pXapVO>UJVv^4eNhH)x{_O&i}?YL!T%$JG&X6
z#P~f!&}X`@rP6>FM|ilnS<ubDSqr0AGcz;5>3RyIpYbt&>pTC}SXi}nhMS?@`)sPo
zoG&ST^gr690lU4HmhxC21ws9m`fRGhrh{p^4H~kkKH$jG7X^#e>%FW@wT^(IX<JDl
zF&e0zekz-4e68b^kd<os&lTY0KW2+ezLM+Uua;WlS6AJfm}LtvH^LQMogOP_ovB~1
z*|f)C3EoN@0%cPT$HQ9OkTdK_=DR~Ap_U#{mhJ^h*^}BG$wtPbFEReFI{Ij^MAN9&
zy9t!yi!(t|-|pMLygI9>^Jv-YA1xcLPPs7>6@)#5GW`}6gnDyA(8D^ZCo4vRo-3DB
zO)rcrKa~Ia#Seb%kAGtzQI!_(^jw4G!8B3O2~rg}n;^1Z9H2(tqcxH;Oq7KnH9-*s
zdJ{T>OphL=g&-BR3&!-%)waiZNJZ^}G5r$?TQz#DNJZ^}#(0Qs<mJ+;xgkhJ?Se7=
z6PYE|zahw&stA_ppO{709$MQ=l*v6!|3pu8yQC;;Uo)nEq6Dn!Hx91tCAMZv|HKgV
zdd*R#s&P-B!BJup{W9vXx;>0iX@VJ#cKmPibz1&mz))f4O7V3r9$aJb;L7yL_Gpjj
zubz55*+VDLPJ%kspD(deZpf1eN!s!1!onO+IXto|u}r%~t%;fAsq2ZSzU^Dz@B^PY
zW~PBTVtr%S`qpOJ>5~VnZ)K)ET)+&Vo>9$adb<WCF(Z&6qnge1S)-cGGyt3gU4fVw
zlaE?gBAaQX6v?+Ef>x^uOPS3yMhbCCpA6LQO+Kp3G>F^ioe(Gjo}tQ(88xX9j!cMC
zGzb|3d?s@_l%gCmu5Nh!?^R`DKdy8h+PPk=GT8&5HXz?jWDDBr8te>!CxT5h*bU(S
zAk~+5kO!yQA*TkxmIXG^v_H^~6}tii;H0JfxgE`pWCzW365!^#h#i!Kp9p0OI|KM>
z))gIvkjtdw%XS=JytuR}Z)o&hZmz~3I!#Z{rt~55hfcdivnic^i!PZ&@YBEdD?ai!
z!jvks%Ml+A^uky<mb5r66%~H50QMC!j(kyzU_s1*uewEq(UiJiYN<T-=9SWvx?pr9
zfHjNJWJaS=BNoAeZzIcpBS=%~f*9w1P}CZKRwGEA=z_@kai%(ea2RsHE|7fa3NZ&N
z13}Co;cDqqTLYIzOsOxq1As!3n1e%NSw(coK;d<q9#kJ(bfrszbjwh9TlBZIlKJi=
z6y8!AUh@wUqB&nPP<Y^}s2gCeZt^{X7Ar~vDj)P;Glsc*73NljhRnVC48s*k-3u|b
zeRw#4yw<LZoz8Y${JgNsJwv>`&=7<4pz=Imi23FgnkV6I%Z8YV?y$T%oi7YIYmAqW
zD6s7!^Ln9X3EG5wd~uc259W3pUugBxrd*zI1SJ1>IgG=e>^Y`Roq^AMc_Qzr`Z}j{
z23|Xn__SLzI|4W32>is?{<A;+Sj>RmOHR;J-?{~@qCq2KYCr<jF4$M*2oyo3)MiE-
zFFK6U5o3Dre{Z2{K}VnnN<EDj^22eXl%^p_#o&U*FoYFXJL6~#K{^61cmTzu;@5X8
zi_$}o%ESdhf1o7U2(EkQNJqd0QSBhRCIVm(CTU48R-_|P1c6UAL7i@%f3-LQE{W0~
zJOzoVowPERl+?FNf<1;^R2=E+6r@R#s=G+;P{;KgfqH34l8%5&?$#ull_UWuMw`1M
zj(|&o5S}Fr4n$g8iaxEG?+->hker<7=>wjUWWGUQyyDSuIm?jZBw5{|9YCylp6+)h
z$$X1SXBZ|+8gac#Uddrmw;~W#y4{pi)vb7yxi0!k4gnrZX$-Ff_+QlbnydgBmCi@?
zGTS%22c`io@*ad_DfXxLP^CeHLOgpfe6+${SPKt$+8^Fil?H)8l?IXRw7+;uX@B?|
z70INqaOUGv0i^v28cdrN*(0Z-Txk%zJ<FGRFB9sqG^ef?MwV|aFMCk`#ZUbY{;h9*
zL*Xe`sw)p4oyhIj9XWpul$hWg)}D6z%B+S9+MEgqqI9YeR|rZb)=1>QMu&x%+r`v&
zYUE5zC+?*QLTecxpG=LY1^MLop)n$YSWNQq9fTVouP8349~vaxCb&XFRlTA-TYl&b
z36`Pe_RMfJf|7|fLBw~|v4GbjW!?T5&BU6db*rMG0_UKiYg`{Kn3gryv;|lbVY5cV
zNs?B>e>l2ymAD#5$3WZq_tboR7>Vn@+``ASX1+ZK46=};BgHIb>bRJr+E|rpO`m+P
z&?hrCGzlk8#+Ro!{76J@O;^fE?z?slbP>X2E}9m;x9zphmXOs&`8UGT_~d7AY<_vw
zeL3dceXrXVr-$!j9qxDz`ii_lddwHdXl!u7@jd|1q&a|eYtnNLo^o(Nh$LpBw6B;B
zpwdTZaafU}8*8GBVhNSBW_r>Wcn6i53qwyN{}Hzv=b0Y$1)Mx`_aje?^VF<HtSLO@
zwh02=)l#8Z7%JVqW|~U2^E9S#KI%)U_~)Z*tm~2(o1%{;hS&7gl!UWMmg{*y;;VO_
z=}}+U6glXP!y0Lr`t=b$g7S;jB<A8PxmsIaW%}*;9$E7z!E;az?V3p$Ex>1ffE&p*
zHBS@2ecFo#n(*8&iB01vFHi0eLpi_n|Ky49agvvR^+ucii$;d>{K(f2zc0_f@lAZP
z12}Ca#mYaGV-CD%IMbmQ?XBLC?yisjg$o3aAm=k?p7KrOLdkaWd1{Yn<|)5Ho^mje
z)8TYQLauQ*m<67PO>n}OO_G|g5(SFTn!{+6v3$4BlA>4c<h65^PhOpVXn8%$X*dhD
z!!%ga3px6#Pyf&V?5DnO422B<G%XaY9%2B^M+D56Cc^Q^lTp~)ZtK*@&Jh(W(un(t
zAh;yLnSHfetBHgl?Sl)R#dXs>xZqh_H(z2G+-)~nL)as4qws<_G+$>I1QY-k2?iWf
zSbKv;#8)kn8yQvxQ-9p{291cXS|qnJ&_^W2qt00Irn&VTUOV5N^LT9<@N#rXOVK46
z!fWUIb3b@(u}zh!9U!?>0~SdJ-8P6})x4$4!HW%pD4(*`JZm}cJ&@*Be@k2A3g(fy
zx%INV#`TR#tMqIQ_RG4{Uyx9>6^UdPy%O)0y?-Oi59J)b@vr~rSHBW^T+0TYbFd-;
zo;WXA0}xeLFx!$fC%r@KBI4M$<aksRzG9TD5m7UG$OOdH6D}C!z8H?F5w(4VbO-f#
z5iI1sm@}n;e+W{KyP#!@Nwl)=ako&9yCBAxF=m6{9vC!<9AZW4aTf%SvFdSTvTX7}
z2v#NQBUo~D$<^8du_RfQtkKRQd0h|^3iPZhFEi)hM-K|(Jrz3r>$E2IxJw2FF~|<v
zyHk~{3k5NLkp-c?=4dDs(L;k$Q$3sLhegYp_Vv0lQVavSR)vgtz&2ipG(%zBlCSP=
zt62aK6b9}}+W!huUJXT?OV*NXd3BVmC+K%-Re!~<pn$*nBi{Kpzvp!&>8qOD6|nnN
z$w}?=31(OAfKi45E<%_h*^cb~WlwDfJ{hF0kZJ)2w(Y^oj@qFk)`6MV<GMGbF+0-e
zWZ9Ttzf&+f(%?kIOkz5TXep##&F5G-((9vf|E*ww8o}&H?^g$8xVa+ELHktf%E3rf
zj`RTqEvQxGc#emwv<Vi+uzXOJ)Auv8_oy<SJuUMbvq)%7XHl7|%j8U&|7lllzHT-t
zo${mRV8X_TH@}1qoAI|}l{(t)$lBZt{&t#|*TdgV)nU_?A95mp?X&*u-@a^+RGU_(
z4zs)q5Q64J-pnAW_RuL=46PuJE(=%JHArfMY_jNCc#~~CiaN}HwV^?HX#A77ah`}W
z9p;jlAj(=8C6B#YSXH<x7)8{&M0ZC<8*ApmRmoWZec7uj)`csi=Amn$LA$+iB>H(?
z<Qj&;TO~&>^r+~ltj8`@qNYF3(F3Z;FqNQu$Bejr2)tvXJ)6yOWjgazUxs3s2T5V9
zz;SuPw;b*%d`%3XFuii(hkMebHAr(`;Pvzce!@R^)93%>SYQ%NXUA(}L@(L%^MOgB
zM1v9Rk)7ngq|CGib-LKL9B<^nBnZraxo8vjRbW!a(W9#0#v3^>Dbwf?>huXDGbLJ?
zMi0W8hUsLISuN&LKB&zyV+w3X7~7!)IKOSIm@aT>k3crp1B;e(%yP|vn@vil(8NO$
z|2u~!PhM+lASll16?*V|8(V0!EG17kf@}2QmI~*D$b!*`uQ#H|A41^rJ)inZfArst
z?6>~zHu+*eI#w}BMp)-7a`FZE-cHJ$L!?tx@?{0g4AbPuX&dv1U-GA)%E_0r<<6|*
zFun%PxRyJcF$qsUm37<^g;+^|paHB`Nz6K~4B0`-Uk2M|t(kS41sO~bkps8BJazIV
zGDOU*H<FB??JA(vdkrWx6FSJ}^d!?GiaoX{3rIre*qgK=fs3!XU$Xa0(oS73n<Uw9
zmjv=kNhcAyAxW0cb5s|r;<U{7-N=B;zhh>uYv!U0<%9lf3x3vpdTApSzBC!0b?&w5
zX4l2--#PXD<V|#IsWRT)f~rpM^=pniYW+8U_xFF^N0hX<R-armdqFFPPhd!lHpY0F
zs;x@`FDP}%kyhFmK7k1~ET)~ROGW_`^Uc%+j6+j1`#Hw&3FLoNm4zVhpbMUD&dqhn
z7(Ox3oJ-B@E1qwArT6KQ=i6R$U9zN<0PgI!y;3v#n)lQ8sw%FAQwna`z9@?HPH&tk
zz`yR}-U*loJ`NTZr)Wh#nbP}u=UzLG0tfXh5<i~HIW-P$vpMGPB5ju{x(ht^thd8O
z!>}hUrP$?X;Ug8?7u#+_o=bvT(fZUp*pgwf9T(tZVUHB}H8uc3x0316UThKb0l$Pb
zmngurlIhuAV7wlOQen*w%xJA-dbk&;%IGgbvq67oUV6G0Mk0;!Jld|>y0^fe{TG2>
zh6z)S*8}PvN6!^<fj_&Xd2m>>BVxLdOi%X$J$ve*Y;iq%lKCD1zE30?x_04A)K`9*
zH!%b&mS+<1TXQHNlIrUIrM(CE(me})yubGVEBJfBkC7GigZg`ble_=-;2e?|y-4&A
z*$27U+<H>U6LV)YAJw|IsDd7|HT#lgB!w!c10ELW!bt~&Ky^Ubj+)SBv!KUcP8jG=
zz7Ww$4uN`qZm0cmzL}F|vtHnl&inIyPd|9W?bu)N^Rc<vE$~dH)4|W#SIhAQPRq5>
z<IQ%_Vf{FN2(&xo<9BPqXVU?Xi>_*=hd{kQx8sDnZqY&2l<U{67G3rGAyDsM|Nd^f
z*ktH=w^?*mD?J42{ka{-#|6uq+B#QS={It<eDjINyQC;@-NsfX`ot!#bqTAnRJTuI
z^=&NHK}VH1`}Wy`RZ)0>@D^e^&y(WrBn<DZBG||J4$GAVhceFGrSO9523p#C$2hfX
zNmp}MCD&s=eK{mXBj-WMoWdL5J%2ZUce)S%h10`(d7{Gj7puN__r-U=c=!3Y$r$G#
z^ed8n*)s3nQU^cF514#cn7{Vesei@d?hVV86CS#>+(k+UdhhiX^^b9CR~cRHyYd#@
zIy=7lRd82`T>qZk6CeD@$oVK^lK18te-ibc!ee%uz4TUPwpr2BMv;mpcHkGG*nt*A
zFBnaVtpN{A6p{-TTH4*R$ImL7#{|s-!ipVQx|<cnrkUUl6F#M@3r>yA9EwAWIx<1v
z(b=Yk%-z~)0YZ?@m;dTAn+TqrC1^sBzE=^H$OGmF;6n>)LQrx(F1ZIsk3e$(_+D$A
zlqAJs`p*GvulyYNeHP3mNwEzkNvZ*OljP7_8%2;yPV=aM9ancH@-rz76KQi1LPMkq
z*Iar7j(ZL!ny=IH4+JcZ2bhl0%KqZ&$u_Y(GcE0_n3lG(D#LhlDt&)Z!>|nE%_*Ak
z=2VZL`{X})^Ggqb!wdnHWPFbZP}@kB>d`_pwAZhVA8dd=EjeBAOwUl0rQj4;^)u9D
zDK>rVY(6E0rih2(Hd5h&Nu$pqMmO1i&G~dnPQ2VtI)#d9P~q_lQBBnc*JHZN>HM>*
zN6anq9nLB}mF`81C0@M$Xz`5Fn+G9Z##<&?rigtcl>}fI#&)bbu_0Hg1A3aJSffF$
z#26rJr3VG*sA8Dkth0@#-J;fTJg8fg0vi1YUvv0j>c=$P!6F*{nD>>~u*6c>$|2?H
zxN!=#+a&~|hd=(VU;cw(e6=9yRSz#uMprEgm71WnuMl!DHgUnyRf`;r#U>%h*u({+
zS$20c*E<Ahd|eP2AM&-J6uw;>&vgjW_`2W@+%(D#0UT|MkfHG{g0S{5mB{3Q_OlhF
z@hyUgakPBffkH#VqBt~-uM2{DMm>q4UtQOuF~nP9lReVXb>(_;9FZJ*BYRLgXX#ko
zm=r;nHN9sg;$&9k8}>NahfB)~Zl3+`keQBuqtsa%tGOEhBo<ZXS1D9dM-v^lWIgLd
zrr6eGOs~ksZO{7&{g?AbvoT%Xnxd(%orH7REuuAg7`I3*D1gOud@OzYS~8~p-v9Z&
zFM9Wf6$pXejJ`4T`RizbXw6DCre*&69&8O7pxW)VM4xSuHHs7!WS-bbBS!{KWn)@O
zvS6?5jT6qsGzPU}5>;)dYH%0m$5^^$S!~s{o<TOI+YOoCD9HTW8Dm<gAP?x;Yq4*w
zG1Y7&${NB}o_}^lQDKB;0F3Q{Mr#f(T`7Jk4`B8dVo&rc_Lwv(a2E!6k)jPh2$#S8
zK^3^mXx~st+Jl|0J$k-B;0a{^H_j7@)*cA0x<MUMmLhpv3lCWQ_Q{Tf+V)6D>h^TN
zn8@FAF1A9|#%4oZ%D=jJfV;a5{x@8O_~hUD-}q+e6Q$0hdYkzh%AA>MjY@=;EN;uw
z4W`MexTxG^)ehN2-#1V9{W5LJfRHF|qF9S!Z~DOk_Da=Q*-kD7-|n<2$@+-bg>@?-
z$CAJ7oLpXwoRDFpy>NGXc0V3n4scVWBe!UFKW>Ht{ByqS<9_I`j`h!^#{T|P;p~2#
zWuMBi{8>_|Y~HLY2$MO$DV41oiftBoAGbY9sT|9n9cY~0kHfiv#z{9lc4naQs9~j%
z5#v*RP+QovnVRkN(AzWKBi7Vyo&P;$>HPb09*toB{*+AYLWvZfd(OK!?ziD<t&j~V
zI2rg!tI8B=<8PQkp#SP&Q_$}fFh<!?s~sw1)6!3&!dTfZWkOB+^P#DXRW;*+!@DYA
zR%`5KJNBn@kbyxfuvTOJ046CHY8+p-V}Ef@)25V~!*+^i5m=lXwvQ8*r^23Sep}tn
z0^d76>4RVVp_ome311J3ye_pxb2rLycy0hJ)sVx!vK*re?lv1G%SEtbv&ln{ddUS1
zTFC)G7{UX;JA*K(ms}7-({<uF^p3F4XF=*E7er9NE*ezXmPiRUD^>-*T}QH5;y;la
ztOTcP5!DexIll7F<`+3$SoxH{D)Nnt9>LL-eQf15R?1vk^tH!vkuh?rOVX<(AzyMs
zRk?(+f!|(X8a3AyubynDcdb=D=r?<yst4zrF^N<?6~R4pNrefN?Kpqhs@T$t<C^1%
z$3;*1+i)9O(97;---a->)a81`)*Er^=%PQ2oDz>)aDa0S%|JJUWzJSN&o#8`>07_`
zC!TxL--)!Jk_fnc(=EKTpn(F|40OJ0bcKsau&>O%xnN9D*aFqj2nxPWS<cznKrb1v
zy?tMh_RUw^q86t$v=urgn-yu_ilEX8(zPMbj}|k|k@n360oGH>cyvp4%Ig<`qQLAN
z4>(C_*Z{qa^mOJGC1qfOHggxLFBtXqSF4&cNpwFcqv0TNjNtoR62(L9K<TuNHXpnq
zqrtD>j9mQiEoSR9KU^(}t!YiFfYk$D*ptk+hnZ8{9;}JMK1}9SN6+_Xw*;_AB{i8>
zNme%qH(CheF{7t9<|5PolMWN7$5bL(PwQJb>Vc?Rkcs8{wDP0z41Eq+s7efq1ET8>
zwfZ;yNs=CNQcg<`k?82jV0MFj$TiJaiKm@{9d}#&FOVoOs@Y*dC2qv`mug!$EDRPZ
zj|DxOmBp9su<=aHF~3f9rL>(OJg7Vt9a9h<Pt$gxZ7OMh>FP)x)<~jnjq}YO3pxYv
zDChkfj|DqaK1%JfXlN8Jiw@+`w@WjF$z_4?l?LvZGMZhMM>kbC?H0{0%gv+;f9vwC
zfB1=LNzegR-jZPBsz6uFk#(?OAd-_YDtR6rm6i)0Iv`rb6X`&L4^x)~X%k)W*ulPv
zATavcyI^0z38G1KLApMA=q1{sn-yshi(rSwNr|*kGiwFuvbZ2T0Aveb5P|A39?m#4
zHC7RXD<VZWw0j!WP6$$$x!`uULBqBT*TYc5<{ZUkaY-Bz#Zev`JsVnoj3p%*R3z62
zt!eHyN8{;8lFSpiq)`W9U>~@MG+&Y=^WDK%0u+0hi~v4aYqTyo*%eTmxfI-OvdSkg
zM{3}`4tT!}piXwLtL`!jGZGYWHh1xrY)wvm+^y&6wYiC8^xLd~Bp{Owl&QBlOVM}<
z?18u_!zf-w7;QQYmjHms1Cd)lVMs4?IwYeS$44>qqB(#!!=Pu6?WJaYYKC*#@~uf?
zhV#ch^^;!ri&1&ejEP5po;}1Ia-)P;Z7de@R87qt5ljz=HdLpDV9szBdUYrb2{BG_
zN9@-a)+xzVjC4~~6)RR5PGnytUfUkl#<dxOIl~#05R6wPx(Pw5Q`>sXTBndRB{;MZ
zq&h8v=w=gU0W_h?Y?7RX6?N*82x2*q5Kc6x{M%n6>a<8=RH@E80dZ+9IjZ_f(p2?M
zlZRD>I4KH>;9|0NnykSF=|c6~CPq4El-}IJa2cedO*Kn4z#|>D!9B<phN()=UX>A@
zI;8Zz;_-j-h2I#^aczinAJW<*@pO4xD-sg=272eDDm@o8Izo=K!Xyvzrb1Azr1fHA
ziY2Qx5CjW{x-%gtX%!PZz}XU|2h6oW$3sxED&7ZrB(V$~q}>io!*xfkq`gcKtRCdL
zheN#F$bi$_dMi<|2?CVG6`}MFP`J&ClJ@cyf%t+$3@;94tyWOeUcTfCp0N6mOC$A&
zUn5G-Hla{4N>L<HnQt$-roH^=>owC}9QwRLJ}>|9QT3==(a>Xwb}alc5QMZ^Qn#<K
ztyNFrA$54oZLOF2lmd#3yq4DZLp@5G>+<T9J@q5{YpzaB&m&wrD1F*3YE8^sow}at
zRCoNQZ+Tm!dNl9mv595jP)oZ08Q)%=8kzA8s02r;0KE<p2AZo}{m8|SG%5i#?M5(H
zr$CT}3=ix%hY--7=M}|FmjV&T?!rt1lrHJ0EGTBW2!e1-1b6HHlx?<G`-MD!@mZo6
zRDJfnI##Yu9c9D}em62<V$k!!tLjvYUqD8xy+T!Wsx)`+!8}?YeX>DM2HavEMk8*?
z<8y7r^?S#$m4P#Apzpubqsw0-9_38fGv|7)G1mjq&{XO~fd^N2moO<pQ*{NauP^4M
zR)1<xbhY9HGeOV%(ue;WUlf@jHGQXpq7CAs%I|K1B2kH&x;4gmGp5!8WLscP1c8nO
z97lLq&5AiFIwC64H24-t=|(UIMF)(0kpePu*1{-}W1Sonp<@Q*#yCePJnLtQbId^z
zh>a|Q!BE2bS6`-_N@gaMQB63{fA{}JvM;Jw9@+#fPcgUZ2zBP=0zEyY>I;Ja+*r;y
zi!F(&FEh*pZg`m(3FwNePQ34&p=L~-ym8>rGC3d23f|LvJb5_M@wlD#Z~A8l@vW-F
zBe*t})pNp{6J{?-m`kjjPDidz1Q(J{zk-_4K>5bh%%tU8$5;~1@4EGU6KLeqFzmL|
zJwyE~8u_-1kI#v>$Cdtmblee+fI^>rW%WB3G&C=0Vz(P3Y7Id?IW8DP00UFAsb*Xd
zk1o<eP%{|5VFX~YBGrrw9yc<~3)x{b+qXZ4PMr$^^n+nmbQ8f**6YioQ&%JtjeKuR
zhvZ&da#zR&aE00dg5uN_$-*u$)aRJ*PN5e#ED5HnFS@+ezUIN{1rE!tz>eegOxCcf
z5U<`97&`d^XRv5t`;f6YuE_mwqtIp3zw^ICGVyPeI*;*bm7yrNtPI5fhB8EX4caP{
zFSOqXD2R_&s4JG&GR6kl@WD0{infmPpK0Vbw4<k}UYzP+NHc%&$NauO_017(>#OPE
zw!f%BsDf+WzS8;KzkbbpR^evNjKR^$wGI9=_?ETW$(lJRTJW>fue09?qmVW8S>>2D
z^X{x}%$oVUZln|7m;8)ww8?}nxkpl5ASH*r(tL*&H8OOU#F!Gq_^=?D0&AR|@yjdC
zjCO8zi^D-fN?tSVNs<n_uetY<C5CWg3~s*cSJvU8`b4!3<m7t_G!$jpZzzO6r?vHH
zL#R#N>l#D(#)r<#lWm`vpfa)^m-r;<@fLQ0@U-%}M|fB68+LKOSWjtWOL`nN$I6RW
zTIckG8!w*kb(kgf*~5zl2qm*dPl%?OclSs8ro3o-b4HdQ($ydKKm5YyU7)LvE6KiP
zbx`Z-Es3QN#Vl7nPOHj`#yW6iA-G;!3?9m$(gm2PEh~by0*z-`7d-t`t*beV0qO;s
zt2dLV($xUy!RO^<ss6O?T34eoDXMK?GF_#s&rPP2sLVCn`O$P)SDzV8*XrsGi=m={
z(P7YBAxVw;(a}h(-aeR0Ec*}_z1G#h-r=WHSFd0J>#HtRD_;{-%&ag&|Kp9)tc$NX
z>*}|?&A@rZz$5|=%XiGWde+r1JiBma`*VKv`~T@r|3_aCO;-Az_5JFH#;tUgGHFm(
z1CnORUsoD6w^9%=YzZH(^-$K;XQ_y?u0GF1RQuKEn20J}eVU1=)zxbZ02FNrGKMyw
zsCD&<bN#4S5ef9PdN!qnKOT8cX++D$xzg1bF$4ju*=N&w$hz8-7V0u@pR-z<=cL04
zzw6&QBAR=eqwRY+B0BB0d#)tI{ZR7l$^WtZNSl*(KO4E}*{{Avzj|P<9#ZF}Z5!=b
zd6P)+JgMb|op6~^;`%vH9+3emIyeP`OVV(ZG{z)`nAF7aeX88Ki+ggM)CMv$PUd=W
zGN1cLe(saMZai^^C`RL_c``2&q{#4P2V<Vh%V+V~x8HgDGw*)JOmiP1s~NDBeV@kY
zh0!ka^SpUV_c>is30Gj78>HNc(B1Ks9KziI|2yOf_;>zyNGAS`QmLde5Z=utmCM?;
zrK2pR;-uo_sW`X{DWm|Z23I$YcY}ZS+Dg>@(3gJY+ustX8tqx|;Lkc3(Ac?DQVMiV
zTDz)!1uexyT@l=%b(2b*{X<%?2th^{F1XijOmdm64B8TcOw_p`rj2U0Y>UQK<--U;
z-YFLZE>D4k=&eN}PFy)@5uhgJEiQtk5o?JtR*fKoKNmz>6*~w>HPs1AaI{TLj%$ii
zkPjMBG_9RQ<b*!(fA+tj8sd{K{6MBrd92dLFf*>^k-*j1!#k3)?}7Fw#5(kj{oNQ@
zzICLDtNE5!e(-hgi!76NggSiB`a>U!cK0<jh$Lq0D^oN@aJK>7G!zX6@EMO~2vX4$
zLGa`Vz8Edq()*Yj=&5L2@Yt;}iUKQwO~`tCVnr$%7exCe_^YWF0G!d(bO=(>xS%DE
z(5eJR8O<_<AQg=ZTGu6K;CZSg1nC48!5w(6dFWjSf3UecQW0=TF!?CkHd?tX(;iD!
zeeviCrEX`pI~xAmHFICQRm>^57FC=trDU1>=$I`;dLWTBpinw`zC9SE$f^k?kH)s0
zB=h~*@^agG_J4c6LAXXhX!9zqkH&MJ)~xOj5KS;wJo>8t+w(1oPX8Ur6qzyfHAig$
z6}Nhcr?Ef!{Z*P?RrNnQMP&UY!|nfl=e33RC|oDik$Yuf>Gh1<KJh#i8WZf_HRlhv
z!`marPDW$0u6#)y7Zg0z<Yu6*FXHS0DNjuw0Ee<dV=8M;sv}bYr1Nj!O*I-5SL;fw
zKK3k+sP&%Jm{VAYN$<S${M~oF^_jQ5GsaBGpk5nO;u*dHss3!?I+>S?Hm+_)Zc%G_
z=M>iUq_BSW&;Nz5e{-a;w2Q&RB<pFYTfJIdI)+Iu7(|47Fjv5u<OU_tU)CKJM1<GZ
z>b1iB3*s5TZAcF*A6g<|JM{EcFAWaa>eY$4%_~xQ`L8y|3E&KXyL!!5)N3KR;rL)I
ziAr93A+;mh&hl_XlN-G@(jn3#$_H;+y$)OH<Jj-J{?YT@!GJXmec>h2nQXD<sI6CP
zJVx*r9>Ha;US+>~mWql9Fh1!iSS)B8IdSE6EH%`ZF#E(Ycp?dN;4z{9MJzbCdR^w1
zNJTZwys{p7QtCD~;k8_$%i>XO=K8HK|KC6VIb&%ju#2O^fgu?h6xvM9mC{ZYZZLKO
z2vpRC1<Z&qbJ_{B(2Ztd@2#^TSf`zigh?UDL!PQV$DDRD>LF&6R)XcdAe+S3O<q2I
zog2a&`KE~QgZ~#VY?1M=ihLvU0JVo}i+(0)CN96E!K)4sBn?m|5<XhQ<u{*YI()}}
z{l1U-7s{MCZI|>clecsNd`|ZQ$s_RBXfPjrmEs8)iwlN|Q43ITi9zLz)Iw1FG#5md
zI}!<`uQ~2DF%yE+D}Lym&_6SI1pljLkfC02K>&G>k>HAJ<fEEHi=SqP#*|k=UV**=
zP*Sr@acHJ6e8mF&$Cx;SzlWgsX};oeN&g4-2G{8<a}GFoHk!TZy5{<fdP-D4s%-8Q
zj+;ARL-v1Li5BxM&y3u;x5=9DPE_;o@ou$?SM=`PDLwO9`JGaL*Ci8rl`EcemH_6G
zC|)oBBA7fkxb@AQSC`f$S3)vGE+h~cw36vTUI3D;q@UY?CT8tl^))wZCeN@Y9_01`
z6(_|WnuSp|@loFNCDXIKK${Dm4tYdL_E5h@%B^ij-{RF2&@pKB`oBFr%?qH{4shr3
zkYYk(?;%Z(^J0g>5#A(`v?dyV?x#PbcgsV1w>+fyxAc%=%UPM~hZH+FUnf7NhZMW`
zL&}f$uD66d<w5Q}q;GAFu^VYrLYoc_?a0WfIwp(Z-7q`bt%Z=Frq>2j<^!eImPvV$
zDrD;Kl2qG9m_5_}2PW6j4~9VXgJnB>cu8Hx=4QRXjDlEh(=G6t<>lm!jqA7BE%4N)
z9gy6sQk4*>kI(INK%DPB@4sDaD!*bQFH|}pw`2bf6ydq`a<gA_)$fNub$r=Q`{R5w
zz53?3=&F{VPSjo;U$$d^T(EpPI`y%HL>swUWfR|a(t82Zw!L*5-*yP#MXhXaJ@I&#
zto5zi*eb$1-E?7Y%5z*+?y3V-F3j7Xf9|>AlpU@N%4Ox{DT1C2^weG>H+gmD`KGL%
z<yeLL(A(eszK@TAQJQdR^H?1E3jYWLHDJ|it{WrK@Enl`X!NM)q(r9V>8GkZmX@R7
zNTKtw%{qyH<SUke8k?oGM&=dead$!Z9%84C;5gDq&ay{V?)O|06w+QOO_?fc4=pc%
zt#|~$9af5IA7+Z`ACvP~+a=r{F=xmRwTD*s+m=MLIHq24Npw@vK<8gm<*`syQsUJ;
znu0WpjGt5Gv0%(tvtn*+2j{C<Q=U~nx=sjTZmdt%q(<K_<_;KcInTpN*b1xj%=hPT
zz<d(DNI-qJN6$A1(?)FY$6?^N=S<^qza)z`upsCRuGhT4Kr4f`_=}ZUcF(c$8{Ht+
z=C~N+Q>Iu~A4Yzur+R7HP4~i34O(m4&}3bh=AlpKQ@RRh{~`g`&}6IiPSysMw@P`p
z4VFYhSLO)<?GBZCzTXB*6vB4NT5*bRHF<Czrh(Ukt@F1kD=}<;sP{dc9)?0>TNBil
zjA$la{=eSzQICb0(DI;%(N-+vL?5#BL#cO+e(79!O<c`H5!}F{0MbA$zlb1u|238k
zL7IsoSil+w5Qj7?QVqEv>=R;L9(o0K*G7<9$OR9ZwK5~2Nl~ca@;OooxnLTLfZoJr
zMVbj0WcdKP66gZ-DAq^-MeG*A4%Sfx*OD2`hpxdIU8l{C2vyK*T3^Tttg&BrNL%vY
zC39higVhr=;YUX#MSu*W9_R8+l6;k2@`xc;xQzsrtb0=##7wv(AQ%>NVdlKXacRwb
zfA**z>6)-ny<e1X5E2M9C~|b*Z<^np?+}na1{K*XaT<+ezC~rw{T9}=M(A8*P*fjV
zN&-ejw>`?6WLO&Att$Ym5$b*ds95*9)U-^A|77K6R)gCTiv-~eo7%ZOgEd~wV2!C(
z!(QntSdIVqzx=h&Nqru}!NpN~z!_Yud!BA~UsI7>HF9=JtKgzc>qBtSdfC}|g@OZC
z5LN><9f6SL6|?UO+E9*oV<^C(4YiM5xvl^gs)N5{imMjP!NnH*QljQCUamJNFb5Z~
zD$G`~n(j5P6bOjVW=?xyYlnN^3ze$i0!D=ai-Zi)?wWsh6<pXnLr5Ob)ZhFbf%th?
z1v+=6&UuMIvr{|mutfRx6y8>VAe#w*quN+C-}RN#Ro`{i(s|DmaZ<+5X%22sZ9fcn
zb<)150oOc&Gj*1{+>t+O#`8_-^YC};y81k9y%FWv1JX^^&C`}2^5}otSO4hU3kX?|
zbyWX58tAE;Z3`*?LdXJoRPn_^kT1UrPNp@yfIO=ZvW$>t6+)H~@+>&D>ZtRth9~i3
zsGI%An8qdlA(BeXuNIHKNG?ISO|KT&8kxCTHP2T~{ti|~uE^+R<KUv3%bLzu%dQp;
zJd$K}cUD+TjxO06ddf*M-yWJ0i6;)2)2@DclH}{<N5@Q7HDFNZHssAECu?!lz5#+3
zEvqX2gj1b;*g>5<q`~zto~4O{Jm4mO_nX_lbCds*%<WW_4C%Scr6YP{Q3;S5VcHbW
zswC){sd`P3XT9f_zyCjc<-<oo3>;3#6bRBVs)D_&*)Uyq=&{r6i>9kjf*5rC4@<vC
zO9aG<VrsQYYvTl<-7wY1k}4r6ezXbN=xM?t5WI`R3_<auO|W1ScF1bCRcE=|MGz!Y
zk}g1hi>lyQF;#tW#1-M5lK(K?t`O8Dy`SV!h`U76Mo7BksAdb#vDAm}mHIG%2dO@c
zEzcO#^<nH#($RH&n8*bis{E*c7+jgPWn!kEDiDLRrsL^cvs^(F<QLTvRkpbR>9E!b
zkX`BxbkdMRZ`7iMF<ans+k0Pw7&IazFapCO+M}mOd9lUmiKzq+Q2#MW&+=k-MD|Oc
zJk(X%HPgeq0JMkm=#kPo?g1ZaetUYF7nlNT_!*?M)Za>1DLu{$WH~X!N*?E>G2pr6
z^oJB618<ox4=MgFJ*3!jOI$ysuPzY7$)|J%F|210!y^x3KvUx3EdU}Ih`~33O8};U
z-v5%{_BT<UD^AgaL@g9_!`)|}c}e<hhG>Ww^a^beF%P<dd01s%FH4=;RalXHS7k?f
z=F4A6>`2dic{E_%dg1xI`Mc-u=I^+lAMS<zZsn_LFP^CMyOkfAIh&UH)9yZNKj~y=
z+;S20PF2)jE<{;BFd`Yn(Z6D2a%I7xOUtEs)^<<y7WI#DYFFNfvMYbtEnLui<@=Yn
zoDS~MBY0O>{`~hp>T5pmrP1xB8S%FKx$W4oj11`L4ELy$E}L?cqGY_j76sK!zXhFM
zOA$Pxvzdpcf72E#>9n|D^p6cph0n#cEnqZ~BF6kp$#~~tC0!O@aj)zdaBeV?Mx8MG
z3N9c$78k^cu>c7{7D~kn3c;rQxzc0_04>7Yk&j)KKhd&g$YfM?dutN5<wr+cLLw44
z_3KT)sF};30Q<o4qvQ$=Z0&h6S>kJA@`mDmu3_4{W<C0)#mH9FK<5%6lz_mK*d;_D
zfK*c-_$nt(__;}*$mVs)=s|}&zTFe^zes+}Y3$2juxHcQB#cBV<?_xjd#G<JQ9W(>
zp+xl$yy>(5^!QLkkaQr#(jsD8eL7i0WMUzgzH*f+H020Fu__`mr;%5bfYIu6>_|O{
zC(K1e%aX`Y#U7qe!bMvVn3sdr2HBq099p797X+s{E)NTSeH5i8Kl~)29igSAG}v3R
zDk2`Yofc1#Q?_P2FP?ZrZ)+M)2up*??Ub)jRYcr_fJsa!`hkty$=4i>YE^??UuiID
z-XSuLAqA?ZfU=-{c)6%uRf#t+iNW=#|D8K+pCo0-B@7^EJ8gfn4ORTM|NV<U@Y}=F
z)sl|m!AtE2I6tTp0Eq`?lU0SM4yj{m6V4`U3uL+ObUd6X;^ndv>QY2v$Rx4e)GumV
zKS%SODY)v5E1<S@L3|Admn$CN!iv9VU%`Q;wspb%xf&j|Z4o?M!b5lzl4nbJxh%Ln
zUBVmHjK$wmwG>V!zfjGjLmhiwpnmBt=2?eQMK3L~4QbISZfNXB=|qR=deHwuA7Z>B
zp42j}QJDx5@L4m(ff|4Zg?Wr=2h4-4fXCXQR_#y~ry8U+9o(wT2vF<_I4#>z&1(Cq
zSk9{2WTcNM7svRZr2TQexlITty^AKCiA9d(^zwKf3HmU-;OObX)#a<h4pQWxAPn7m
zx%X+W&P{or)0Q9dK5zZ5Py58*8U4fB^Y8l57yuB_B3!0EJOa$6VQ7#8@N&5z93u9A
zkmd4-3&u)72vQ%qAd-6Mrl25ZI<_lPAG)B`0U)>oJ!P{Z^`Q&Gp;BZT3TmLMs}D_m
zSOg0bCt5GH^k!d~J}iPq&|pf^VaFOA9p4b-BVGg%_>1Ga-E~s+%Yx#4x+L(<KyO1A
z9}f;ajwQwWbV<Y$Ye8KDk7v!MNs^JjOJbq}C}X(haoyu8m1o^0;mO1070J$uj%m$&
zd(5Ln!4p%$HHt`*`Tl?(6$K||W`NP)Wv_mFb%S6dCF26lKbde-N#;9*%c$px$4O>S
zR+2PX{)?g|7r6>P9hGFhM|gZdm&5Y}<fXouqxMD3idT~Az8n3{Ye-)3yw=_uvpg@S
zoQ59tQb%0(Lhp@z2=_hA&CsXD1ocXxbCKyji%fN==M#@_Xz=`nyNxZ_w_<{tP3#Lb
zdbvfi<uA2JC-eM~<v(3Cn1?uA#DF*L7PVG=X28212E5mQ)1UbD--t+2^EB=mS=NRD
z;@ml0rv%0M_srPbIV?RDbLa4vJ$L7>&x|PEONr0#ft9ap+#M$DE8a^HytltX=WxHv
zYwjEdPe{d+0e7Yf;AJ~S%zVXTQyZ&2fM(Mi!#>b#BXC&lrZn#o95JzZ_aSr=eD*i|
zqu*G+zMxYz)^<bSIk7Tb8-cIHEE$5HG74ng*4!cljf>0HK=_$chS4AZW}r52SEUR$
zn=Jw>xQN>|ibTBnv0_dc#x&~g(3^0VQ-(2&db>7=Vyu``hB1qJxii#b2=XbhEg)#O
zAoXGr668g7qs3_WRo-_8^MN!=!W7jAH#uFxG*tvj@()x{_8|vlJkR{!P$(7uM#+@d
z>jzax2dr=bBd;fUzMR)%79gd`H1U^qz`Ih>4=I~f%Iondiubse7wjHzUJ&QYMm%<C
zEH<~EWL^;Gr<tV9^48<3XyW{3JDq=>Ce%JZS4+t2rF659J0WXYf+`3j#=2Z-+4DU|
zQLnuCu6IB4j%OE!hboY=3J&!Wc*EZr2(|%+aKV$Qm@hFPbx{;G?H0{Zl-|85w+NuO
z3AbpqB(m)I7yr9|^Y4f8)R5MVrv<pv^UE<sX&`r7B`~#|gwC}UnYHU|aL!+z&B#{i
z%X10YoV~<+b+(^KrcrX>IVV{sFF_hXo5%!in>x+8%k$Y-*4z9-p3lantP%*C&Shh(
z{PO8+?5OEh`)Qa3T0F6T<%52`F=KieHMs7(4bvQ^H9o1(rFqh9#LKzHqRl5t^bI+#
zptKq;rDjyyH35VryVkSI(&(HFAuNC8pTjcNmY>^U2w@jdkW2dmGIfa|CBPsP_aQ*K
zsKCaWSuQZL;CBhLl2qj4=ji20hCIFKFy!!3w#kr#l?l+si=&Texwc;PF{eWx+(3|N
zw`exxH)F_u^80@HTfZ;}>=p0$2tmXH&p3emrXe?kCPLCRnFra-iR`4f2KE&Ka2j$K
zoD31a37oVT88u&4RP;tQ$4O@^HDHp?d@a)Xdwy<~m@Fgb*f1)!!ECaH%SC0G0OhEl
zABw<?5D%uHvYmjQRM0mCZ&dQx685myVwtk(<&%+PXl^Id(^_Io`MAUqGqqS@a~_G2
ziS~eB8njm3J7-w<rY+y<X$A|w`umSR{|ljGG;;G`!Ba_Rp>#RZb~wj}kuz<(Ex1?d
zvF|tNv~3b-Ri<qQ=aCIHXBbCAfTCNf52`gxvq7Wj_E-d<QUl!{`o%*B=b7HizP}{x
zk}ED_g0ceQgP0Z249=?*uv{MZ{RMu9{<Dj{`)N6#o_9Z0<4s$BNaKCR-`srS(=jA=
zSmPNn9MYA$mG!FG5#h09jaL;R&cTs6=SxclXe&9V@i2^<Or1RR(Iy$hp=m2^3xGDH
zJ*Y!5z|7`+gkY{M003926~;ahg1NSU1_@;<z^n(EMxDzVxwdeC*4E|^=x=RkixmZa
z=O?+x>|DvXu=dhp|4I2_^u}=<FPy}~@_^IL8qb<P%9?9dJ}B88j2<-|J>Q+<8f)<q
zfug|%mn6w-kbn;4h+0UZtkT{d35Uzk6{9F9aAPL%HAgkGD9w8TYt!wfwxXIf6m`!p
zZ2U%D<k2DgjMJn2IiII#Rj=Tjv#xH^E<GSK>Zp}BI|gLNDAw#9vT}M!o`j=V^SlA(
zZK{Hg@WUnJ((uKBgs93B-2_XKR#-TZK#IuzaNfoiIE+gDrQV#Ncd4sg`CRJA&PkgG
zm$b1PK5~m%(>P<;t_Q>R#ozV2-td8_z6@j7j-|JBee4ajpaFBRTM*QeJRC+b_c@BA
zX0Wfw#egie6=Xo=D@JcA9GkYSRYG%HG4+<Ng$gMjn(l?K7`>%v7ibSH`rB5F-cpRL
zP>8#&0jG-Yg|CPS9@bmhq1CGS)hBvOw@fkB*XKlUDQE_Jl2X@q1l=CvFC;bvk#0}2
zx;xQZx@_itOm+ptT2_7lt)}|`@p&vzOY6+NrFfK5f{Kq;B$#?G#HGDZ_v=Ck#v|fW
zx)4=@4L(72{<0nCFV1M%ly^45wFf)Jb++pd&aRtwob7r7zL0{+Q7bjq&vm0Je)V_!
z$zT1R@kFVG<QPXNeCauW&Vgx!<oh<gS3?pJj3N@Bk|@;yC=5$C((9EEozn(~J)(C;
z&oHg%cdO&<oHi(-I|zmw0Abz59FhC#C<ZehI;RaVanS;I4DaYA19BI01nx(j`V~u#
zTGlG-DP}ROXhhX&VJBHt?w<eCT|M<_TQ6jQq|vy`R}Qp}usA;DRrxu-8Tv$temIRB
zN`XB{Z6{2NmU419E#6BqjV)0QqJoMvSBPP<E#;GxjL&@*YwjC1f%DDbIAIf)qyq%7
zn0}62p0K52>0d*s&-Z@U>z@A1h)uL=)GH|O*6`w?anLwx*k&s)S?bfakbMJ9AQP24
z;JxUjXHG5pFhx+ag%DhBOe@BUj7?k+_^1^+Mp-95fV8Dvkx!lr?v6(mlu4+ywpqxr
zVlF7#s0$J7@qRHj@fATm4i6rO-fdRBX+qRtE(j~kId)hPl*kHmi$hCn5|V4OC-c|r
z4WI6kqdH7A$B9H?(G>ik>SvN3(?zF72V6Y=cS<9T$><5z)LpK!+P^?<%_{6sP+|6<
zj`*6r>dhPuJh*UR+AW&Xd^dy5`mA@n@sGZDEF37sL5wHujWGHD*?SkD+tRc=Xo!IT
z69kKta;e}U#u#$kuy1Q$NChZoLP6O?bGiwZ2*;k8ZfIua?_>8cGzMdg785VQD1}@n
z8DfkkmJtYAnTZ0aGQ}k$1c+6jr4r;K5R3%GNSWyKyqE8Nzi+L7t+mhj&)=te)>QTU
zd!6;JZ>_!8TJQCFpErjCr8sy3lB)H|bD1iNgJ);b@cjNWPgl5!Qu7-KFmgCB>XYYi
zV8kV_!+|KJA;YMCL0n@M4tOOEgJ2q(q)7uArq7Jz?R6-XQ@dxc7H+tOGFwM}9<rkG
zZ<OeJ_!-=Q_j&11dVO{%%_zZ9o6ju4wTho$uTT2d{_yAjaQOe4P;#)BC*P}*Lz|_S
z0Le=Z+b!@3B*+B3vlYy>iK^s4j6|*^<l01<i3g6GMvzL&uMxvTY$hIvbz8xzHW4%N
zw!KN#Rc)fo!~?RSEsIcT`Ke<h9*Ba+YZGN89^#tzBCFa&jKn+c`V5)5HW4H7kU?qb
zIV;}F7>S2!O8tdqDOXWS8Zk8Z1ld!R;MG*<q1w@@gkNLx@B}-S5-NgM$O#pBDpNwG
zC2B*m|5l0Gmf}RjEK4+Ud(nug$N2GQ%>iFP2R8#cc<&c|-*<n1GVH>g=!rcW;sdfe
z8E(xq+{t)OeZEgS&1+=rml$>%YX#E%>&JeHVK>2o3&(_Xi*Mr8qC$N|XD=NZ`z40m
z1V_hyiD5UvvrdU(I`e3~Cvj>q?7rf93${Zh_6}>Avs;amVsWDUkRbgN{NVvC{fhaF
zCDpLonul%=@HVrGm>1p~=p=^SFB9|-k}N#zmH9BvK54GiN?(&K)SXuMNDVD&mTTi3
zfk?6OIeuw&%cd^>YP5Uix<}KpqCF_tOv}TV%ye6~SlT_)Tpu6|fJjI%!t^Sm`!nqY
zJ!`!=BZd(ep}j8?=3>b&&QD%pD9{Xs07%WaMG|JtyG3o7xmfg@vFN|&lRo_K{K7{U
z1|+>1twqO_TuxSC1#T}vYZ#5%qGSFHX8%!ebF!LVSgdT((Uzrvd6ep`0vCcw4G1@|
zunPg+Px2TP|3a{`=o_Ro#RLKqp|JiC%oZIpd_Wr^wsHd~e!XI4(Sg**w}w&O>-hRO
z^`xmRDLA!T98s9e*!>3;vr!pOIAR3zuCjPzDE(Z93ZPi|Cm$1kzfiE{>$y728?zH9
z<l@L6T3b}HkzQzXprv{p7>v2oJ`Mmfd(VXeEnV`waY?vn&E3g9&k6*=n4841=bmn4
zeIJz}$kyzTl7S>VuH$;s8%-&xCYL-MSagL^TCc6%8xN5-r22KDdqf|O?Xfq!RcQ@O
z60@fDI`IHoa^Bax@G-*R%KR8%Ge1VyG;ZSjti&CYPk9$VH@jt1o*AsxK1Tc}dyFt!
z)6>xTkcWPZ-g518#GybH<AhTBWuHsl+3>k~xXTai)(vgHh}6<fIQ-J@x0T=|e!m@9
zhciXU_DB}ubN~b@+^B2^xMjCrvAwBqeSy>%FH}BW3_&^}x6|>56<*bJ{BE_YutK{g
z7be^3cwBETUF^Emu9|_c6Kjyp&+RxKKUm&WLY9Kn(O;`<V(;!$T-bZqy8}8!-h4L3
z>{B?0DVOUI=Fm%Qy0C5fQvJO<dCJRqcXHEwvvRe@hA-05Eh@SQI<PT@FAmF<X@^4-
z6nV%p5_1`eSss!aCM%;f{Xu&b2bHos^`0+&!}^t|sjD^a`Mf3PggF(gs)YAf`@HDf
z)h3d|W^MhXZr>GRYg>11q5=A7w+Cxc4Z-a59?vI^CxhdO+4b$Iv(F2f6LiIjyTWN|
z^)+Un7cIO>jDkrUhMbL4XP+12J-H%WB@9!jU8Pyhiuw-q9EIX%s53h4q^O)Vn(}D-
z2X{Uk5u03(Zl3=-$NdSHh|;M_?uyg@0z|e@o?KFN5=TD_t$Oor!|q`dRAym2wZm4O
zXd(41doLg!HXpp)vcYOWFa1;5(-ArpdxyfL4lduOE~tXO<@i7Sz*hzXz2a89LT)G)
z1U5VJ33CM5b`;ig1i&7GXype(VcXJ9@5)y!`EmTrHcB=iGgLt?2ufcKgwQtF6Ql|%
zg23)d9CSJ#G5MA%C|0BjDuRf@BwRb44>rpt1gU~t5RLIrdQ@r%6<drF-JT){Y`>iP
z2z-wQfU%-HYen*W1~8UOo{&m!E>a#tmqgWIuU`lFs1{QsL8VI;wU6<YJ@k=O$cv=Y
zOTs1YaWhL$StR$sMDsd<<Fffhw(HI;?JKIQD9o#kDb5@vdW@(l)yM2U@HuG@yna4B
ziuVcA%p*}_+i)O>_!NY+gV1^%0?0li44BPO{K&+Lm_C-HCPuMU)HKnphx9n;t0HOw
zQR78~0n&hxbhCI5p_?CaGOs<o6AJR7_3ymphxG4X{^!2`E52>2kcoa2I7`sKht0-l
zO2TVOX`{PQpX@fhWKd3fjwVvG3pkoc%`PDLMpRp(kclZ+*SmnD8Q1Ir0`kPnmJ~93
z`O#d+<k+@rQ?og#clS7!o6Si*4p_fFIh&JucQJ(Sp|i89#$M$@ru(9LF(tD$kXO6$
z<SfaSD(=0dkSU`fQv;f8Cw*Aws5HSF>QPFSmp5?D^B;TaalsqiBHn0L1ksw9r8;>t
z)ybbcz3|4XC)i9MVRR8#z*b`RNn3-;W_r|~=S<D0J<nzu-PL=mcW$hyA)J$JrZK)6
zQ3rwwB%ON2+saIzk7%_NNd%s4WwbKW9ZIY~CLv9<-x+tDpL{Y<xz6~?zo(c*x38J*
z97&o;0u4fbb(LGr7<pV`e{)~OLK=A);r!@C=Z7YZTnz8k+wM16_-9{96c?}lY?pbr
zXfeF=kz1F{cDeh5&;IhuQ~AjtFFh%JR?hw9w!_i>#GIcT?N6-olY3ZPO^xmhZR?q`
zXP(aa$<ao}oS!_SIS^)&V`adg+T;jxe)8PyS)G9(;2do-vC2=5F{RY`$uX*wDnA*_
zsUU!9lcQCBGN@C5$L?iE^W7QcU#aqwBLplrX7)=CGa2@rri}Ea=Pa+VI|*M(Q0j5G
z|CObh|MPwRx7ponQYzJr2E2eJJGo>hpL@r%U%g^%zgd0x%P*G{DXT-D^|OEQ=})*Z
z>gb|WSx{*;LDs&ZD+d^%v-TZjgwEPG=%-LvZi$!%weJa((3-{!eLkptVREcM9fHH!
z_q4T~YzSVXeNmukOIX)v-vQq0YqakWarL0~9VD*Kw<ol3kGOia_7zQ~5m<&20!ZlL
zmhQA7y>7fN)Ng^PqHMpY-<wyzsc@fOY!vRLbfkOd7w+v<En4x;U}b&DjL!G|Z@h0~
z-Ysg)+mac*nat?F@&0f7ir*Finv(Q5hRrB@IE_GYAqSu|G@)m^8j7{^9<;{Rw_%g3
zLy$J8tT;Adi!Oo-c6*GzWG)frT<8ljX&YSx7X&1K13{z`w>v{z#Hp!CT<~y|Zb%XA
zF{jZ*aKWy_EDc$4=dhVrkuHJ@p1U0hn2{OXk>-uH(-0IF!6iGewE>etSlq#Y8A%eR
z#Sg?V4WM>p%>(d&?V3bRmo+g|LZBvS?mTJ0>1$4!b4D1`rkql0*6a35{kLBD<iU;q
zRWVPU+SX&EpVakh`Zmv_I(*CjE`v9pB0l7jff5qOr+TJ<4Ia6GX%FnBW_m8ZZr<{(
ziD3lwRsZ6@`o-@J^{BS0#n++Sfr5y@<~GoiHFhog=m4w{>41SA+ih<QO?J_tqS)&*
z48*G=s3UY3l1Na0y_l?upvt7P0mNQ%w7`<tDW@gkh_x!Sh<9=XbwbffG&5jxHa|H8
za|Cq&`bh*C7WJ@jnML=Ad5StHXT2LAzH^4El`Pw7v%yfI`X{d<C}3(ho`_huO^;`|
z!q;`jt$uSr_N-+rzEG3Df{l?i!RAv(dXp+w^b<zvOsid$9Dq5iikq)@RUUJYBfsRj
z+5f5tFeCOM%gR4JI6g2G;PK@`vl;6Red5|<t$j=U^f<tE^Wvx54X5VnX?xu!%)pSI
z{`If@o&WXkj{?6gbiJNtDyvZ%Sx=)Rj{Z*Q3~&+lR9n{5!A*WV_j34IPeb!_ek8O|
z2RE%bb=K1WuF;l2aKAIU-cUkWPan5X`6|e|e60bqo<?y&`$kVdf48}_tf$XM!7S@Y
zvG(VwGF`_laNY9z0IpD<`!fAU6(Oo)_(QFodJ0b!(_DUFmA+Nx5BD?u??M;+)N7jY
zd;!ee9$@a_Y{8Nix|y`lzw#sh;qUoC*we#_)cI~tb&I{*TRQgA)NQSew#(8&MR3n1
zKnkL{M=M7mNPFsnI1Mu?5ZoyV<k@#&McPvrJnWE45ka73HROsFX-{3S+rX{Ya{LbY
zA)3h$q&;;(tfTNYl!LHzEl7Lng2&BnEfyLuH#2^*BJHUQ;=&XLcRquFt65R(sY{+w
z2*Z+q{{dM?6MgbU@U^9K<dST=6wd^CF=O70lS|y=k|@0~M!}k(%HVGvYfjo|+FcC<
zf~;7#n^vF@d<|rVB1Yk5r^33MXaBpTg>E)2gu$NaB}XX-T?3tUXo8`D^j*w|cGMx8
z`L!K;(kG&YrMB}b)^_IIqBfvgjQGtM@o)WW@BF5p41R)Zg5nLjerZXEdVX_Id_Ik&
z8go!gmPu)MJA&n_%^>EW*!tqop19ferU>Mq_`FA;Ek=BeWTRNp;h?xXqbpc~Vq9zs
zQOQ9u4;SkQE7=pwK{0}Ci~y4K;kt)Bse)q661Aq=4IHEDB4-)gIu6!oHQ*H4((1kz
zO%dizKB>?X17aH~!7LMWZg7GQY%Pm}{(9WQ7CQ7CHgOLLZ<Kp3x3#w3rMC9*C;tA^
zJKy-h4@RJ(m7cbKjhq{!1-7Ds=|o;lFOez-i*4OKgVa_C5a2T#V@e28$GIS|U?<7v
zp?MU{{)`qvkUGu<jR*jz2K8=TomGHw5iEsnP#>!Lh!u1F8uJpJgT-k8LXbMnS3Dk$
z+R_V(-d#JLj5^K*8Ei=^5wwg}Oo<ii`gONME3XvUak<UcmO#bVG^jSK>|4`@Y0X@}
z#vLK=jY!Iffc#TRfu^ikD&45z*SB#}!>T&8vI~`IQj(!%RccqN-Ir-vQ@K$ek$<>C
z<=Z~wstT_m|JSH*+06UPH8+B~^l-h~ly2@DS_TAM#)lvsx~bkxw*<q$p+&ZPgf0&`
zz1W`UOV#O;f4sf?<Gfq6gf8==%S-x({`p`3v>%@~>jzZ-&8+t@QVd<(a1>Y?%=$@_
zfVK<ywaTm)SlXmnUo-V7W*v}@+Wu2V_^AWGHfh#3r1J-etaJLexW>w?gPC9Qk1*?i
zh}EaQ#;k)gsS&Kq`f+_yPNf5ypxSR!oArW;!!*(Mmz4aYfAIjtAuj5znePr5;tas{
zWSVtaUNi0Q6q8-ddiW4modMeBnCv++V`km+l>S#zX!Ts-W$q7O>&(N!?PSO4qo>Wq
ztiSNi=gMUIAzs$a>iOrWG^Ew&`RC5-a9)IyGx$2Ip5GC^&N&nBZyarI>M1UMupwYE
z>yO8*|FfU-O+UX4IHjhCuP-YCV%S#VXgIvY#bGr7KW@Q@x)bzGl(^KayWk1)thG?N
zKN>9Ec3oRmM0ajmEu@a{6*0_|Qv!gT-EL7|tgD4pSrMfFGEoObK;zYlQ&(lh^%j(Z
zu>Z)j_P%vhRwRT-1kWe)dSXQ}>wb}-8?*7`>y6}B^Y2ra70)2S=b9)Mf+{i>3sHb%
zwC-!3*3g>b_yEGr7(CXTjKPZ`y}G5cq8aKOcB>&DLIE{#_3QvVj^p=BfX9L8d!fs^
zLA3dkRaTsv>a-W>LIXrB`X7D6`OY7j?&ftYI!33oK*L<l>44}ki21gMpr*5ADPJV;
zHe`=wfoN;W)3eL<2<Ib6q@fcbcsTd)(^Q_mVyPq@&Kt|J#)=u^7&Nop*2aB=U@qr$
zz)xtE22<12WQ1T<&N=K3qPI_&pV|tF^7M;5uE|3Sm56-ny8R(Vc@{|^HYFDf$=*+1
zW6^zD9Im!k$EvaD;7$~?al+59hA6&Jg+=FZTWSBn0ew!|Ty6taopm{WBP4poX8D?v
zs$13Og-nM%6&k8iKIx*D@^cpr?qQVugR^?yAn~CGSZ(nN0oO83WVNlPX>28gifI_K
zogys0*J+b)Z^@%Vlc(2SdVN7cTZ&fLnKv;jgI>qQcoBQpCp7PZ7n$$+-*|L3?-ni5
z+5B5{Nz(PteO&j;KOE7S-VBe<?AG9|bO!?@(XCyq9gMYNIYB~h^DJx)OS<}s#lbjj
z&`DO=rRy9FBp2IL*A50)jToe0gd5NsjLzcJNz>q8d1-W6@U>bqn;nek-@rxI;ErdW
z&JITOZ?GY`Id$V;>@+ewtugeym1Hll|3Khdw8k`KhI?zKa{t|E3OVi#78_r@b}&j;
z2-vr3X8;jj_Wz<S1kPFu=aKT5UuS6xL0pP4viWC!l^qQ8H0D)ii-Tbw+M|ZM<lGza
zzm2ccY+9)34o3TTaWI|&Nc2O*!I&F4+G{r9zqH1Yk>lNe{Rh_n*mUHGMqLfxHoX?L
zLB)=Mu&0Kz<`^Z6%8?0*WX8g`cYcXbTUoJ>GD~H}URd}R9;j^lD#d;iJW!${=cMye
zz}Es7b&c!0`=~2b6{E;jHVCVUMQ^<R7W%qT)dN|*g$8P&fqF?G+XU#m>&2@V-ij)j
z3^BYyp-efaN_}j&xK>6Ua{6H|u2uD^;bUJHg}H~bZcD4c&9n;q*pIy9JO6_xIP}A8
zW(G?fVYchhHC7%%NkR|Ah}lB`Hh}C-(tH3sO%7I`c{+!FXYk`A5lo(5OlWKbbLbbW
z0cW2@9$rip`UPzONrIattK9Nv+*#$8#{<voza23YOmoXafoFE$Mgq@=4(Qa{5A+d+
zF@JEWhhW}88>l^A;O*ieTo12#tW(60ElzJdvc>Sfj*%@!YJPjXz4Y+okKVrK6TknP
z-x|6Z9S6vgd0I}lkXql9dJY4(LE?dli_DPQE@a#I_Pd~wtwZn#_(UVfm)`}?dye@)
zZVbRhnOc@tWc22OXj$HB-A~F}^{IKMTo43);2NhAKOnc?oSM4X1-lKiSFBV4!od7p
zKDAU1<Tn5+0X0W43n=GFwk-=%H~Wg#uL0&Q<h>N&V7u`1h;9za9Vdr@1qIc~_J<VR
zTqMtI*2WKX!cWy+rRZjt1b1O4RY&~h{j=x0gA%Jn!bVXOUud?*O^VdGc_V1jF(|5o
zcUAv^{@VpnSm?j_q>32migLyWha9tcZB9>yU2-Ue^MA44&?iccqb!ylha2p1fVNF9
zJG3o+-9ys0=zuspgtoO;V<MT=E5^;$w)2)B%9Z`tAO7xF?nl$C!ZlpmItuErTWhWi
zN_VFv3)^Md)&)V$1k41q2~>da^95Y0fvD{<a#sZj@0JRukZ!E8B5y!h5wn#<KY`am
z#wz9&scl`bq<1j1*2*ezjnuY95EujXgij|!YKI`TtqTG%f-g&#`Lwe+mM%D{ocPw3
zmt8)nuCST1Ww-uob^z^Pyu6<^l&TSon$6myEY&EFo05rTxnj~p14;a4uJ=vP^}f99
zZ;$BX(lqmyAJQ~${>b0=Ei<Mwc)8Uaf}GCHQC+E`4#Bi?P&W$G%31k`n$FOJX${8Q
z$jYOAc1FyN=?w6PYQf={dw{9$nwWcls_&YZdw{F&nwWcltgkA!mY93!?o?U%5_4m4
zx{U(#EW)IcRaJR0w`gDIQnQ(I_2yNm<fOKTNcZ%BCFo{&w%XkhsypGvVwkmC-_g$e
z95l0ZADAdsJfHN@-SgN*XLxD!>>S{5scK%@U~jJtc1g=~!r=>7_uu{EJKm+RD{Q3+
zht;k*ElrmovVP)Q-|~sSYpQTRisXJM7hx2jon3@cfObmK-8}(AE;(l~>K<E+S9TFV
zQp*Vmq~1k#y0QFKT7Cn13lThaT?>lJF2X1_ymArHcQXPG&xkBQ!^v4emfis%cSdA^
zOnn5%o$t;#kUM*Dj{@Wl%N{*AV%KAb(P2E;MZm_LAut$%sS_~-=8k|VKB=@Nipt6l
z9>?_hHZ1dPVQ&Br9bk-Zuun`RgRR~THd4t*Atp>StQP$5H~`Fbu;6jPmTdO*0hNW{
zlIbTMf4*#p?d!)wxLyA7#bH<+2KG18=`?(We}n-j3Sk0j!DFTIS&tReMLAZSf`ajQ
z)f|TRzGe5j{z7Cg)qC^orTKECq9WBT3Kwe-?=?0yn<R`<Vl`sUyppXBh#Ddh9CjE6
z#*k!(0kb$z8K;GX$IzPh>@cABSGg-rg|f@z<))6mhbflA4%%W{&mubvhx1;Ufcrns
zWCUJJS;EAnu-USmYW)W6YZyrR<Yw3ZHoMcpw_-`#HmLIO-$VXn1H5_|Mj20^45OCA
z!jb|vUK3W}o$9hB6<~R?q%99q+kb`5+ELRjraWS8(9ol$C|xjW$d{xlVdz1tmySnI
z(j}gNB%IRa&}Gc+P|4Xu$pybIS`<zj_$B1ag%^RU>}~Zw%?ReH8D|Fb6m7@Ue(Mju
z?|q*T1#Zo#y3(@zDxSR~+uq3`zD6;XDM8!Slw1-kzy|$}btQ#vw6Ayo&$rOtozHs%
zJjaT;<Z?h?r!ek-UDSrkhF~ta9CthC5cf{d5Tw%bQ<vG_90;eS;}FaxmjfvNL}_(U
z*==J!m6osA0U9mss$Hk7<hd*)QI1PuY!e5Epd@ubu+{uN@>~{4(6!?yhvZtv993)b
zk#)%qEPtY{yY=3%0=DbU!>decy`l!o2iJv~ZG3HpBBn_33-W(oy2d-bFaz8mGk{Qv
zlS%8AD8=WhNP8!)@i^Z$GRgZFH=p`<-xoBey3qA15}oK&7LzIxFZfOywfuFhtJ0w&
zPbB`VR~%N6fV#AT!z%LVtsZgeVHF84fbLpWKEo;!U?J9uvf!|a1VpMe4A-bg429@P
z(l*;Qqm{m>$UU@beR6SnT(YQ09APCb|Kf{^eCw5@m(omz*4JEA<ju}xxNQ}=q+o6@
z1!H>ULNl|pQrt`{#d|*YOaAj;c;g%1aOcf$iYU%awWnaBiym{H+kWz#f=R8B=#Cc)
z9O;c*6v#Cju|ydtl2b4TAlBfk1BHw1PTO~$Q!to2ts%v!JELKs24UnB%mG|F5+9Ko
zpzV;zHJjsZjZzJhIvC5;CZ2K%1}vjGfN^MCVpX$=L9iG?+ag6OSVtKIixCn{32M>+
zYr^Kfk8NcPV*hltiNeT(`&S8Hi>(@A+hlKi%>t#k{{SOeJ-lgzWz&x+>}B^K9O4MS
zAa75{`LQ?F@s<5=>>2t*sWEJu(ZboYxB|I7>w6e2uqP*P{j6jp%<6F15;cv-ZwJhJ
zE190?)p55s)bwtk$d(@I6^CL;NQ(z?+xGH+qq|TktWe>zVVGO|uRVKus8<GmN0Mic
z3uz_OQ@t`D5Kr}{&o+TXQ=I*%vsQ>LKlYw~sU5}dx#M@onn(b&uN3k@vE~6&nDIJe
zo)T!c>R*1|V|~?stgr6p#~NGdvBuW)V~sWavF68kNQWLZd2k`-k2OEW5w`crA8VZd
z(I4x#wC-g@;+)Bggm+100`I&9;x*Oy_>88^B-n|7fKCS>Jy)e7h1;k;pehQY2$)lm
zXlFs-mQTn>C?C)5$Q|xB02k%+pH^rCNykH=I$pNZ@wnbx1>LPz%(BH7-br#Ya<{S_
z$Kwa9E@!{O%N;+*?y$ncnU~|6o4(Et*L%zd>{h!|bpT(s`ha}GJ+3zwWp~Hb9{c0^
zAy6GJ+j0H-?P{Nkvb*zYUwwZF)W>r>9gpkH_kX`x?a?fl&d(nxUH`uKgXQH=<ArpY
zFtC$ft88NLp4bScg1bBSuy^m1)H*mE`=smc+{0E8M&6j4F3ipPMEmMQRYv1&FFgOe
z)W%AE%TpD~23%gABKZ8X+7CS?R~8&<Y-X9Qv_<O%nyGu|xbD!>70p9gx4SdSQ%q1#
zxtykc_v|YP@Ke(pUylXig-X;DtETSo+#g;f{b%E0-bTJw-evg$v+oMC>~rqQuw1#|
zp`VtANQb)Kd%Z>T?g|gJ0xIu`GD^SZ?+OW4e)PA$eD?!WWmoIGV<qR<SZZz2^WcC;
zZ(*f6Z8#UScBYbtbT{Zw&LAXi1e1eQ@mvwyQW0V$odNd^sz$xDK0-UWM|Tf!v;@#~
z0@YS~jmbO0sWEhkE26Qj=b$I&2wYtUn|u!7SI;%04o|-ihcD3KI!lEoLY;XokNffJ
zGFy|JZZs8I^**K@9=bbpnRSsTfv3b@fB!3W!%a4HT60K-O8oR>sNgx*_$a)y73ZV4
z*7X6|l!2%bMcHr#SoEzdI|M4rDBEFKS!B(Yot?dvWuJmu;d=3q6j$ywJ$t;;{lnIn
zD%D?-Q2!OG#SJn=+cNA&eC`i@{a=q7gEHl}qtpzaiTd;Q+#3Kw$HwP>gy8yM4C%HD
z^;#;iB8c(CT8})U<w0~)2vUi;U};Dmn&Ltw=7QaMjhG24XK3acm6!{b$PI&<jdMLt
z&1cgEPZ*2>wTP0^9<9mEHByPW;Ca7M*5CuQqGmW^MM+$^BwC<}t-;u{jSr?yl62XN
zBy>Bz4kfo%eom5fMvLUBgNmi(8f_%aWy;&_lHk(-Pcps`21;9Q$Jd-xp+(OnjEm~Y
zhSfopp}|~q<4JDp)9)}mNzJvW|AjVf5iHBd6$vOJPLxO{w0Vo*;Ts!q&N4~u*{hdd
zc-IRrUfmakt=@HsVa#zZkKbr0bA7lnr$6DwNqtSRTxgM&a>UJ)BR>9(zxKk<eMBio
zXl=;L5nh~zh2}UDq&?6^ItH#f>~?Gcr=U;u@+x+X96)s+r2q=<F=AOvQ3%qi`>D|w
z4+sn`(f%NH@m#*Aj&MO3D)<q!>ac_K6S5$!x(j0ZG{YytmcZE52-2#%Am}^5*N;=5
z!EmCfXxlZ7T2ga%-8@lAb5`chv+n%cBti48f!%2>LwV}LuL7~g(#~EbW+k_u!=E}a
zEAC#hf0BkRnLN~{5X{^fL}{tNFZK5ijTVUS{`JrP=C2Dhu`<E9!E;6?WhfWAEK~%=
zM%XSx6T4uy7xq6S?e?0L3PL6|crI8*hfmREb=w0Wy;xDm=V`~{)Z!EyxfK#?u$-`C
zM_8ry8foy#sZU^`QNfKFyjW3EEVg2|+n*tb0z!uhLi4SuynIEF;cF)(z``<ACSRlI
zwIX@uY*Ah$E>@Auac-%<yCf){F)~~v_ps0P_tyRZyUZi9nPTa2_8w1|CaFxa6q8BT
z)&4|6t5D9qJ+fmHX-LH73Z(YyyeQzMf!07V75-5lz1G;P@E}gPHT){-yvAYouev_N
zjmkQoR+9A=$_GcyNR|tJaD2Y?JO&(*v6?@}UDpZ0rD0O4W+~?7cCz_=pVOwiweA8K
zkD)(?H^pOU>rI4PEWqRh;GMbo#d*sQ<rhEY$M3y=Mv-L8rVMCDu<x~aC*~JR5nz6A
z+L79XD`MOS^iEU@>hU6{-G(R<2u}2-K_zmK79lMA-b4)EV!y@?4I|nnembH*qCPbh
zvkUUC=tjg!J;Az2vcW(TXmA8gy(YE_7=`?-W+G3Ut|_NXDm+zYV>~jUaL^{My6Cl3
zBw=WXsB>d~kwcYjHezg7OOd34j|kc*MLDvabXtvuQ1k36?jAN@U%hHS{TqMgr#|*$
zN;*YfVD~Qlb)Jvzj3JERt!@-VuJnQj8g8m6G0cZ=1Zi{p)T4M&S|b-cZuUgNLEC}M
zqSZ~65?gmjw@~E(wbq_GE3rV#P0UC-?ZJv6Zs=)aeJXZUaK0-ghEce1Xz8$Wwqo<O
zD|F{5SXI1B|Kg))Re5}iBx<92b~xC*igdm`N0gk@u|C6yRM$CE*%E}OYWIFAtK5I!
z1r_4)Z100%#>D$UnvIXzPT}jh^9e*~&Egd(y$2Oa&kuAiFB}LAUwDhH;~IP7(;S&v
zdd&62bCI6%3Akx`%vVOdMx%b{5ByBe`RV}gKq3S@a{Y-|=|P`>uqJa22rDs}@DvVs
z;%ji`Gf!85&u&X}1m7|=C$(Nm1^5IcTA{Y54iRMatYJ-RV|#vAczlg}W{sBiRx&wL
zklZnfqg!$80cfR;<7<urXoV@(B|{wy0BAFV_o_p08Ga>T(~wi{U1z>WC*;c%bpn^W
z{_gYMGhj=7h-rDx@Nf2Z3GP)Me*D|udxn4a5+*;U_YBASd&ZBMEf12zXjnTHN7<B*
zxALBi;a?j#s=`YXN(A`O3be5U{;>kg0?%3DA0f~lkb@#%7{$2IAtP-b;H7vJ@(G(5
zOCXWqfB^4V;V*XppjP->2-N52b~;}0vVjsIV6{T20H9hQ&+RyVTW5V+II6-+)7Jq$
zv|0{0OyEV+`GG&J0LKc{j2Kh4<NONijN<_>-B&0b0fi<9PPWtWr`0~AbN~e1SKoi%
ze1F+a#|vIIogetyDowtZ%u>4k-Vc_4PJO(J1F653Z@&3WT>^sLxrZ%ap9?brHUZ@=
zn^>ybq!jx-EY@Y_gnOeWt0Lk8J1yWyhtg=JwnPv`(7~Kja%I6Gv?asz1p~WaV9P`D
zWFnJ^f&I$g{nXPR`%xt_(n_jFMy2F>fTzr;<J`4f(29X|K?LZXgeRsst6p}x-Yy7|
zbR9E`0KwuI+l63)mcs>8=^DM*;tho$T}Br?f*%8?hE7KMxh@Mo^E7Qo5!@m^kuQUQ
zrtP<<(ky~!&|+{!P;OX4J5Eh)SOftxQ^t*hW|ne|TOuQuJakNE!EmB>z1J?jSW+S*
zmjwJm<2Q7wOXfSX0@N+)9nfvE=6NflFDgk|abNQQRuVn?d4FiXx#ZnlvOCHYV3zk)
zvie5({*;;nGK{$Ob$wruroLt>@Pl``mCSdjLy2Fm6OWTj&aKX#Z&8P_z7p%9<6q#4
zm1Mq0X9VDgUU4(`r(+E-nCF8Q4|E-n;S%3jC84b-Xhi0&XYF_vx6_@@HuO^8vN)Ml
zD5nd1>s7z;s<d5IO;>spDh&1^+O;C28~Vg6>o@tQ^cx}_RK>%Y&~B{cJxPF*oEdG;
zN(Zbjn?=~`-U118<vl*`?k!wz_Wri;M&S-c_fXH>rUMk-SCfs?pC{ZU>GiVr2JJ%l
zbtS#6L{iNrj)h`g5DBkC2%bFw6E&f>XtO}@ZU%z)JKp_m|MM?RcL({P2Pm^Tg;;k7
z1(ou7*BeEjlafKDeBPMDW4RggjBM*Jb{my4GQ4$G<(a2bGVN|qDTDV|osL+McGp)d
zOv-1Jsaipr-6B|sl#vN<8<S{tT`+i*F{Gvyta8R$+F?oo7=|`qUFVF?Xv4Ow7v_!S
z^4x4oE>@0aZRCU7W3|KCT-(3cY#VPPqP!Yb6#j?IsO~g0U`TuEpS{v?n4Sas{4)G+
zhzxzARNqu1cTp;3!tofER{`<Kaw+~I;(oO6pnWJEfKpp!YFoPHo91k6e2Wb@8!xGJ
z!9G^#2jp*IP16_d`6dIUv9R3w^SG%d0~{QI%dM}yAQP(nq09aAmTxTw<H67QUq1Y2
zzb)E{h&bxL)Z2-43%@?RX(`U5W5qOz?Q$HJ9LBMRXE@v9pLlE%g4BAxBB&r(N{1t}
z+X&0o*>{=Nb3q%;1wn9;Xx2Gaq}Fr6;}#Ji)kJqV7+YEhQtP>(#SplQ03NH33PDMt
z*_S!*fDDij0>Ca+k0D5{=PM#@kCC%DHEI$TVTPb+J(mRYg#rV>2Vt#DNm5ddcJc#Y
z)`EHl^NjT}B}qQAz9#GoLQ9?<@vUl7mz=F1Rr`2tt7)~=odKTG?NK0sD=+^$q`G7o
z(n+|G{ezDXdwl9KMKXAwYlc|CP9<$21+5gxKpoZHlF+YJ9u@+)H%XszJM_sTcS}Ah
zIX$j7t9OQSRUJTxBGUCY#1Wzo<Ll_p6Y5cDI4)O@_P}1M9v8Uu?ZKtz-J;gSEWydm
z1Sg;MoBpjo`=06kAeplZZwFaAwUwS!Xw$aR%nQK*mgeEKEx0(_3}Q|T!sN31l`Dch
zX)JBq?RSzp1!H_K8=I4Y=ykHR?a|>U@nxt}Z42dS!y)4dHOz1b=9D1F>WwHF=A4GI
zN**#{<4Zz;8ACME)$P}=)3%$PlBxkiXeIeLd6Tw9`?B~aYofNBv*)z!86*7!W{B_A
zXDiLO2W`Rh%i#0iyZ63Pb$@_-(KW#*$8S`9_d2hR-&4FEP*pR+tt9guvU`rRuQ&TT
z#R?!uzD02MfD2OJtapo~KgWN0;2Q8nn6}0AgZdj??99-wP+w(Zf!bqD+lr5)=L54u
zY`KeL?#=X|Wfe4FxNYcBLvB?38^7;pEV!g?KV;IjRaJb1hr-^w3CF9o>I@ve|DXQV
zPrVZsNdf0xNHq94>W63W;Y$OL#)G*-MF1zv1AQsM0SAUVoOiDwR2G!L(F6;<1b|>x
z*Rhj%;Any!Mmmc{I_|)bDS9jfC2({>l%K>RfsX>iovH9bPy$CkHBwqgL;*#Ek@8y5
z3PJJuO^}o3Wks|E34vf<Q36Llwd=(r$b{N!)WFdsZMXreo!Y3ImlTU+mjWAR068G(
z5Np<7M=X*{o_2efL9L()=&o8b-yIu-K>X0w%5L$^HA7<8NtCMC8B|bhY(bKwMe?&B
z)?h-Evtu%xTBIad-JsK2;d#hc_M4aT9qRDxNUHD%PD)1X@r`C%kEFOQ4h}mKF>sQa
zS?*Is?g+D4Y>S0!F&F$o-^Zt7G3-MW`s5$3j*eVAX53mF-&hXM9{OM4?VCX?YDrR<
z+~fFZ_!;32Toh*Z3Wh>|m`^CrQiRz8YK*w_kqd^Bb&M=1=^m>v9~HnV%$Myrzc@9q
zsXvd0$!IA`S^$${0Om{hC<vpt_z*#~zJn9G{GoG<_I6GzKV&Mt;fp^0E1!z_m>Nm8
zImRQLS;3rO@QL(#=8T{yBg>3|J?Es!$o(EH2doEJYzhmIbBth6K0zBG(YHPCv{n;>
z^7we7?|^el1`UOf(H!FjRBtMH*tQu#QA8zs2c9$$#K;w`)x@dg$?;Req)65h30Co;
z<I7BHEWWi#4-p?*ZB`^{_lE{Wh6a#NTrmIF?5-B?<?TQR>je^UzxGNS<T-xG;)b^Y
zxjOQ{xZNoWyE<<et1)yS<hpq-au#-l7}X@{cC?Zy3kzT(RE`8LM-K$!KB_U*8wtcB
zTf#`{gj{)V&Gh`Pke{ZBM7IQNX`6pmV`^)jPauerrwBd9J<0S0lUiA?6Q~!%8ToZy
z_!t2NogX7?=En$|JAKGTo|C+bpRaw4uv+^V@t-^aJoFfmSNI_xqY*T9ujVD@E?Jv4
zsoO?U<VCuuNY$7}sQlYCk1|LtnKzWRDm1bn|8o|Y4q4c<rsfCZ2RKeF2Q&<{a{B$$
z-i5U$dOVXH!dx?Vl<+iC;iwMC=O=nR<8cUouh7^KsLZo$$Mq}Sak^k(2hQgg2Q;0(
z{{BR>X8;t@@l}y@0?pof3t|%7J)l2;i!Yma_yBcB7b~1!Fal#Qn3T&ViJ8$Wi^BYx
zd;6PtDX=D|%_>MNi0A@hoq|M9K&NM~PM4wR^l};f)w3KU(A5|I>hJsZppeiSs7IiV
zNWfgmd7a8-K6ihfq`DQp;^??dDrFZm9(h2y23nf=Jh@;Q3UNegP;H0pf{CMB;ew;R
z&wPelaI~eF&wvZ^uf%IZEzK2R1J^dv(@dl3YmW3ZBQMAo+a-^i6DFJD%|$X8S>X)r
zfFzBkOP(;@0!|;^`7`{#R+2BfOQHvmYeI6fF+*&-@MGroG<H!jCkjK1G)2AUq|wws
z%bS#D7EXIkR%01;(MvgM^(Zr>wlSS#oMWWp@+h4e{{|YeThz#6K^qGq7BwtTV?ouy
z$SNNO_3{wVp7c<sgS4^_9Rki<ekcU|#^3(6@BHl0)#{wKAt3w>Wo!T~tMxFu7pwIv
z+X69;H1Yxza@ZimyFLUogc}9d!jKc;m9`!DifAGrgad*DL8aVDkL<LNf}+RqfcjK@
z>Kp<F%w!<?tV6)Pm1fQ;U^OeI0EaJ~g&3!^%{qynCkHry3a(jX10;m4n9Y)!E%dJd
z7(wU0gLZKZF);9@Cz)X;P^*m35#XRUR)<%QM_Y<28=qn_M~M~nXdl|#*f4Z{&dS%S
zM|WoYFY;##%;d5FXAaCnI|w;YLy~{~F5J`HVd*cdH!H7nxG+NX=qaVgrAh5#Czc=5
zqz^v#$A9G?1Yl1KIPUd&85OGH`o1jsRYh=5Vri+{JNJ$4vSgYIj`n5I>vchNvtQpu
zMNL`+$GWJfNnH@$GeL#Y$|DJrT#=?GbwP|P)6OV%NNIt_c3G;Z2#&T;RY-u*7AktZ
zWzEqRDr!=f9B-k@cW1nXie9g;InqKkshz3oRM}qR#}>a;KByjTs~({Ylh9DLL(g=R
zZB7rRt6et_xUvg<+GWjI()HJPwkdldgSResW$nqH(2zY>c7X)m9wczyEozO}0tvhs
zNZ|38zV|=*k!g-APk&*cXr1Gz`;|&o>&VVo2^k`7lJhH|>7#ugW~9pLuaeaQxlV7?
zX-<Eg_Ta9P6#=``$RbXi(_h_|qeqx70`*@jSf#&qTa9Ya`fDLoteDeZ9m+OR-#H<@
z)iVE7=`T#`ARH0NBG6`OpcG41{Ysdcic~Bmk@stV$twMY(IU)EQnEkBsY-vL^?~C!
z@y&6cdJJ3D?LizXRWS4{2+T0^C|2pO(s7OvCp|tN(dqUD(ba(}rd%g5j`i6moeT9A
z%J53x%rz#kT5S!W#~GF`VudE(EDnbd`jmf-nxl7YDSws;T<wcMzi$hKKV>i3eZq(F
zqX#HmLnuBylm{|W)|BLs{rVFuTIsQ$;KoYNJs7Wy!C;c{s&HfBklu5TGFVBxuJB?o
zlt8&1+*mz+sFe0)1(eSyo@R_TZks5j-@v~+I5CymZIEv(J@+d#38H?^v@d4n^wvyz
zX=Ru+oE-qy_D!xBufUo>RR_tn&pe8EUOLYGIuF~EO+^EfL90ik*i$6IS|;xj>JmLd
zKPmjU<Z*N6>^6KOj5BJlQ~J$alE@5Pr!aH%G>-I^7s);No#h*CcR=~(g!{ZV8THvr
zIEu~uCS%jyU({*KCodVxO9c^slljqsN%$CL-}qNDblG9$O~zrCB9Fi&-ejD(|0eSv
z=T(g#>P;T0EVr~<N~4tvjeLkxp_P@z+@Hfr=_Qp#RQOOVOqEUWWL2eDEdi#=CiOyi
z@0^Q@ce+etLp>2>P3eMr#8}h;>3HD<i{nAGb<vzwp&?7lHMCnwqm?g*uXg(VKzmj5
zWPxX^0&Y$4WRaXIPqW(}R~^1zRHlX6DxFZa<AS>_*{ss{Ka<QVA1_JO`2BG}{{1@P
z1&iZ5kYQE*SrDkcux!Wq8`?3ggO|EOJwEmx_IBdYKo4S0E%tUkdX{OmE_rES@j8Ss
z3eDVfVQ$KETu#)<ZSl=2=~gPdH&tlvFSu}YVKnW^E9lFd+IKzsWzVfJS@<<DJ($3T
zKmXhQ%GG2~b`;Add$KW9K}uWQ7K~YS#Y)~<s|5uD6Fu22uu|S<6EtSvIX&5IHi82M
zkI&o<&(bTf!M5(=%5>_)B|X`_PV`(XLudtl4xAc=Jy1r~-?}Q6q1b4}GWfbJsIxAX
z2`?DeMBF%DEYo=;D3<M=_shTdJU=V3PiZWY-K^qdd9buIC+TK;vh~D|Yk-v}VB5b-
zvFs_L3oDzy^kly@G<UI3ZrZGKf0XW2sD~kEK!=*IloV8kotI-@OEViEu8O!MU~eV?
z`xT$`7k}VKBLS=Z5nhS4Zi&>`20O$AY_ud9rUk(}JK!(}1X)sc!0ozTcbS-J7u<t{
zT<kd#9qo!@rcE$LSZ&v0ee<aW(_(^YgcU%h%{2<9#ZO&ESb>|fJ+)w3{M0eRYTFx%
zC1%=B9V4tZf-1?^sAk$F%LprC+?$K6I^d8T)_yS{1px)DfAXpW4h_~MR7Z*h8Q8jC
z%<zYP8)JkO=33J9SJ#<u50ccDfCV<Gcb(P!0fb1-js`fJscEMpQn9*0no`Cnn>{3|
zvroDc%xI*NY@M(fPrc@3h&ltop5Du{W?_Ez1Nzw*&M7E)*IowzE(r+#$gRdFTd_Yf
z>pq8O`T5Z6);r{?8mh&sOJGLV{Qj3eetPJURYe!4yl>mR!4J}HN>4p9wLsH~<YtE_
zuz5(*W4}6KNGd8BD59VTvwh>VSxg`M6=t4l(<!>5q?C~#JjuV>#jH?5upHzD)r{us
zN_u5$qD@k}lfb}$M%DT{)z>gdn<Ic11dRT@>r9V5I#7grg1OSQaLrcNnI8KUo_h8K
zW6d3y>e{oX$9{EQ!{MeR6kY%9`5tvU40Dk+*QZ`1t>j<*S29pG*2LQFi>$zV|CP2K
zS!p48KKHbu@_o7_2pt5*j6ozaA0~fy$-ny9F+l;$!u;-=_F1~{MMf4hhXmLx`PP2s
zHNTtko**H5ZIU$$mlt^?t!fMQUSwR-*o%za?d&`LQ)GerFETD-=tW-AuPObvq+ee%
z=~pCJlLnO(Dsl@2w}_qllwf79mf%|{<8P30Ww!Q^|6w%OyQE<6zx%~^cp~uGSKb}z
z(Pv-DyO<*^nbQ}p(k|wi1zuDV*L7x9!qKbe&g(lxvMhvr?7LpPdf}}Saz6hYJX=Pc
z^*G0V9y>a)a_^HYUxm0+a^-@DV_^%QH5%4Q7X5Qvcc?_qeki}$ozV#THIjn;svr66
z^MCWvWlVww+HJo=bQkRQ;-BR10x8pY!nAZ3py5eTIGzsF-ZI;|8%+b4FsVq35X>o9
zcplaZ0AOyLs}&#0P93cP=zwVRpyEQAAY2&h1n4%s#s=|nO)we(_Lv9-|1G}tg+2^i
z{p`a?Fj9poH`r^;u)=D|{Md8>ushvK(fs&w3L#o|D1wWjPm~^yEmD#iE$h-#0c5lA
z<m8_cK?rfkcK6Y;F6nGa_6Y@YlA0~+HX;F$MVsz#Th@_6XGaI1K<%R;@S}2e@2NWi
zGNHh$cr5Fd_2Zh8Ly#iho`h2-9u9n?^aR7XM^RMHzDC2~qdZ1%8}nm?&BxucUR>Vh
zF@l5Yj}bpU@?$jO*&;-(cs!M%CDoaimUXJZ@Qj;=I|PMG%lak25{aVI&nYIs3;eH>
zmi4{|HstjXb6!dhx?w(F<&<4_sCa*Ln0Tmofv<IkX>nt7m>_qvIR39*a6u%&PyL@i
z^j$w6Gc7a?)s`rt@~}VjGV9{TYM$$E#l6>N15+r=sy7D$BfYupGq$B%Ak&IEB^6w7
zy*fck1%g&~uhYJeJnUuaQzj7Op4K`of{ZxrHKt_kT`&)LauI39CJmW#wJ6M3e=f6-
ztzccE*n&|&vU`U^FJoAhD2$vCdB77W<ExX;_o3-)CU@EMf7>*#ss;q8+fovL1zQQ|
zW$WdtXg_x!nfsQx=elHHyYi6N9RFtiv*+Slj5NRj%RGxGVV;F2wEafaCHI_<`0|%u
zjvlTm3pLz*%S?xpM8<)YW%cFT&LV2O-|=t$)<6A2(H=Xj@f7(jwN}pOs|z4m<6-uK
za?O$ct9|#g#tYSWNl~^vb=G*`lXliiHr&+LSZTb?9*ii=9D)V9U9r-5JG8DyK?9}e
zwm_6M9w782rDKf*zh86eO5=fO4N6ud5zDrcL<ZY~f?`jcyk9^SOsrWMG%Bs-GGQEq
zmL*qHG%PjA?zGhzf4E?<H{_pamKIedSI_sO0@8msed_4-tjGF_R5Vx?iFKevO`DFz
zgDO&@$0~M|eXN$)hln~uaSnarHH;-A?ja!Gpki0R-WpWqAX1*l04ujVpyV~E(B;B=
znb6TK59Xr|_jy#_G+b{cDnnUxTk(d;6!modvYnzHIxlHcex4Epkp(WN=x1m<#sL0~
zOlUibR?2i~b-le-*Ln7?HAoB6>SmBu-|?5e?(LUxjkmTa8}*87yfzz_jrVwG2f{VM
zbIj)&?{jWvqYewrq$j|g;2Li&Yh~1(3W=bOIzV{l>1@=`=<l7!HNHMEIDwlm$u*9?
zRap|<vwcifZPYswsge<$RN~nHBioye`U&%eJv0(7-fNAzk*9M_?cJ>;v(IICbp}!E
zo)@RNX1|e|l|~;9uy#F6R&|49wxT#RK+&|{DBqzId_(o75OUW3*`)KKc04!Ic?LV&
zhQ|HSLp)@g7%i;ALqu{zZh}e=5y)LHJv5y{)*;up9A;ZK2_sfAr^Ew?_V2<q{?x)X
zKGP#=%`M}<zxwvi`tT2n`Sw%s-+nF4Az8;{Q<37o^8_e&P4FFBVu;^p^hVBj87VnZ
zW1D_{s?tQHJ))_=FrXf!Eh{4927n;@^qW&>QvqIVq|Ue^NKspxQpJCfl><DtS+R=$
zA}!Z}_qvtLrXuC#(D>X+R;HpP<^c5Vso;EfA~Od{?Ve;d6$lh9GuLrCMq{X|`=et+
z_#^H5LoxCV;w((*&jxn5i9TxtskD!y7fCROLfn@hH?7l|p|T;CI6I!Bo<Ys`=<Fs9
z134SK<)rxuBQrDhRSS`gkNu0I^&VqRQSAr<<1iuiPmcL*VT1$`hVam*#s>AXj4i)6
zK(P};WM%MSMA9Vm?sD6&Qq)BxE!z=EKJvT@jF8A}-?SlBV6M>CbbdZeaegv(<Ebl|
z095;Ke89cMP`v^72*Rm23$kqqo3%1XO<RhDksKJU7G4i*d+F-S-tu<TEuVeq`j`x5
zOcnF;)k`nnsAu2$_CUc6;MQ(DkGq7;*WV)G>t@`d&6>W@pZeQh^TwAV7p`<&Ui~Y~
zfl9GLjsni5pxUrqXoR4(ErLL-OLqfol`z3%8b%sh7ew)kL@>y!0p(63mRF>$b-^R}
zSKyE^0oOk@O|1)}Hh7X)3o1mgLiyD6g<KHudbZS{;dl=(LhQWl!k3{hR0PkM?4g3V
z+(wY9(FHMkO0&@bUdhDfd}{H9ilk?_@%xySk8?})&m}wb69`BOLnDoz$|Wzd-D-pl
z`$U^2wyyfX4gRMlGn;9V)fI+l8i&lLN*5Si3!)3`Q<c5;OYpx#E62Z4YCLDX6ac4u
zk(yCNN+x0H6|NUK>xUd@Zx3vkrNIi_lCxIkiC4w=&bviz5WN`Rn=!tB{LBCEuel22
zt9aQKL4F3=A|gY0zmp^$XL2CZP1Zr08CNTjuA#Fr5SRl!AP5qV8l7D;-XjgHeX8g^
zHOjKU^h%s-E1qCVwf}L0RD+oF5R^oOpL%;#1UdQL+EYs+!UfMjz0mj`&|Aq|K>jik
z<eFdy%sRdd1VLt4V}xsbG+Yg`(@_!~vdrn{B{M$SsYXc6vBTwN$w}j@2GCfNuk0^U
zw`yJOZ)wC+AdZ+ew|)E@woX136YMhf4<1?%V{ly9|2B*EPC9Vn<`7E2@OnPhVq%yQ
z{_jiI3-abE#`G1Hmp0X=nEEyhuf2PV;hoja^{N=&dADdWyz_mdOQPO?{PREfrxJS>
zdRw5s-0<oa2>Q@_t|S`kolHr_L^Tm)c+9BR1<zZs^wOSU<P2K&X)Z#LhSvp8yRFhl
zF-C0#8TGm#GSCS67^7m2DPz>UA`Pz#9?oalG*~LM3AKVWyhZT1r_C2Z8M>TTq~Ucz
zoRKub5CkrlY49va!|Q_R$e~|C!L3E8wu|ft$VwH-?kJgcN&>jmEGdT9*MwcBA3;fH
zZ%%7cb%vy|GGi>Oxh5_-X`+jvQ!|<ygBruEMtce&J7`Ju&|zSgo_8onZVc|=%0n`G
zwo^p+<7RppHS;8}XW0Y0nCU0iOwYSTi<y2rX8M!A@!x*Wn`0uJ-i+2v!(gF30+mye
zIJQQ$GSmBx{m=+|F`-*yPd6Eg>9Q>tewa43z5qlu_Np?|$o%T5F-uLmV`If^rn^0+
z3CW5`Qn!NHOoJT;(XK?Z7&zXXI-6;W;TiVsZJKkOI-BXU0@N5tE4f&<=ard0uEDh~
z=RWMgKvP@(#!MdwD1({aZEb#LoLn$n{!^a2-Cm>f9UYZs&3t#dJz06^@*t64I|-8p
zUG1r1r5fuVEiWn+JeazjE7s-EFtI8$1e20Ig-G1C49diX@_(^je9HR=PAr1DYHilN
z5<L)oFHr3#0jh1!{-tJpMoF7?#y#MP<y(`)THinVCI9fp{3BE8MsQwn)FhLG6ND9e
zppQ(Q_L74+-I$1b5&wt|h~%K)YAlrpq!1eXsQeCl#A8$zA(+#RoI|OCpx0=#h&kN|
z9ZI$}tVqg(X2qOtL_mgxM5AHMXC*kPeag$Lx}pgu;yoVf5(#x6pJwX>XVTJBJ}&-U
zS2dpC^S?;+tyh@=A3A?iO#skzYNWl`1d_2M82wxIRA|MilF!f+bWLo!1U+GgUp0$f
zsSwlgd|XmN>s0r2u&JeD{BM~MWIG*?>jm1lKwsDdQ$c4YdRoNJrsV|n7WFmhcu`U(
z8C5B}^`*cp_p}$3PW9#trJ?O3y4r-&SW0p8{n(qw!OXfvtzKVBaW_+nd+SHM@2k29
zHMB0;;$RL(B1T{vQA)zJD;g*VWwbaL8<`5!r?|Zt5t4B*K#($c!HWB%#U!y}#=*pF
zRk+kGV1~-h4g)<@huKC5htRTbLylra+C~?IGUKE)&;<eM%N6rA(l)vvTH3d;wh&}j
zOe2_aFxaowmR486!*Oa+fxhGc$Y#07?g$!yW=XLfE}6!gcD-LxLJgOM&%l-xn3eMm
zS=M}WCQZ1S(Wco#o$sjF6$`C9ERZOpioD<AwPDf+qKC#rtIf@gp5m(|8`~OH80AZh
zDr#jgqLoqI!f3}B9VNMq6Uug2Q8s4gF*>lp7uDVX*sbW1XjyNJQXd1S(|ZoHjbAr0
zHNpXTs5Vf0*e|u?Gu#A;E#@uX+91Xjf8mdR!w-DoM;0<X9VX`b(?*Mdw{qsB$qvos
zlB&U^Xe+?m8mKMscl8xVM^f^6bwNyxgkKLOh7oYmDv@U%Qh&Ojk^c_QuB85SK^v~Z
z-snSbq8jz53!e9Arr@bDk`e_aDkj^7FGKz5f@tCZc{DT_TtUm}grMlpB8e1<N@D1o
zmVJ^W-A9+~fFG33f50hh9!Zj9Ma3ogSL^|Z&ij%~)wm?)V4@x-*9pp%<_n1@?~<qy
z=~PJc_6goGzWQvPtlIW^AVpEj@<BCuo7B-Yu7@@<?$RT>cP1>P<0|xU>?-HVfm);R
zXUoKKTx}fC*v3DidXuUY=Ca(A-s)E9n_Jbb0vQb5PTg7sd=pLdXnlY!NynqP;*wqo
zi3h_}=+EN;7j$!a<Dr}J>mGwt7s8-@yS&%H9{Njl^U|V!doB9&Zc%IBmKf`1Vyut+
zvCsRx33Z{lJul~2rVoDUhU8o<=WLoxoDD;<Y~c}T3(Y@bR)PBakuA*m$59I2oPR{-
z4^4VnAnH-@Qe*fv9&l!<Y5>Iuc9}X+ne&gMT)jE}SlSfP{4aDmIrT{&!B36Gf$K?q
z#Yb?-QC45g&)F|IO6#jF`X>1(yuNB*`@l#sgSzwjTFr>P&Aa$H%xZkn<%a+lYTAc6
zb>^%b=b-es5nlqV8w{{WOazzWax-o3$6}_RT-3$9TeO(z`Pu4Az>%MF_m3R^ev}E-
zXKF)vAR35yWIPQ}UlRk?!6GXAG^#-7TlLSpuqKoT>`?n00Btbq8-i6Rzd0g0M)-;F
z08BY`Je?f-yT+pcLA3t2QF0Z^cf!mdg2JO0VXC$S+J#^w4~CR#<V#le`qWh@kD{l9
z-AIXAe`9=^DwGG{LyMlsbT;GG0i&!!d9XZ4*nNVNpmo%=W)9`e<3Yv&sL!5ceRqx;
zpo3^ah!pZSuR?irSCGpF$q67z)ZOy4PlocvEc1g}+$OE6270H)TQ_nHZ~x-`4mpt5
z<$N-HZ|wU6A0t+f8sTp|)`9O{D;-F*F2Vc_2J`nAuICvyGjg3$61Asy@dKV*KVaT1
zTKs^=;|KhcZ~v$M=->K?lF~nd>8*<<3EA4PCJ4f7_yO?QgryqrLfr-PF8DqZL|jWG
zAaF(NjoG9SWVmiysD#SwQYkljw?J8uen1h#z)cuqv|YdvP(L76q#xjd02cBZ0WHKx
zZUZ5f73l}KAW{x&yWpv{kw1SKhU+efIf^<R5#Up+Pxz@PjiK7IiCUvtwT9m<$D<K<
z%BQ@mSlh=Wqt{l05*up=w?#T-*b=xPg>D)tba~8c59ea*o?Kfu?-nh#?(x{V(|3LR
zPyFu@^C}IM$Gp0Q`X?q^!QgQG_Cg8Ies3*bm!D^$gn$0N57r$5`&y{#T<-{Q2W_{C
z4R;Q<c3x$N)7P@^(JA2v0@$U`;IeAA5DlklwA7MPHCl>LO7DnjG=AMfsz!sQ?_t7Q
zdn*@{|KytddADdW`H#osf8Gav%a8u|Ve&QC;3nTP4=6$dOe)#y^VZm9Y?p<%E(nJJ
z!79_-$o#gM;R>;~$BQqCbBt`M^QcO`6D!Iz6r#blR^N_O=QKCaWd;TV{8mxPA(+$L
z2apa(_WIP3g{RJ5XT+K@A25HslVSBaE1c8Z2$M<Kj>I{-_u48zo#w_E7MvSP9!@fI
zD=%54xz8sC>6AoLzD@5-HQIk6fCG`Omz4CTNu5td;U!2`E7=m{>Ayy=V79wzb8`+_
z%(xyh)G64+;YoUkP+gaIGF#ia0D2Z@<3;y*0CH@;RHSdPB5jagfA?C*?+qb<ZTZEn
zKe={&-Yr_}`s1<df9#WA`H6Q=b@GrSR{L&1jjk0^!@iqc_pTC<#2TY5I(cZWbC(S5
zx(lKam9(<x<T<m~pT;#-q=WB*$1}%RO2&L^obVw?yIushYf|71_ubI0yI@e?qD!dl
zyP;io!Jxjy*tE9ghjzUP>KFj_(je*4d>OIpE@>%q<kr_4VgAd%Pu0oO6*^svZ0q&>
zuzc-n2A?kacj;ABYqF?NB!f>EnfO*R-=5&p#aB|d%NDsa%U4i494Pc;#BGvz<5A@D
zb=eiRxp)iqA?CH|nTErE6~0v*+d%lg)UTg}`n5g2mpTG7%Hy<5{)$a3-x@Kt0{zXu
z_b31Cr%ks4?R6LmI7S;diPjC>$xp37Bu}e@mMX9U;s((GA~5i=wXsOX4;?`miYnhQ
zA}I$}P!yPf2?cyOZ;ox_P7bU_p-VZiLZ$M02u~GQ9d^)X41$IbJS121-v``kBM6?1
z9~wdM0BKa=hqhbtzG_(428zNJ?PrHYS!+lM#<tN`Af~s3iU9}6bml4m%=ZUVVr)F~
z85|VV9iLRysvs`}8H-lV5nib2q~<NMqnzn%ha-8LPzaBYKvoU!5nej#&>yngTuQUa
zi|I#rxRs^)3ZjBT>Z3uA<am#!(hEf64H{|CWSKgRAOJLaYRcqx<TiB*N*qr@)JvKw
zk^Ka!Hyw{A&r2GA*vo?_t1vYn>O%T7U%w<@)Ae&Zu3tKZ(k9<t_$zqGw|f+`PfKgi
z%P+j^g%_{x3zxgk9-&M48XrTl1Z`JuyZ_D?u40VK9c;aO<zrszU2`~dFTouD%%{KS
zlmDei#wq~3Z4El^fzzeo2AfZ><A-5^;l=?q9^o*e&rk%98*&&S#5&`h7gJ-q5C%$B
zrnJal{)j+`20DI3qm&Mr4e7|?Gpze$NAwx|)IdWc{R<llKMsRS=-0%lMeF#f4?y0k
zAX3YXAbp0iA_yIT&?PI|zDLL;L7PhwKdjWPyPb?ciE~d{*kb<%xXoi<gIrRB*@i@F
z2YZQBu9E+2Y@Tf9{rvo}GI1PNN$ooWVUKVeg=JAnqhwih?s!-hB~VBQz*(+Lku<3$
zQ`$DIw)_egk`_HOzXGl|n_}`ST;d9dOPNfmyrE%AWn5Y!JxVVyilAxl;m(YhMIgQQ
zd{4wI6kUd7`;4gDp7y0$ZQ>TSVdc_+dovxlpYvCK<Nx`($c?Jc)7CtXClAe`yV{7G
zZ>a}=2>CSPMxoO9`fL}hXAaG~z0e_{SqS{zZG|$2ei533<)}S%9h%$ZQ_Kf5ZuU5J
z6`Gg2EWTD7pytpVwMMJUg7&>FJLb?F3Y+Ao$c=6|Mx{<j)HToD9^V$e0KmeM>8(QE
zDl|tok?_MJ+&9|S_&QZ+-XYm5Z8-26WGGp+X1+UT5W`CL;)LWyn-8g5dz9b_x?TWo
z`5R5T18UhXL^G@5e;fo#dO44C>X^sY<{;oMmRNhqi(VG1xBiZ}$KfDIUr%xn(rXO|
zA?=;-AlOSaVSRcI!VLd|7Rwdm#PY3iW6t#Je&eHG`Mglfn%i~7Y?)pTER0%ITNmN0
z?}Eqe9#tFGqCh5~iA&pMirEEiZqsmyiHbP{h3r*2BSh)tk_;7d5j^b~D2br-T4X`q
zK^FwFh7~xWYcu0vyKs$E%ta9MpH$z1bg!=5DE`z1@m+mnqEBs#6|17vc?Vh~xk${T
zXzO5g(W<n!APD2h^Vbo@>}T%~REc6neW{_JLv}Ua9W=lwQ5`IaWI|)jNp)Ld9aVJK
z!B!-!QIQ;U*}r(+GDlvr>*~pCqjWVp5RWY=)Eh*h-h(oJeKFGg<eks_kKX^AOZ%Ft
zepjhx2uS3Kmlf)2$dAn4RN(st{K)L<j{1?=*F}nI1Mg58s%^$k_<Y&d4Se5uvuQok
zWEAlob~;p-J?E|WoPAv&>vX&jYB826ic@D_7qjL^{L);88u3f#E48BC_(3&NB$9Od
zH86{(ms4^V(RG<`qn@zjlx{w!ba{K6J^T}X+pFLf{<&ZNm;cCr8)<R%HC%_e=K)Fz
zPR@Y0*8y830crR>+3~P2oDij>jL6OqCF(F=vGnSK>Y*o?-NIlc22!UbM9yxZ)rk=b
zZ+1P8G`od{>4pCYR;n>96MC?q<LGD}_C1ggb(nt{Odrz`B<Mfx<Bq7qToMTaExH4k
zFJn!@F*7O-mrSh0d(2E3a|=OBEC^{%{I0*xq+V2aZ6dZ7Z4>(Pbr)1G5ar7X=#tq<
zS$Dp!rGWipu@W!Lm$%1!X_{-o#I>o3CGUPSdG`-{@BjOme<>hwIw8o*+deDnw1<_H
z^7fXg5vE~n7cwHW<3;cQ+b4o+Fjh8#wBtqaM1E#T#(*cf5u{>pLF3%u1XB#?ZUkw^
zT@bBENRA_Dfr+$O#CG8tX~$g<W56~0jB#E@RuzJbMO+YcQs^AQiid8!R~I4#X~&Bo
z2Yid*{<KpzuMm{<j!VYKGZex#4oZ^DySpUjjk4E>^F}eDt@+-P-YJsmMNo2UO;%~m
ze0P8qB6v<DE$g2o^X<XtQlFseEZ#{n-=B^@w_RudyXPBJKz^X!c0LwKP}6G^a8vn4
zXZ$+4CLs&;<0kWOiewqhhPe$|N$~5O^kKp?3GB2+a=`%BMtav|uS#?n0hW45K<3$p
zR~tpG-=wBSX`{Y~{@Mb)4m3!Jf*#hcVbx|u{vuXw$?*gtfmQYjks!R-c+~ie?YF{=
zoxyQifxo5W0oJP~K0pB8MmnC`;f!o$LPI(pN&Jc&1p@W)+)l^STTh#Om5Xn~$w)gm
z^LVGB!8Y@Y0`@FVn8sf8w|FnN=e^9kMT__Hcsi<{dE*!S*v|wJn7Wc}7NT1pIg^jc
z1+s1N#WtVQycdt1Qx%I$-*xOfoQ2q*ttcKV)>(+sdbP1JtRa}Q5P%;5K?i>bDOJ#O
z*4J2NA+~4>lP`1J*bwwskvgq>8DtEkl0*XUwvQ}lAu#!b*hSXGPSUGf<reBJ#EHN_
zN^Zm<swAoM{N$$-Mz*TtRyw6C$=p$e!RtsqNU@D07V9rw7pLGn3EB{ILyR*uzPZGf
ze)i*Ths)!daL0OU=KI48jhr2pL7)}Yb><s%*d29R2}^nHvmxG#pB+CA+%R!OPvVbN
zYvx;Y1Y%QeIx<Rdx9aB&7Bqg(=*(N6C7YGkl;K0=?C=8B4MqogTR;@6T_iWK=W?Cq
zJ5_5|_XwTw()SLJ`C-a}>U+%hvQ&O3U!=~I*8;Bw%Y<~s@_)M8O?E=)RU99b)7U>e
zKM3{=pO9CTdLrE2^1pCu8ZQb?&qRws>-NBHy2S0-p$DSsNjTC`Nq!y#Dz`_r!_V1m
zS0J5AZqs=swS7(t!b*4E1cCZ^Zl~kL&5PrAaFnW^j2+{b<hIFn951t4(k9>S<aTu{
ziQX=CyX+0Q)a{y!#hABz>oO3F@r6J01E2WM1QtVmk6y68!?baU!FO<XMWcF5R0r!e
z*@08X5w<siIT{4&4kK!*(GJdL5i8cg;1-?86oj&D!~Yx$9tfVXsL_}-V#OS+A83<J
z(6aL(NL^}MY=mRx0kJJcsB2?b2vU{0V7F&Pt5|d!w-tg_u-=t06vfLn{ueApthwQU
zDx4f<yiHP6!TM>t*Pb|3CHg^d4%UI%;BDk@>2Nx~&RL;t3RRoAzp4<oX`0kj=~9n?
z+Rb{EpLNHjx-~Rnd}>#YJ@HA;R>!ceJlnshU@j<(%VO|RF0hA2Vc0|axRmL<<%g7M
z_Zk1ipLlO5Q?0am>|k|QFdRAJ_`K=y@AGwa!2@<nkb&{5Jz^p%Qx}BmOt*{8z)IDa
zVX{=FE?9be0d(jQJW-jtVD$J74CkXV4M9oc64$X6A2y$w%Crc=GZo!~nx|=9+l7dU
z%G3ohy+EOI<abhqAA%L)*k&RD$-8EXSlkYb^;53Knq}#*qqRXOwAu8K)}l=P?8iN7
zwp5WP7`hgIQa1x1ksTIE;0k+V2lDN~EJ7Wigvkt6H}-Edsdhy_J!q<;qP}#GAx1tV
zh!_{d@s#V%cRgI!n?oGG-T=s-^OIljJ3k}Pa_T+1o-V<x_Hm$0gcgW4l%}3`!N7$S
z<^<aX)s}NS0nR|wOO=tsW8OPoF|Zk6MxgVT1#=1^pcxw~zFIKnc#xHFWCp5UmEfcf
zq92u1PUHH?o+6TcuJE#o%*gI^hFhV0aqq6JSlqdHUgcq&FeIBQeia*(;zwA8%{B$B
zXk@J7O|craeD#*LJ|$3c6$gO$Q2F@gEpJH=fFU{Ncv~__`FK7TNlV~*bG!|$F;1Ew
z)v`FAwmY`;$BDKE6cUgcy|_wYFT&&U@a8Q)<l%kCH~)YC?u^OLaAL$CJ8Ucg=?1^0
zdl9sju(<U6lG=qBVdl&p-sq&N?BOA!NJmF_VURJbou};Kosn@=-w8yT8q&v?$sQh<
z!06#I-wamEX2tB`A%lu)I()1luFuND3o!5i*=Ob9jk0}K9^NS3XXW7?0XUHCFgbR5
z{$+D}+-E)66c7K6Cbg@3cp8abpV2HjG%3p5?m*S5YvdGW5*{M!)B`-&wyg{3H?uzH
zXF1)q|3`_GtTfGw^qth~IX+`?@ovz?TP8nmR#(rR*VkB)cfIuN)k5#`^2_n_+)|OB
zc2({RQ3m`7onLf-Fzq-EOaB(}faiHn_1NdDV}-({wD{K?F1JYU^7Wtlv!D9&Qx)XH
zZmmAT8Hw<=pqQhJ=vqX6mx4JK$kD}V?_G;P$k#5u(z}%CqI4~GXgqIL%+W=3Eux^S
zK7y@*z$Ql*m<wfHiwH<sP<~aOEM1Gh<g1V1D^429VqeM!u~!eK87WonvJ&5r5#x#T
ze-A4Y$8oj9!{Tp&|Aj?d21-?!DJfy+U}hlvNYO}*nS#f-MUZ`4(a0^SQ))udp{f)=
zG%eo4MRW_|`(_Z|-}RaQ<tO~1Kz!?{56`FR783k(2TGwhNz;hQQ^=fa_RH3=e44L_
z9w$<cAcoxmP9laZ1Q`{(;CU}2k5QIBVu(W{NQ>u!-S$8dRIG?<hMLA$krvMdPiLXj
zMk_7A)a{D2crMs&$Z^787uDBxMJg{Bw5XUXf@-C?Mop{e&>W1PK=VHXZ7`kS13dy;
z1iz0&#br&5jMms4ETrl?BuT+h*qY}JNW%HW!2;V~lm3XWNfH4>?+EijtKEKc!A!X%
zs?Cbn!UYRzpt{a{e{gX`SK%9htd@>OC0X4dK#=t31aeDz(fk|Dav(Is?MK-(#JVqf
zaK%h#d#?h$@H&gcItZ#&zI!=^#qv)Nh7z3N6vQW$1}extw21GcpW~R%5y$gtl6x@_
za5t=L3erwsoz~teYCF(1NG@*4yiw3O5WusNK+!cirw2!ZNxoH3H?9||C>T=#>aMb-
zpjxS~pWDf!;JckR<qeK>Cm`Q&&o6c`>=C{u3VPdf?|AmBjdFCMa(lI?+*DCc+@dyR
zyf_#)<6wO8{(pG+=O#ny<CgGbs3UN-je)X9WA7YN2er`tY-5}~T&+WDG=Pzf6v!&z
z+S(TB98zQOsd2D&ePnbFsgKA$!wCW~8ZZbovvKMiQe$WY((*VpG0Yc+)M{1?T|i?Y
zrbGYL!fM^v>7H!q!pg{}ysNC#$mm)&28u%V4^Gi@gCyZ*>Ja~FZrT4X5jDz%OGN$7
z=MXYK`;sW9ev)yCs2_SH#$xbp#^8PDmwex+{EaCtl+s)TiW3Y)tQ%c&4mrj(!8fHb
z$?Zy&!7DvOhlBM{ht*-kW?P7ly=Q3eJl!Ff4PL1V!^f53N;!R<4c-9_(Gt}X#oU_E
z%HWk|m_1shBv_17(;qL_SbAYPl*JoCiKtz&D}5{79wBR;W0#29C6Vd2zLf*c-bymo
zaY=N7gQ*1u{Cv_lIsfKTXLd<s;dQd(`M3d&GvA$AF>Ny14K_#Y>lik0&_EB({%8c#
zDTmDY_0mHfE_#)SW#cgWr`-KQe__0M+yZF?7?VO%!NaA{6tOLkE)feNd2x|xMC(%(
zOLAVuC6wWhJUv8^K5_kw%`b_i9}hU&p6ZD}i6(Z5WnZOOcHS*oV%f*jVEpg>t*`!T
zANEm&s!?-4*C)&Oih|D>(VUJ=Iq-9n5LNK0<(BTI95@@L>Ktp8jNQrbV&uSKjoOTL
zm5UYbS7w3{{kC~%NuybExzMA4@Y`BV?W&Nlu9D?CiIEU8b}H4^SS8Eh#)xqRQLXeg
z=bxuamY+ciBkAg62lRcjWR)z3>9<K--4+8w>XQqE%zw%g@(c*MB>^wglhxVt-LZZ+
zO0uTWtVz!+)?5P;%pbGcNfUOpW_5os@J%I;J4s4ZlKBQ5)+cpVP?hf|;PV{<yPOsZ
zbeK$Yo%t4lBvYB=(YGz2xa#cr9-WVCW$Hucwt<-auFrC7)CX9np0Z8)+@$G6_(8_Q
z*}r&hA!qG%<s0ig)8&Sf7kU*#UQakXL!TzSo!0qKXCiq!y+nLFYYct570wvL$m+~D
zw<Fc99OTK{Kx6SGnRC*iWN(X&$W<a@f)0g!#Z)!O=b#Vx&QoAtxu~yL8r9R+kvfHE
z?%(_-v<a7&@y<PLp{${F><2VaqbHezm@e)5wdZ~Dg`V8;)$?xA;tM?<U+C99^QT_^
z;pv7+qR&{AN5drjjND^LYin3*v^94uO{0jt-t<VOX>48au-!3T55a-XN~%2<gbT!`
za-O>1SxHmtf}@?4w6rdG@>Y5%7vb2?UxtR(1wq=c>>x0oMoVkE;55<Bx*-1orjA4d
zhOlY+AOyu1a!GW3auNWW8#Nb`&n>=?OM*{Ld&*CO3#fnc3QmuD27YR;dGtvD>FoLL
zz!xzv0x}|+gi4b6_ME#d*Mzp;Yz$7AB=h}&-=eLR$FnC{TyoOKP}6KsfHdDt%(3pA
z(OuaXZ$0i(sJ$L#nH1Z2XL5Gjw~$>|hYJAuae3xZcwxl;!U}4E1ci~dk!YEoD$aDm
zGH^Rw2x+WJo8l&iM<GZ8e;V0AiDXlF6!j)kM-KxWu;njxFy_*V%v-)SjxrYe!+z@r
z?oV}CMjaM7WL4~znt+O<>hKI{V4#T&lQ1d-bN)Io&;W3e<}qiRsW{4t1p^JdQ=1#+
zZFa%HK%b#!#rVXjtNeArKo3lA;iKUzj!bTu)LDFq<&`cUR9n1`Fwg^vX~95Ofl`af
zlI^62$gh+(UsVkBTuoyy$Alsq(lo#2?|tg$ygw>sIu+9ijC=+xXdS?n2S4tBYL(Kz
z+Ah;HMX;mZpx|yJ#ibY)Yw0u_R11_QiEn|=IG?RIB37iPDJyEigiJ>$!-)b3K`}74
zB8pIC=ixBjwT-X~K}pt_;Nc7?DP1lM{)LxD=PU%p2>CUFm<U-i2qO8Vx+?@FRbzsj
zQZMk81L}I(=n;aNPV<YzG!;0+pe5RA&M%)^(`hcLWjc{G9*?x9MBgR}v<}D8KyrJw
zE?AeGr4ZFCx&YIuI`dJJMd4b-C#vQAU+jrbd4EykvAg+1iSe@6GBe-?X9kes2}LyZ
z)4Drop-k^G5<zM2e9m2ankSUoQWBe=V>o-|%sT~Ndg1EJ-tz2Q-yQ?qrA=_oFl8DQ
zSD+KOsI@-}+T~`@E<gR^7yeA*+|~D`wc)7Tvpz%nh?GcU_N3@y_T;$T(lm?3753R|
zqon3yf-xBp$%}Ty^xgx)aiG<MA>9KY)0{fn@Y4=!iXb2-ts%`e98QBWx`Gv}XC1Q*
z2T3fABW?Ij+CSsVOd3bEb1l4+7DY|w^?ZE;Mkt#tJ$#rpKu<c9%V-vr&Aci<56Q&8
zQ6d>b&t3T61>A|O!mA%DEavX&ZTH{#>?>i5?qKWPE4Nkku9slA-X3Vw0Yk=)&O0jR
zOAJV{D}FI|u;ouaLBPw;ebW#A$?2+x(?p`?sm95Zi8YDP?7{S-^X6&pY<-x!kvX9>
zcP=<OCp1?*V7sp$BTsYZD}o>Q`YG~t)njC!XjSzH5}|>KqIJ~+<s}J&F^tdH3F0TO
zsvd^}fG4!dAf-3X-6WZ-9;0JQrCrj$`pBHp%PhTG;5krGmCS)KEv#Di444oLtiqR$
zpP6Ie=g3^^1PtU07Z#z*pdB|y6geZ^$1vAl_la<;7ZJ}`UZOdBr6!hdqdC?szV|ym
z>BV14*+fCGwctF|8qt!)2KDW^hyRjD#DH(n$=;oyS!!67L?R}b!FfGFi9}5Bu-}qo
zO~83aEpRxf>Y1k{5-~vxL(_?&Xi)DV&m<BtK_ooE)DBgK9HEqtGoVW%5kIvK%OFCn
z-}s$@Ur0-8Y7{jV8SR!=tiXAg3VjsJKW0@Jls~>r1<tcJ0#xjQ;?sIfl9X(se;=J)
z2FM)-OY|hGyMsPKDKn$jPJ*?#&PPzVp+T<?uP)ZGq5a~M>SF|2_MvSKN6}c%bI8r%
zkEClo(DJ_sxE9d;4TA1H-KdYUq#HMfC>ldgV}jWNLwoV+zR-jz;f-*B`Nz!mCP7ze
z^Q)t-CoUk<TYjO%TJn!KlYjh`=l}lurYDcjZ7(mA$8k>$Kp&8S3axP5A$Wi>N7<`4
zp^H}EAp^lMJwO&mtM7u{rb7=na-?T)$Y`B2PED)tf<S<wzmMh1!@1Y-Myv0FfJczp
zje>ppIa+-eL>D^n5%431THwX%yCh~q=|!T`Z`*=2#Ok|b&|9M<s{H`A3uRjg$XpWi
z*2v)E?4X{t$p$X@aJF1cXN>I>yBoHBXp$87hIuXrWQ*JEmd#?v%jyq+@G2Wuj{*GU
z_S^r$jxSZj8>}M2j;HrrhH!z?yMVt~W8`3;OX1}9L{AurUevVk(B0nb&Uv?}jXW1S
zelvFbn_qeNPtWL@H`X|G%^P0YO+}45yr65Ileb|bQ6{{huKB~!W|{B%!x^(3XZg_V
zI8Zc_VTdo2?YNEKrg;VjYa^KLIQ#)Zs$uYv<!G^%l^rjc11K_KhR<Nj+KzAc==~Qb
zLI;Odl8oN%qJd1`swC{VajwVrX}ab)pobP8lzufZbJF4$ORctdPO5aoit8dnnD5KX
z{q@q;Kc*)-X6L}W=4ICvb~TIevd1f?O8|d^0sKRcG_!|%G3`%or`Wt(w3zn!D6Y+{
zvi4@p#Qe8^`fvJ~e<3JlHN)?Tc`u>GXg!SV9IKg@PBFAS8|2j1KwwGN-B&EdRS2S&
zx^9HZHPiWnSJvP-l?*;&c^cx}gjLl{H%Byx!N9Tp+xB(knkjlG*yasE^q993T5`=4
z8FQ;z!cFOQU=cR%UmF9%*<UXsT9BcyFS4qcB6E+_g-EV@&3RSL^t=K4u1JCkSFus?
zn^%KZIuHs-N#fL<WKLH8iK?3E5%aMmg%4%{Bj`(O=KFJ80~RJ{2lA?YG^7CL-yOU?
zAX4BrLcyb!oOCSILic;h)I1Ml6H*2smtl3CamIR;86xY^vpGB~PI1-s$E`O0f^24A
zr?^T(f<-#H7~Wu(atjN|>}9R;=mx7gIHb7djb-=H?s1#FVoUbm_Ob`2f+oDc#l?6$
zF2;ZMs~>p(&qvRqjsbQQvJC}B8XQf@3}uPi)eO7svQ8owJndyNE;@<!u=rv^Ly#^;
z5j<cZu(lj+t?wrUX~JCa45~dCCaj2g<m!urAgcf_NU(u*5}~lroSH6%3&Oy$vmW4L
zd>eHLVnr$;7d)<kY*s-fXg~Wdd~2#F7X&X4fnMzT*;?y%tSH$7mqg3wULaJM&1;=j
zNs>)#E(w2$L^4QS9MNN5eVvCk$YQzFf?h~;TbW(k$Pu}lT{FTL^lHyBySi#Uue`Om
z$?`U%DYaUkNMgj9%9MC*4>*QVRwOS|!z5cuJwUN80VKDqHtdVOh*D36XC{&ukj7j>
zPcLq0>Ye0!3a|?%TW|MLBmo7@<#~I1kSEl7FK<5=?KE%s)@m@Q`h(wj@9RGHV<xD`
zKr6;BLZF?{5~=07fZkMinz?g3&igH?EuhE-#&J;N+4@kO1?}Xt+HvX%?X&~Vl3E!7
z!CteQAxMqqD}q!*$+L)dYU#kJ@mvtv3AI~B0jPg63J7qJYCIRjj7THyI&6AFNGi0G
zwTuG8gmGH!k`>ws)F7(BL6jjxCi&zNRQcJv4Vqo}#ZQDgHJ2$*bCJYdl)Nq|zKo*W
zcCnT!54s_!z@<Ub6aJV=Q4(jLsc-Ms6U*;Euna3ToT|+GU?t64yQ*!{WgLV0;Qm#K
zj_}bqy&l|u(2IWXPnk3{f)-nnqc>d7mVYYim0D{u5BIMQ^P;9W7f*nQ=vuvu-#b0!
ztK$hFsoHf7q2u?4WO~e3#y*P|XS?6_ux;r%U!725$K3`_5D>feg^>8dZvM(@?3C<I
z?Hi%3=7vq_Ku9AN`-J2QlLGlVF*&5XJS$AhUQ1E{d4wK7Qi!<x?1<ly+u-xtp^DJ1
znQ(n8bUhPafTt2TgZ4=W`&pd5{GK4M>EREhFzaVOuMbA#2be?e?D-zC!Xa<ZX-#LO
z`R@51VNfqqC-_DfAKMNk(&-}pd+KN)NbXw7zj^Q3RsEh}vwhF5s`m_A1MeCB)!#FI
zG&~_cI(J_GJ;SNS-ZSiO-!uMGS#RJy!}%WeJ$uWwFWSA9bPl|36QprFGQA}S0xWaY
zH1C}}X~792+u<?nx)p3j9KQo|T*a~n0X(Vccy6cTkE`7wAHQGiDhF&QZ+SYN+v#{*
zZ=UA8JFIr6JfCMLMlKy+=Ng2BFP7V{S34x%@W$bp2kG8^yV_U&*k0HrV!8c(wZ{Wp
z4%nSn`-+no0@e4I?R3J!YTu>f_q)~pkgtC)?^-$`x6|>b)&7`|?^gTj`|q3YFWc#O
zTyMVr`{Qa~Ik0<4#-#IeI~`9o7@Ht1#J4RDr2bmI`Q|ruNon4>hb=su1to$_{MKa?
zOLd#%eBQ%i9V|gXk=z?SS>;T=?S<!`7X)QNN5+gHx}hb4D1zR2HYHaU9Ga@YrOTv3
z-9XP;?;O`1T7aHBRNCD}5uPtxy*t|Knqjclx8se>iRFb#HmrO}ZoTWps~6rX)0yO}
zNwZ#&^q;L3lrPfn*DF6nSwDVldhc`Y%CKBnaOkJyAvTVeKdj!Od3S}<HjP&g<u}u2
z$8yRBcl#Q+D~yc3^1Z+KwSOjB^weSSa+A3acsG4eA;#CW?pX3j?t)BjjXVq9<~=JL
zwfB%+@8|;ojInhyl0R}c1{LmhJ<lb%BX>_{l#$e#hbn1Kojk_7F{lvp7usvgkrA8c
zwQT~SPrIUMM~@@62gG9PvGoa+lj;Kv<Bt9!495W!kIhBakr7-_@s-)%(q3g186mdR
zcn1?3dN-$zjP_@in{al{Xl&1(Zx0+~jrEQ!QMHo!{+vKdtv?dD4C^0h(zj#ykOGiP
z(J2zvgi8m9P8Rd1$N#F>aJEV#qq#l&D*eb975C!oFMR)nnb6!WPED-5Adn|lUX*Ny
z+cC;ac#lc7V{#lS*Wfz0fE+0nx0D-G&1%oKs+#ODV|m(<nafi&FHdFt(zk!=_k1v#
z_B0>XPuy>rJqNB0tXXZYo66eL%_#IMIV{Jhk0$PSOye*wjoGQKAeDiy2p}Ce26<}m
zkZC2~b|Lk`J6QyGJE&f+2%SYul+)}~)-DKDzLEO;VGpI%2vS)WLGag_;6aAM=M{6}
z{;Y^Ylmb9dOUsi5tHeFNEn5dj*R>Hw{YtV*+@rDqWlc#9a4Sg$_kNk^JnWEiqNI=R
zvt3M1<-5~?oL?#t05lr!noCY9R#j9jABz5KpXycq9RaiM_qg~0V&$TsBjuw)uU2!k
zUTR$x@V9h8oi32SCjs(jk8Qa1^VI25kH5Wo{JdM#nxqBIe=}(Q_w4`b=YCI^b;VzL
z3}$Fdl#pf)eFaLK(Fm%61G8M|<ok-FJ#;kdE{I5k{p0L8b6$GeWoF$4j~k?qMG&3l
zYFk5)X59sW$|Dqj*!ztYokEai-35)n9)cJ{r{P5i(yY7S>!d5MSlseK4X#<|!L)Ws
zYI_7!Y%~|K4XxaV9gj~cFcbsHo|3}0tqbb>aSmQcDijTv>ZL7jY0L9LwK<6xxyCwR
z8&2?*q^o86+&8>axg5jCmaMC#`pU7R$=B->tgi~+nW@7GUu!Ysj|W(H{vY3W{}<j^
zU?z%kbVFWX*GK{)M~XW1LHc4VTs$K{7wq;sj1z;AM2no1Vlz6NhTH|qOco>+k$$Z~
z>@?&qh|YG)Xd<>`X*sXR_}m3;kR6fsTaf0|f;8kVSlGb<%a9^)UXg~p2yQv-mL)^r
z=-U-($XyTv+%)b*kD-luw_S{onM`#_47@|<9DW}Z;r2>anj{&YyCk{*Ab^t}Q3zMR
z#3g6O(xm_$CiVVR8q)^g#u&~cETMc-liRO06X@}pKy@&sVZR`76*m7DDVkA=xK%+A
z9d}@sYM!dx?yv#{tm1->JK&gF$@EBLO^i0umt<{Cu6}C`Q{vYN)RH~~c>ns6>7ia7
z0sm5D1M>d8v!|zebyQ|0Jk=Y(K-=p~kM#=Ve9A+CnTDtKy9=houk(nL3m^+EqLO>h
zuasa)T(Zy?;wRV<)e(~O9&2C~Qj$m=yy~$ASRp;u*s5U_Lyt8P6Ako;|1|Pg6EV?0
z;?)3A><FTan!b{tClsk-YN96I8x@Gb#1)7^VKCJJxoX~`HZC3&C@7C~!2*g%7p%kn
z;{385>2rWKz|RoJqp;4n5iMYNmUrtrZ-PLTewXbyUeHLfxdVoy!bAX*F^&nr^=1$Q
zFeVp)I9k*OFe7{XzH$8!sIFhO<AN3Ck-ooxBJ%Og_s0SG{sFX6fmnc404O9MFU8UL
z{c%7(9zR&#)W^$F6ZaHBQ#P>|2or@#beP--z=u<9kiTE>BCh)GVXFvZt|K>Hn441T
zD=Y7*Pz!jYDr1b5>RAadPI3`+BtVo&hUH4#e8?N;A;p*1jRipQ((3saUwZD{&%G3w
zh4LfDuT9^6&RrRnD+><Y6&|txDAquNzz-!Hgf+SU^`H2gzxS!=*Bz$xxAsk-m;kX?
z$J@xm;na$bFs6Puy<oS15=OeqCWVEdytO76Lv%1pre*4v_t^#4^ov+=0{T{qcd?>W
zS4|MHgC_3)zLiN~LBRja({zvQFf4R}6Af<~vjX5J(d~#8=^eQs5CLSp#HqWzbpX5I
zq<_-nzY5~hUFWKq<^F?RzxLlaCtNW9*X*wRa=0UY9&k$fQT9(vh?S3z%sA;_0Doou
zFP;m!kM?`5Ky#)9qTQ*pPGSEkEh;LKI&gtCSb$8LyQ2^jz2QLF$s8uO8|?%To$sSU
zOk5H{pf)=Jm7>90I(vG4S7o9lJox_E(*wM+0J%U$zp2@*!zy`db@ucGuVAkUoyKFd
zVb4{5-Y$HM;Av)%2{!X%gv}d#jMS@@2ZbM-$A~Ckr!B?DM}CY(pux+y%SQv1VE#6o
z`s5^{)v7|uw)4CRh0f4q1OXR$)jc;FUVa5F7Pm1&t7|usQ0U657cVt=r4WE-39nSP
z!z+dt4R~()!hm{LQOyK28$Vd~YPAWYIGg%wl}+r0%a7&_q?tH?{7f8n*#wB2uxw0{
zTyt-K6W^mO1qgQ9e7tV#?A3?etiF?+<>*#?{$KyU{;L<GCa8{mn@Su_{824iJa8BA
z6v9rBbHuowI#bZlfQ)4bQ{IJ5A4lnYK|s>W(BxF&soUV@G53bi(sk}l-HLLJGG9;x
z(STEBGoE=mC0&T6VapF=p|enfN7_S;rmuM117)LWzpa@q+tsELk7L=%iUwpPJvrYP
z73YQ@)I=C82Np=T>qLwGTQ2n3zw|q{bce$o!>u7FhV1JlTiCBM<PEvs<9~-_;@>Eh
zN__6e_+K=YE<L(WLXWP!0TClsrLi1GX7u50K|QL#|3kHiH}4kFV!Rr+C}jckBZA@b
zmRAo`KZn2rtdBxJ=3}KJr6eGlMi#bHxYew8&8f3qLcsNjzy9s-|KYH_3I=w|YtZ1-
z5djX0wwY7{BI^x^jtCSTT0x12Ofa>8qoM-ymzz!{95O*PkZRv0=$nL0I}3_u=ch)2
z3~>|chv2?$Pb~qx33iyEA=p{8fcN?rB%t?e1o@OUhoV8CZ3__Z%LGflgJqApE5O55
zlRRzL)>YoMy`hklraQk%@RCWF1*o!&*wgL?t+^y>{W38(QR+A7j4-ayB$@9H3CFa0
zgjpW-7n*O))vD&e(!<Iy@3YOhF4k61M(Zbo23_3%r_@evz{575`YYc4T}ER(wM7}F
z3eb9WqB=m6ruc}rwDY}$F&uuul-Z@E+4hKEql*{AUiatCu^Kl&jZhWT#4Vy_TnxKY
zW)_EFU=$=vL~{m4DzoPA|FoZ8|M{T3QZLI5yZKx&?62B1!qFjvIix-!7c6X6AcO3*
z!^bWkmM{SskQ+hJ%>Wo?SnPF<lygXpIGPPCDBf-e=Ez8bCZyIW83a768^FV)!Bo>`
zfP?FertZ1HOf2oAF51h&mK^M(XJFkfwh4XMN4(HEpQeFu%Ezhm68Sh~uZjd5oMcQ@
z$Oni&SRGJh+*)!gfNQG+-s2{>!u4hx0Q>N8eNmQI4wblm*-i$LZeQ9I-!AMUtgX`p
z$l!YJ_UHc|ZL#m2Ke*lwpHR#UPAkP+WdUn^s@q#CbHBQY<y*baG~nmG{ri9M-$}uU
z6lA>P&uTDt&zKY^*}chu;rlaa@>pF22(PULrs52_py=pB5L8%gi7(Y=$eg4j@H)xf
z<=Tvm>9gwMX-`^VuDAiXuqxuz)kP>btuA&4%q3_9B^a?4kxt}<K$anaQfvfM?F_90
z<RzpakZQe(m>@)KNdT(I00zmulJrzHDG5eQ62BkUgr5eGre-z0A~stOicY#R4qX(c
zbld(SgwSopUx@?ni8%g^#9R3GJ>V6?23=)1AD9;$ShRQ2>ty0$C^62FyJP`xJ`3oe
zAREWyPvSkqA>i@Tz4Mi!9X_G0ddhI=*So!by?M8&H7K{0#N~MnC!`;9mhW|tA$;ci
znSbDe!I`4YLqCuZ&J>i~PL6MIC#4>1-sv1y08iJu9x9qf*$#u{1mzk{C}`<=z~Ee)
zJE99f1W9n%#s@i&C;;LkFhVUTKL-*yG-=%ax;BU2dqSrXA7HY&n)&$FG|K*EPC~-R
zhy&hBTkXU15|T&I%Zd3ut<i_r#3og34nGi4;|xyDMivk!7bn}#ZatC=j8L9z*UXjE
z^HydK@H)FboqoPO804em2Z*7Jj5wXWx<BVNyB~4(_13^VF8R>TL-GQ`a}e7<1Jt5&
zmUOg@X{uipyp_hmk>IM0b%dBV#Tp1cDzHP3c^B!nis?;h8&hynM<c*I*C27gK`P9z
zQZ%OH`8Y*1qoDE#?ijFC!5swZ3+8q@UYLf{@sEaX(Vr)RD*?MCe<EcGfX+yJ3D&4K
zX9Pp^8C(Ng>R!xPp2lY8@~vrPto4<D?9-0_{$%&o21FxN!eI36b&*tx<8%bQ2o&5<
zQ&!d+2e5r>wPK(tE2|A4cC<_b{ACYGQK@xsr6|u6EJdsTvs&-K60C{{wCHWxC*67Z
zQK5sO1@X08!K~Jin1UgJYGb=g+lp4HbughQI0I_kaH+GEV2MM@2h~Ny^Vz4|w}R#@
zgH@oxs_>iO;|Rk^>0<GfyQC1Ypa)cbI_#buF7c}Ke-S<neWK(Tu)@k@_TxqC)B&{o
zy*rR{B`XjL5W<510Ub$WMzC(OYOQ+JxCP>VP7Q!*+v6c@4QqOuSEp{z=`(nw06%RZ
zNqJ7qiX)EHrvSNJ&jCo!^9u89m^<KO3Z9+z?CF7CbvuwBF@8r<s_%ZJCmO%!7Einw
zYdopdnv=%5Jf7u)JT8JewNLpumHsN1@aGi5BhM-JX0y%B^qgYB>N(~1<9<#@kf^Qc
zRa2U5HEdyP`cPO)whp%TB3pSRome^^wz5iuKJNZ3@eR`X0cWfdp~_?$zJhEgTVJ~#
zEwEI9qUrbRarU-IP#{SwdbKGeXxpC!Bxpr43qWRd!(=<I|B?H%1o;}jA4zsAU#-W=
z+xx*P*Qrh<>#yam4?3ulazu|5{4xe_G>mMS0;o4qDNHk?(<_R!_plfmuF^l~v+S#L
zKYl6StelzBI#o(to;*ev1eeC0-)Q@BAk36pS+I}9(WRFjtJKYNXZBs8_c-US49kt)
z6&|wmSf#r{&-`@$Kfd=fqH?Pw7oKn_3_zf!h4J5l;8n>D^6Xu{eJ&W|jxi`gJtW(O
z@+m!Y7X+z3SQ23RF(DXC41{EbAblAZ#2BKr_%c9dN%=Gj(lalDJESJ)pmb|6A2ut}
zlX1cB%=Ryw`g{h+Tf7cG^?a{GebeiJNj-P9aXzz$TKtzrM$d37^;NH{+F$5uXH7k?
zPRYh=wKW+<i8@lZLyJSk(7q~u7Z%1^J{yr<aaJbr<n!^#$j5U#Y+|n+=hEUVt~Ym=
zz*XK<Hn~lB$ab8czHMyjkK<<P9yT$?D6Cke5r+$$64TqW8A`Fr@`jmu$olEL<%eSW
zf9MC_^AA2dQni{lZ|kQPSi_$0z*{CSo0m}P?#v^YQnfo1F-cpSq~@^0IGu;A$f$M>
z^n1$lVRgjOeotx+U(u$OvssUg7j>bPn!^Q08$-oi^J3?gaW{1lXx-YTK0YO7#jy@j
zeSmz)u@+JVbDHF64{7c_1OfQNH<7A(vI=Xew2Btfo&98~{QEf3#cM`2A~vs)7*G~f
z0HyPJA^?H108}JAZYs-5v<r|NP$21eL}1W2v!Nf%hF01QGe9dwie89Fr#<u;Lds1Q
z@og^4&Pp;PmeZdn)MH1WXUdMIR~hNhw3pe@YICk8wU_R3Y0`Pi4{6dr@FQRUAOBqV
zV7gGNNkRK;0F#3gA=??@T1f<C?|%SHu)kHgl_o845M)W~=HF+YPCq}qKIvwL65o1u
zG)|p0=^0fv$&NDn*}_38O$uZ`QoY1@AU)cs+^k7E6x>YEyX)fAS(AcDnl1KRac!l(
z5Uez5fLqS{p1Q9zX+gJOFkAzyndK>}x(gIaaSL^aCsZ%)OH=ha7sx(Gqt1~D|CG{w
zBua7{TR%`8yQHc_7i34SEj2AOBO{}655Lw!&5X1c;K@^X-}3ekeC*W}^e$Y-4JN=f
zI-*i|MKfY%T&u?_g$KTO31czf(J;HABeKFf!nZ`Ko&FmPR&(mC@Gx8Pr0~EEhOIP$
zS>b^pM0<Jxy_1<E8UB_P9;VZBkO&cVn;siQR(Rk#Q_eN0<ldL56y6!YYl*c9sB2y8
zTH&opcBarfV>|R8Xj0*+QtCT|s_QmZJPMzXN~9=6*FT|S<kR(vPFM8|d<Z|*@$?=i
zdwlGFmj>LY;GACMOiCsoIfL?=hBJ7(3U7heE%3S*-uawV1WJlnv&B%P<t?ugFa5MX
z4|viWaNqoW@BOu3nZ{vkb(s7O;xI<YacOw1!j6mtC_1Gg3_AR_a!tcq1TlX{lDMa%
zP8!SYH#EFO&}Y41+-#3Rm4>$nqO7hf4)F5Q@Va2Z<qz@lis3DiV{95?c#GuqbQ+U}
zw=$$*34zD(0Hb0W9YJiUxkXXDM_S=~dNGI4kJ{c+5YHF!>KJ6}TDG4TKm&9m)1Mmz
zZqSzu$uV|ZS}B(tXU9zs^@`mV3I#&(qzAG{PxT5jpbTXR07v`yOJ|Xv-9{||j<LsE
zDvqb^V#juRt^x5F<K|5d_Bc1M^bz^l*$<0vBoL1tT&m*H?e=h?<%Qnvx*9U`un+b_
zKs?l2y!OEkqzB(67cLDRf%J$6n{balL5%Eg{?A=o|J&?tHYtVBdJO5&f+QsumSXE(
zhjfe7KpyZ`ks1q=ZZ-cH6ko4fCS4#sl8zKM+>+HL(gQ5Ui7S`1ySW`-h<6XEM#e51
z6iZ56P}BBSdEW);@k(NGdG?k2?|$(e@6u5W%Q#{oJnmzB`aV1>uEmS@jn~OI;*b1^
zzx-jJm)LZLFu{=?#&CfGjE^HmUS4q-!S5nTHzR^c<U1X}rZuOIytOX4XL^eDS~x20
zijs6Q!5BxpT~CZ77B2~qF_7f8D@xMMSKP3NAGtKn<fS{6>3k&VW`ZZjj=;B<!IfE1
zl5Q@z2VWu`Nwo6gL%pIV-CWY?Z@?+k;~dhYn@OHGaQpZJ0a@E$lQlTMIT&i9SQxC?
zV;xd#g{|3v`$q~fa1Z-5J^A+F;+RInH|j8BqWwnI{Xu7q{+h@<)^~iqE2p7CgPT#0
z%cGS6dIA;59DU+8xl84LRSZc%`;e($<(~%W3K|bgHdPwqi0wm5F?;lL9P>G1C3E9E
zVb}-O^q?wBZ;j;Dqg;N;IAS#CruQE8VU&}=d@2P|xE+Xxh%Z}cf3EIJ4od;5uZy8v
zrW&tF(m6V+ExIq=x;Haj^Y6U*cYomw@aSG^WUS6HRgn>#bSWH|nZTpy1cM;pa^xre
z(sc_SJpkiCyAHE12#35PO;%YEm@>s-9k)oq)TgGtaY2w*AUnZ=AK(V<HBz;>pj{(Q
zz1}oKGau0i7K>IsxLFIc(%VImX18n>Yexaw$jvKGUMIV*4i_}8#M#Wod3wBW;}-Df
zCGak~nW-SgHth&};aa}Fz@yLniBJEAF9^t!zFr=T_{)YiwncM1`>tnWSiHW<jr&}*
zLizC8(j2Zq{O_H6i{`i~&GF_pZKzo6je;wTIAfhWW0dW&_hQf3)|+s<m_T_bQm=W-
zw_Y9FSw8M>{BLjD2C_&C7Oq#Ud;y$y$2b}-XuX-0HGhbW$fn>yLi1c@Oo`6B!0KGz
zx}Iu5s2**$a5S*uz_fr=3c`vf9Sn}4o=p#TO+*MjUgg<k`k<`@r9#l%GCu}0J$pUL
zsSX6{3=D~ov<c2#Q<u#0anz}3V2`S~@=TZ+<QcBV{BJlP@ktlH6{?Jpa30ShN`v@i
zkrdk9c}fA0sVKAX5YnMZ{!QIYsy~nC`4P@@w-+?;VQZ86MIj8V(dA0ZtCz*jRDceT
z6?;l+K?mI)I%wW4Y8C$y&fiQp|3%;VDWCK`VLa6eyYV!eOiD<Si=cA^6JQjhV7sgj
z!3B*73W9sKc8!Gd8?-Dj_{JnQqk)JOt8iXs2D5V$=paP|hhP=XgFsghuK?WwPgI{e
zhw~uuC07OFenKyr1Obhwe;E*d9Ds~P+z+zNw!1WWScuuYu@%{Jo;v3yq(b0JMrSK3
zA6lJ=ZzC0gBI)G`WcS4vsMchKz$K%%6|(`<bo-i<rdthZ8d_Y!3HhMA=k_Y3Lx365
zW4p+rhxv|A#gN;Fkd}Xlp>B^(DC~yZErI`qf4p>c-C$Rjk!JcM$Z|e<<RUK*=t{2t
zR|S1B&ZVC}TW5dTFO5DHLw<XP+-~{AEm{n@A~fbqOt^%O|G)dqfAM2K{G;CR2F$Le
zBdtXeH{@PTJ|A`r9Kq<l1!<yKD%<4^g;q=sOu)cEo?J^lN2wpBw`Rqvl)Mw>NBH!c
zqu?BKy<O^nUdKLz>yq{vteg_FX2DeQLqoN*>~k_*bLw2`$B<XL2IR3iArhy3j&Dsv
z?my4yETF2?e;ykKRF(QirvYgUWMBL+HV&vN^_PD5!FfRW_KeR1s_xI|JfM7oj8Ysw
z=iw+w(EM{M7ruQX8%hh91E|qj)P^8d{g?096v|t4>`r}1LUoTYd`ZCzdxYNA&AiOr
zQJ2H=s?0X1%h5m*RLa@I$Q@??;#A=NFIO&e1t_)3^pMklkB09PpH$k?8Rbf@n<J>w
zz$ti!#QwoA2snji>8oQtFAqzdobCttUsTf3<ed{63$NH^yka#~)tle63w^xC&{h1p
zDX{@z#8sCkHtfZjFt$r#W4^In#uHuhF!dpm^x>ZLwx_@SRUh{$PbKK10E2o23o@sU
zFW>;NOzt;^D*`kj1^4^j7!5JF#>8NgEXbR1-nNa&VsA|l9t1Fg$S#12#xfw@cUzD8
z0N_y*8(<aRwT;Q*57~+!%hJ)n2XHnhmLgUZf5@+~12wv~{DQp!CNvt8Crv1=hnN?&
zI`ss2=|8IW4gmYYILRlsV*a<;U9DDS{4oVS!5h%xQ~?~g{U{KJYtscfz{!5t71CF1
zFGn(oysOnuiJlxO=<AQZ!ax^7J|Ripx|I|YVUk9@L2ja*@eRj)RFBamF<%m?EX30&
zJM_+;p5IkTQDNAlRgBV_=>cAycbM8D&m|hDw6>Ha(-RCDTTsc%*|%WgsJ_vKj}e-2
za(!F6ed5Mr^9COytd<`mZl=cwJwDZA#O+6Uj7FMz7C7jmQLS%<E<NgRaMGa2QvGc!
zVMCxxTfSEyMw!wMG9?Hg7OW1)^(*CvO(zsO)qK3XN9lNONBs-zuy|F|@w*G@V{yIN
zFGpw0u4?K+mr;HF+>Z0(2g{ope|i1wp8i^86MMl;i=y!EM7~z+MMG2B1Tii9n^03<
zb8mkW*HM-N&1~AN5`H({!83bxx-cc=DVNb_g3WRS8yvpmPk->|1H^O`)<kzJbZdir
z0=dGW>kn(>M?^E)E=#kxps{w-t=LGn0)Aszk%=2VJw?zl1cx1-uVzImWfwdv4INh8
z9B}LSO2mqc;$09H0p5gM<EFPF9~>8?d!mjCDh+D5VnseuE_m2-Dk43a16#lAQ}Y>c
zK{St$?*wLRrx~7njbb#5<QWg3N^Z<-#knQTQY6v-fS;U3(`Pff<fJiDSEf&65+=we
z5Ub?0HBz~u_+R!6bWPu6YpI^&q<-3DxUaZ{P)iGO(*!A=q~b!YDz8=1b`MM3WKU}-
zrFlq&O5qLHPpHED-J4jRq8YtKjrZy2ul~Cqj0&+{XJ6wXC#ilgBB|!x|Nq&07hv1g
ztSqcUB~54xFda(U#41lptURuZtjAn0z(l*7N3b>V+$$Pw`sCj3+nqym_dU7YG)R(4
zFoN1fV$p!1z+hXEKti-CvC_mqM4`Y32pA&RC<KyV2a<}>Xn}?%-!~rr82>-#nrp6e
z_TG2j+q0`~?={aF^Ph9g$9Q~WjBn5p_4oAHac(>8E-ILeiOt{BM&qql>W2d90LgEy
zO|r%-FfiJh%mt4XD~;EYpO`8_(%JQjS>wT%wz-AdB^sq_!L0GX9jXjZyAJJSjbPSz
zaH=<QXlOiu+x3dI#@lW<_6WKWVV|b4<JZ(0PX}F5a&3-!lB6qRo3KJ0el2T)F|R#(
zzB}j#1LQ83Xuqm&<76!=TC)ig4OGQ+2G^jfqb#)?Q$$8)GjKA4?wyj#y3BC<mq(QT
zS5B<?KmX4EHebu89-~&LC&R=0sy>rC6`H%`CF*?g@Df>`SurjnVOsgIQq7s%QrQmu
z)55jve5zRerLZdPFWYJVmRvxlETj`YH-QP~n>kLQJ@3Hyc6;{E=(`yoeHro5X}72~
zNps=X^%Q=6{YO9br@#EQC10#nc%Cn|n!H>!y*9gLUs)hi1eNmz`MYl2n|RJZ#s&8n
z9t__f8Iax4O37kHnspaEfYViK&d~Q|G>aifv+jbWLwb+SaJ8*2c-Cf7?W=lUN;*wH
zuguOgmCO3&rsUnYb7L>ujQHQ?YuWVg{O{w+#C}{UW%OFxbnpIQkrg!jjmo|>FbNRG
ziV+|7t3pW>flT&`u11)XUNse7U{vn~%A)>q+P_9gNWh;_5{4($ke#0W<in7TkMqqa
z3GH!(l4yoH9lu6NYLKLYF3_U_OMQ8+&K}3v7A1a?XHQa>Oeu`H_FUbxTQu9E>F&ZM
zwM(!6ny>t%*F=b^`34U$E&D*rg7A(hION#c1WL+vz_N6hCc#V#b8HgsL<WP(##fA7
z9jMLfJXlSJj#0Eaxn_qN9SzE2MXDcPaR;aj){RWQoyAWfNIm0%M@*`Kb%S7s*?G-5
zQU$r-VZ#(11<^e87)h2P>qdJa)<eFWkX%tYgR^WSCrzA_R}XgMGw~d^HA4scBbX_Q
zE5x*=*h@@Vwmp((OS-=){e^<RRa@1Lhk&iRqzjOtBa5r>^R)#Dwy!enXF^9o9+K&l
zs}o3;)B&aO3@J(JRYG3ZI{}7btmTjOYzptLDKyP<<`&JS@McWm@dtnSZ%k;CpJ%hZ
z<K=3SSNn&C6c6Ck>Y;4FKD;MIg)ZZNBWN4?L@5Mv9>DeO+uS67iFsu3maJPvuko?g
zB>!V?-GBH8!o}8jr-gfVt0QMY0Gt^Z<4hOZ!X*6NfUb{%@S!!qZC_d5+6Bu9={`84
zF18CA9UsR*t!<!3teD{*l)S4$jM{TcdxRieY+rG=L<WVPGb*!emPiQF#dblghcYX~
z3y1y2QZ6CLXUGMuyOGnl5B+)Ae7s!p2r51aK`>grPwGrRsz@TXP)SfGYE%;k7pTQ0
zL0mwJc3dH_2WlkXl9O<*<ngDX_w=ui*XKu_r7F=zWe+Jf)0tYcZW}p(sB5mCsN%|l
zfxhzWAyf18HVK;}9Fj~=(wGf0C&hmr9$25BKZ0$a-!--U+45(c(K(GX-5H&svl9=~
zE3?e~J^$FJeBO)a>^f#1gW{I8UdNRcUHE%jli!RcU2+;{B<a%F^%aW2#A+Q_Db31<
zICN##;Yp}@J1nhcE(Eh(Kdw-9AuEDUr?!L7Je}?Oez_-W0S)E`qaf--S9TqUp_DvB
z(56Gjp#>wcO|(stQ;<G|6iU=fN_B=yq5=?gFqA}XbbXf7tu<|{nA2ip2P!D|o&Tp1
z$Y4vFi}+s^b>uGG02ZQE=9)X$Kjb#DF!R2m|BY{kK2a(qtK3}sUtoAh_*9@4K(TR9
zPAV)C3N{ZCOx3rKTaRWB@(E>`d-HR_*llI!Y4NX~=esEroUWcfP9NIDeP0zsxYKUY
zjMPncjxI@Bea3(A&%g2GE|`Um3alp2QzflRb_*qftzb@C?aM$*bmq6YDDlv(%d4cp
zcB^Ht;V37q4jqh@B-Xms=mg(l{i4z`vRhTss^rU%ywbYJ5R{a<ony(Dp%zHEc%l=n
zZl41Ek0;EwI}6fdNo}~dH6vq&I`q0J>a68eJJ+uSS7RA<A-cU5yDLV{Z6E(OR!`S_
zB_z*g!+ZS$EmQg|y~RkM$+*i~N}o;0&e2Lt%+9q2g+=18`)l9xk^e6#Lm_~0c+p87
zK-|5CPYm`E45g(*o{1ww85(ayu#X_=PyLYv<uaOJVGBlDUckUCD9X?TOW8R}zXc4;
zf}#w4Mf5TvR06Uo5NCa8$>5tHNJ_wPhL~y(-kbKvh%)p;11mJam9*vNLyI!>L!%o8
zf*f4}Ro47!2}8<->_DHU$KJ^l_q?PkLqAI}fa<|H&%9kz`rTY|)o~6TSv?RgwUYVn
zY*!?KqvTP?u2)CTw+AYl^A%-H0d*_Me19+ml7SU5*iL$0E6M5x0nPQxX~bxDSpYp(
z>>~#dyUWqhrY57@W6iUMfO=e&ycq*DsJan|@1mT&X9QIEmLoAWHBU?p;`t|!$^0*3
zv!+&EYtK`Gg^Uc$wdPH6Ig8Ul`WMxJ=Zec^(p|)MEH2+x`^!_5AWUutgoz%{^)6LN
zQ0-RLfI37Z?ay~S?Jvdj>G(Tpgna(;s;B+A9s9Sn`c)3<J|`$+;K9XI%=W-v8k<cv
z1F90vEI(uh9)8pM`@c21BQ-#AGvLq&GCXXCVV21$9qp^8sJKuugMG4>n4z92D;6qd
zur#R47c26CaKWHr2AgCH31u`YsF;y%Y6UYI6;#Ye42Fl=%=i|c1wV99F|StJHqn#O
zsGwp#>cpp5@vPcX1z>!Rx{({}FKuJYUhRNqD@sp~l3VKQhWcwv?TqLpJdC*1Q3xfj
zY<f+5Mau#zr5ykYRC)zv!zjXw_gc2od);DA(gc$aD8544zt$_QB4yR{C6!yy94i>h
z_`%Rq&^t-5il&L<i(*da&m3gh6i>iYJNI$5&_a}4SAOkp)P3q;|8{q8f>I&i;=7+w
zDip2oqzTK5>uP6rX89qV_09k8Q{VN6&*`j!po4_vs1dDSk5dTx{$O!@2xgtNUG254
z8hrG|R~v#^XJG&wbICxGp$}T+mUR}ag7#lS(D+|s#Y$%tOdgGnT6`LUS!aO@-Y|K9
z?yX?fS%Jxe6RtkTvpTCNmg<A3m4tRDUE@S+Q_0x4ZvL-{&wH+0Igds#uW?EycA>;L
z&OPg_>(^PA`%?DgpHUnygI@Z@Kl+=0*C&4BnFg)!rI3+p-46zB_Vdsryx~x8I$hT^
z)}p9HBoz(X3@wuNQaD`$^DnYqg1OzRrbF3Gn=8zE3G@*xv!?-E8ADPWI_o9Sb`f!4
zRZ-vHt1^30R<tKMMeWDcnZ4tI9qi2Pt+03_nJlGTdl^LrfS@m#@6H}A?$S2^YVw}d
zkcO$akC?(LM_+c$jF7XMR$a9Rk1GGLrd|>TFOw_Ux9~6d0!0I3y!w^sR^P<~UeIqr
zNVK8vR3V@b9$B@J@92rhvV8&T(qk#+lg=bigk*jfAQfN&8@Y4LO05&QkWA0{0$g&6
zVeG)YqR4zam4Zyz<^ouUVl6u%ifJC9^rSELYcQws=%51Y4RDd*vaE@-v-1SKO7mEX
z_veyZjM5b{0`TYd(%sS=?vh4NgZB(nWVKm;=4oNcaLMgzi#dO!H(J7{Xpf#A^@VZ5
z;`LeXt&PVPLG*|4yr`_jZUurNdDPcS!NVdDf@Ho&rOFU>4!y!W87f-NbF(I^1PI9o
zDjWq1aLN4!L8rcGo@ep%oc5xDSuDS3*vz3AHk}mVfxl?@H=~x3w*Z^|qVZ#T(ZEXP
zFB(7Q7Y&m6MdM~Jw0lsfXY3GHH{Pu?LV*TWDWEA4#ef?X3|A?N=@GAjGAq{xtieN7
z!ATndDkJQX?TB+onT02>giWLbtHM5=HlOzAW0v*@1y_cXNC{TKNoOdyNR+k8#|I@?
z1t&qE3Oi*xjxQu#vDqz!hO7LXZoTL#>W5BRcH-xB-J;83BdEE$s<r|G)$wIJ9dNhk
za&vCCS#(u%ZbyZf!e-e{`yUovZbk04i>~_pUGw{8JMEA2&G*0CFS;sh?gUUzKbYHT
ze@4ZzsgG9)d-ZCSO?<lriHf8ZxA*Sj+pUMaTd=Helc~RVA6rEjjA-0+VQ$vA-&Ssm
zAE*j(3l-VG7z8?@1&b|$ea^CBxw2rt&<$sYrAs}9ZlF-GcZ^fJmU0U2N@m!5FR;P4
z91>d(QpeN7tNh)=tNh*R#^4vYoBvvDq`i2eGU_3Y_~O-z?|t#=;oGG`M1C5JMC2!(
z915}2x)AvRlkW;Kg(-JsSgxG#(52-rQre<7NN>@!yTV<KqL=qXD_&mlcZI3OfB0K}
z>la@#?r)s90@ZmWF=bo?0{q5tN!|#^l&+h&qLfn%6%$r*(dk;ky5f<zJu+VOtvK<Z
z_A7H-1P*<5tq=`f8b#3CR_7s7W69BPNHgS{?4Soi())^H&DXN2F~JZ&zMh9e%prWW
zduIjtoLV`KMu`O1SabjtFPD_9#dwKkh&elF5>zBxe+k4qkNY#tULLTD?r+!_6f0}0
zz3e+`Y)>21nQ7ytXRqG#@VyUTym}y)UoE9sTs6J`iOOX@bBmJ6b%PuGit|#8eeJ!B
zI5tym(IrKTzv1uwiq!<BjpJStv}D?7OAIBzvQoSKZl#C^m^P5orM-!wJc%Ss&?wDG
zh&#@-u}7VsU=tWQXc>oCQDO^U5u}DnHVb^FWdot{wB1lR$X-3zL@;fj4yQgxi8g%2
z^@=NkPZ8Bgiaz?G&j$Ro9&Quk^D62C)r8%3;@C^fAxWenkVeK3q){ny*D9n4#M<V&
znQ0@}=+8_WAB*+-re4BGR0hUdENDxrl=#W;Rr~{uz7hSV=sU&25edt|ibd*s9BTh?
zU)(Cb%1q(c{qg_fPp_k7Ri_tvt&+_$d}_PuP}PI*5ZNv(CbzGwR;dVfB+P~27PRV&
zBlEmgnnD*ulR43Mj>z3xz!@tNZFIriW`jCR3Zi~g?NJEQ6uO{c@4!LVYk=^ya3M%j
z=z=@c$V!&!$o}+3kfzWD@l!a056B>Xbt}j?(gne!4C6~dsD8D=acIe}70D$C+9`=z
z%snOZ^$}xHB-z+6l5iI2R8*1#-CS~qQG!^LBUQjUSxH`GvDG+7fv0X;ARFeqW`0z2
zUto9#;VGW~Q?V+$RUmgg&QR%87~=SpSLNsUX6O?oMyW(vgRH!Z*@E+7OyM0$H!GfB
z_UTpFEd<&fGT4gn5G78@Wk6{rzMovOq-95Ge<TkoVoFI!r4yFz0DDns3L?qapS7!1
z?%+%*s-$6KIV})#4EJtLy{)jzTF+;z;xE#L28bZs-}<5d>o5FNu*WKuuQT=;v2TpQ
z*d8`U;c8!*@^rx%gMsNp3c`gTm1hwwV=$H*E5iywKCdoV#$arZpaBt87lKrtE_mp`
zLo3<_)4*FnDo+<I^D>}pOx4ATeDaH6nM8q7C<WnM@T^u+jnMBuk~dtx^sM1X?RldQ
zrYMf<l2LV6bESLyJb<aqK}40kl7xQJU`_S77}TVJsw@%#O6b$e3!v=bJfj5Y1il<z
zD34nbQy;6C*|b|U2MRaS==;I<|G;N_Ur=ml)aJI%VJ5t_%%~9I!mwj8IF24^fv^jX
z(%5FqEE;_`D=Ynp6~#9*;41oeSD1y;96DoWCE>IMCr1m{Wy}n)AEB^exGrO6Xzyj+
z8pj{*d%s#z@pg_j{SYV|issrwi(d2Vv)j;W60bv*RC^&6RkAe!5pv3wP~%#8U0fh7
z_;+Xzg-%kPwCmOlBk;|bWHp0z!0;GWSuOrhfS?EP#FuSdP%;-MV}Zhmk#0D0=Rn~}
z0tL>8!FUv}DnZ<kUS+fer*AoSuiBj8*3(=%^gUbjRC031vS6mD&fKEeqTh@~|Mb7|
z-G_fUT7DEy>Op^Fh#s?G1%?^}>N50)(S)awEvkXC;%Q(@XG6Q-e!nGQC+sgev0K!!
zf&O5h1*qFtP-<)3J8J=HAyNREt606Eu!!V1GHi@|%3l@vM&=d`o<*aGp|rxo|K7ut
zk6e=qmjiVl38qqSC1ti6+6=&C6|5xV0&`HuXD3B*Z6_i!X;n$80!`_7d{WZ>Puhuy
z^UWoxH1C(ddUb)NUK~fo$j1-N@A8<`p3O7ru@{q0FpyNK{+^v#zSX|Oy}oMs=tJL<
zrpimFv}+EhXF#7G;4bo9tdBxG7sLY=3mE4@@LYh)M?vx6GLTrn1TjUHt$mn%0Tt2;
ziUVPSTNJTDA)uUXr4zyPp(Pe@!R?Oihb#s@3MF6`lvuzItpv5Ky4}by#4ISWfC-{=
z1wR%m?sscU%OGwaKSp8!KlGYZ6wpP8aD?<H3+e-8l3=1hK*N*VYAbXlDe#9&dhf-d
zLsxloaE%50G&h}2Y)5mWsmwS{eOFELxb0YrfM1SsIaRJnGT$BqR%p54dG63t(H=eD
zpWTwx3L?3dHlyknRW}GX8b`<FB->KEX1+t))ygOqk>AlYh@a<K-L48Z_bGUn0#&re
z6%Ep;yLe|p-Ivfyho~?*66`~;rZ<{jHdP4mj(wOS4YmuF-iNgO!`0py+40TL(fA9;
zOa5lI3%<q4_MzXRO7X~cvPHEq&;mg>1_*VM7C>x+{0&fZ3DFtqpqJrs;7fbd&*<`=
zsko&iI*<D?4_{8ZMXkBLEh<SK_tjkT_q#v%1^?k&BiPZ%^E7<9fvXB@lPmeEl0Rp_
z9pV7Y@MS=QM<|G9MKOsE4URGUW%zQ0*zcLAGkh6fBZ@7wDLR0`3||J;csv41%)k0F
z%UR-?Y&GNaML?h$ua~W2z~i9y?>t=V28L^09vMvoj(X;9YGgER`BqgkGWwlg@o8WF
zjpwo?M>x^|;t|K3&613iAZIm$0VH5S<%-CXXz4(_>sielz$7RITD!AZ5{?T&c!Dem
zCXHmJP^+2IqUNk-LKT7tpiOCrPvokw>oA|48I2u$`sUCY8JXn1TPk4yI8GaqQ*@<E
zWMq=N9cr^=O^_wFKc~u)pmAFnX;3L`LtXq*PS%F1ysUu1LkacIEsXGHXS6!2@I}gT
z$dA|MZ?!#WKsnA?l4~rdvMkB1smD1Qc>d}g4_<nB^{(fhefztku~r=8d)kEJowoy0
z{f60*qO->{F>^F<J!9X0`|tYVfA;NB^{k=UX#lfZ_n2rU8Zd|~0L=XgmaE46GC~F*
zPdOh!l7il%TCf7hP{}Kx+kOoK-bOIvW0)QfN?GD#yMu6yXC$qH$53!AMit#kQb&;m
zGl026^N~WL`<0BQ&w>fM0I!b>3<EF-gW03Xl~#WT8<$-t`5DAGGUhigsb<<G6+Pve
zE3mQG7pMX-;g%@a2<SwLC95^_-8me9-RdPeHn8MmgPw3XOZ-Gwqx|PXR$Tw>G#Xge
zaCVZjcHNA8<}$f~>W2Zl-lk_H-I5?Np#aM1odz^yo%JO}eoCnuWy)mCtvaiOp(h<s
zwgZDhaRSPsV}Iak7nM14a95`2VA*v=5h5Ht`S^U#<M@)UN}KY|CJZpW>{8!=n(TrB
z;#z{O_c5%#zC@Q|fRlrwNy`rfMgP`U{O_N=il9gdgk0Mioe+M0r^y{EsFr1k@3RZu
z09Qep<7gGyPI9D)W<T^E!<(em3S0$}1<G7Z)V3~&LFs7kKnR2M%662)jg;+@2|;SB
z{ngaAz9Oj8))K&=!=xGb_yk%^^~p_=6`00qvl7r+svR*wHnbiUV*W3_8Tv%Y@yrDt
z#+j_3%3O$9jx4(5CX$+B$ecFWW`vX@MtH3|AYX~`mefDeS>i9s?egKyk;?5wDt3p@
zn3Y!V&ymXYL@IyrlRxk!KXNW_u}6Q8)H?t%vu;YqteUdx-G(DGfD_`SZZWtDP~0z3
zc8s`Uw>*Mmw1)pOpt!@4fjj~y-0y6tVjMc>EdnQ8A0-ILxs{x^K$(HjEw46*_Rtwn
zES1lQx{O0H4xIr-%s}4b9LZ?_AEx;+6`+Xg$YN?rcFpxUYh{Z!e-*%=+BjuE(9cg&
zMvzS-Kc6y+S?+OVVn41n-a{#@2jOdLPh}1cBpeH?qqWm%2Plt<Kd%=}@Igv;sqMi;
zbW$bgZ@LE)=bQNx(V%oua3X<h`Z;oW!putOl1iiHYsIk<E2M9y)6P#rn_h-|$)9`Q
z|NMq8j!H7MHDjTH^;fvtq3X@HK<|9rG-jFJxi>SGPo*DvbY^T78aU%Uo_}cp&oKnm
zo39v*_d8d?@vC!a0QAZj?*WNuz9a-iz4?-dLU}(hhE{->B3U@^2j<Yq^Xrm9dOt9T
zRw~I{a(h77q(Kp}DB2YJ3XQPRSL~7?8PLg2n1ckv)=1L)6v?sawxULg<mvIYXH~lf
zF+=rX`b|HnBkEJX%r#>6FE(2?=xbf(s`Ih4Mqf+it2_BX+Cp6$hv2Z<tn>Lf^mlxk
zB2!C`hgXdnMldms@eo9*ifqyQeo^nbOp6P<L6GPYPzD^x7@L&#hc2z`j*uj0P^Y##
z9HW%uN%o~wytik@D2Z80dS85$(ECCIob-Nr$D#Mrx6}2$J^W{U_nzLL!>c*GdicWg
zh4bdsOMkQdhTrn9e|v;i+G6ZwVb*Yr5(rc_TQFop+3l;A!J)&DJqfS6m4z-L$neTn
zEV+~225zw!xDcd$b3rt=a4Idst7DH7g4)RiQQv^V4@NE+HmFWOtVsW(2*RXlFa%0i
ztw;+&`X4R`a#RdqU>OEz?^{j?>LwS2|G`uYgC?I15G#uR5t5j{p}*!})4yDDvJDn%
zm_jTuSjFP;gG$r|75Z<dQ!!>^1Evf+7@wxt&nYr`GLz{c=J4wJ!>h})EB2sXYD%YO
zSEeoBqHHWV`+|Svd%y0ZkzLWIArGOvMGm+JUN`_h7M54+SPU?Wp!fTsUdm=s+gH$a
zRADX{#9TY*M^RxRNEPOS`{NQ(37{m<WJ!5xX0oCRD}ozDL@L->r%9|x73P8%Z%AfN
ztcZqo&5nm4RhSEc6Aa9q)SeyYy5b!3p{c@(AO$E0PB7#8j1@(Nxg>h$3AItO17$Wt
zlO##}+$Fbrq`mdvDwz*1A(TsEM4E;>(4?XkE6IF!Qm11l14b&ze0$K?r00n{FQlX)
zc~;ei5)C9vw4iFqL<yGu)k7F>g$cEpgoavRR2!nw*FNNgkREWT6-I?`AdNJT{6;Te
z4jbUcBR8D!ErBls-_k%R;tV#s1HZaGj;|+;lLSwT^C#w1HDhYGe)_?D-&0^yhfO+;
zIN}@`MtivewzuU{1w6+xw-?JyyG5-Ln^Q&ClPdZ<zwS4__#Lk+qw*B<^;pIbVDwRr
zREj60`Ki%L@ti7(#LgL3YgAvIuIr}CDCam<)-AerN>z7G6+KB&H?3hZVf6qjnG{!j
zd!F5Ta)^M%d-dG-Cx-|hTzTzRK7s2R`T$qPhCV#=v;b9l%@-)lV{bK_FZ^#TnYKWo
zigx+JO*8&fyTinLQ+57gyJk_o_-U94Cr(w8lqv)}`gV;eXA*1fc2=sBB-8V|C^Qqu
zCrTrB&Nm9$Y&$N@okXC!Ss^s8bDhdNU`OAf98;PikREJ!*(W(wai1`eEHg-8GZ*1t
z^BNx`tkyn8{3i#YhaMx$Q+wRmA<y}9(^5;IfTSg9kRrk47qxl2UKJn!+*_>z6yl-e
z2m!#p#1R6XT#>z^Xz(JySv;rktI%f*yg5z>qqz`%r0b`x#o?E6uv-S)flo&WxLMwN
z69lSGX4#Gda<E%9C=HY8D!?5A_5R#W`(v<M_M9<?4uj*;32#L0rxUI{N6e8!H+1^J
z7+hC*-e(5Q;ezGU(Z{PeqI$K;CcecKIzU~ZWnd@vkyGW$CTG?mUj=+`$#46cxl#%P
z<<e#a0hD24g>&4wXq95z-=6+_t8;Q?!9Iz(E?qjXiT9T=U=`c?sa?y=74E9s>hokX
z@!I09(5>y?z0teEUFOMVY2F<1tY7sj{?pI=`M|R@h2?mbXLGlB5e3fzx@2QY>??!h
zU2yWm=bY*94RX#Y=g~pV)L(w+(Xq>PV~gM(`2e~<C>rifU8V|iLC{Q|9=c4O<AVGP
z><PMiXy!7DKwWabIw%`HDwUfVXy&B3<N=Vq@XTYpEZSF_q&y9YOCGmtu8E^Bm;G_Q
zbkbZBfS*>6VF&<r$!kt>s1yZiP^y5hnqsT$c<Y|069Q$CJJOrs-jw~t<u>n}O@ehb
z(%hTTcqP)S5njn?Z^nIgUtln@c>Gf3oL*^o1?gKl3Dst0=!bj%Xg~ew4{K4`;A~N5
zuIZ)qT^2h!(0D1n%=NZsnu0oWi)JM2W|%2|>UAId({GEcyuym^0(jPBhgqbsnn-CO
zf1+W#ePu2{5!`G+4nV=<O1ds{%7&`K1#QeclVqIeMr#x+=9JcUzd{cV@d_~3(G*5<
zrD3K-owa7F(4N@}=9Ct?dccW5RAqS-WFfCurL?pb0f$CbO}j4r9M4)z&8Aox8x36G
z5f%J!o!~<cdI;d5k6t}C=-}3{{X5gO+zGlCdqU4>(_R_c1ba#i>@Vq=<y&RVSmU#P
z;a~mm4@9g1KojA;D;nJbfbjAWSt8qPec-D-S{UknXu(e8jNwp^(M}3vZi0aSPt#5c
zWNw0^tdoLoxZo)1q%@rQNsf|E3LtEf<D`=UTA8F}+0g(GhRC*4z9O>3kY|lb_DIav
z&G(mSPF17V*axl)ZpVv{ROnVy;U06iR)sd5V~xv7j;F*Lm#4x_Go4X*J$*W-!f!7X
zKJ6B@Hfc_UUr#FhJAdJG_AiEA*Wx-)g<Gi|oOcehq|bd|i!<GQ`^o|c7mU#|YlIMu
zAOnaZxI+n>)c-EgiP;D;fN;TMw<eH>Ihn_`wH3voY1ds4qimEPAc!VIkapb#jar6D
z%&wWY_RQ0?>n@1#&kUQSP#EKF>R(N}UIev%je=`q9Ed|#Wxcq19Dps7y=rDj^p-Wd
z0{}q=PMBI*U-HQ|SPWrX0Ib$A=TIjEF=lrkd529HU_HigO<G0~OAsZIRQ%r*89hc_
z1?(2r{$`Y~;n!D7oKk6;(vDPGFWHJR;wpEhWm(k@RkEyQaFJYQKA<>(X@719%GS%>
zUdrGi696LjM+TQtzRKLC&=2Hh9lC{aeDVF#reK1R?2{6?%Pp@x$g}0WyF+EB-J;p@
z-VAj2)t~SWf7|z+Ekf%GujvZ(Wwb$2#gmG7z-s3B*#LdAywO^j^OQBqlCNN6iKJMO
zme;lb>~FLQm1C2&^Cko(qAn|v;s>T`cVK&MeU1`Qn;@EVoiKDGW$XFJNJQ-`E<xs|
zeKP3!sa8B|{Af_rTs?s4%Uen=kdVA7wL`Ylqh6QkgGb(H`sl$xrz!vO27682{CU9X
zf8mdbvC#{;IBr&8kbw7u<Zi!UOArT>JgkvmR2L6p!K+K&mY}U*+Qc*I_EK4&@1j)e
z+hejgtTtqmz=MH~VnvDL2~xzt&r=H?flZ*(yLa^T_%6V<0nRIWP%HLG*AJV-kbZQV
zhlrzN&PZK;H~k?(c~^Rfu+=_9lM2w(fx#2=sB|Bfe~RKVr|^y^6vw;Chv>PJPtja}
zHW#4X{Q@*m9@|oYW}9z*Q<oImz5Cc=wVHg3L^L-MzWOH0(^3K2{kb0PeW<f~_9X!q
zUU+yF)V}v#I6V>(g6~^6-*3GatM~*>i&bB|dhxw4UOjv}nx>aH7y{%{Vs{dEOO;Re
zs$|Dll^{LWVi=2{pJ%Qf7?LX|JXGq(rRRFIbXS-o`kP<%m4D(Yup~9ajwP8{Mr!$G
zqx1(~CeatbSeW=xyOo-Z_H!KV=*S)|Xf984|7VXDRKZM7!5P^dntJ%kV*}i?<S1qO
zOq~4H_%}(hA(?+*A3OaUAXTkoY8rUT#xuib>NZvaXutIQFh})&t!^w~N$S6>%+(HB
zmxA+TU?<?7@NIg|Q1Pb+yy+WtgIq<lf04wQp`^P2CE3Fox_qtz8!^UO-c_vE?NotH
zyG1jUbTd%Wuld)$|36E!bQITf!|NG^U{YCbFbjs3#lC8iILGzjC>7)2cwD!6k1C0?
z0Wk_K5K?$O!JNbiZk8QusOv-LBu;R%tky=R8RwXjIAB~cZkCmoSchPh#KDA3<WZP$
z*;u7o2<9XXMvHRPkfvtZbF7j$D5M}%Ldl&O-dM6u;%qmZAw<cQaaJcuqVTqfE9iVL
zyS=$4E;$LTNOm=M%b{3J4fVy;``LUK5RH~u*Fy<L7<Kg#h6*l1CO)+*$2ajw4^n4*
zTJ!ANFw^raoy$1;B?Fc+J_7qj#z%Vj=8VsaR}aL|V3^8YE-C^02%4|*_=zbl<`!Gi
zV&<2{Oq))LlOOb?W;1;=X8M2n+F$*re&r<;uA|c(tey{}yE)oMjH=b*!=BSjd+X3B
z*DGIr7u+hN5m0y(Qz~K}E7DB6AnM7P8p5G>ICgVrnrRnA(wRedNCCV(Xfzuu(oDPH
z5xFK<U$$X^o~>DtX4(ajl){7|thh(ULURhSqL}F-nR>;ntvyLHK6c673N#9GmMC=5
zBwLcCnJ$vdWQZh4g?dNN{aSl)2}yL0yJJM-Y0Z3lkTg@`I<&Oe;8T}8Yx>plo{!GO
zveNL%2i>csAyS)ry3b4ZO0}1|!>~&*#mG;Q373G<iDcE)um6P?Fq02m)^jhUzsU;_
zU@N=;As<TJUFp3B<et8rNmku0coldErg~-%A(ic%&TdR`;#S_6=ZS{_M25~K5%h2V
z*+25F4+25go8es+c59IN?l^IRhJV)^nUrnNwgb>jqY8S`UUzXeLDM_BG3ixER-qRx
zns!@N&wo0g9!2nOG-{)Zf)RfRCQb4b7-vu@D)yZ5cP*Gb1e@Fe!w>Af70e#OezV-l
ziU*Jywui1fge|7#iZ5~K+5NMYw=t#$gj;!lT1Jhzi|KW9cf!v4*Zz0Ry2^L#7qbsh
zjv4kcKKY9NH@+GAM5%d*MXrxTeP-P@ew{-s28Khe@WOg}8W{*o<GVZ-x0mgV8lU?f
zrU!b*NopKYReD<D>jDmV%9#PS>%%j*XpY6F-=a$_?azJSO>cQa%(d0`rM0wM<Vz)C
z3@$)p;SMX7EiEb}X-R03(c{-xv21CB66LT$Eo5!(s#tuvLX#fs;&HV;C<q^i&apUn
zP=J)f$RANU*9Ps`(gw*2n0vLOAXdzl7V8<w$`L2goMX1ML9zm(lQw9tEG-WtEGw9S
z)tAh%IL1cmpn5Q(*iiX6%W9ko0DBZF5?fdy{ZO6ebn8uPr<OKS*<uydvKqIXZd@8L
zm$jW3MGxd<ezx_3#^i3C{qHH$?BDb6kWBm=C6XccK-vG!u=!iqc!BpOu?<T_bu?;#
z&Nn*(Hv^m7<9)^s^i1{5ni&Qozy3APf9Zb{DpIlIURF`ZAECl{)9=sX<?{>|z%89x
z3$)7sG0$)*T<L-bXb91KD21^ZRv}nr6_K8ll4Vq~8*CSXIjd-t5v-}%AabhHk5rN6
z(At&8DxJNspyw26&MF=OLW=Iem~M+&;?Oy(h;DOKx<g5Ugwq%`LQqts9~*3)T1td*
z-Bv}PB&i}@5*!^iqz_k06<?C9vWmOoR@==s02!MfE(O@W=6<_bYkktj!?3g_EtpG!
z#FmpgnA1G=+UKMIyGV9>=1Gwr-ov6)N1v{sRrQ|8Y3i3Jm9&11K~bR;<&*w<Ta0~a
z{gJFPKrB0znnU-mbDVQQHU^{|-_|XKFzPxPLBUy>>U`fMn;_fZG|UaEg0T(4(97d2
zd)9ACc~4uujS;B4-|{VQ{P^D#-9^eP;{L6%j6ff*F?<JZD{2X?>BGLVk`Nc%b{x(P
z!R-qA0k3i<-Jy1HL5!<gL62a?4n%eG&c}*W-Y$r7K1j+?@Q9A2Mv%(e1p(shp=x2L
z*K2&B@{Sd$yj{?WHc{QVKS<?dK1bgD5QLM?6|t~1#biM$?;?nHC9M|)k6-(%MR~g<
znvaRJv0E2zYjb_1B*Z26pb@l#lO4LglGUsWkZ<T<S&X`nY+OD~QQp4h7ITLLl>^hq
zn%6QU&njBgg%2K8Bl}3XY+nG;a*;I6!oS><FN<^hfMYFum%i@J{_80p*7t6?n91^e
zLzLbp6)&2f((}DAl1$_&*X~N#UBDY7*3UK<C3Xbomeo8Z$@GLTFo1+uDW337$G+qz
zTzZeonk3++B&w(^@0tMXKoq~$OwTyhM7zAMiSaAVTZ1XA<snZj<@iDEqbpO-{pf`j
zX1hU;VfC0j^E7<vSTlyNbSp_|#sdjSdMLhT@p{OO-@Xpwe!C<bG9P8r2}gRhW_6E1
zR)F7*mvII6t3A)8gck5iH0uoSM?9%mbJ~l8?yvmfVAEa~=@gUa82{G3IQY_D9DM07
z4nKNz6+ZTymdP&;7HVG{{!{ds4X}wl0g3s^H^*{>sV`jyGv;thY;uHK8<1ur*N(^^
zPuJ$&Tfi9!Qtq?^1YpFg9ddj|((HBGAFSVet0KOGK)rt*-)%seou?I(6ucrahd{kQ
zw<CiJj&9~T%K4KN{1Osx8H$;f1Ce$nG1tze{B!sor_0f;7hNVX2j_QJ5dlD;-65ZU
z*DX5emU8~xYSC3B<`Ag&=XRWMw_9|X#Jt-qy6X2spx&R`X@8t=zW?2J(N#nY9qoPo
z!Q77hrI#x<h0}an654fZ7rMlfzC>FX9KhTqCM4zshR0GJv@-fEQ1r1PtNC%Ug%*o{
z7(G}OtCvQk9xSYB<O0wXK~EeyeB8)QUY&m8l-08weaY|nz;FKRPe<L7YUI<tB<hVc
z?PjXG^(9vwgHk@2n8MtcrliMF3go^dhL~xwO{|#IIG~3OhfQEbv3j+Om@F;Jm6#gD
zGY*fbT`~KTdkoKjmxdKPAePNJrssye#iUBZTLL_{bz_s6eF`KlYLviUN%dB_v9&LW
z4k7U+k(IT&uK3xNFA2wx<_m^yxxrMB?DxSLNoJOmZ)~WC%Zte~t&y*MN&FyyF7kuc
z)_;_ao^KBv4D)0=<WJk9=ljF)-QvQdPF$dkOU*2dtlHAti|vkSlsK#3I4}=HcP{1?
zCRcEP)}*S~G<;TN-IUsvVY#yPXs^xQw(){=em6iwv&r)rM{MV@AWt+FWF-!VTLh$D
z(>pIUO{-)A@MYe_zKpAi#;>&QaGH;pZSi!A;vFox?ZLYzJ7J{`SqDp?kv28!rncH>
zs;y6KxRbR^yReql;WHiH_f=69Z3-@I<KQi7QI?s3?s^#LzUjL^?K?l~T+I_99hfCn
z9E0Cg%x9zp>BZX?{vLqo!G57WxR<=7`6_}X%L#wCP9Hw=G_98l?$FyL5dHx^gIex5
zG`$NKv<5497yFIz#f2a>jSHH0flvb^ZwiuyAXStL9@k6u57A#a^lHKBT@*n~cU3_c
z*wIX^&6s5w6-K^R7jjz2>j7^8Z-zg(ZB@(IGrejR4T86g(<V{{EUL8)m6nYH!rRsb
zDb=vRW_(@wwSNH-&(ozZn<*w9XoO?pW=1%MO|aBmZcpuzKclQ?dpf;rX0B{H@i6sW
z9{K?Ey`&Aydcs^&+!Gd*64ci;C?gCh*Ih&WrLX<6PyU6lr<y`))An0nqY?>kk#5&W
z*m6x4t=ra9hi%6(lFaXOhy>%-5TrdVf~d-s%n!2hz4l_-(;|r35>gAqxs&aRw5Kk3
zTz4FKMC#Xmdok^)3vQ3dN=bAJkXWsseT5%Gd+LI~bF?W3h<lHymG;yHk<MXki?sa)
zss83yS84m>231fh$zZ&`K2<aDj%bo3&cVsnTQjHacTBgbWWNhq)Tp25?zke2E|T#}
zl&G~w&$kEjH#ETyKG`O1KiTf9d9_%)Al(s%i!oL6d_E_yi)F{a{^j~u^V|pVzhUg-
zlOD9r!uuOdw1iU*e0%OOdduAyaBh$P3AG(C>1r{Lh4QlHVweWnPi|l=)U;bP$JEo^
zv`ea+f7M_5cfS6wM(LjV%#PT5&=@f_nr`b*@R_G`OkFyS4@+1(u`;#>>}!sxcdH{|
zX5`>d<JT4~=a?E(p*Y~2_0n5|_G85yQ=>3NGv52<+PsPo%rP~}t~qQQD<be8i>V`=
z$I9(F=9oIdc~F+Mzgm2Nav{NCuU)}Qqyw7kBR+sj;sR;66F>@?!IImeBwljKzzFu+
zejRkaI{^})Ft3G1q<F#CJQkDy7)pD?rFg+53rc{YUB>-uUp+o!Sn>`gK=xsw(|$vE
zfg12(&|bdtY`AUQFm5p5Gt8j<^@H~QG_uGbqx8+@<AV0K{G|@Ygq#2^p2K!$mT!$C
zQ?Xz3jbHn>e=&kPJ<nFLr@l`x6gB!NtBz3~hpgDap+s^dXjcrZ)o{`8Kn<2|5!*@n
z5LE<ViDozyf?2T}4LLAS0K09MW>)N)Gejr`K_iil6*E|RSnagb8V11lo<p!w>{_x;
zh#301Imb$|A6H;u6fk*@fVq`qF^U}lr0Ha%=2>om{<e|~?pzYZNhn_;rV9$Io@9M@
z_F80)j@NO;4ys57>Czi(CG-B%&jxo4jzq=sgDTVOkLXnQK54~uV-w0*dwf@Z%8+1@
zo=GHf&CD8e$_}aK=WYae_Vk`nkTb|TJ$jfy-V+bgD--1X_`m)mZ~mz$$<p_w^#dZn
zJCigmaU1G%dZAAC10rtQp*OTKb2-2(aT^dytF&^9)DT6KxD8ghHOAQwI3g60A|iB;
z^&E!m2iQbR8dwB8%{f+nz*wrd@&lqHb|6<=1$e00*H92w63A@r|5O29go4QNj{5;x
zj5eGut^>TWd~p@vMJPCwFwTxz2?hHJV-%U?JH%c%_5F5h1NP&d!xIl52(d3q0C|hr
z;P$M)qOL@)x&{(0#$CTT5nJk)UTn=$>+p9n2wmKS>{Q6kg_hd3s$#E8bJKb{k6j|>
zh;;iGMNBY?xds{4_Uo#KS_<`34J`*<;6{|9Uo;1-tD;{BR7JP49cf(@X|Hq9FCB=g
z=obR@{@hOcOXpP_9}WrXtWuS;R@O$*w``~ValX0e8xbIaj=VqL`TT>qo%TmEFK<eT
zxtECD$JIhfy4C@2@4fj=Qi1MI^?fZ^FIB?z=7eC74vYr|C(P3fX4j0GQ?jMAuXEyI
zdS&`L-T(W;Z}_HYWjy8UkOWf@WiQ0`*R%z*w<LRn%2;||RtRQa$6CE0xL)_lxw5ZQ
zI=zNiLMl@_#uAb(DYVsT@{h`pj*)*<zD{>!N|^{jpZw#5uQR~@QTaOK^dA}X-~~QS
z|50NeWAq>O?Rk9q54FDR-Lg+*utjO$!u&ReL8=C><Y`3j78(<?LxFwWrQk=aHur;8
zAU0-#B|w@(<F2wZgY657*|N(r84GCvYj-J-+Q}Xxdgb<n*FZ+K@A|ZA=`qKMHdsV`
z6;YYd`oQTqU+j>z5kGK;#>bvyde9fB6XT3QQrR7Q#B<WmXfN>s6c0?X@I0MsKHhKX
zXLQN!`p8~o_|^Lr3QcNXUECy>v?3U~NgP|;NTw%!Vcp7bh|tg2CS#<Z(bqigmpb70
zutLz#u9=?n1qd@#8yvtT(PFA_mx^D#ux?76Cz?Ln&`10*KROy7!N-fj5kysR+M8dL
z@6mn(^(R+p#V(F4In7r`^P#tIaG0LF2KYC7yG}Jq#(M@?ciZ_fJMQtGVVUYZgJgcs
zxS8HFNLTL}w{QAA8yU8@md40%fz^*pE-V@uD;KtHbc9Qc2R`pWotk`JX?zTy_lX-F
z_iSuTCyYkNs!<P}hxPHfosN(5tr`{4=vn#v(#e<4pSmFS#|6uq+87z9qkWL+a-fm1
zI-R!B5#4;Z+vtdP!m6_qjgHmtm)^wm`(-<Re~0tUF%SA8(Vm!pa1--jhvtIiO?|vd
z%%fM!H{blGEuTJ99QW>Ht3*U-gv2I#P9p?bLItL(-uqZ=o6CIfj~=XwnM(g-DP4(R
zh>=sVR7LRRZj}74f9_9y?!R~*mFox*Nh?E>tU2MSLhoA1p5KM0>|%}7mm1tY*LboI
zC~q9$ZBHf~-Hf2d5F<JO-zDpS4u+A$U^3w239{^|j_1eHqljNKmLJR6xsm*sHA>or
zeF`hmBT=lawe(VY>5?d*1;|B7^t<+amt?=q!J;H8Xj@yGeJqgS?$pwQYN7E+rrFwJ
zY2CA(0*z(2QOs`qpZctq=IwN^mV_WE2)t`D*?7<s<kOh!y}Ax)|DsfLF1xr4h!ZR~
zY>WW5sa@kS36kt}31vOlTQ_I&=1iV+6AmL(HffawG19E+Z~MLKVH)1|uD5Hv_PI~|
zns5KdU-KG(%i^U9^Ru^W_&`z=)v&_>juh;I8XKSBsXjvp3QG<>E)=&&v=)LiN=48O
z9mx5tU?l|UGq~V(y##?f<LULzV&4#?&)|aA2_e%}ZH`F@N<1Jx4c_6ByzFdR06%^E
zW9T#Zis<`b)sYBdj6FloSW!G&TM;~6q$fkW26{%+YKNepTfQX9O;H;}$%AJ)UGl7j
zrBTx8wXv@8g(aUJIla%OvTC}sLqm1I%5c@J?US>)KanYI0in6`@h!}WjVICg>L%Ms
zNGNSeH0}Wvr^-H7=c7HSmzvTlrmFb>Jf4!G#A(Y96(xTCSN_sZe<X?$QL)Fa832g9
z47!B?as{O6Z3z4Kn}Z;D2mcC!a)D$w?9j<5D8xq_v_0dk&w`@DOfVQ__o$X`1Vx4U
zifbgKB|bu4nhGbcC@RbZ58j%#->s$AFbj$b^A(lD25>t1s+hdbf}+BFMU1Bu?TNm1
zso~3lqQXqj>b(JQlIW~iQB{~98^nsXq=I~TNdf5nlG`9ptO<rd0*94kzBwp!<kV>Z
zhZsajvr$RbcLywWBDq^5ho2=cQlOzTS1A)8`cq<qtjA6$&nyya*67|TY)_Z;XvDUv
zwEkl!=vyUPJeK<i`08mA5~n2WM#eHF><7xHs?bBl2QvJ52YHSnK`wB3XdfWiYrKAu
z;D;xFi85nCKZ8xc&@W=mM!mo)gI%mhxTL^9BINivdU;%#g2N@f=_r4|)!hXg1z{8;
zT;61EZ_uTxb|!6k{^}hMZl}R)+AV6$)lAxQJ)|wa_8WfYrB8_lGwt^CsKvXJjf+Kk
z$E|?fzA}^Vf?z2Jy$Qpc6}a4BR8BXU`^4#;vzNq*R0O^v1}`&TNbd1tuWg+s-v!Zq
zu+ta=k%Ok;Vnv$#A_!9tCxX1^8|!QcL7IFQ-0nN;$p$G~`(vo8T@Xa;2f-S5-P&e>
z#EN3_U2+RALn9`kX|J!3n0%K+Jslhu#y8;O*GMR>Nt5rAF>xCHgk}|8a*`nr@a|Oo
zU6|uZVbCR(TqC8SqPxH@<5RnGd=sD4`j7U3<LXI2ILOIqgR7!BnLU|pvRI{LlMl5K
zL<|6<o_7$hvDzVr5N%l>z&aT9w7+Dwlh+{IVKuc3FgXEIHJA4ncQB4GEWK%eoNvzf
z(W$61j*{EX$EVX6`%9T>+LX)VMw~toT!PEZv^}twn(3(#(X{1T6T>#GKlulL^mRWQ
zppFuZc|>G%V+eFW3Q4UuM5@lq=Yqj+Ilz_9h{y$l-x3W$ivHMFP`JG0MX>N&0yt5v
z7lKreE(os?9GwUZNFPCc64Z^)JWciJf?!Bj!s&DD>p>d{6|R9!9GdFUR}9ujbWCZa
z5rU!~i==Xt;CV#dgQ~J5$<W*-$##ODBXpfMhsY(bN$II(I!S*)RTN4`K26b1Q)KkW
zLlKMWwtcQFy4qWu6DPxCB=$**V6xJK4#<IkMlwl<$V;AdKraOY2Cb@0KvE9%4wceq
zBOhqlTaA2hzEx>6BA<#+S(Ict|3+y_zA!000WU)7N#XV7O3xnHn^JnymLF1jKk>C6
zeaq)Z_FElvS9<2I*Dz3voMfQEnpc1q?N&W>C&NIac#+r{15QTqq6`CVGhWo5V}^ka
zN0g9JI}YJR83uw^#)gMsyePv!<}!1|m5p++D+di;!9a$2Q<9Ep24Wy7sRYNMoPO8G
zoL1nD*nPi3GD!^<3F-g-n)&YR(7%g>gaAe|2)$afx;>cFu7DBDU3)Plw)aN^nFy=#
zLzO}c(`nf65ZJO~!2>QQ-258Ynxw{6AqI-C+cyM6>Ef819;#OUiM#~%VI1*SAt-kH
z5YqAwS5WNsAs-`-)+l!OV*M|?*~U3lXEQ7I24U)Xlki3_QH*WR3BAcnAfYGjIT7zU
zykYj7RE7ynBmkn5H_Yv%$@y-lO}RXw*rlBBa>Z`%!KI3Q2AyWm>ER2{8zteZIaU7Q
z-}(Hve(1F&Rj!_jI~eA-Agc0FRR~>3evu=VI;z4TJ%(Rk_p$OiTLY#xM^#8tlY1Cg
z60D9UM?x@1RR>gbi%|r&f~D(2S5cLAo(dhm)OqD|%u&_$*m1G};}tOdVZ|5?G|AA3
z5m2+yRD#Jjv<&5g#~5KadS4a!Mn;b?WY5$vA;n@JvW4;k`rl^JW|LAWJaOygfA8Ht
zE;5e+JfIbHG)gD&3~ZM7-lWb`sB|JQIhuhDtN;yh-jfMvo%uG6Be6n0p17Xrc-&4l
zqb*O9EJ@zKF+u(EtW_?dhJSPsphT0E&PEE%!-7c@>E#y8UW?g+z3;^@daqV=p?mRt
z3$IJQ1FekHZc!WF&1sYCNt=Az=YQTuf8U)Bk=Kakn1~rDsW=%Tx3QXNE)PC8d$1fy
zh`hl_ojOd-Au=o+3H+p3p%?Gx5P7>n3z){zYZ(Plg`T3w{Mg+}sg@W_x5utSWaMJ(
zEVniiGKJkaM8=pO4fzk-os9LXj-Es0Ek*>(ng<kD^eQ@Xh>Y35s9KX?8klHvjp`5?
zY<##s2)oe~)~nN~ZV-kQ*yY>`CR&|mb%#K~AV)`EUla6C@-fu1Ut2J(%VGYVy9NGN
zzwpWq@eb^td?w^j#d+gJ#BM&Hhs(=4kM=$y&yms)zQT90QsasYAf*j(sU;Z6xc9O(
zO^pR2@{7_d3}De;P-;9FpjVUYv`Qkp5!nv=Ptt@cy#s9Wl7JWuXs9Vk$4A{omEM9t
z9Z(NM(CKt)cLZ09j_<{zcjkZ^)flzUao60DX}4&0N2a$2TtXB4;AejPpP4XvC;HWT
zLuhF5yd&y>(sZf0K;w0<qnY-u2$s=1`=iu4W|ByTNiMhpl>-85Xf`xXwVhM6c13W9
zgr^908-b(qiZpgExCb?)_I!6N5TRbNuMqT8C%NDjbNcMi3WaAux+5-l+;?WY!K6ed
zBMVB<>5`TrB?kir8dQ>J4Xs)zV?Cn%5o<L3f&a??)H*(4xw#(rRziCZ<=fiHxd8o+
z6rkIKJcsvpH@u&Ai)MRzGxqc||J;}T*e^y^q;^zzRiyP-Fs;NUUo=#0XtZcB>?@cc
z2_UVj$tIp77)P+DG|nL?@uLf3rVAoC3NCkQCPPqQNEd{Fa-%GrbU6eidh~{>E&8Km
z#f|`UeU1`5njog*u-K7JUk7O%$UjDWen0eTrARg?B+<%NuPEWY3!>{w!}}$t`qqL9
z;`&Kq&ajwh)@dm?9=}Gzdz0KES&p+DZX^>{M%xkPqAbM}$<A0N)0+A2?3NS|$)(j#
zCdqtzaH*9O8*_cEt2;^N`?FoHIX?mCi9yrtMbZqo{i5Rz_nZz7csP4~ep*)J*K>tg
z3@W)ZMjAi*WUoU#iGGN$&OvXyy>-78+Lkido@4F%UWxt7O-B6g68YbV<%d2|Dy16c
z`2PMKjqmjlztkU@TK;LT$(iL_Tgvj!|MlaadF>BHL!4sn{Y0gee<B8IY7x~A7*wCf
zn*(zcjc8vriAszo<?K_UgP0fE2vX1Ziu?5eq`XXhc0PV3R-_$pK}<ozpb)Be^yIb4
zRN4U-1n<>K0<didfE0($8BN-OBDi0oGF6hPtl_BzX$M^J;NUGF0&8BgukfqI4!GnN
zR9h0$fg^0s>ywWycEBZ}HMJHAKSu_jRFZ^wT@sUSw4C(_(k(Tq>FBfrE{PH(^foX>
z3*&pODO%NwBQ0@_?ow?$wU1b(hXT81S=m(SC$2#n3Gv!|>f9D5K170Sxg8j(<OR~E
zxNnic6;|HMAya#*&*;3Fu48)qaP4HY({52~mgWrZ^<;4W-XHv5e(rNq23K5=HpD|6
zD>yYIAUi|XvFmieH@d@n4u6gzK#s2s+CwZHIfQ_j)?y_TH5pB(yZcJKZ(CsDkoW~?
zB*ve&u|^f*!J80R7a@o7;KqtM#5-(oNQpFd=spwo!v)Vq8U?Qe1?n=;OKfRXZZbj-
zz^);i?#%OVW3$v;)f|u@Y0VsvF9+A^N%Qy2(<uaASl>S4`Aye*Dsl+CKvNDwU|<PL
zpbFI`_abofk<r$#Q|vL!&n7te&4Hw3xJ?onZU&(YEw$V&hxA$%<&wbX;rbwDkC8^&
zMU>V|k1vQ`S<%hFcC+e-tLgb&Aa!r8j@=5KM>+hT{t$uBGd)DuY9Au-flhpg?jVuq
z$){-MJY!+`CBp}rqB@4TnW_L>l|bim-d<kQ@X0pon#dG+bVgNCbqIkf?=9QmwQWG0
zn)^t}{8|;lL!jQjb^<pbPR$)kB!;biKLqOixt)%W^F=DF)D4grw#xgTA##<pek|8;
z#tBVpMIi^4*FDOB4sQc<D8AjG&)YXa`HD?29itcp-}X20JBoV?!q&7|1@wgs^cBMz
z`p16mtN+x`M6;->(AK4RRiVZ}Cx}0=J0FSLO37;$*31ZqJT^L34{iFE01gY2Oy*Oi
z-=70`dRVZOlc>?c%Q=R|1_j#+u-{2E_N*yV2gDFlXT2_**DI?yve^D=EgsJ_r{+>J
z&g>-(cuKv4o{|X<ixT7TXj57}H;TS2jiUCZTpG()+Vn>F!v5}W`N%hXf54;KX738m
zn(m(h)QPZ!&?9=bRY%xYmL_w-;w>X_WGSc+q`7oKtixH-&^mat)wK*k`k*ejMbm&}
z=s@LR{p2A?16l+(8+2uGMWoAA>x3X<C>I1jHe3DSQv-O^R9*-&hAM)45QeEB233n@
z3_&V97X(u<h#<M*MjJ&lWs1ZIE{R{u7Jd?!tgJRBmK1ojNWx9mG#M0Md&wn6aLL^o
z1+%&)B9P`ZB}Q<`pc&ciF`$M1lplSv5-mDPKB#I9)v4Q-bGjPJJq@Td-DwCbm2Ws6
zz&>2svBHDp|3YuZCtZ4&Y{b2L|BLFE85+H;J@jUvQG0AJRi|@%=Iym-PP;`jG&&u<
zzNDJ;FKzzB=O+FKEk*LIXDNc@yl+CI=*j4nbmnSO;8aW$Lh#)5gVm9El?e8Rm(r}4
zL$46H>!JI@OKH|!aCCSn&AJO>d=YzAaOi>Ir8Mg<2wSe`8)ld8w5Y|tf-^(2?t%w^
zw%Xva-}Hu#iCK5a@kyhMV_b54(kKB6moySm)Z2FG#t~y}Uoq#J@6K^_(kQ(yUvqrY
zXmx*%pmm^2)1Ndt*;P=>8kH)e9)o;2Vyk7bYK~BaAU89FoMlw^vR)M1$g_ur`9||;
z@N+l|L!T&t5X^mqfr{C-y;M3<5-_DuO(0o4mQW-RZ<QtVNjq4C8z_*hCqx2kBnvE~
z>9q%Rg{uoBOArMjS@kCNWeLK??JD-h%nrs}UQ4I(y)Rxpd^=bjWa0s79dz13fi;K6
z9lV<PA3pe<uiw6S7B}dOpc;nM28w&Ysby8Rg`N_OO``EeFl%E7La@ROiXf_M+n7A#
z28Cb>0D=%0MXam2S3fk73iu7cHp=jYICRDh4o8gq(<t9z%@EAEfldA+z_2j~BLp*U
z0M3iOp#f}9&G9%)Jb}`3k~`3{N{qic+Tg!fvc?TMj*t)-ge7fx&FeAT$%;=*+qu=I
zp)@n@rKiIkq*Ltg6d5_#RUO*9c^)VvosrVZn4?-24!)<676Jhw+Ga1ey!KqrmiO*j
z-f6dJ4()FywEw1eJonKzhUHaHwIA9?qv+^hLjcjI=N0DIadci`4jo6w5a!Un@D`%a
z!|Hk?!c9ItvJQxWY11%l!{#G}U=Hm^=N0DAetcXZEw3E_BS2uzH4xr37jm*aO(t`Q
z`AKbJfack>H36rY&qoE-zEyh~C&N=5k9k4Clt~Dbq&vsF(!UciFFBKP^#q(6kX+{-
z_~>K~C~jBf4%K7}0`(Q^K^tVtcI>Y_ixIP;8s#!lZ=7#teYhKAitH(#jp^MrrqgcG
zY)o&)m~KA*g*SX>)cfeo=o`~D(xrlGg9M?0Vlxs80$j})11GoO%Wf|)+iXk^g>?bw
zcT1*_jVW3=NdiU9;*CkMVm7AySHzN_%|o}0mJo+vHm3U()8kwb=g=NH8`A?uQ6R63
zlDH%Im6~6jjVaR4ToHmutha}*aB_6#py?9F#vqV}8saizO8X<$X!wI$Pz?Z2vo281
zEU2QwVd3JF3fu~Y?7^vH$^Lg{U3g`)E=(=su{ZXNxo&L%yBvSr7cPF=heD5Ogw`wL
z!JKU9F;=Jp9;>jS29ERDd)vd&hJ6OyRKanmiI;wa?YS91+qLnwVw`qedeXpg3K_CG
zy*sw&nBlnXksNkf#*X@z40LJTqMb3?C0XW9K@99^D`_$uho<?pH&@sc@%Ys>9LEV9
z)MFg5QCBZruE$hK)r99oF`<UWl^OqrRw~*rf2~UmgKCu;E9-L*c(dr>%0n`Gv>IM>
zjWqzT%@M(6Sv)E7iU38sJxbLzHXmznsXftWR7|g_aH<BIw)~I=`^i7^;C*ik4W^xS
z?umJWFMxNxqA2S>9E?E0zOq8ZBDirv=_B5M(GDR<4d#NDW@n>7fBFtRF&7NxX}B&_
zBe`Fho|p>;^E8{Z8bNBXA{flms99_T>4~{uFi#(khC$d@_byjA@+PY?FtP~UI1lD$
zO*5d3(!xWX%&IMJfPH#E)hrk2UMP(ec#(ibWGF!@%M<p{Ob|nUzH0pF&C=lml-|r1
zx<De~HlYg+CC%*FfG#c?%_F8Q{T#VEp=e}e>E$@SJ)t+HXr?Vcq-g%^Km8N`;Ovn1
zBj^c4(d;(BoJ4;_vx7ia*20j8fxJ#P1o@oU7Hh1OE*6<k8$}p`R5UIKE<E<3A{UCT
zyS5orKrlb_n)OTKg(#_QZVsfP@fFiFcnsxgehd|j3nI(NamMUJbsGLSN4iQbhzZ50
zNfN;oQkZnULQtM3mpm-DhzTLNBdKsDIazn9>d0M$tHGuXl^d!$Ruu=vo5qisqSCHQ
zCJxON`{*f(zsg9%*;l#?zLGt|H>JX+EkC5fe&7#(_TPVh4Bt@VR`->33kC}P5_m^3
zw5vl*g*Pv=PKye2YVI-(z5_vcBPc4&1Y^1vXf~DH#SRAVOH`N%9@lGfoAJ=h+|-8_
z73M2?V+o2ddb8U_h53qDM@m)Et=3GLvMx0fOk?9w6V@DBRG1&Si~-w$m8%g{6=sqk
zbki1;1KK>=C8hYnFS)gV!x*DQPJP6<K-$>tr@7vMmX(;<?g+A;`U<J5?~=zI8$q~c
zC(LU3HmYahzo-nO-*^3^*Y}5Z07r*W5*pcR&3uDm7(G01b!O8q%6AAB!G_UeIB)NI
zik0#6JfQtVj?Tnh``hz9D!fCe=|#YuuhO*`4^hd*l{F*r$ZQK>Lw==3<z>MT^@MJ4
ziey`8s^jI8r`+q6Yb!(1lzpq*<}%JJTzd{58*K`gw&(C|*+iauywNj_p6m33ApkEY
zLIc^3{c*vv=dYK?UFA2w2`%H;TOV_@O~Z3WIl5P-Hk;U&KHkNZskZ!$86`dYGs_Pd
zrT72*ANuouHtG`8L3g8M?m8~5Olg$9d~0j7ubKe9*qR|mwj98thfjMGjvF*6Lr26|
zrh3jUJb9lnwY7Xk96AT^;7>zoA++OhwJ{tp1akn7o2P@#QCDvyD<PNzcnn)41j(TC
zV4Z{^NQGxxcy@M5Pl+l&F$?*}h*5G$AOX;wI7y%ug5_p7LX472f}E0}48Y263pP(G
z(KxsmC6@$$CDSul6PX{z2_bn_-G-`+f`3(=;j0&Qt6$X6<_SH^b@P8J<`AcC+5BAD
z;wZ;*GLLqr^E!D|eh&Y9=o2Nzef9=K(1M1fTBH*$sTLF<)D;VW4Q{n!0dpy;5{<hw
zh8$J?=_N;5Kd$aJbSbVS?9S!N)1LG*+O3Cv&V7To*Ecxr7PSUwrc}EgO11y$pZK)j
z@#PU&YC_VDxTPmqDNnn{F27~3gD%%YfMc1(g@`i%WwnJ9e7}7~blS7s3@h%*G(aP6
zUs+`7f~mL=i#LKa;x0(+U5XO+>rFG);v!pCObK|a)V?&RxtgHbmNf=silw<OnK-mr
zEQ7~Mp@RRtEul<@Mdnw6cTsuL5{HE&tRQ-L-oThEawUy!EBWjxYyDsB&hoxn#n^Ga
zcsL>_fbzhKTuFJl)A`fU3&)?{Tx`j;akn4CE@8JXw?+1(&bDZ-&6``y-u<9F1&en+
zzgn`U<=^{j@A|wy9s#AkFFjj?N%lZFX=2u+wrI3|J=>z(NN)8a_Nq3v2);aO_Yhd2
zQqCy8LonMSRH|@-1Aqri8)~f^^^EO?zavwdsDg@MsUIAe+C=T-f}>NLB%mykV`G~n
zpma$LkyHX5R5{u#4EqWfNbG=19+sGv!7H=}c3J=MNk&+V*;xxLQfiyK3&A_xYx|xO
zVyZR2S;m>3iT1>gn`+-i+20&gUN(GD0P!%?8gmy*&9D)f$cr&Id!A=gU6k~_yEeRV
z+AW&H#+xal|9#8<{Hebjrdq4O+Vax_*wLhneut?;%n;?4Y=(_iJoeOa&DlJN2G6p{
zmGaYbEOMp%bO-a^9Ga%u54|H?uZ`9l$vEY6q^T}~fPUe30EAktZ8(vA1tUmPT?CIZ
zl?j4N;;{9vrm1#8OQ1n;y)iU7RuofRBunY*dMQb{e3D|Si)5*NT_eP94lbtJC8PKi
z9r$gPtRy5|64^Z~enlEj8wl*H^VMjy<;h$(-EeArm4H(}*I~8(TTaE<zr5Vziu5!l
zSHjL5ax;fqP3GC>4<QCEY$HfW%E#mKg!?hPFM}xT$$j4mzLMBi40j<ex!V(oO|Qhs
z%T;^lvo8tQ`Httm=-J;Rzi_qqx8L)gkh&$fp3cOpnd0RiefsOZ=d;oTMac|%{B3FI
zr_jiSAX!R%)?#6DDM_v}LZ<{fKu{C3$M`{*qAbYxyR3*juDS^_@TwNfRV+J1{2~a5
z7sD=UK^pb4BHH(GXqLMmQf~xl)Ljr0sgyj0bun!v6pgwI?)EIimWX~O%q{uH2s)@~
zbj0DpAt%*4z1k`<>b~Rw6njz?gApInbCZ`8qh2HtOyl5ObD(lbNbc?E+ue?Iv-shM
z6-t=uD^yn*p`Ko06zoyg<eZJv#ri$6dC0n9hCM>}ON@GQ5R7137qy8Y5kAK!6&T9=
z$~RXx$Mcr(bVQeR3pg<6@j7rpjuR-2XurbXQQ3`WE`ieAj_9^6-$6$Cc6s7(zBz?}
zk`{#5DdjCW+;sl39p^96dD@hBHiGrX9GhjYNtlB{qtVOpPVI1+wtO3C63_g`Z~O88
z`}-nD((+FalDu9TSIZ{Su1L(maKXMpTp{|@tG{(ND@%w7L)L<#PhD__i7-?b=%^bn
zJjZNXXdnopMYuh*+#6fb>O@Gjz3UBo6@6-gHVu#sha2PMjvpiX)C4W!Bf&V@aGD<@
zFM+Qp1Ckha?aoZ3Jz};kv?So3-E!Mj@T)%cpK~~99X+mOZvukmwfyL7jI5WSuiJ<|
zjhi!BOR7SOBAKM^PV;C}k@|n3_2iTPv;S?rPRqaCT{$zBdHq<XRu^vXp<X8Skf2^h
zkj_$jSZ_{HbySb8crMkXx8#0nSX81M@x%*qUg`W-afD>*^vo@4t<hX9b3N5E|Lsrw
zZ~xs_{Ib`)2Ctt~{)(AsE&6`UP*)ax%urVr9emRgeQb~Lo*HYJ)Bp#PE8oVDq0Sb4
z)KF)OjyZHB>V#DVeTY`u4qJ3|>XK`Kf~co$&M{kb&~Y0HCi?k%c3R4@-TEGvToSf_
zv%y@t?D3qnzO;@SByb#^eexMVWwN15m?6fLLhFk49ZG)j0q3aaMIDvIS`eCSncZsp
z-y!Sm-}&DmnfNzKp-{EWB^J$y6m2}Ks|8|7Fc{G3Lq|$`L$mX<I0EQ~^P|<lt$V1!
zq~53nrWS(4m68W^0#!E(M^8`k0_+lGR};U_QUdWfY4Xn2jKR{~e$zhlsI^6$WRgfv
zYY>mln%;~|E%Wg;_voOM^fb70TCWqqRIG{IIEPj9(Srlp{-X3aFW^ICo(&~2mZd(=
z=?|&QOq6F1BM+_atV~X{f5)IIm&gw(cJPOkAJapM1^gl9$Gh;5K6mmdz2C;Rb8E_F
zttss^M4fJ!VsnfqtgwaN_(F_7tk8>d;|tMBlfeLvFRaShH}XOSm?7H{{dY^5Ian(6
zFzv7d*b(C!AyA#LY^VKYTw{PK7)#hyUH_e^y*R#X$NtSU$hx)XzFw_@P2k(kTYI+X
z^w}&r0oj0|(HHNMj=OgsTSXWQ_1tt}ZVF&mR_^4s_-5s)U;8x0{(?iEa^+|12Kdj*
zzK+J9+O;GixGPf;S~_ql1M%?ceE`)B;eX-bRn&!zl^j6ueG5O6dM}=+L?p3lB6QD`
z?nSaJR0+xtksmPmuF&r;hPQXcJb%FpGbC3|c<9n{7fU|q{!A84yDQvPazdIO;s$md
z%?rH(?g|mM5B{F#e(x?a7TQYS84GJH03I}vLuQm3hHtp9xLv{7r56Kl$4KezE2|iE
zLCkR0$~m-m8E_VY^kG~ObD_5aULnoU>nx)`?t<tu)}hnrZ4>V~TDG(d!39D6#qKv?
zc4+R>)J7bd4ond|u8gT`fagXs7A`qTbR$k?ksM>X5hv3nub=9M{;+t*>cbm5M8m74
zo>Xy<+&R)+h9=X6za5`cdPdnUB+i}N&}^EBo1JVIs=Rh(cj^KH--o*0U*#}86&jXB
z^YT=nf8)RY<<I?mq$sqg&K0Ov^;XlsG-9`}EJfjhlexu5hkOyx@D)dEv#CHsaHNu&
z3bY80RZ`Pqb-~d}YAVnoI9f<eC)Onqev&2w1>~T~(5TYB!i5wC>XKXNd12APpcKoG
zBuRRZE(v-J(nX-w9C3ZyASF?{OYYZOP^jVPC?U6)*4MlsQgtw8Ami%_S2U!97ySE5
z)3)xyS+qK*d?U)biIi{3X{1*gISok&M^2;KoS+-&C;NMHMmJJ4>&Dvx%z508`Km9|
zLT7FfE#1p;i&EgFAK??bg3zg8ZpZs!8+WkCOF!m)C5A0AUme7b#J4Y9z3aJmN67rr
zLu^0$_S{b;k)ewDsSQXm2&4<}$NtFE|Kp3VD>)5y%-sbjZaRveg_H<|Mn)iHUzrQw
zg1}1|O`ug2M8R665Q0?<1@3gQa9h-L6F1F)3|#<U5#?iGiXvpZMP{K9tYWB=B>`kh
zygIL#V<>bAqk<cT5Daxq_%_K9rs#rbZMA95!X*gFW-ua$o`o#(jf|Sq2hMR(j43h|
zAo8_uOSMxzlPi3m4}R(haX?CpClcr$*=<>rR&Rj+!n>83OHzEf0HZzYGU%XqwM9G;
zIlf$!IclIdLFaOP19N=2FcL;Q6C1F4wUTs?{50X4X;sJ;O#Zz!iM_cb0&8vBgO}7i
zkQY7`g5k%#z~)Un6<F5l-^x?LfAUFd4+fqJqyZlHsTe`-=Q6k_D}z%vC%IUt>Z@AS
zW&UZZsw1gY=DS6)$3>)8ZK?pASyb48ybCm*56CwvA?MwKuX7;xg2d<j1r8779l3~V
zf7GfR^8T9z@@IK}$!VwkxgE#H1<RY740HsY17VRi@J(=ZB^v?c9^AdV;FZB9u61!S
zu@rI|(J#owkkm;3FnX}cCoS-AG4URVD-2tFh$865`kY)@u<vl_(#4}x_i{$>Us}BL
z#h0Fc-}5g8U|ud_Ty45&Q|`*JTv>4Fu5gzby<bY^K|Er5o*(`TU+^728Vv9n2Ds<x
zs71k)4ye%AoV}wKS|96z+d>Sm-N2BGibV2$`L-ooR4O1BEZ`GBcdJ2&6>IRxF(s5O
zX#GhDrbtyL>!6sP$jWM^H2@))!KczmkK%un^w;N@!Kczl4?1Nll8F^F_!N-RzUvL`
z5%0?OgGzKrsm!qOB`@@EN`*pyhJ|=N+3h?5{bZ4FUxwT8)yo}%*~52@PwmR_&Cn-G
z_gUVMI2P`l<vfSydgt(<YBgb(KzP7=ol@g~D<5lwtUbq}ya(~`9>!Cd>k)W;+VWKK
z8K0nrd;M3x^Yz~mv9(q#_38z?;~uCm>-C*9D{7*0y<p+O!t9-1EuOesTIclCcD>w4
zeiC7nOr(gib>nSzK?KU${fwIVwx@s^&Q1i0&J~-L$fba(*`m1O9L3?X6?fVuO+lPR
zBPazSCU^t_F7f}aW8vV%#eMQNdw4t?g!{MGIq5=zE?;=p@Q9!m(C1z7<9ieukTbnr
zwe-x>v;lSpa-klYRjNygv;q?lMH2jNT7K6ZWCBTkFsd@a?Ycu?jT_E5zb<RTntX|U
zO@zzrPGg70u6M_)GQs0+t*tHqYOIYt9-_KT5COg*H0X>oqn{)tI?%^xaR3*OmfxYt
zP}3hSd7)K18MVvZ#<$n_7-{V83%8FE!}KdJ_Pd71=s7oMZS@_@o3yM&D96zvRS}m~
zMMfyrmgylLy4@Bu>2rt^W<H{d9kneYop3L9M9W>;A5+V#sy7KPQ|#LYn^blw6qQ&#
zmebHYg|fm&otEpx-Uu*nHTFh?o5${=lI^mpKb$XI>4^P-Jyc)?%J`rg;`+;Wb;0uW
z)5pt$_4|6Y$|k-=^o<dcux6;7BTfP43*SUNAvW1Rw&b_{O`Jzr3e|yWv$Fgp{w@(*
zgoN}N{OyU@aSqhA@@y`%d-3XlIF5?Dlw=Pdn^#ep-6y>HL*KrLwioq>+dzGb7ZJY2
zd6HN(9x(Gm!CkMmAqVRF6*+7uc#b62iH0zVqE`cv1NE4NyIrGErjEaJpdPbuSvAoJ
z=0H7W;UY2C!V@`Ak5RZN>8QbkNsfy7oK-VR<pWnVwb$ycFxiwj*0HH9>wZpEw>>>y
z<7a;?r?+)diuyHQ)}t|ao$L-`RW`bfn_HBNX%?h_LfZ$rlF`f5-gWV1%bM_YrI7`l
z!4{O*S1izBELJ2r`&ibrVLO??SbW(cdED|TM$r$tWLnHeT3%cd%`<xR1LhI551jzd
zF1g=stUPGh$t;`d=;=ZBb{{}fm;j?~S+>YD@+R|9{js}!Cz;Psag@_zr%rOb0o;C)
z$8Ida)zCJm51gGmiLt`x(cYDClHkVx*T_3aSYPdsy&~=2jr}ina`$v<)BbRBD<`eZ
zD9Lu^q*apwn4zko0*q0qoIKf%{e{LNHrsra`oQUO=opo_9NN2*&L56n#WNuZrR-!j
z38p7?a-XoZ1<oe)Qk*}0V0fze2a7kFelWMI3zj$6@_2<SD}61#iRKf2?JxCxY^}jD
zC*d)>P0(cn3Lf9YQfQJ%`7B&|^s1zP7(LkRH_z=U?|3jLxX>pQ-ABVsLxg{S`jfu&
zN496%Q*_!KKmbfXQ|~0|V^V<%kT_wL21_RRU+5m>`X(ws+l?WyO-RDfPHo(c6$yv9
zU`$BD{CCA*LolVyaYUbx1g1{)wL*~YhOf9+XNUd0=s#)Bkw&8ku2;a3S=9wRnSOKr
zG1P`G7}JcfWGk4<hrIcnOM+0dSu&Rofffv>DF??S#P&*7?J0JJ0D~@9GFCcH^Q_md
z-f=^drLN4%O1Dy7kbYs^Dy5~@8+yghiT|78SnJ^{$3464r94Ov{6xN!yXfuTna}zy
z^c630{YLq$@79o{${83*pV^xnIND%#ZYX{B-Z#JXwGW~|Ko@e{!4<@)63hDY;3GIu
z{n;xdt@J07Bc_{H(xwqFeLZ3BaM+V2Ks1gGDYdggxR~|l4&&mWaNxrpJ4>I1VAh{I
z47Ec#LrYT?B?!Sve{MEDif(i1h<Iub(Iw@B>WZX|BCe`bk;-ALvMP<-KWG0kt>|=$
z{+c3FYs7vcA9<>h$e(A#S0Tt5szI@=5s_$a&|{AK*hG*KQNsjPKuFY$`WaR5*ok_3
zRn9CwsA)d%&OiFAV+@vZ{<)?x20#EfWZxF*Q+O@nVAxky1n+{yQ$tOTl=)<8Pih($
z3^JB8)Te+9LFGrVYqOGsXkJkg_09mQ10`W{&JI{!bI37CMNxO%{1^%EZAFeD7Hv30
zrYdo)A6lyp05uJescIV9mjqS{Er-gF{Ssrq=={Zn)JM%FG4@gt)_{P8%dnD^G_*@@
zI%TT?ovKZ}Olu0>Vv<L690+iTdT;ZilVrX<*7ztDD&|opNl8Q7nt-wa>dG$yzft>(
z@(m)CEx#RA4}vULzlgTL4nh8SP1eJo7dJj6&-$KJo~n_T!CHigX7TS%d6c<iDqSC<
zS<M%_k=3&v>C);g-K%7}t8xkX?hz&-+lMH$2$etdiD%U}1G%1S#`2yB%d6obIHXAf
zNQO3pPY_13_;NF0Z@?Kn{@7+>+VVqY;(I^t2S5AwhnY}&<7UFF5Azowf`Vp6n=OX>
zGRYJ^Ty{vUV)ep+zKzrCfSx3&a_D}qO?F5@Z-qit=qs?gjgCCtuR%y5D`KW`d+6+t
zB4M(Wgb1izEx#4NItTQaA<5B?3K6u8UsXWA-ymrwlE4UvI%Ge*3g|KV5wL{#*Ium@
z2d@HpFwB##OC0PTBZp*o%5B^dj)5dXf2ozKj-KNM@RGygh$MA;bDsJBm_Z>a$G|Vj
zHwah|NqljYKoZykGjhnVM=}UUha^0M9zasvA`FhU^8|@|d!G3o1^L>JMZ@(k5*6TA
zi78w=&y|ctiK}#xky1TxxJVkksYW7<m2RJcN%Y%SyuC_c3sXONXfqhK&)^BtB^|j3
z0uYxJI3bmTTv6Iznsrj{LUKJR_afU7DYVHRcF-W1k(!H|WEpnI$qpnWz$u=Nk6cPc
z>(xsZF&z@e#|5i4#q%qH3o>)ij+a{`d)#lzB28O<$Rd5$PksIRQ9#U^26BsJNg)8D
zm^C22{&HiC;GQq4dniVmEvWK*#S3~Uctl)tv{wp%nlsf@c)ntcLL6wepuQ`Dg}`H=
z*@EiM1%to?ts_)-`OwsMMX(TffSyBboPEWtf6A^sHQsH(2hrCY>9)X{d`4X|2s~i2
z>kNHebAY28frkd7`3F6gt}VWNt7OX;?&P1)tMbo}?jT)~!#-^-2>|JNX2bQ*Y|!+w
zkdmiY8sUK0qDVehn^Q3(drxjk^-f!UNcFz?|NN!<&-{~xI6)U`RWG!j((M8iF`U+p
zXqb_0K}VPJxwTDM3&E^<i;f<e#!6??R~#LHo9+`5k9!pE!-GWelg&ejLszP|TLQA6
zGT*LylXA1_-5$VjM2{Hbcf@l)rFzkwuWs&PPv11Fv{v;lSrdgN_s5N3YI#Ws2FmZ=
z6VOu0-X*V8FIHq>nD8<6iuZE47kq1pZXk)v-!l>U_7D)l#f0P%PJa7~^8Ep|HrpZi
zi!iC9l02)<Rr4AblNV>zTomRrJ8kfU_JyL(E4BY<>u^5+p1@qxB=~n;Ajmy+nL<S1
z#euS`?)A7N1g_TGzjGhMTNoEy;^qr4c6iOGP)p_U_gS#!ZSkVk)5{IDp1x&SezkeS
zYJHwH@%+_09t_a=u)F-;1kxW!O}It0cWy||Sl-q&-WGKhk83UU`VpSGD~4ACFVnyD
zXMW<x|IJ8#>u5yp9koG;z>!#|Ow)~wik6?-SLR;0AiCse6cPKPU|0=p2-1EPL9e9;
zlaq|?%d=)_zFe>j?L|>F`g3TyV@0|bE(i|@;TP=O7JaK~J42A}g$r(vD=_`bkI{*N
zc|~fVB3LHUmR5FO@#V3cZZQ3Cn92C$-}&G8CO-Lh{x`mfPijI(XV2z*$DH<l`P1I^
zaGp?4(QQ^YkWbdr)0S`bGz%1e`?vkoU;f_*{i}A)x{k3v+NX?ci?CUL@SMPF*3!L4
zt)65b;Lci~g{=W)L>*IBM2c4H@6OG$0YRHM=x68IY?cSfJ`4?>qmC(uhGr4>e0RV|
zH_=*gj^YHBAA?ys)LKBmd&BW0>1Bc#j?EM`1-t%06#+X<5>5NOJ{&62zdoAwcFFx3
znIn~yqQ|OFThiVpxkHKxk(P`fLGuW;u>YR3s*qYnwMme=>h?v6V%g=<9WDxT6v+N2
zbRE@p;GYPv8BI2;s+(9x(nO&?9OG&NCW6HAera*6!_P+Uq-sBg8;*o&LdP|~8V8i^
zw11NhKo!rrY9AK(JEQYGI2`m>@q@3mJdxlUu=|7t(O>Y#u^CY}!3mX;A=~{@-8shz
zw-+Z&yG5=2nd5}(nMwDlzxR9plm94SNG)z~7}9HrqI3<zw%dxUTpSfsyD`^FbMCf=
z+i<IRiW;|#Qi()$l5xzKVAQyoA<cq}6Z{;v;Gz-t0cfPv=f<JMhTDo5gUtzYD9hTd
zd*x$d!~GmV4l3>g3aBLL$%hsj?kld=m=i85u6hM~Rq2||2w~}(c5~(>>(VtZH$EWl
z>6L_u4fms?0#AN8O2U@y%@G*VFA;nZvYo(Wfn7%{4dN0hgNpz3?nnd{lAv1Yttooh
zB~k1w^#iCQkaq0q7v&pNY7+Jx5|;2aLh@#O4G)9DQJJ3Fmw-vV8O#xnEk`QVYq5@q
zh_2$33ZMk79R1Ng_n+<!4b@}dtJqaOakoULJK%gYzJ&S)$ror#blX}0Iu92af2kd)
zjepfvd1idKrgPH%pzFE>b3`csoSn2k+=WZpQX5}NFOR48QHqvceL*GRX+`b{7dvgz
zZ$eqtc1vZr+D!?y)Em*4X7)zj_u?15_t|$nzpyz{&WM7cQmI373RU^Fqn4%+&)lNf
z8_~Nr<rZOB*aTo%+2nu!XMXXQ{am1ynv-*Hq-5wyQWVOdt<0b|;(~|O4kZziBHQ#z
zIC9nvoEkc&77UzVw5#)oIq8P4*>}h&g=Vi7%t_HmVIq~;=J9e;w4^XWPr7OasgnH1
zM79yB-nP&q*P}$T5f$pKU{#MoZ6s(0F4RHcUppGSwJIrEl8q>-w4P9xJZrnv5?Ui8
z&04W<{SW-Q7|fVIT1J#QOu(v<N|tHH#)8VG+38mLmeY#}^K(PLR*_^=E$~)^?LA&K
z5M5TLPV#RlPM7V7^I@NWD}<>eFmVZvsT37yf8^+@Dmn<j^Ntgi?KnPM4FDmrKYWvm
zTn+JBV>xwA-BjPl=Btb6^S}I!U-#1c&*7-J+Dd<j(ZZ%t92?OpJlg``x~4}?z;$hO
z!Xa4Ur~$b4nL`uyf<UQLcoYM~tt35G6c^vY%nr&&4n06ITH&Z(Iss(Xk~*<s#!;cc
zbiCjW_^4JngkZ)|4apQ7wKqUqV=z0(BU*eUp|tC^8&&qPWQC(1Hb;QG#AZPZbs;+H
zT2KA^K0>PBN0mjT7+tkB8cp{x)n^+yrtk_2J*LMkzL@`Q7L`r^&i@X{#J^Fh-zJlR
z(OKbLzrstvXnKngs)#!DP-O;28EKU%!p5mrGW(-rYoGnnG!^&`gd(c2=1?R>i>C)S
zUw0^S{MkS7o4)T38|A~8t<6Tc1P(*CHXCKQ3knYo@qTBc468_fW%gk0d#*?}%10Di
zs;LErSsPHR&os-6oaSB!Eg`G|dqPe`S}0N^F+5qw5MY%1G|&Q!nxQw08OhC#`Ov)P
z<e)`OX_#*@f@*rhcI&ov6*Z%R!)nSWH?aP<`8q9stJEu=<1BiTPWGJJyf*5Ui*lQD
zs`KhS58wN+CdZvE`m--d#*eA#_r7@b@a>B<4@a}1g@HQD$TTM@@2p97`ZR1>2YE!z
z2|OqpELs#o#kw=R?_D2NdH8?c_$8nD38mvgyXG8Rv>rMtLTkU)o<rjNBng)}Km-?y
zpbiXTpY|T3C}>?mkPf;F2A>)R3$=m_vt4kv@%HQ8)=<b;krv$rqy2ifL-A03Xj=3l
zSlX}QEHI6i&yg101r0NVzC(ReD@cp(g3*30X1H$cl`y+VmiFsiCyi;*+G`fwC8GfL
zKncJ7`rvljz9I}si|&#rRkQYM^aHl1DWH){GGLeX>mz56G{0Q%B$q7h*T6QURXSfI
zDd2I*Xus}Mvie2&29;DNvI%&anyXaZq0)Z6>`=YhNLIHf+OL<=N?#p4-=k>121S6T
zj_oJldP+r*|DtHW21rf+EY>{hA<=_ry(8UTSr5l)2T2_!M|GX0PWPUkqgDBBo|B>r
z4-I=#;Txq3ab4+u5t=vkR&)FHleAxB7-Lcf7}<cUE95!|131B<-ej*f3fnKv(b~Il
zChDe%%;TDFs~m~PE%O<zgP}ZcXKeJV1{j%ki{>2d&E#l*=y&hG@EZdd(eiPx`nF<n
zGL-D3ENBaQeN95zSFk~Jqg*gxjP=nfkVBAeR1rj*h?F_)mLTF6iyne>qlzFX$Fw{g
z<RfbOLy%V51&<gZhWaiL=wQ~kdJ`c?Bkh8yyk><J@D5{=In`N$=2EcaOTu6&)Q?$^
zCfZlrBaJMjWyq}bx?jYNa>?Bh><dz%y<%F2E?k_XWGjlK@(=*-KslP`HIgJXr%P_T
z1Nv2Qnn(ayuEZtJntipf{nk;nuVMNVl5rgzVM92HV<`Ujab@(>>y{V?MC>oFp5)3o
z(s2ex&T+`_{cf_iX;U(bk<68%@5{}!J+QNxPT=?*%U?{pMYEZ{Av67nANq<<32Gm`
z8LgQ<6r;LX8+(jfg4#?MTL~TtD-{aC%1nDd(-w6K3c812Hq+aVIdvK#%$8~Gc{bBw
z0Wox`70hNDB?2VNU^5fuJ~pP3p|qWd6B<EqgXxovU^dfmUC8htf;P}6eoSSijb|Q`
zTcjnLB`Y(H@7cXZ$-a*uXh%8CVy1z1)TaNenWjxlCb*h#8gY5V@S9Rj75{cak@#$;
z--e+n00(O3vKA{~jqye0*{Hd=>E5hcGt(2Q7-%>AjXvWAv?hkVtN+74_3&SqK)(a!
z!w3QNJ4U?jWsf!US)oV+Xgp58vpSM)5i1_oHW)Wnq<XZir}isU*Xf~;&=>UkLy+px
z1q+wjS^AxgbEzTG+g5v0J^G5EAlBi%7$ml91*slg@UTI2CtV`@4Kl~|If{C8NsR2J
zY9?9D`b?$iieVrm4`|74D{LG3ot+MqMulxNn+&jVt^+=<t-TkmM#tWov|fImN7S21
zBk*CVwAT5m3mEK@288n$IW2*Gb$&A1sTe9L?9kCFY%!FFIz_#QGF7=ti^FuUTg^3l
zDCK`=`kk46N3y%jA6`o$a|TIg`W;J_KfFo}MK9c5_1F*pt)Kk+|8an%Y8pJ(Tx<wt
z%D~pqy^v0_%Eo5{hOx9rO#*=2cV4OO#zv_ze8tSg*Yg^(dw~qIwX9;CZrgT}-3!A$
zg}Tm=$~ZKmFF!O~B*seQ1jnd{#u>=&#U2!R!g#^1n^rKp7u(f_gg!j<PV$(QG0w!Q
z0DOrJ<Je1&sp2G?MwU(o-@tx1zRlT~%FVXe+z69uwu|`pI;09iovi#F>|z-KKIfwD
z%ObKVT`Wyew?DOyS=4R2p9zQN+~st{?b`cGr`@79V!f^OGLQReX2tt2zV|D?@abT?
z&_uGEWv`0aD(?fqmz^aL?JLV&7C|ooL(!PTl~F#UX4wV7Vhh?=82aO8->bu-S$4rx
z0*0KV7|}R1&9V!Y8I*?|g7ikPDll40l#D}nWTYA^0rMf9tF;kP#i41I{m`gG;;<sl
zz*re+U<lSFU@Ht77fDQNQXnBovW3vs-0lt>R>U=NshYE_O2AN1bx=CM<pwB4E?Kgu
z-`9-E7R#k1jPu=TO27zJ%h4T_N#~jG4{i#OSZ0FJ5!X9<zCmb|C2b)jw}29=^E~Tl
zgbxvg=i+=!m~6p){XgAjI*c2h$<?XmF<sJ0d2OpA$QYu$Xq=yBlczgVUF4MMjZ$6Q
zC$fxpVXln2dLR{3TJZd;C@?p*b;bdly^HA%;3e!8+kfM&zv0_oR|?FvW64vl-oKRi
zFugL_zB2Ek2zo$`u4}W2AxO~L1+CtfEWS%i;)WotmkaLE`YhNw%Eqn0JOpXHToAci
zc7h3erDZ8Xkluw0BKcqiPaV{W*zFKx(C>oQTZXJBpbT{dTyVNMeDnZZ^~E@1OGEE4
zTaO-0=Ba<sr;xxu8CN})_Fn3|_!Yi)+v<NCTPB<So&Oz@iGQP1zneNpc%ONi-j`ji
zh4tQ{yMIkeX8Ln}A>scJ6mdz6r$kLRJrGcfjP<!MKnA7>AL2~CHCZ_pYYMX{AB;5!
z!kVKKvU15Iis}@y0wPm4-{Cyd<GVmkhNJ;_G?1q09X&n23uBkV(YvLk<Ndc!e~7NC
zhX`BkLv%IyA%bL!;P9V(;K9&CgyY@hL-d>{RUF3g?K#Qvq)C>w%qa*?6P;9+NL+N5
zWpJXP9v!2{Vh4^gs}lNB&7Me9WIGDwHXRGtV}F#+GekjHfxu(4y!R#u;C)L6<aXMB
zx4=u4_D4Z{#kaN*m7n(KcG_P?uciIBi%msBvk^;>_UCr&j|-MJg??>Y>i+a<l}&uR
zIbb|-2aK6RAuzTLg|5E2!M6Z^(T25@O8?#_u0vUBBkIyOt4e}*JbdRn>n^xp&n7BX
z-j*Wh%w*@}O6y&}HBQ~jQnathDd0-IW1QNxc&6M{lVq&)D~GIE(<I3e-_;3_(-ndv
zxe=bI5aPzFsRwbX{Rq-wSS0<j<wnHZt~mLw&{dssSBB-v2@hS`gmv-4YG1{)yFzK}
zcGO*Yi|&nbOEC3RP6rn|r={-5U13?wpa1T+|K(qbyp_fiJ%FrRqahN?3>g}!yvWE3
zodAbmFa$#DXO71$c++d}iQ38qk!3mx{sYfb@>Y4p48XvpF#raY>vTA?V8&m7IDtoi
z-p>+iHi8)-Ln4e6=%_=4msAT*aw^1;6CecMXH{qS;L&=3>+FP6=>P10!#|8qeiSR$
zplJE0@LV07$tQO8xHFSlKcc*g&pb`_?(t?xP@?m3Y<%wm#1Vu9Vb|73el|};1`ijg
zzrlUueZly}_D)Mq&kt@96+t;L%Qm+<gNF+gh$<k7e%Jn}-Sp%xP;p6^5o>PNLLC^-
z*@aI9Ji`1`VDl!P3M|_`75t}gMf*<$T+zpUDxN#}Sj-a=S;twqX=iA0rK&7>P3-_)
zaXXXO2RE|z`oOIR-w2s+D6h@Ex2)l&!S70Lq6CG>P2+YPu;-@2^}1CzRVD<-`ETH+
zRznmv5(1|4=XRVwXod48s1CC;s8`FKL3EHN8-bQl@FMPl<rkY}ZW)|VECq)*aMJtq
z;GqW!a7u~O2b=8U(1j>^wdWO{@H{84KI|E}gBGi2IeG$r<y)3N{<njQRe5pU6VNSO
z_w|~^>?;(efPha_uYKiU7OX0`6Oesk76cF04*FlUc?e1zV}fYMCq{*unDs{LO@bx7
zCWc4;h2*ZJaX(6JZWfgL;)h0c2z(0)u4SZR7L=FC1h*Z?O=)rVTQu@DKSsbT6NK$L
z2>%hC3^h3MV<h_UL$5oGX5^tUe76-;u%;}zBxxh;A_`^OV~e%(OTJyRE|!u2^O!1&
zpEKPu(RE1vK~$R-pQ52L(o9cICE3695i$LukDn3vP<N%ZRJ2p!<-{lVh5T=PGxUj4
z2}koc%Kzeho(ov6zknsQVWp8yMcF7!v<Ep<Ue&gq6;jn;KVf;Qu!+l4G%rt$_r_0u
z|F8K+5&P(Q_B0*>6(|X4yv@p7$awb!0JS%|8im0OeY~ey9N;f>v{nsFxDeZCD~4)Z
z?GP>1D`t%c70cmM)ObKp8o{jbjzI5K5QH=BIcANw-E`21q8foJH!Eh12kqs044l%9
zV5RY_UXZ-V+A@)^Po?otX26yJXgr){Z%u)x?FwyI;FT3gB>&sflyWS;=*X!k*BxpV
zd)HFoX}^z0tg07tB`k7ro@e!~sym~;)(wjVLeH)vqcL6Q>RK)Md<RIdJutApxo=vA
zV}+jw#BZF_S_fLB9pEU!kqF0D8mJQfdEE_FPde`cv*I%eHwa1Am17G}z4GK`I~-ea
zF4LyGxbDf`=k$(U@<k`8NBWr))gwi-dc3XrGak1fr5~&GCZ?m#7|7Ow-PSGQabL|P
zf}it&M}PAt&#}ZIfzEOAh?8Nx+B&_2BLR|1P^nrZgRKxCWdt-z1Tm3O2~$Flio&+g
zv%Dp<3Jwhm)|Sep=oYvcDB5Va5Tsf8e5^=i;w$dKV5d+ihEb}!5P}KiLrBI#I;;r9
zRPw|DifNMCSHvh@Wo_RgEu(%#te8<g6zoI8$&&0y5Pu;_<`O~hq-YpNKv%thBuOtI
zj^62z&f^i~gjh2e-6mS>2ahV7O$1LZF0;k<DZ_aFrBT2F2rk@a_;@82z6n`8rt!bc
z*RttHLcCNyzNb_m_(7f13`GmO%!TGF``@7>#J^E072$c*<bUzBivMKSc(GdpzvX>{
z^JaX#>29P4doc<PXMVi}_=(|B^MUP1@tf}(j-DRv8b#)_5O)}o>DgXvQEw}qAt-<C
z)$+mxDNoG;&ZQ&1M|lDB!;O-;kkm=7d=2ob))|AEUvGig2I@8wZ&r^zu9D2m@at&}
z#8?v=qbHf3Zs6i5Pc~e<fApk#7TeX5L)^CT#k8y=e$lk|00?q^53p%Zzf?`g^M`*+
zaJKyu{8<0e-vh`!;d_7;{5{~u<~<;oedR~JhWB6u;f9+AfH(Y7pn_-Sm`S~5+5wJb
zHI1dM9FXns{FwMhpH(2dNPkz;Sk92@5mC+KXHdDomn<A<2vm-!Y^USneD~@2M2sth
z`%EE1<JSUZt?Lr-b4Ke5I-GAtxB&=vRUiO?>ilIp&c9nPx?G5X0)ehVxFJyQ&+W8-
zx9AABIl|tp7G3rGI{`2Qp_c8mKM?sG2y~l8R|NuH69~w5>@S#gYzp9=${nh>;LUI9
zlA^qKA6s}heK-U+ajnZHmg*{m8zmiGg>a7^tcp1ba9y(80p;9Hg!}cs<KO?#TNB<R
zwDJ9N&5>z3^4=KRd>UWwJ(^yeW_XVaj!n~%C&2~BhU5s|V}hf^{qlI2Aj>|b(E%Tf
z>o(3&@E#XL=?$v)P-%DA*i^C*6uid;(QpZu5XE~#L&@d6^piYbPN_*YL&?utBRVH)
zQTh!L@tvXAUIHpRgd=9=N0-S!w)jBqB>CTPjN+4j=YQjy_~hUD-}q+e6QvSl?chmq
zy6z);y~?Uf$>);lR!}Qc58R|`@)m$JWVrGU^59fEWL*cBsGd+RAV%I_9+R{`x07<h
ztDbc=VTwvup95`hzS+34SD~_XXC{wHx<5`wFHe9bfMAeWk|%O|4>Ld03yh+(^z8*R
zJ@xrM6RppH%Jh=^Ywx)<)ph0;&49}ETXacR#8>@;Z~mk=hMCm{SWl~1J1pMGPV>W{
zLNMl3`^pl@F1TBDtm`6y5(v~J^b0}yVJ^4_S`TCaf*63KW#u7AGwXtfZVARV3L^F*
z`~m&7k*qZiO>OCdAZbvn0;%a9X9CS^5nQq09@g^!AVjg8Sdsd&2<|(QyFw7ZzCAR}
zY!QS8hMDEu(WBAJ#fk!`xa1zy{+g*l(}-66yX09js}?hdd{ya^M|vveG2S$q1KkH)
z?QR&=4kJr!A1*~Md>#KgWM1Rn%+R`l9mr11hW7dm?d7>)dsNS8>e-l1*VNZ;OsCzV
z*_ckhMVA=U@BirM9bX&S3H5AF$E=9T6iAKeExEj~csuZ)!t{X*$a?-mP(Td}-pT~n
z-D=tE5F3tJ3srioI2^OCefD$+4#uo|R2Hkj55d8hbq_Lz_E!(btR49aY4Br3tx@R3
zti4gOXN@UMj#@o7oY^M_P)qNkOL**Bde3|Z2T++)&_sgo;xR1Nf{@rcH-`Q<3}Afn
z@BD9k6QBG${~O;7eWKJ@6bndR#zkA81O`af`s1MkWT1{&y8Hsgxg;p>1-u94!%|`X
zB$*!M1rk?i9R#Y$_5n@#B+2w7FA7x@D$%9Lue$l^QBGZv`=u1eRFdggUhL57D6k5X
z4ZTjw^e`hGf$7ZR#}yYcoo9NQkvb4(lMS=0{+j7=MnYgskB^eerXzIvLkj%d9#Vko
z8Rh5Al_w4oUM5&Sq=fb@LB)^hA;m8Kkn-bQct}SYB!MgdstA}@;eZ5vpt8GyiF=6v
zRAN`St^nV;K!uN2fD@WHNCy<0Js(gWoxob8m^tm=<`sbTUX)kB`DR>u4IsY4YLF<X
zez0t(<MXYK&9;zS`xn#C>7^OkL;&&}6;>s{ce;KJ5WbPbL0muN1%R{X1Ih~(*AF}7
z6Yk{|0Df1$|6E={8Zy)Ikyog&DkKW3>nGdQ1<R+Sk5|E}^lFt&e2b(7U|DUD#J9*v
zluf2Ma4Wq*vcHM*C`%##kTzf8ApS>w>F@mL1Rm#!=)x9PP(gzu84zkLAfpSTFhoWd
zMq!AIE{sw)W^`c`uiz8zPyl?j(=bGZE{wqt6}o^@`w<+1kGmgy1c!h>KuuaCH_NSH
z8;9*misUo?A^@&SZc*@VxC#tub9ba@(<P6)jj}HxX=gr$eZ@kC>i(d%)bNs}%>r=A
zv({5RF}IuU<mGNJKqhKD-M_nl$5~gO2$`s`C&>Hh@sb?Bz7mlE5M^Ie&;z_j1!Pjl
zQgD$JdTVl#y|@VNR}&o?7|8`#v~)lP_`?Foc4*+91xN4JMHU>{mYnV2e80+qY5FuB
zpKp8|UrJTdrr4{Zr*XAPJCbB8(#wr(Mf#Q<^VMdh)JJOZ?NOicmb*JeXWA{A@s^vx
zTVDU`-}aTC9C(YCYkBg*inpK$*R+aKQvwcTdJR$LMQ5uBb{%WXSi3oWW-vO)>J@3e
ze8s2+1=!jin##rn_sDHXktZ_$y+Sy;7ez453|_ZYc+@~H2y2b9QdSbJ$ZlDmBi#!Z
z+<ONFD(sMwseAUTYEV!^TO}%H2Dg={0wVaD__Z2HV`76y=1ZP?zA;E+qdAr%iuE)&
zw?&$oMwH&6d4<9G*_pwpD?Lc1BDo#g3cy^`$O(H)E}@IPLls{8+w%?DA#bB=f;p*o
zm8v_m!v*Dq#{i)owSkz}a+S1Jn7@c+ko7IfHH}Ygfgvah0w_D%>RQR!`<ftrLiq-d
zj+9mXi_SVs0VagAr2dgX4g>y@es9^Pf;7}PLqQo%VGO#6_axd@MO$e-iSpH>-3zHH
z$2;eBFMK5!m<m2N*`=3wm=guhng7F(@p)!2xqqrK`b>GF#LeMEsmoWKC~OM8Ed=|k
z>17@ExX+%^-Sv#7-J;nux*5;tb3Wsff9#9Sdq(WToa7l<pA0;sP22uL;Kj`kFs=?}
z5-!x{a9y6!27V3<+BJGc-g$GzGeYykQb-cf`(ll{_B-jD5PdB_yE_=2(H2v;T0ero
zOOZV6kt?Bn-lDU(l_c=ul4$wm_z`#qShBt5x!dEZ9WJ)islbQoOXl0N-)zh;+xtMt
z^oz3R3im<DNa1ZsuWseRRi4oXwLa>Xp)sWOVzOt1ZVyGg@Qn0`sVdKCzuS?xf>#Ni
zS$mag@724bmR*mUs`8A`oealK{71A@HRqYTJxFQ9+9Y^|;GF1rTh%?n$TDHMz~z$U
z&ILUj*XwPm!_zsVP<FZ0Gs0IqV*XFRaJMV`rg16=-OJssw3pKvJu<he{J=;1VFHQB
zR#LQ|qr{aiZWo}NDj5POY8(m@z$IZ%s2;7rE+8@$`Y+qzrzn49+F$4v6Lxhb`_iUc
z=r&bd4G^e~FWc$(INv-kl3gYhcGgSRFp`b^h1Mu-^4*Rc1CTX5-j^2unX;JOuG#I%
z+>z1~E)<hBnAzubq`UWOZcqNy_kP@$ydfr-C_3nXlwpOrnwAZSjQi~?_!WGOU2wNv
zaj+PxX+bO02<Cj(9_cW66%a(RL%U+mr;LsxrB(MsAJRBdE3b%i%=s?l3sBMlZE`ql
zjUPG$6C@6gP|!8zLDBi!{Ft2Yg5#)pq&@0N6f}z!t9;i1<35xUicQkZk^)Hi&p|?v
znM2n64&<h&ii@O<sb<md27XO_bE<q7SX@v(j5UYuPL=P%xKeUxQ<A5V*E|c#s9_(g
z`c;Fxwjw4=Vd-vO`Edr1QDN|v*oT+74%p3p`&T&M>i(ITr9=#SN?~z3?EVy%lGJdM
zUTi>J(mCthh;z=0b<KtB*%*fX5glh2KFuKf($%}3dv`Dozw{8>&%XWLK|d_k@}7!0
zE8u{X@J>&-MYO-J>dts^Gct2C$jl2r_;)}2`aousPR3mXC%_^f0&L599<u{NY7>OX
zhG_}@E9+}@@-0tp?KcQo8$nSbCK#lVm>RB`4m&G&Cv*|~91S91ScX|+&5G0{E(pws
zykBf`_b545lrYQ=eL!kO!hUc;qQSNPF?11pMVkRfE=T|_ji9&)E@|T{aFW|YUs5W-
z?BD=}6mtOCHQ<!`;F7cQHOufYcP8>R*PcedmMbJ5<(GqDQZrHU%PStU?S4Zp3X;Md
zr7@^lGvA-Wv;vAYX<w=&^9?E;Q8-U=Wh%*hhqQthM?YX@UhjJ5TVy4&I8S(-?M;`Q
zm0u;MzXTV;1J^u9lbs%QZPHYkLhzDkEdqj=ntwX&?*t?fpH%wN;vL-!P>6kKeX70p
zR_$|dRUFkI5zj!`-wcV&1+h<55L*{?f_peA18@)H>LQ$yQg_tvG@IBL>g;m-#NLfF
zmUnKTnr?Zoy@6`lEt;L9>9^>T2C85Akze~4|IjZhGukvLcju^t=wnUB89zbpfW0g*
zR@XI{{m_9cN+S6K=c(sNzV1doAcY~>n?1;?H7jDL4=*Z(SxDZD)a+*bWVB>A<0t#k
zie09y{6daY?4C8zv`@+MmbR3E-l-zW5B{H;#b`kp>63C%Z%2kG>TbhS+V_Qk3e8xF
zfGXtTlb?kDjc<lNQR)o&8f}MNRZL9V;L*TShbKU0%Vu~l*H$lfaC4<t2hN}M2E{{?
zv8DxD2~d2^CwX%?YN=3?Oi<tgkJD06DRB286<a@{xwaaT;+6yHTB2&Gl}upZVv7VM
z9_B5Pu6<83J<SWuJ4ObQI2#~|7KoG-pI;@THH749eQaM4aU_f63cZE=?Z~k<73dc}
zrK8PLyvuU;{h{Drd9<cAO1Y>%rP!<gl;RBBk>tn!pS^bv+AYoMgOCIQ2B8=SgsN0H
zl`6ob^O^U42_%r|fg&&va%KXIk~!(2yP;+J>%(+23^xm~FhVXMLRqCr0FnEsg^~=J
zL?eGFnvAFs3PO2-NCN7l5F&(_i2i=-@~rhdd%t_{_v`oj`t+F%)pOqc?X{o1-*@l5
zF2A+bZ-rBM>bc7o(<c*uqa>cvA!@YQV|-a2W1G{PTc|GBLIrBH1jB<G4aNS+0mmk_
zpZnwNw}OI=Ss(1U${9@->g9~5rc1r<tU}5zPL0-Gu$u^j8J1KS!3z0*&5KPNa8@Ca
zx&c4<)jr_t-sHPN@4Fzc<=1w2G>Gl`<q88{-(BG$mZ0Ph%MJLiopYQz^zj@!_lqC&
z^Z)d(#;_SR%&k{mQj6%v?ilp!l}Bn3v_e{$9==R+WzaE=_f?&qZfcQ`m>q_HJ!5!W
z+kCTFF*!0wJ$l0MxCC8s?HnaP=82Nq1M$M5%`9fGyrlLHi>=Wb#HlN<9L@3)5FGX!
zYXu0wDyz9gMU&<#!Q)(eThsj=dIQCqQP(6ggu1;hzIb>#xo)T&o_!EKwD>q(52yGy
zx8mLSTi^bR)Zk1JbY6F!L?S6u$X7Hfgb;ne{Dy(8baP$hk@c;=lA)e2J3hu<nUUqG
zxAS}ij*nS==Qn=V6CYfVg}qdkIW>!Jfyz<Cdwg-Qj_IpsyR0&!2=2fMMqq!p_Cm@K
zqz)^BV4z1pgi1yXgwlX01gXPZ@VMEsJP|7{QAr`HDFms*T=0OAhZ168aHjF6hah#B
z3xYI8vnjj98l%Rk>_U(_%mo2JVBS1}Hk3Y?Y6?N>up)>)6e%<X$F>Yx$t#Kub4ix}
zinc=xY~>@dq*P|OB+6meqU|u7#%RTpB%L^yL<0~jO-N?C+N$zSl6-t!5@T~%g^Vw>
zW*V*j=8|@CNs#p~aUZcJ7FRqct(or+neU_%8Pu#skeVd(4ccpqmYiJ@N!72E?~t{C
z<LqFu?R=wri;!$V*pF|7{EMWqV$Df5LDly3wjR;_a!@Cy5)o$-vROBJ#5VWmczLNA
zj;cTB+^(K%h>C&hyPoSq7{7$v^dwQ><W()gh&rn7mJXq5Q-}(r;;ea+XgNmVYN{)h
zI?q&BI?|JjGS!Ohn?2I!)U~1x6?#3LA4MMLu#!kgbyyAkc|5GTk4<otoNqPkO&U?_
zbjY(>uLFjDqeN5SdSPVwKCAJUe%llO=*?j@)cmxbZ?I$HcWs@;?D>{@KFrGCJkYA}
zFMGaG&j(f3u9!XFL8rU&d`mrFkJFt!-%`(qG_;Y9g`b)|-%`(aM0HyW^<~d@&^xa@
z-$D1hs^=T@&ojmfAku2@`W*C(v0{G8kcXac&wz*C@K+pRC?$kBEMY*JJ1)gp_T%F0
zTP6S2ijMl(5GDebL|A0RAz<oiAC8Q%!i&Lo5;PX`lIv{6sMSzae!F#ttv)v!;hFJ?
z!G#{xPobgTqAv^In2lzWSJrJv0Lot)5@y43dBYKvh?0zVy~FG~%t`_O>djYuWbz%a
zdGTFe88zd|IOmax@z0?wi2Za74|WUd#|mmX3Eh;H4<QWt#YAwku~xkhq!RNLV=Mti
zsH++YLE0D>#AF_}{llMy(bAwO1ZiVj5ZNrY6QU|>0scHub|FX`Qv?yZh&PJ_7_y{%
zkV23)#s!g#XMs1#^N!7z8C77#K~x>U1Z8qq%U~SeYjx9ECH3fpf!)>Om1m1YPx6S7
zz4YcOJNLDBt>@Lt<u_Lmo0Le-t?MTL7ZEG1u>F)*Fz3$Jh+4DeHy0~hB#&#_7lf=f
zM=akRvBEBiMmhFoGAcn)VY{XP@cLAOtr1bH+(@{(owKLs7sI1$I2qH}9k#~w0AC@-
zB&#IjET@jJ3&U5JOs8Kb-WisnG0uVuR$b?rkC9{$<4$AK3en_NV)KHJ5fg+D1*7xD
z^J9ecV)Ynt+k&ebJw^k0LwFyUQV}r&VxE~e3U5}bx~Ce9sHh>1YGXF-EzB{Wyr{8U
zn`lHOJ~V$iV61s?!(>_9FdEEiB+|%8C@?wVWl6__rJ*9u8l!%cX32Cs+6@P1NMW#1
z{{8TS<xTNv1DcfiTd|3~;FWaO;XWHJ0gi~?AuX4(M5-D>RuRLhTo`42$}w3Si{ZV(
zPZ{UMoGLs69{N^ZNJ$r!R8wH23}TClE`r_MRV6ohb;ht$R?l)oN5AFXd;iy`Kd7Li
zS_J2Du9d`L@Shi@ql}yCKGzeA4!5%B7_JRI-L}?TRJhkiZ@|za&I)*f+WKhmK}>K9
z#SM=E31P&sjHB|Y#RoCLR6>s1+6amd;wxfKA8Z1g6_io8*C;-S2}T9^erb~j?FVrS
zTLis;9CNVSpGPgMOZun^RN_mjEPorduzr<7t<0Ah4e{;wmN?gMP84QCvUB!)ccL&G
zY^AitarRMbs2)pSR7j(vb}I5rVkomUQh`pANmuRbKMQXsKIH}ZIrhXSU9m6j(@QwB
z`r2P9{}<^dOkP6mQkgoZHZrMb3GS1LP@|a+*bsTl2Q)@NYM{cV09vc~kD>NPkV@91
zrQ;=`6X!phj1kwH#|)yr;w<1(9J06^{drt_V%Af7+tGT4UpLLggD{%m&xaN5-3X0%
z*<{qT<@;d8zx@1v_<w(1gnSz4y1I3o$FwM$dda(1BSL(kUC^eJLJ-ZrtzgEjc4#-2
z*bssp-qj2%f~bSEt335lST%A9V}=zA{)n!Xy+!~Ywbx~?k@QF0*+QQgRs==64p2R8
z_eP2qEAkcbZ;ebov@BI4C~4|6xv{gj<R0F?q=VR~+n&3E74ZWpf$m{(*tVEv1uKF-
zMbkkCWM(?RUcNg=kmE}RkjlQZX1+Zj%VY5*z7ecqTb+<gH*urUTqDK{6O1&V@gHfj
z3RguKDIrmwsy9u8JEQ!Bphk~-bh1EU31}g@NFHKSNAl+A=909y(BvtbAWg)G6^n<B
zoq3Z+EDtoT{M`P84*g=+0p}`qa#mcqtDOlfq^(zaXho#B?OA3z$ptS_q`k=RxHxg8
z{bgixlkrn;fAGxp(+~2`f7x3^qx?AYkbL^RAAikzW2&F#R~=KfY%98H_b5q$y+IFc
zr$~b)%mojy*)TV(c3Bv~nC(IcL<{DE$1S+XD2Oq45>!Q*!kgYm2g3zRZOV3!pi+FB
zSdk7!5k&K-)TVI$yS530AT^B(Vsr~y6Nm<u@$?}`2crmX&<U-AJImIFARP=BL?;9I
z%mg*ucLb|ymp%HC#T@U}$lNyHMjQ-Z6D=1k2O?&<lrHT21Brv-l7K8pO$*F&$tp;C
ze{q?Tw{*!Zz*WIP!DeeEh%R}yGf?6wcLb7GU?i_TK7On)WO|sEQO*h~ZxGIN@J;n@
z>M{_w`Q0**Ihwm<G<Toz8XnwIoj=vA+hcpeSO$jHl!1uNp3VB5aEDC0MQzM`?~ZQK
z<8Ibp`=fvAH(ofytRq+4SdPl9mulA)!`|A&WV4RSRm9D-|A?ep!EDw+X-Y0o+W(co
z0d9)4J=V71aadgpU`xwJhF~`9QAmo}N{VfSU^eSeHVmGb<J)vfcPq2LU$8iof-B@X
z>u+6|b^Lm4<P=G(aEfzRW<AO?cY7Nt?2>1jV70tevO4D&&k4pYDvvHhwTk`K`%~=o
z6q!EDYZkZE&$uN9j=H{D=6Xc6#2FUlgP3vDHW`V!|0=g6$+!A|jDvP?SQ!$NPk75X
zEUq`_)`-Jaxjk)Mi)5f|C*YKCaN3lg$8psAC~gK%>TG#mxPBn;fkxnuML=x|WyCp}
zE${6RQ+(@J{MzTfE-bI2tv5#O2Z4CL(FM950^&1bKZvhq#6FtpK(W;(3lek(TR#BA
zXT*LGh|h@qFb<DbwS4UX9DcGrO_A%6F;#O__re8woEAA3+@4}9r^v*`P<j~3o_pZV
zONt8Ol8h;BYboiVZ*rGCaCa0V&FJ*x1j1}gZ^xK!R)6oi|NAhe$|~>1)aPGdNW|%B
zY<y8&aB#>Pjj0O`4q2lyErLTs)@V#!@Q6l86u<&OM?q9O&4b3&1=$9Ppc8^9K-HQX
z+XV!j#?%D|Cz8>ay5R6YG8$8t92_1dVXR9I3=cy}o%OsfiGo`lGlrbCrPOT~Yj5-2
zK|Qac)0nAeIJQfUnsOSvWPuwqu9kbC+9)h%TBOg6H-bsJ51KwLZG$i5!XEBZ&G$9x
zjbhbn>}nS2H|-@}p6iX?aM60B8za>A=#B(oG_h5q;%wTP&h6o?mZ1@sWw)Moi)Pb)
zJEr~fzu;&7%6CU0@u@i32fm{ov5i4#JUsj@m2C&~0kySLIZhtztj%%qU}tTPlS^e=
zADvB(lOyu(>8#Cha?GGW`>z7e_C2kT*uV9zjG#b6YF*vcscf?m6n!)`Rb|_78!Sz`
zpMAIuwz@l~iE412`SuLA!B+R@bQ|obyP$U0D%!3uUD>9qqbJpacJ)8{!E_ad_h28|
zqx!p5eP#c*aT1!%#%FL$-T#Hp&_d;N-wxmKlp7GTg%tnuy-u5adlMWW)C=ch>-J)u
z>oZJ9n$w8+dpojxYwy_f@pJF_{U80wF^ND8XD1Asr)R4Q_NYbMDs2muxLYMBou_9@
zDJKSia!xYze~y!fgVh`;qu_j=o{a_>Dt9$7oo*So4*qYkLn*=p1y5{MZKP8Qtkh`r
zMYD|wYBn2-)MkC>>Dg~!cVfjaBt!?vBFT1`peFfSPtV58f`rLnNCAqt5=UyY$x+)k
zNexenr{<7%dOUUUnFZ5sQR@QCMOjj0-iu0{Gqd0|pZWR!$7e*yt2d+LMr~|@_7>w3
zwUN{A8f<08ON~8_B_TdxAOtg-9n+~X^_eb8%pTTSYdZ{#AU>RWzwC^h&Q|(RW>W(Q
zW>I!tF&pU^O)b<QETM{P%xHE@raoXcwbWXLU`DfJFg3;-DIySpQk3Q2=D3x?)I`$P
z*0-A^+4Ja<yTyh*!;nN5vUbEK$)q{4lnuLvB9<AJ`HNR*HVV91;0DHv1xn$opJ+5Z
zrFBe3+0g9fuf`vt7C4V@w7(1vcvcxhxVf<X!4GmSzPJjGdZu9huQBSfnfLQ^pG^FX
z65T}gjAp0q+ef0_+Fpl|(6M9Mr$(mJmT%1xBhwH6^#5S@?x5Y%g-)x~#X?#z^IMx$
zYDy3ut%fudK~|}Sdj>j2n3wa4IY9_!RgRN|Y5_4po6f6L>Ka&|2rd@jZ#u0~x5v#|
zD3Okbqjk>sHQrFM=)2#}Ny{&Cys(qsab5IIa4nA>>|f|>@7O$E9dhSA9w4AL=<S6*
zUN7!FsZ<M5K-rd<VrFbLNnI3ta=~RgT<}hBh!k+<^YO{Z$8$R!-=c8RNS}|VY0bxX
zP^cxCiGyhX1*;X~r_!UO?@J3D=ck#CE&Xw#UKB4kjQ81{8q;3wj^>#2U~?Yqx#ype
z*%yy@1LiNk_ftRa%`q}zj}b=Ox0D7<*;<ldO{Y4c5s})9J$|~&f&$wD#Q~~eqyb~K
z2M2a7NDJnI)_?)SWHVXwiquO*5Y&5UmmuBv#wNzcscFGn5WLg8MtHnv0<m_9a*fnf
zE(jVO7%tkx<H6`EVnwPX7sRDutUFiSL$dlZqoz*nPs2YWe@v4>b$fbYNVbWmoZCyu
z=(SDmOI%!Kc50O1z!YTD!FVT|4%n7V{Dp*1#rvfrXOpSmvy+SiOiS)fpyjN1zm&Bl
z9nU8v9WUe9lc@rY%Q>csy%|+A2u$ZW964g#(&fmn6Shtmbk4VR_T1i<mppCxJ}>#{
z|Lyy?uM6No>1f<bc3!9$5RLw+mST%uvI~}aJ5m;FEt~CvGU9XMg2x+?cBz*fEAq+l
z72)!-cN^H{?n0zp<a-lkgfWaVV<tGRj26=fwv5Mxu<2smert7>oQlhpH9O5a1Y7c`
znIu?dZ0scK{Ke^y?=59?{>68DD0Y5vaKnfJ$d{>psh@pwWY;>`ZqSxqtvRYRsk>C~
z)RUCs?*qD04}4ikh*o#%k~Mp!_jb#^hc`|=Sg=r_h6oxuZoIhVS(nNanRJ_E%abyj
z3A=JK{Dv*w_T=A|GM%=3pECWbFZ<>{_~Mu{Jz}5;NEwzGD7)%RY0t_uF(59j-Zxah
z31zyLM%b(YB(O9EumgsPQe#kZt=2M7HVanD6mwF9MGC1@@x!v9gqp6Vj+lz8T6ZOs
z(pgYSAByf-0J4U|wui^o>NAFyq0Tm(4?`uj+z%>K5RZWV0^bIzf0zLg1c>-uL`9Nu
ziwH{ml9e*uuE^O%$pd`RRx;lm49-zXE+~DOXIib9Zx8nKhQmByK#StNe)dtdtE#N=
zRSVLhN^q4Dy>$<g?$3JH{$gP6!#<d|L3CtOFT)C%i^_kvb5Pjza0p*xU#qkGR*t_>
zdKmC+JxlQvv-WL35VXZsgIS=yL4TMIkU=EL^_A`L{a7wsp(Zl-Bp=TNKt7(^>G)>O
z3+WdtS9&FnNtg@SPREPu8`cBaS5RW)^EarG5Fp0!;%TQ%`FX;X#nhI^iV*Qj|HxN-
z+D}GDh`wX3D;r7`^g*o$mf!CqI{Tq3p{Bt)-U{Z|JCrII78#0WMUb`cA(ZN-!>*dO
zFUayuse%frJ$2T;Tg(+Sr3!BJ=G0mHqQ%6ND)<f+LUt9@xUxm3?x9ti6)RUZbn2F)
zs_U;UWutb6Cqk!!j(t%3hE4?=Qb#i1ozST=(;%OHRHstWgrce`{S(x!s`9Z`s5AfU
zc?uZ@B<puYGD4x=tvfs8;CF|En<^WLINFe4E{?fOam<JQ=YRBl?+F}SYoXn+mzDzz
z(-e3cW2%gj$95SG?t+7D9yIJOIN0VvP2hqD4EltFkFctzdxM7E1$WNb4z^*b5y_Ou
zH0&-2(m>@G<i84U+b(<=8g>`77znw@#lk@S5M)?Y1d$!UHG*y&6)hcJ;LMw_-;+34
zB)3RVGf&`4j+$1D6Z*mx-P4c|^_kcR2$GHNi&OOAN2eTR=1q7N^CnC!N3l2IOhdqO
zl%M&W@BO7e648k+)GD#kFxE$fPXE_m=V%z)u8eloUs46yU2u@9rBY&L{y98*`t$MH
zEA5)E7y}mf-O+(riIw?^q)gY$WL#rbVx_NakF-FoaWp#dQ%76bhK#QDHA-~ik}+Lz
z%bLk7IjY1;d{BK*brT?WpYGDXWxPdn7}v@F70qQIUQDHM@~3(JITIODha*vJAS6<!
z5|Bm*;Q^*`7QzFUt(w3Agr`c5>TKFLzih|(1v^Ze5~4;xFmu0Lzxdos&pn1jYNlt-
zv;%WMaG8MMqu=!v-}HN<w6h=S%Sme?Da*KA#*zXO9GNsVnBC4uU!gEXMz%AODkFW>
zNU9d_%1GY<d3VW6V1{Q0WXwn(N<TG$fq^eVvzotk&a+^=J!#gNkU^pw7BUOgNFUHj
z&x3bzJQdQ1bE6JOG98OfeyPf{9F`jnNnz3&lOo%*=evW}NL`afMA}dg(52R*cy<e{
ztx~IxH6<3RzEQqEn37CVR_2({*U+B5x<O8FkDt?OZ`0YUJG5PL9x1Xs+r^=VSSNWL
z>I+1}xo$60ZYO+?j#r_=+3~QybdxZ;qd#ntectIukH!A*Y;sT{KGU6+W)JDTCxx7^
zCgQkj?2F@h2ogS?Znu9^jc!Y`s^hD2{)2IA&c8JCsW=*>D$~{8w`9)wq=o%P{sak{
zlyE@;=$wQLCX*ngl8#3LsN$8CxhDC7`2?l&%RH`hJP^gI_G#6)L$V#mx8uI#=W%xg
zQ&rOYk3LHHIw+`<sfHM1*luFTA=+ThF6y0zly!=Fr^a8?mT!SL#$TWOO~3H&?@GCO
z`QBbkX+vK5EHR;i7RU8o3Z3%UTG2{!7?MI5P618FQOY{dFAGX`$OS#2(l>Kibdn2#
z*%E_~aq5M)ImIMeQAs9vz$x|A7|yJrO$drcGQs0&!*S12Olj=^At(=$2_nhF(Ht-Z
zON_&nU?~LUnQ_700rL<=aES~RqpA>8o8gj+6|1p8d%b~nZY8DA$t3YZa!pEd@^iDM
zBv?%n{NkA2fwS+no6h&qLMLByx#eIjtcl(VjXcwJ=G%jdvzfw}tEB_Ll4QO=$K?Wj
zA3Xa3t5(-Js^3*pc1cC#@2Tjt=yly;!!kNUGIVd&_T|7wD9jwt>uoo~%qb!-c(O~}
zPI|pI6WXlddi_4F-2Nu2THltD80O}xdeZWJs`?v#{OxbL4ya6D$W~Qj=Fc8_0~#2E
zh1xf_R@E!!z9G0?+LXO`#j`E|x&&t(Z}@hHZm;SM*JfBd><JrLPF0NoJB?sg)tEPr
z!X#>7IPI-&%c`2S2tendu;7)q>N2b9Ev72EU^9i>68WYANf8Nkg%wxcuNL3BQq?G+
zXHggw8#J4OYDuzE)fi@gUlNkY5!C8`R0S6Qu;o;W9pT@)`s-@5tn^F`o?FY57v<-0
zNaNEKnOb_da%mn=@F)x@u*dpbg*PYK?=aDRBcn0nYL~&){=}O<^UwTNijf3Z@f?+f
zUQeJInM2Q6zl>5|SicOjRLG5!FGElm8nd7nUIT7yJ`XH3V6L|4tj2AmIv0ud^`cYp
zD~8urM0*1+4Tkr)P-@|PYB9Vn=mFp1*zNJCaJAJMm=ab50N3<R)D?6<e^_fQGvURQ
z=Tq0X8s;Es?KH5x7W}JlHOvEo=fabNrK5w@NsMIQ2ibi_KE%~_or=J!8RURm8@`ZK
zPfIjaU1z>MaEFc(M*=I5$fuIb_vdh62a5biNAw!jkA_xD*>&#0QLR`VT4p-mJ>Q|@
z9)0q>PE<I+kIQSG<#f=btH&^asfobLj<>`!&~rT!99rJM;{SrxvFS^hk+qkDtldW%
z5>Uuv1eSgHYkv7({m9V>Oy6M!RxG`$k((TW9XNMYBCsX$A&p>;z)&8gJrz6j(X~KS
z1;*@fZjD(s9e626V0-ivD`o@=Uh0{QSmg)|e7V|CyxXdh%Yr!q14RT13K#@!v_B;T
zC+VY54!xDfs)3BbCx;;sZpf#+i=Ug_=`45BwJ`wp%<adQZa-GcetQpyehSY4;Y)LJ
zAo|tj1caSXj{~}Mko1lh-u9C6@jd_4JKqr_-=BKtgV?3C^JUh1FAv<ssrshHu`RMT
z2T7L+lAizOCwKq)hkU>X;PsQYPdwUgkhGvD%<dtS>Q!E=QuTQ>6~zab?$V~BD=)l6
zQ)@JOH!Ef*JX-7bXiRSes~~B$Bexy9K{2GX5zH?5;keRd{T><icEubdVT3tDL)H{v
z9DK84N<N~D(I~BuR>w7Dr{37<{r5R+NCqq+1?_Gl88-l>k=|yBKC4cNL{)rnTy6=X
zBJ=@cN@vX+!j^(<tl8<rs)`S`sG1b07Z==ZUCQ?d7sqMzEEL!v^VNK#>IN-9Atpa3
z2H4k;_9RzBLy(J=6!iKX7Uo=AYz1oj@I=&?=_C3InfRpAC?~KFE!{oJ3G`(9e2zGt
zUQjA0@L<RPMbOhQi)is+DkqTidCtza{hvthpEG6^?VKn-Na_4@`#+_jC>@XThKfo>
zsOR$q^XZAS5z7(qUKG|ZmN%SJjv#raSWbT)PdmZ+NUuJe5B$0y3KIN|<plL6j=jqW
zX@+d?5VBQBXT)Kgy^-nM&gT#Z|J>Jo{pbDCSZ@?4vXsTPZw?LZotMoIb~4c$alv93
z`#PCu?OgD%+>uEFF2Rws7t{i_3!O|<S49wMTs3ybrKKT5klu(3VmHh?R|F-ohV&sw
zmE?l^p_V6lBSr9Z$5T5i2epWDksMK=ncp~fH7jSkJU{?fshDW~-ji&%*tHVa^Me|{
zm6=DY4Y{7&pUfrlf4bZy>Hzbq{2V5^?-M0bveeD9{|hrcCri(BGX&O8W?G^KH`6zs
z=5EjRh+4mKb;z{kTdToBzTf+8Ke7GINKz?_sK;N%DGE4BLKb*y2ee3v+Ob{M4O#?)
zQ+<6@_J$DTFX@8F>VOc2d58_NFwKh8crF-B!JrwZf1ioXsqtK}j48n-O12~mQsWiD
z6<KR|YG@tBPi>cVgSy}rh1wE?fV@JubMlJRcrHjnGto}RBg2;Z8YM~PlCT)4%7Y&3
z`yoZ+x#X5{mq;E_df%?eB$Z1V%OeTUmsTomyYPMFX?DpHCqmm=(3>Rl?FsJVqZ2|V
z$$Wndz(r9GJArYXnV?ycRDO1lD6_8@lG`;hU|DjK4p$Z1jlbmY4^5L_pR3V}WypHB
zXmk6JL!>On&nnVCJxnjHF9_??<?%Pu>J9_DHurz$;Oh;y5q$X*-bPy&3chUl+cE*u
zmhUqGpZ2bA{DqGg8#;!B4zktMnkZAyYBm48>S-BaE8wHH>NV?_!l2871x<Lxidn^k
z2|!M;U9mC&s8fc{lytpln5?jC696Nj)(3uaqg?6q+Jy|y63ZrFzXDy6C^rnQP^>P#
zOl1P_9SB&_1SnB`zR1c10Qq96B{{B~gCVV%O#ptDjTsBC-b|7VN&E{zox?^@2`_eN
z@5xFS#v<RI!Lipu-QsHwj}ohH(BLSse246w<GUk3>6pKKi-u=u*Y{|n6SDDZ8H((`
z(x?GaO&&Gpq4}2<LUav~=yN?Vj}3`KbNS`49g3x{AJG~J&6Xu}Oqz!h94K?MGP>4w
z2O;Z!r`<uo(DKj%pnvc!^9A$S$rsG+2n^P^-U^O9u*D}G4}t1<*^c8S*_bw^?#SZ|
z%n>6P?~mtwYym4RaA32EpB9Sw{DKKv{#=VR!-01P2Tr?1tyQ0slb1<Oe!$m#$bb4n
zV{qUWVI&F<k^fpOttci?tPBT&*PmrJ5L~TL`JX|onq>q-!B}sJ7yhW}&W!R^aA2WI
zLI-e5Y?R?ZRCscRC-5`$8nn1!h6A^VCdE5JYnr6f@~JZ%XuP}7fMC;YPfbl}zX9r6
zk8H5RsnL+5j-&rPqgFReWrTfU3K8?AjkRv@5dTm1#{c-A{omLVpVVCUCD~-pb$^`a
zEBe2&Uf(B5rPP{5dC>npO$S~e=I4YhRSYkg7R|p#gHwk|8$eQJOT}MHwydSzYg@?9
z9f;EuKqBrxYf1ofhuN002dlEB5U6aaY=`j_w>fP#wp2{5KXk0?!AOH*OYvL6w4QG~
zZM}1i=Y+x`YIA>mMwV|CKM}o8TKt~xJtv2;wB{rKfi#@6EsQF3HntuW!+603QA23_
z{-|GU!Td^Tp)=P~6F}k`HJFtas<X)fz+}fl682daWu*mf|Fvj0<S?x1HNH$%T6^@T
zN<@VuZin%xR$4pfcUudpl}|0<oPTWueq@)WW<6La8RwSg(j~#c3jIgPBU<CC?>$Ko
ziYlS*C?8ZMor`$sQJdT3GH^ZU|MqFY_}jQXoO2j+4&#}(J@u6~rRqywe6i?7YP|3I
zuD}1^{{3*%@Rmbwc!#TQVX~8t>p+c^b-3Ct%VD@6P->=5VH2SG)L{uhYP_!C+F$4p
zq{eeWFx{xy!E`C1fktJATqE-=)Oaq4St}e<!ZuS(H5C;Rg4B3L5V;9BRU&APUkFm;
z6+u*s>xxUTvotGG<GCOjSTwVYDOA!ml&?`Vo=X}B9y?so<<l%F8qX!S8*ubf<Dp1P
z3fN-JQH`gLSO6}%-A-tw>QH?)IdiKE^-*AkMv70a!TjIY6Q6va{~LSalU`&!WXj?F
z9tUt%c#nd@v*)<zntLyCQr@#qy<MWqr%fZ>IB7d=`92r#Z~ojT?LRP*wpzjL3eW19
zft;e3U-a18^PINJ6rKxWcoH2GC_J>DC>kDuRCpm+NCMCqCvScTQsEUrrD;J+|8mi^
zwyVN(!Qx#agKAlYSdj|P1wpr~r6N!zR;ChyRCq3E6|T@uAZ$_KI0UKiT=2MEvS$v0
zz~NQbhM*|CB8jopqQ>Cjb_fbY;khLEQIPefT1GasZvMZq!c)!BMVYB>M8CM&DSv3R
z4#$$1(rb%AQLm!QSB0i|!Jb7sCp{$-*FlN7N+qMmfNZO|eh6sKDu$g>rJ^COG`6I-
z7{#PgNb3Rcgn*P9&flBcQik>ljV#}%4FBJ+`r{w_(?MUTh-s&S1$E?_zC7-Yu-n1)
zI(@lYuQ~M@c}~8=qT@o4Kcj8Ir$KYDI5!*CmDiaxDnl1MIN={E?u~LUR;;pPd(8gO
z+}uWxvRq0*W#}s+e?_`1R(vcF_~uiOs+sb#s!A}2N;lHDj?POSFLP&CS+bGQMehVl
zbL_0^O3jn0E6O`5LeD@TZD$~7G?1~SRxol>QnK#Pu5>*ke((}?2m`Y_A4jwGMqd1m
zlQk!y<|Nen$K2{K|Hga2^rNHpZ9&^YvjwbF(=q;{*7glCxf;Ul(gy;DV76~jp0k0g
z+!(@a-@;;oCw8zrXSdKnT!2bt8epz`$@Xo(^70&%z_h2P!m=v{b(oZegP3Hsu@3k6
zGSp=*NCX*}4ynVIGAbmSR#9_Ba*fV6k+eXgl1xc?s5&O+V1<D`TL2L!mkdo=(_v@O
z33uy5b9oMGgMdwmWhYB;w(L!L4(6n}53p*gDIuBfPdF-wojRpS*#QYp1@T+!(k!bx
zR9qE6^qn%I>K28svXzd7>i5j|$avsL7`HbjocKK@;p4w2Tr=$sM7DBY|4NhmAB}k;
zrKsE85KA4H=nc^Ams`uDXR9JEUHDv2Nsqd5b?IrJsh!lQ0Yl0^c8Gu5);g4{oYh(<
zoQsk0WOZldwx9$Pk$WUOWjh=d*5V*T6Rmms^J;NePlLQn9Dlq*vvK;uQUKdPB)^T5
z&M(_>eyMFrn|!6w;fj`()dr2t>r|_E?>@FrI8^+mW)nXx)YP51Q4^v+Nk`hNH{zxB
zg=f!y_t!p5Q+zcW=HZ#=#xUv0#!VejBq7EovS_)6VzAhP=F3+cEViI}biwUrYoozI
zZd=zU)GbwmRT)%{WkqC6MTvu9cd(_(ddtbHwU>VAoMohH^c4>qSUQ>o%zo)MN-;NH
zBr#oGQ=uSuYJbQo9SLZTZQFe8p?RC1T+ElRY2z-L;#_sAOazE1l6Ymb%mizz;kI3D
zMaZ|u7@x5wsO=S|bIDN^u6nPV8c@X=YP<xh@<ET9sJvD9kpa#utcCX>X(QZl{TGb@
z^Az9n%0{InB~-O|HAPk5$L5Wu_}a5Oq8>Xz>{RVLZTUX!`^L}OKL4>nub~T_*1o%?
zOcT#~sMfxMd9g4J1b&Uq$gg*q3fMQSs@A>|j=&ybn0l=T8tn_RT&O^t8o++rr&?=Y
z1U9DEI#U7ZM%a(}1Q^Q6qlLO7G&Hmdu+qLmv%DmD@FfR_c{SRXv$?2!jb0=F<hAxi
zwT#xR02J(K^n7;)CxlhncVI-=tbGH;Y|<937M`{58!kFS9UK^`{0n&s*tUH*%GAv_
zA!eVZJUhC11{m)SV4QY~TKhIvxnHKr{X_oNPri6YgoZG=t@qAW$lxZH!>@%0D_gc+
z9|7c5#yV-w0fL2oA7Q(O#jfk-lg2<Tllusm+SOiTuaAHT%?cUf)V)5!altV$^{M-P
zgrjq9#)>C=griS=Ns<H@Z4<>4?jztAYQOghA7Q^RWHqgs?@sX%P{7f-jr~3XAlAm$
zn|*}YM@V28;K7_V1bDZs8tc#rST!R+pa%1}okB9c(rJ?~?qeLG9bWi{@B0T)%cbw_
z=?D$lw^arC{Vsu5pHj`*cTiVm?K{YJl(lcE<?5T;UTNPYD$W@DK&e`rc4(`teT~2v
zLDF&CiA%HgMP-G>NFdX1<I-CD4iP9-5t@|&6Lae_C{@~bkV2`##|8({SK4=Q61}8%
z+)51cG6~vIBu^7FP1fiMN^43-6n%?kgd~|kH-q7Ps$p({))mm0P|!C9VEoVi;0N{7
zEV;1%8#+2Z`9A+Q_Vj(C)Nt9_%z=184n$UzAnl`>5h+_s?>NH2w0C!J0(2&2YxeLz
zddS?b{pi2&p5F{oc)iY6?-ysf4{+<%`+b00*8AXpP>u{vb#39KtoM=J=IC1hZrhd7
z%-e5iO$)A8K;EG(qiBHYq#Ux|A4JEp-VevO2OLY!1PYdiu(6yM8J=~Yz3zco_sC%|
zljmRjxZl`>Tv(qrIH>eKh;dh9@qjco=6h80QVFSMjD)o)tJu*fT~}@)khQEFTQsbF
zh{E7LJ*YzGrl<2|@N?hF@i$5&UE71T!L5WRP;#_@gO*i}S!`W$OQB6FKNR-$yrxtn
zg>kdvgfdPxC55G}G@Y<zbZExsvc&hS@ww838|P<Mo?I|s+<FVr+xMOnzdN75_QTsA
zU;1jvkCv2u(zVHz6X*>-d&yCg8zVMvS@q7q+YG$De^mDU=^y{NZ~x}hx42*8)%G@?
zy=<WhWwS!sM@rYg1Sk-c?XotWB8bjCDRGDSv<z7YQZ=|BN54xo!FK0(S_skwaKSBj
z(j`fSftpsx5Q5YfE(n*xhhBQ17J_sETo8C0X&=#a12e1MM+njdD1u`7MR40WHC+G~
z+~V6vH#um@4vP8tijz#MSfH?!Q9YnGcNOsm$YIqG_J%WI$;yh^05-cXDx+6g_ZE7E
zK#v^-j9!^F=`~DdMSPrOR$xbJ!wbK<!gIvX)CV*G4h(hJG1}pZH(hh^QQRfl2>@#C
z_@hKFaUZ|dYI%ctEqh3BD=wL~e49~WGxZmL?8pB?qL0uf#iEp??gY)$Tk^oNP;G%C
zCRIwh%axJ|re^A8(*mrVH{L63+@xqiTrUimwq2GDa6uqEz=9B$V9L6%xJ6TO-AoOo
zrE@k=c5K*vtSCy!t`Woal%WO{y)r%{uP92%1X2D(0y%a*`<VJzQIwJiZuc7$HL(wH
zy|Elf2nrq*-)40X^@V!gP9wMAQ7(zzLZy#D6M55?KC0+cZ*?oCL=EZAGr4s1AnT&G
zjpviDbcjZF70$Y>5&8M1T2WUW)OeXrXYQuHd~@OX6{_|i-<rnLcW-3*K8^Q1zy4is
zoq)iz;)!qwDpcD-r5cG@(`lN*^2PB#vf?nyS3=;sTs<jl8|LcCNDR;j0Pljp6N<0X
zni+}Nu341@!2{YR+q^?YVv2Uc<X2<Y2(+k1;D_jc8U%iT|EEG?1_^*FBnB=mF&hF2
z=yqtnd4s?&*>TR|TpgpE^VkgnKfo$fBk-G(v_g}0c+%PLHwe6YvG#A)?K1*DBk-sm
zS=>PH|5tza-}=699nZX8UhgBazpUP0BdnGcdpO!Ey|44Rfg&zfGAbbJw5<1!8-Vqy
z{LnhrsL8DNfe;XMkj@1371oxd*889UGD%!TD_JA(Fe0j>y;nckl8nIf&#+^nqphny
zNoK2zx)_W&r`}&IY@9-p%n6d+3gaF`Qs&y_Z*+G$Isl2&(0!442ukR(aecd<cX;eP
zV`8y%z`_5Wi(@Za9BZu!39wHuHUN8RTyVhtmJ#|1%hS*HMA;2g^Vfd=fAV)fHIk!B
z4&oq$v+V@I(c)mxpY1Xb!UaJ=3raIW2B3Cpd5ie&7eN&HiO+|YFspfs6^V?x-~sK%
zhPA?@6lE5Il4ucH1Pm+%Go1LFpbmoiqc#aa-a%jSxZYAhu|pY+$BiIWvkQVkU(ws$
zVcl>Hz3Giq%`S+6m`72#yZzQ$E8^6mnq3kVerz5Gu7S>Lb&6ebvdYtte32B<s}V}=
zs5e(<?t%I?)EgLlmJO^~rS~N6$gpVJs)cuCIDyFrDdC`vt4mzAYLZ>bW0G)GZpZP+
zKO+B`a9EjVnSfvEu}&8(+v#{*Z<T;WJx0|eJCYBTh%J`WpU0bIIj8JKG`#kpj_8=V
zx_|n-#CgE>iHGT>w?B3L;&U%O_rmo9Ap+z>wY+-vnIj5K>?71_(Gbr+cm0m5GRquW
zY0$asb0Wcd*OVFP=Zv&m?SJ3*zYwNWQ8+iH1zdJ=)a?O%!D4-E*GW8og~=?9pd=X$
z0uSIHc#k4Sv7#gyO|T5u20eu5H+2_IElEZb+@f?wat=WO7%S40`j-hRQ?OX*IJOX^
zPISRwGTp4rLWW?4=kL}aPZhdV@DMiNT1=@giR1)lb_4kbpJDs8YdpV@qwd%ONzcGf
zezwt70KS2P5>PbGjjt>8v7)MB_oyNkz20^iq{Dp1C&mf+zt|I>^c*#w-&)-AUd<yd
zSxLn4g;5E_pg3D@Za1bjZHmhqmRINi?+nkM%X{vwyvGzu*rZ+pTUxP9sXh1AU8b+@
zPk!6$9{ims?@_#|t?(V}!^j9$DctRwI$Z%+8@$?#B*%tHLt$vAd|ww_&MTEp`Mxf=
z1VapWtkLos=9UU~dm7d<zBt^kmMeTqPx}q})o7o@8kG-f53R5kLq)kmtyEtXP&uqI
zX^$TDZfjNNIQ9+fI=EOIiyrm_Py?3(N|4B&80FrC-O1jY5k=mKa&I4~d+mpP|5yK5
z=s1nWJ-Tpw35sS9Bnn>~b%HHgMjhvZ0o0WO8{36gpa$wt)FUe{FdS2y=Mb!bIt)6N
zWD90OgV(pl-!f3QLxx+D4ybIh%EVZ)0_rv(9TcDr13cR=BM6K`f2cYV=x@JV2%T?+
z{%W8ODQ3cNP<EYbtbw`}*$YK-VMKy{k!QyYq0t@-psrPHDO{L=x(h0}y9Vl}sxW&G
zMl@vW>ayVRHQ(^_zxLUo!j$RG-8841#LV6;Ugi}jN4taVslr?^h86eBtEUQc!R>L$
zSplHCL{kP!p))ZiRhSE+jFD79$P94ARwGCiRs`2*`W3;R@$OV%E;umVeN>GUS4Tah
zKFpzr@Pq#so>6hMd{>cgWb_Po(sp!Od(8ad=j0e@))LS_|Gcyuz5dBZi9dX*Ua@!P
z272Wu{=qMN=>%GpiRC2~@uhk^QNU1ze<%}%7U-`(q+anD48zi)cNiy*5?>A!tmN>|
z{JgomBo~b|#Fv*SFVR3W+Cg<Y+_<7)<%6ox+_XZ4tH;dMB?&|oZ58R$HvK7$9w~i_
zu<;xaR!NlOcw|e@YUiA3RZ!*EKY^>yt9#vF{1ZR*-qEy|x3M7YWxTqcgHhIePwN-~
zFDghz(q7iB2S2e4`HU5F+RF-&;nkIaRv}oWy*A*47e5h^Y@1P{_VsJrAJ<mzvGw7m
zv0_epA;8s8ceg>;jl{$uSf{;U8Z_;Ng1|<wPJ4lY1sBPAgqzNiRoV-u#w=IXnykQo
z)vihG#J>+Z2|0F)Yc6eEc3h@Z4;0A_ngI1DdfBP8;*6@=Y}#T}Yfgj!vwvHby)z$I
zFSS3XX6`P2ZON@JfC9Enesg!d;7!RKa69?7e3{dxU`dfvlZN>@rxv9W#3rA8hDt+<
zC8TPiJbuqV_0C)>@zgtWsRZ|xQi*EUlv0U{SF@PXIWr)bb845#sr~M+|JOe3Jz>^0
zI_rQn%MFV#=$$#in1yjx%~F7nG7M+25Q4Fc!DO)JuwS!p9V?<2Nc+}f#SGTOFdWcS
zYZ+$<X0T?v+@dT7(N`qjLNJ3hn4f~tHZYjz{<p@n5TseRttVjm$m~Ok5Imz|SwoO!
z-30-Ob0#oX#Mf#B#jF=e5Cupr{C0sxR!HXGN6dPW+@r8wOz>tWWZIP^&ALmX+C{%O
znA!wC56Ma3liIZj!H?VOY=-r3ov0^^@Ux0}&5Lb4uPH*=dI(gi2tM3t{e5HFOB9w@
z?~{qYQ8Lxk_n2w)&V#T#IBBG&G*yChdhy$$QS`!|1Lz<KdU-{oFE?t!^=9$_Mom?f
zZD$k>!PsP%d#rXsq=Z7po=ha`@aqQ64Sgc8-kfj5ZM_i-(Mi_Lg)w(m7&Gk_wQ=Qa
z#4lsS|KMNzx{rV2cYeSJU^G(neYp{Lcrr!;?T~yCD;0oeE{s9H@eX#6o!OWR)(G<T
zFDouLm@7s>^fI>tA2Ko;Os1H}))KqXh?f=D3yj8tCB+CGG-cGVUxkeBmdcUWH|`-9
z#vFHRl#RkIfQq-Fa`CNc#LKBSM<uO5e;(#o=TncGQ8i%?9xk#)d+@Nz<ve&;g}x6S
zj@OW8JIBvq*W#0(x@2`8JlqBOobA2d*<9*}53aE{j-GOcS~^)%k2d^Y_=4i>*e`ko
z90ut%{PciDx+L21pf0Y^d82JY-~q9EhPX+&G5u<f$$)r3Sn{#7;^riop5iOCZgDOn
z&yHH`>VDP;@)bA)(ICW!Zn;9sP`>k!OwaKZJS{$Tc$m-v)R9aNGQRs-n~FdS-kGi<
zd_4Xu?eLxP62VNuw^rxTztObk?z(>Nu$iAbZ06?<n-_fUu$n)2{MbBq*Lc``9{MGo
zySJWBDz?;w^lIR>3}Dd>mmpew&T@k|P%roHkV~-Qa2ny{*7m~iI|*i298M6ZE0DPz
zZW!kEp~fm54}7F@Lm^Nf&+Rx~GKaC*&ilg*y^(o+8&1_r=f{9Q21=!ZdQC$C43psH
zW`!YrwcohjUEz_B<JXwdSM_c|pgx}4>4Fbe>wP|cd$q2<|GN49vYn2{^&+EJ{Qflt
z93HFdr)|jB&+T+Pa~rWKsg2?W-`DAe1-!!E4PaO)W7^`USYB<=$Y`6de{xe5xM8aZ
z@9y2_rVDdZaw=uz4Yg=FZ<T_5`nhMHmFncuG4u2@Z+q%11umkiT(ou(bWCVWt}NK?
z-%%{;+F>c4=Nj!i_d4e|b!b7fcqlQgdxKEcP)E>dm}{U}h!-kxL-~^2dg+Dh=e|gO
zIr(bkE1irm77ESD7npok=p9eFEB$iig8P129>S|Ou#g*Qd75-rc&N2x@t!E?_^Q7v
ztW)}jf8gtWI5l#q)os1z!WGm<@0|RN#1+&-@0`8n9a#8b@KGiJx}ui1A$c2cM|Sj|
zP{w#zH6Cd_$}O1nIfSHfV6xX7#QOWKji7d4<4teOUUT8VM-Hqr)ui&8J^KVfYZ;Ff
zvp0xuyP}T=qbbRy#>-0Hpbw#jBob9;dPK!du0DtxjG}XOhb`L#`pNA6s!T?*>@VG}
zEZyN~miWi^C#TvsG>hxYo`<_<lh<8))cGP}Pv0j>4pTbM#BAr)5J=WD%((DX6?1wY
zn|E1Ue$twVQB-3HW0YE8lW7XWR2%(%Yfvn-nT29@s(z;aV~dG4yHJiDwA81q@hg&a
zG9?xEw)*1k0nyyeja-X+Q*O~YE!4mH#c%(-H%EoAa;tiigE6hbtwm+91n`Ta1@N}Z
zl1?soK-+*4n1a|@BmEGh<#oX_UjQ7(UiqP<&{&a{*9Esaa2nWwm|)tfSdoE<3nG9(
zLo|#Un4ghUss(9zT@dXTYz@PT3r{-5inP2g2r^R3`+yg*UU3>6P=iob!*(6DY-*{(
z7K))6ut9kjKc6y8S?;DXdTsqB7OrOeUzAuZt}6NsX-h~UsN~a92uGge9J7K3xe8fT
z`$xzs+sQbzbR&{U$>(cA)^;*-n>jj@ZiE;|4p}*`p$b_grqAEE4q3$;OJAo79q;4E
z0%CH0ZpNP55k<<#p;Dw(P*hv2tbF~o7+Jp6)Aa7Y<*WahU;g)lj1Kiwc(2^M*Dbtt
zNZjJJM1YNP$$Z-m=pLZp!gP!aLSL{R3xXR!(~Y1&9eNnp<z;df3fm4ke>ty69pfu*
zS37Vc6FkRU7)=GospUzs6``vX@<f@LK%RL;L5WOot66%)3joL4FC%b>ujqXZm~AhG
zV0lG8G=7bT&7Msf2vznAVP(pK@;nvE1)v{F9*_xQDxi{-N6mjAVSy9yMPrakl2C}R
zSpp@jDY$GU$=J&!Ni!{6PpCQ+>W4})-yRePBKN^>1W9cYu|<epSNCVJVKp~?O%&iU
zXAx_TD&nFi)%nkOEYR3d4Xgq=6zM2wo<YSBhS-M;?8~1DIGdr_KHaR+`-c{+>{}pr
z@8`gtzT<f{DKXHKW|!)>fZG(W&APLJ>lDCE2LRtZr>B&2l(Vt~1b4Uiu5jwd^%LK%
z@J*S_oRqa}C+%Fry@03jv=`WqX!T7dH`}8=qD^nCtfwvCr>y_S4?6zrQ&EM~55+`h
zf6v7F48@er{yxm6f?`T%{|FR=8>OB7hXZ^^D1*%NNo8&E1j?B<EbxIIL{_O4%uvkW
zNG{%OUvY3GR|Ul^)=YRoVS)EY`EP6usy5=rzGUg_2Wd5+sQhiFtF}hRg<1>MWI)Tq
zwpF50A*y{qm17@T?bEN}c$)lQv#4z5{rub~6Mv&b7hcIVy>sL1d0Kdoq3+S@?4QAx
zyDJtlJv3s5T01cp3tgsI=%4=ePx^^p{NPe7q<!8FzF61y6PXIuXxE$=K^u8ou)zA@
zW3`k*2#V)z><yWZwL>l|j<*Z$7KC%q(1J!CEf$IuB``8Uj7VVchKj-sVhb^2At-^7
z3oa0Ai6EMihNxhVV6)Xzvx%r$anvxX@v;h_Vr=+9_B50?{JZ}b7O2-~>EZoGP*3VL
z7-Md}pV0qpPTXu#YS<jk_{%Ggzt|H$qDwn2{cP^;&fHDAMYFk^&Zv7%u3`BR%P)V&
zhZJ+CzK7$09uXnKZo`NGI%zwK?J^wD1r0x9ZS2ArPD8K?EuA%>uZWiBuB=#C12)Uf
zWQZJEB2!`Gje4qWb7)zH5gpLr(pKB%&@zS*?G-T0QEd(_V;E5nyIdPuYAY<DN;J>r
z&=Nst&hi6B)kMc0b0*uOg<SKAQAy;EXJCt>%Q{@enmO=~VMM!?b<M`z5jfv}bwH$A
zaSC#HqqI$u`Tn5w2VFHhI|!{hb)?k|@?k^>z^%L2*PQG<sIi~Wj3dTc&3_KB?Jrh`
zaGfzkU3%js3a=D3ZFm{xU<_1sHW?G`oyn-7fYp()VHIYsibRU!ZYc$%OEsZ>Kq1dT
z{a6(W%}}ZmS!H@oRj9y(2}G2i$5BJX+v(*;a}Iu8<Tb@dMr9!&S)f|yCxGk`pB<2Q
zyzsV{)PzYPgjZ8yBQ@Vn$)sPr!*<#&njMhaaX|jYx4rd+|1+>{1<Bn3F~}Tg!y~yS
zkY8LcrPv{|O%`uk`YbY*==N+ASUJ-biAZ$kw1PR&W&N;lX_jEZg~`sRmU!FKhLMP*
zCsGSaylsM!h{R--I(4RHhW@RQ*F&O`X!-Wg5ftTREAF5ZrR@Xbv8E;>W#Dyvky;U8
zYaUA?a!)F{MlvTNF)*7Ga+x$lb8#z~6OlG_my+y0$!kt_0g`36#32o(TJM@S*W>uu
zpY45Grw>~XI~<?Xd+37`^z@~j;})BUX66ifF;!uTG}Y!@A>Z?4=J__KO?hXN)lV-w
ztUjtvcFF3)W7*xn>Q77c&9K9ClX7vZKkXLHR)6{}ItO<6f#di7>IAYvDL;bgMY_1I
zbXTiyo*Ou=78?YisAyPVtQqV|j?^pIVR^(1cM2{skGB!bVeNK<k!)hqw%x-0Dym%~
zzLGkn<JOouJgR-u8#C;HD4ug3Nx*@NXil9Xk0-$6x)Wo5Tx<d0*Iy>X4rWc6(Ow(j
zbDX+{9by)ya$w}&r-B`Jh~_0r4u_)~&ZkZ+05l*m6XyiUkoGsPV2Ax;hq_Zh1Z=-(
zJF6?$fkSKr$^be72JigUC;JC#if;}POz{?q%quzy(@Q<ue-W&<wU~kwWMn}6(S!`Z
z6ycdDku|9(U^Js&Q9Jmm1F})=APX~D;pBOg9WP*N@#oFrRu+GL&aeJo4}T^K%yl7;
zxola|;IYDwy7FlG!%#03tf;I;@amx}+xI(b-%@zgH&P^P-@|&N^aaptRyQ7}&e|7K
zgMG*^v}&a=YVA8X*CT7+QvA~$>!IT={pZ1?O~zc%YJ0LjWnI<O6G4lU2ZEA^rhR`@
zt5MUWNX6>ZQLkQ9kY!Fqh=26|R1bC{9^dW%s@S8LKAo$H9@}c7+t+Z<>|gwhFANQ*
zImXjf9BYJ+@(M?Vgy0rdArVt`kz=U~AL=<FQN?jYTBcc10!>>Hs?f<(!IjYnN`&gg
z8A3+NDvm8nC#h!Q8pVqHikpoRw4lPgGu49{&JIJt_CiOKlQB+PXne&{btKxtTWF|4
zirP^<avFdM6r~*EDv19UH5798Cr`+{Qaz({700W#iesvpvB!TTN7F(9bfmuj$A9Ew
z{$_yJ%GK#=#+W;S-olfjBem-pO-eQ6f(4WA8%;__$^|irTeSy340C&I7xM8`GcLH@
zY&1K|u|%ppLXc|41<^ahco%ABN#;qanGmF!DT0V{5${sawMPh2%@n}{W|NBG0zOr<
zBEwi0L~r2bhn=prR?@a!c$=V557Wt&?75#EOxs3IjXtCHG8@)suolsc+nzr_wWvx}
zpeH(BLJ_`7dhb<5Pi{z@IiD|xTr<eO5nZG``6Jq{6P3;-ad%e|H|-X+25GJUzf1-A
zSHAD#f9R*90$jN}9UU<y&nL=&F0kVPf}p{)T~-q3f-#^A#UpB9LXbw?1-F>n2Y?v%
z1&n-}91TGlbr(cis%Cq)po?q;Y1E6LGWTKT1AtfU%8eCi)Ljt95(JevH4d<_#RW%0
zf??BEoc;2F^5vND_WjTPZ|sRrYCQV_0X0O(zc#|xAeQkJ{ofGj`$VZ!*4@Qc=K)<6
zk({<(1~A;zSkci-xz~!G?a><0HQTqlvu~zcMqH5DzTHml^-F&BXFu+>iTXhRBoA60
zB|>0XX6PEbnxjNbhtpQ3$s)QPouRuX84LxxZAKXzJ76VAsgBOj#hE3sI5tCfyF}{}
zbCnnZv~EGPjE$i(nJdC#V){XijVbycKhJ^|6JhWHJ<Sa)r_>LQ%V8R?(C1<bf)H6`
z08cBfOcGUG9HfrUE=)CQF+mAh%h^|Cyi-un=yUz%jM{58qLv@Mjy$OtL){t8%DUap
z2-jFoH?RJ0vpX&SN*7QyJU5ywO}S3xxu{IqGLxwS6_{rlcmb76Ex;qYmBx_e?%o8@
zjj^S}(IYmX6NXM$o_1s6@~w#>ruSLzc-Q@Jj?{rN__%s>9;!jEEWz}YISa1$mIz!w
zSC(LUCWz$wX|626^n68-&WN+VMbT%==Ovh)ueb%&uli(<@)*JNbQeMt!Sqb<xB!);
z3NEdb!@eg31=I6WqrArS&fc_M2nwd>f-i%niwZ=v1wW``Z)wj&4io$OSQJ!kp_ijk
zLav+q-)46?tMBK(H<i&V@5jb^7+~-u=GF%4m}=Ui>i?vaS}+Z7O2x3<(PDgtk}KX)
z&Oj?xy%fU2o~kNkI~@<YtwXLD5k{A+Mfp7B<Lgj>%_^B~3~|F*6(?dj)8$A(awsL~
zbU9xs+0%SmN@?2ieM;%wzy92ZeQWq^T7=-3L@7x)Y*=W;?mNt=kQYA6CUV6_8DW8>
zfQ>o~!CbMiFC#3Dm_g92$Op<#U3h>|6w#>$qf&Cgn00~fySBQGO34LHaRQS-qM<!C
zm68iu)&zxhAlYiaH6J<`w4s{90AtfPZ5NXQ6$1<)7OCw(8>8hel4Nev+o4NOR415k
zIt3_Io8At}Se(@Zh>hDXUe(K?=+Ua+uy$MaSk=qz)%Rv)-MVQKoa_gRep7{cA}ygi
zZ7zbT)`zN@AELg5N>Y_wr`SY=`^xL}fc~<RtbJdO1`f^5RfY+;=7PEN1~jzfjeK(x
z0T&weyo!E{sXH>Q!QY_!I^4lK>n1pK;xF3ax22k=E#Ie_-}@W?^%uS_RI_6D#b4B{
zW6A-qSI9Tk`PJSWFrsOrsj_SUlvIBXc(*XZkPsAq(M}yXVB~n))NX$cc(oRi!tosN
zYHg}4PTijaUZP5_`PSku`ZbmuFf!Y1o?2A1odC(#BWEol@Ln&Vqw611oPr`*a=?VQ
zTS@v0E*UvsKEkbJzB}8E=YaR9Jgg)~6>d>wsufQtTS}>Nd<=5HJ$`QMw$5{Z`BEo8
zS-P2$W81jxd|{KKz1tk{1YJ!H=`YrZuI^K&pZe>+@(~Xr_ohuc?&n&|&J#AYjBK;3
zPQxKzR~L+KP%t>~b<Mq-^1FMpW6;vB#o5e)yaT=>hEqT*)5TtspP^n+?u|Ej!eJIh
zYtSM{7e`)EtfdPcHjL643!#6qSy8abB8Zkv5rjwF3QDkLf|&WHgT=6(&@|;!D>iA8
zAP(nnE%sxsq^_}&%(S%I&4O?+eCI7{vYV^a*wofEKbI7b9K2fpLgMH8Z@J$YAPlqA
zC9uu62mG}xy}_F3B<Y;Jx<BA{RLKKcOxv^P8)P+|5`&8UtiF4`L&yDs<K7XDFBW3O
zD#_{=?W}bNV?ShuU?o}Iqur5^i`2}E84Jl#L!$Ph8m8CN39C{ky>{L0bQk9`VT5ZP
zpLE5(>}<%yCzS@LE)8BWdJp$b%>ho`M)(^0;!ivz<!QT?rlrVL%G(4`pl794yzR^~
zaN<^o%5BdqL(fb`R6u!)qJn(E@&E+{wBmMH3Jxigr<r<beeVq*uvlY%^zcBS`ogju
z$Kwaf%jwTk5EX2q{r-GJ#a{LiQ*d*bSGCT_@_m-(zxiX|{^X~G+phT&ciTPD5<Gun
z72=!Y9*6;xTb$L%JLeghx<Y)vT@eO=Aoi=T8G@q8EE{wH<GNUy9dvYCN-m1b1P6=L
zMUR<a%7X0-Er?T#8Z*JcDt37YU2v%8yvl+N6rBTm5ldrh?l8|=^JO~}S~kCAl?6Ml
zkBB~)FXMQLN^-Ie6*crqWx;CIhf)>2np-Gi>8eNINHzW{2uRWv9sIaq8=A9VP^Lva
zvSE@OSj*M+ErNKYS6NsE%3g2@k+;Z&AA^M1`#$`mUia?s*|oUCefCmfwjqlMov689
zPTd&wfEpM;931L}^1+ovxIUd-MZS^IGxT|6x2<TP&;{99+`B*5%U!BojuU;*rG35+
zHm%&M<Aco=adSo7bI(76p{VqqZ9b}g#FJRw@M<(%cP1VWumP$1F&`_VX3J<+Y$YzZ
zfibjGXyVRcBl(wp>07`2KmM>nf~ql#TQG+!W)8BMgY2ze{_KN?^+0)Sw&=^l2bs<s
zTRl)d{_5#cetejHf(H*v$`l`C-bZ8JWm9c@44TK~w1eiczB7~YW1dmu&V~QmcZT>I
zrBWKzHGu!iEP6uiu8?j*BQitX<)Vv*CDiT;rI8lvuHYsPpmtXn6UcHZ;;)+yP^%>?
zh6tcjTd97~o&lno28T?j-4*g@JUg=J%YBzUFQIl<Xt&rX=fhID_XD+i(;Jl{#m~NC
zc8d5OeuefM3DV-g2pYwSSdzO)3685Z1*5Vx0o8CM8rNKP&}_h41*OKXw7}2EH8EtZ
zMX8l8&DY$mZ7>;%CtAEz0WXsTUZGV9AY&5x-nh<b(e`!y-e9wRZ?3EN23tMv4gTuy
z4L|1h1}pk|!;cf*8;E%^DnG^%>L15@gHyfy_vWn@g%{_zyf~H;5B?oMd%yxyG%nn<
zDND3o65LA%><G`L10Ya?ecTSPZ@s?a<538IfCb{g%E!y|6ksC`sE*%W@r8-w0S{JS
z=~~|JbUe4y`32le$8WCI6&3JWAg**gx8r!JD2UB9u+ChfyTR9~u+w#eoo-fFn@okg
z-d}C1dOHYIzn^T!2{)UoO$J*x%d1UAN(h1acy6cTcUPNj`u#TRt4;O&H_i8#?Q}e@
zH{buw_G(j=?`;J6i{DSS<9PgF`EvB}DrkoOTE6-ECpSr5-n)-2Je*#?!A<<uWfMzn
za*%Q#i*3<rRtkj4tc;(=SLgn;S)~}Ce(u?4`=?(5%7$NAUZ5iAw8B0m^ORiiIlB(4
z9#Td?^E~u@?{&^`>d-O)nuqFKYL0g<r>rTD2VLOPW1$DUV_vAlZgIvm344JHfeT)~
z(#a@qfoq4Le1XY#g)aV-yV5ULF1YWf<slY?ctippf6`siz~jg}tB3NN-5VUp{oHlF
zV|8Hih};zxtN+jM`l+9NPZX<b6_ZCUR?~!c^A)QL9W3{xit}qM(@f#mA$!dPitU11
zNnKV1hbk_qS&HCL!6kK05ro-Oudc7&5|2!gyxn@s<j-4Cd*c9wC!R#z<;f0@x-XAT
zb>&RubwMhx`^datY(p5-N;#i0mya?X;opAkH+{kTA{DFe<!L1buTiso_5wpe&^h(j
zd8_>~45&uPV220}>8_R)CzHW0K++}ADPp>|#ycyq?Q*ZFON_}8KKrcgvJ$g;Be>ef
zZHF~BD=~zVMzV_fNUe*Ep9f|<zRdx&j#|ot1Zw+3N-EaBw-FbyV19{em0BlP#qHRS
zN+XsB9d?~!{i?VfO!oYV7&~mNY&Bgcon$||l?Sn|AHAsU+4KF`FEH{))&$O=sq(aD
zwlbJzvyt8@usfbR+EtO3ZsVjX+h|b|-CR;Kdep0Y290}k?Z04}ZL-4i3>t|{Q>5NR
zs`$Zj;Dxa=Dfp2oGagz=8ach<$QuhP;E~p=UhTi@fBCaN{DXnIpU4|8(H2dWdc+_q
zeYY*0Woo~ZU<O`g6^bE9z2btPM?_^HS6uInu{#8*R$LGj=Bz}4Aej<H0fiv7iVJR+
zTU0s{KUuQ4hk7yusrFs4@LL~cpjs`+2crn0Ayf1z3OJ3>JXYj0;exPr#8?SEc;S2q
zirRNc%o<S^TC{4}NUtPGbjT&aE(6WXHPH^QwM<EpxQI()pcq->NLhob9enYF?;;jV
zqwJFCd_(pCKM^iN=Aee;D0)a;s%rE>snj8O=zlAp&>vcqukY-E5IfMj%c2_6fpGuV
zcfpqq@4NibxhVxkYz}#ddY(8WKDn6x8+-abQECF@Za?!CigQ_}i%0gj$7@qRU{cXj
zBz>+P+VBqQFW4^Ly7Y8kZM~@VxCWr#u9+V1E088w%`*&k=fO$OH`e4E#W!Z-4R-15
z=>fk2!UzY6kJt(W+?z*CAb0;p`^`}aP`As26$!_h0=c^+Tq%tF;ll{ZRQ^iwFapL;
z5BSv)a}VWJ*rM#49#AEj9`LKfjvaVh6YpV1GU=Zycpysi0FTYU`E;F00bSu6v8WJh
zu9pqb)AW}H(6#$4<)y*j?4<$h-M%!~+4IuiuQl#2F9H90;-$f^?n}czdYN1Ir6C4?
z!Ix&n+Y{b9<L$3Dyxo<;jJH4ab|Lob1BDf0r=w%o?u@siVyBx0neq0gA28GL(hJwm
zeUUsW=Vw8Pw}0Z__{FdOT~X`WUnykiclM`YAhLK3mhHEg#kR=w2u^pnYY<$tg7g_&
zurNdK;9<3Cdb$iQxYhor3H@ns>(m2VtU7f)bn1LXgest|!ZjX_#7^h}*)Dt;`gAUM
zz(vZ62rstkRfV90xmrmeo}55Hm;xvxo%4zkvy>&(`D4YyqBBxay9R7cuva5GgsX(w
z2$WOQS6TI^VYUdlv!s`7(UGJ}=V!M;OiYunx}9wK_SpO>oc+ML$<5jG{Q*M-2Aarq
zV$@PANw?e2&MDS#e3@ikn(G-qXRdSstvDM8DY;jM(fB>ja1$5Nh1HA~(QxNvCmBN&
zbq0%r=w%rm<M_WS)}NQqvv@g1w;01qnCe0dJqm4P_903*`l6}$o5fWvpyk^#@3-Q&
z(RQ*_Afe?xkzm*UvZ!bP(1UiYe1JS#)d3j^(x!ko9&yOswx8j8b43K(&jw3SB#j>)
zrXX3dsXvb=`9T4ZG=L&m=)aeC2{uHaTmx7^DG`_oZP^PE8Rx1NHEIBsS6}ktiy<{(
zdD=^V7309(<tdt%r<wS|ANicW^5q{=3Q84??q)O)U5fTc@>9d*fUWtkI1-L&?SxsN
z)Q>wE#hSAP)I%-^_ARJM3Q~JOyJSJCAr~xkJ($>PlTYH*88DChEx3j?_z%G<qp{j+
zoDOx>5u};$Q*YO(Nn=2d{$Qh6j1~Ei6u~XNwhAt6BtZz)84b);z}J?R8Y#BRU%Sa@
zuxp!=d(7i&exNF&0fWMuLrE0AwUYVn00dK-HDGpvCRAsyZVz}Z1$Q_g525Hx`bPQw
zfSE$)HlTX2JBBacp#6sA7Q9Y?b@iH~%3pP6Un8;ZS(#q9e;=MS0V;meW@0(I4=eyw
z;FiPx4GkWje4qatd-^_6Y6wxAQyR2HRV~@$lF~R?>J)`er|YI7UZ_*-eNib3l`JN;
znx*1cR3@bV*it`cjT7bA!GfjJMM+b-B`!W**A&~CwsRg~aG4PXZ~B_&KI&t}Dk?x`
zgdyyVMSA8oW(&6;Dr?9F4jh46%Z{pd6w06WKad|)Ts<;oVF|N&1GzFIWEKIC6uMq9
z`yYcNBeVZ8I5IN(A0SCoo>h1>-8zX}QL%&jAvuZz*bm#UUHKn_V<>C?1K(HcBo;vb
zt1muk*J<L!^znmQSI?0d*slirq&<)0Iw74N`N$NVxo(^r-0vd*#pwDJKrYV<K&5vl
z0yype_W<t3G|$uQ0Abu5Lrr0kmJn^)@_ixNYu@@DZ~NpAEg_ns?H-~T_Kv4&#nMn#
z9~>=2b68%B&WfNTc}Tok<Q0NckuC^~Mn{z+n`#uwA;=KG1qbKGP(`{R7PPD@s56GD
z5B4i${^iSTFp*AFN}M`nXP-#*3_c7l1gRp+sWJ0hv@^!b31eVRk4lJEBv(roh#{4U
zY_g(mv81R-mjnucW-$ab03Jm$7gLCebjkg4t&FP3bz4PXT9XFMB>{_BxgF*Jv_FwN
z_bv$-5iMZ6PL$JW=TurV-ye{Z0G7jbqAAR9#3Y$-&`!q`U`^zmJ5>@Xfesoj{GfXF
zjSvx5zh}Nh_H?jDV`-Fy>FoI)F>fx01n7#4`^;<R1o{)`tOFyB-XkIV4arF+M=gyd
zo4r266U~Xc+l`OPTdDKITp~AMf4D3Er;B)|*|wfn^QR{={sY^1KEa$$w4z7ts-ujV
zN{`y>QGMZL{Eb&N%t$4q)JZ{a#uPLlxZET}46<qx0!9bGI6;cs4iyfB4uM=cAp*N|
zAU!fl0n8{(UWfzFVw6G;8hB39@yGL;)T9(6eI35irElo<Pp(s?#=ZO40^V96#by)6
z5fAqH2&27JBVkO(e9e*OOr7xlL7nhXKm3pW?RQ55l2YnAjhYke!aZQgC5K?R{k2_|
z5Ou)=Qf(5FqD<V3e+bfzDuQJK?kM}QwQO%k*pJ~OFeD|h@XAUq<J2_LerlBV(}M%;
z7*KibR0=`bXcq)48R!0DMJ%oOehAV;yWk$Py-^&=!9Qq~7so6F#f@@Fv=@+y5>ChY
z{KIO>4DAgIyG#c0LgTyDo^C69+GmBscE+b{+xa>6#3x<9f3$`Ng#Irwd2{#CqtJb1
zkMOycbZV|{+VXvY;II7lkNK?M7r8pkDS9ASvhfFS>AnRm_NZBqiCd9lw{d7zh=Afx
z4(Ej68b#4~m$M)>n6DTm9Z1%-f($fVaJ$-(_5n$~)xt`rZ5NcMIDn-F0$oMavS9P=
zoSGV}tcYq-&6lAXyDfpB26I8?XSG@Zda12Xp$2n7d;@AQoSHSO&9@c}=8`CU$3zWC
zVmx?<ySXXpU<8Km4g5`paO7W70zqFBy+@d0B5QKkQvI7t8M;euH%E3KvG@b*@U3LN
zJ>U#c%3*+`l5?%jp6?HkP7ZFuci+HUtk#?qHi=$S<=l|Lsh(@HZ&^XAKnJ7CBK)jk
z=f+5(Z{w3*W?yWi=Wy0u+cFCf$Mb52lW*-<A{jH3swhxafyXX|Jcu!nGcGX-r(#z`
z7)rKM9Z<zc(o7l0BO<=rvJIJ=l+KT!@SGU09m^y?PoQ=Z0iGZB*!yy>vYx}9y9;}!
z-J;gu%?Y;4B-p;-sXzDWf8lo&^jN)*PS}IFq}ud^!JciTKrqAIdYepzrtTH+JeZI?
zd8G<_w95p73r?u21!<JZim1j^BoUP^ig?;Ca~fRmh>lqSg@H|W2GiuQ$I4uQV(vKu
zr9O2Idw`T9EMhc+vE$k`9H*|s9+bg|;O1b3Ga*=oJ@CDV^dgcfv%r!hd)NHySSn5=
zrD`(&lHxSDWQ0;Xra|-f88zE#2EDE3G~$^|`%Q9ftsM_L8lNsDqZWH|Of|DdpXVmr
zPIAqj<=ITX<At}qq{FhMM#lReYNn-Eqz-1<Et<{r?TkAA(Cz>9wg33H6*H~bVvp@D
zcT9uEj3Ws{mMG4rC9qwFP8LD$nMLN$Ai@x&nRdab!yOr$8RfM-(=Zv^`-*@NEfVk0
zBaK?C2m$LFf3;#wMTpvgx&bt-jeNS4$(gcFoSM=pbVLk@|9cBkKu$D%xXQUM_zx8U
zpr92I1-76d!cIx@lYMl(xc8*u3zdA18lleX=?Qp&*@J&9#|xH_j^}oyvUKKnM124$
zP`i<jZ!&w`d5iV9V2o9(N;b7YB%PnGT%4c&T5QR0Q({JmxX%w6?MXe?7EQ(XrY+y<
zQP$#r*4O;N?$g3m*M(XguoprGf@~wT_+UI>hC*u1QBzPWi>w2p&I}HCt5xW#`>Tzv
zd3xYTl)}4JN7QzitL}pQS79zTIW?!|{dGZbEwIT5E24y6o&Q*ox84Q8du!~kSWsQ{
z5ad(gg2(Mj+m_gl->I9=wQvVa>cU0x_dzdOb&;cr%H8UsWd=UD@=$%%fxvVrl&Mk9
zHclt;RiH0Lq09Fd3iu`XzoD!8K2a*^FV|cC@0_Q213HM#g6>2|hZa+yx2Q2}-X%-g
z41L`l^krIU#MHF5V1~Xf1ATqNdw=C4J~Hg7ju3O`%La;}q<qINTL4vS?eWd?(4>yX
z#(f3!wFJT>_9BLoi4$dlC|T4b4@gstAT$IeA7g@O+|rTkK*+4jF$Be}GC|B7X5l1&
zs{Oh%X-x8EE(i)LfN4au;P^M!D1f_PqgH_eecJepcR%&0@uPhZyP?LYNd=`nh9tSw
zDaVD;$-8=Xr?u|H<hhCKy6oblCofns_1Oz<NX%Qe8?Ne|dfg4#9ZICpBb={S9){#~
z*M2q(9HNZ$eGzq3L5i}$rQ_*?B@0JKD{acJ69!K*VOjtu+;Os7pG>saroHUAtu`k(
z;Vg0Uk%l9Rcdmw;4rW}u@5=Pe$Ss;}<Mdl}&LE+yPk+s4zA<V^w0hKIO{*nEdA*ch
z5O3%f>{n&$+Mu<f;=B~4;aW$sj8PhvO7z1HNh~pWcKHZOX&e2^Smg)4%m!udjUd&Z
z3#O)mE=eNwoC~7rL!;LtFfola<J6KCa!KTE)PRE}QJB=KRspI%mqY;~DKgkp0QSe`
zDkY96l8Y@H&QM{uST~$&9ZEwPFvC^>&U#_hNxDwDF1{wdkr67Qr9peilO%l?mqZTE
zXc9M=;@z%E=fx!vXKUz=JTRKi^4TZ38XDN1PF?B#s6El`8W+^Rbz<+<{ZO&~@{@kq
z{&-u~dDvHc60T3*C!S+C@^0)pYCwkVGPyZ2R|e2F#G1(wkjnL3rNUD6u-q8IQ;%wf
zHLe^PozWAHfNZDZ+r|LIx)ppl));{61-Mg+W^SY7`+Nk^9_<m+HG3D+P1nV}i)puL
z_AaL1qI0~9&;M5*yz{pr^46Qt@h&djvN+g@n61}-s2wmHFU&7H-3^$%i{ox@Wh@{~
zZCO>bcfnX+u<`BAXQSD(3V$Vg7sIW946y7tbk+^E0@mKeP%B{SQhH*z6)^jL#Q{SR
zO}7=W@-EhBG!WmW(+YSaPaweqi5fQjwe8evY_6K=KInbO5zwPvX*@!Ppod*VvFUJH
zO+AHl06Oeo=pzp(4VKvvs6+UUBLN8fP8|tBFyi`2VpffD(-DBl5#jqDjz~8xiQ?Qq
zB;aQ3=BB&nUbucBv@f{#Kv5nGdY9P|xCWtUJr;~3!%F2*wQEY{(K(L56EFOoKXe_A
zfMPx^1b+lsoRqb2zNu!a9gMb?6+^9!W}G91ygM%hGXxJS#{{&3`YjHgA$T;&DACzg
zSk#y#j}<cnf7pPpR95Uk|1$)?M{%k)zU|<SNB|!z3hL@#=7==9VD$+3HKiSbbOdY*
zL<C^95kXX;YET}60yDTI`~WLx-JplQIk&c~IR39W3gZ83>&o{vM@_LB!10<=HKN+7
zCzdyd&_kw}a(a?N!R|467<baM=LPw>S+sM~Q!;TJB@lr(i2%4zUc1BkmkJq&U8(|N
zsh>=C6cKktcEWm|a|&Px#UD}x%z~VApm@d4!*G)A^Q^WLTyNFdkG7L@48J71lO2}V
zGz_oc3+G4R_OQ+o_@i4-I_(zC5%}#y;9vjyU-yQ8k_@kOUU~%XO@=_iX)o9Ux|H6F
z+&U<^Z#fK23%RMZ?M9S0+5}6J209%Fdqt6Vru9P^+tLT}*i~6AnO`Gl#DUhcX>qp}
zy4HM+VtD=3I-VSYh^*Ud6vJzR=!!><0>C-EgbwMs7+w?Hfs02@4MQy~sQJ`tcumsW
z2pWbJfc~bhQ_`CziA(``nxv~#s?Mr48G-v32VoKVcPWXc(axIr?!b4^f&&hoQre#Q
zE!7_Rbvo{W3znL|IQwL?A11gv7X5TvzB&ULfw#Z-WFia0X@HCE54#+nbf<;iA&qe?
zx}YQSahccHN5TAGtk?I6lEXOs9DY9v;kP~Ivls9PdjYC>MsCsU1>BAo@Vox`&;8S%
z5LtdLM|3a1OQuU#$sW|DZOJt4j0;X?cON8eXZY<aMpsD>X*<L3A~-~xPA{McZU=bN
z=>-(QA=-3$0WLVqnog9|B@39)%bHFvph&KkOJ$4)kWts3BX>_Pz$LfKwQ<X%VYDrL
zm)OcB(MGFt-H<G`ZdTg`k4n4%mqaax5*K!F_^209EUwRL>vICsM)#EesfnJw0M>x4
z@4W$Kc{2zfSb%`=sVp$P*Qf(bds!A(ZB8u<v^QYH8qJCO>DK7tiTi1{Xm%K;-=cHy
z`d|4A-}#GwY;4jo43SMbMhaW4SER6WYS?(&Ux~87!6H5?7EhkDPt5Z1#|6JkE{@BI
zdp4dKF)&&N8o?@Y50YQa0QAio&WU^QIp{cMGECK_aWL6AaSsRpM7IC~;7)f-_^QPH
z=@PzC<F0o5!NY3MN}0uqX~a&>-krsYoz9Q@AQ*D-@mk{UCd$sCI6pVLWz#RF%Cuwd
zYU0JkNj=;*>1*f4opOdaE2W15e%y`pTwj?Z45$el+MUABRPt~IhG=kd5ya^mUYtr&
zFlZROkCf~kUYu%DMur!sXB$J7Nx955`-T^%hx-Z~XF9zA9B!TR6)E=jJSys3w6_y5
znzWYZ_eF{biX<ilO1miPK07nFh3v{D@fsLA_j)bU7^*eX(|v_Zfx50JO}0sQwg{YD
z)C83G`f9)Cv_L73#Z-vub)EJeV8(BL53p%ZKSl$WPyI8A)y<9f0LQ+}_kaV3Q;R4!
z(|dp`s@?-`8>88c-h-ie#Bf<FreyKMavh0eO;a5P3Pp1MiWQ|*{z~VqRR`q44Nx<K
z$~p2^po#`yolXdWif2u>BjL0LpK?yvfhw9WL=?V2pgx}4>3CdkZr2B0Gpn=H@q&NH
z^{=@d$4gyGY_4}#U<Zn?v&Pq{(t&Hfz$t&Y!S!Zp>-F|(gZ1P55NHp`=ijWZHn{?L
zeZ1OK`8x>I$8$R_c(b|MKz)@8+|BZ8Q+<C3)W_G~f3v+>=lj1|Utzv+zJAHa$M1&&
z^7-+D<xQ;@E-{1tTE6-ECpSq^-n)-2l=T#*ZEWJVE}K|tvrk1o_p#Upnx_o+yFYlc
zD&~0lxo4lPr!B=yKZX+}fm{T={$fn-`k9fT!exLH&vX3@9P-XN`ldHk1wOigLk9;p
zv23JVPE%5HdZ-fwPgR@nLQl`JTIg)5@|8|DE-$oFNd_uUzAKC%rrec&x$-mg{j@xU
zS8aoyb;j1TyTU{HJ<6f1!Ftu-6_Q)L_!Xc1Yd;nA+nOD2D}gv&SUBELC5v*Kx*SLJ
zv=`4{ys#Gdpg5*284`u$f}ofX$9cEF@Qr3g(L5%I)R}oJd(LRAPc1gBE}a2I_zF!h
zji4xRU-7s?oWMNeaxG0!xwc3Wk}l{|nJ{Ov)3uu`fzVLQ@tUmKK^)MWx~c@)qI(D>
zRg}bJ=Sp(a`=QIA9!$6CCiX?cP4d>;f?HiC<9#AL#VNUz%t<Gop%i{ZSi4f&GxKks
zR~s~i*COG0=>-OWC#)B4j4QQ$%8=f?uXn=o)VyAD7lxr4g6O82qIm|CsJGAmzJK$l
zW8At1C7x-q5CsExBpOsaq>f4IAx)`~;VAV4xnGd#t*m%llI@$beNe4{_dG{VDZB(@
z7+g955D?)hlXe77$!S930Yl_OaDl$UX2ld-qI!D64o&!ihhu{RO;RyQZS+wH@cr*7
zi+GtkyNY}xqrd=yC;Jd{w^cbIaIz0CCKzIh_K6cwdI&zaf4s^rFpwQ^VkH+?Rd=|+
zZIL00`>T*ez=#wh$ac8w?Do$w8cPl<ms=;MrSsJ;cc)|#9AZ_BGQ!z~62oN<IasoI
z4*LL2Uivl?--L^w(FmP>&iW)8PNW6!OCqBZF8qWSpT4iZPa`irMe~b)S41s5Z*lX@
zw=8C>Qc?Zx=v>HeF%(0HmtMGj?u+1G%a0;4!|4!Y`Y2u61oQdzBc8;mw}=Or)2|=%
zu@XL&aHEO3PKQ>PF64jTkNnl&Gl82<W>1itj%{=Fp(6!N>+cz8Gcx2}LxWu-Nc&a<
z(PeHVb{I6?2vTdg;0|NRMeqO?fVPN%_RR&c-T@&7%jz)qqFIsl%>|7w0E!f&Y%~_J
zU4R;MCBbpEU~2$YL=2%-_aT@o2{0!Rt@0E^BfJ*!hoIPia_%E~=_GVGE@hHN{E(xz
zRqb4wo>NR^_k)``wEzl1^}c`|KV6sVYmf`u7oSu*!<02hSwoaB3!wBj%Y1ksfU^(p
zH3c&_-Mu=1=(maQ_x99u%?T@YD%QCxm*;V}r$6}3AN{4TiTeCK5@6f6I2@*1%yMiv
zScsDh4%W|QyI_K7PhD`k;5Z=)a{9O!wGgB|bwS|x907!Q5n-U3$q=MHb;0d^t24#7
zs1{Q=ECgv!T@dz`*9gkFjg#PnAnmCOBD;>vA_dU~-mXY{>Vn|2Va^oT)p}_ZII$w_
zX%Q@m_8rJ>t8YDOB}=%-4{H8AxoybSbzRJ^)-3~E_LpZ<*jOyA8`g4~Fq0RR(JSvC
zhR_3W;jMy$Q*t>PXXZe($pv*HNkk%d8cDv~<~Lk#PF^80J*(hOYA{kLDnE~hqMX-<
zR=V?pO?!SvH18a2PA_q~coo{TTSO!EO5g#HyK(&XH~-<U`|b}K8HNx<=tnm^5l!V_
z(@YtP0D7h-<Y2SZw;xukPH32eO{-TyoZB-sAqShK5PrWvo7+IJd03MHmhEso;}vqS
z8650~y^Ls&$WZBHJcQ)G;u3)Dt|M3nn~UX9N!S+)8|oTAq-a22lNm2D;fLk66OC78
z>p|>}0KN_I1+MfpgW3IvBBbUgs>;@b+I_j~47CtQv#eRD-H+h-sMZ{H4AiQ3yW7QV
z>&|cxbi1d}y)pMH*B403o@;M!x2@56v?_POfVvH&Q$XGJuZu~}K7*t(15EBToX>L(
zGt<ls=N#IjeXh?i0sp2kanNgI`PSYs@%4#+>CM+y(PDHW@wH$JE7TjF8}Mxq7>w)_
zb#=*Sd4}wG1U%OW=EPUZkbx+x5u~p670Vb`6j)mSaGbhId~GmDRbnWNnr)MFIq?OK
z5)=wE*g^_yi0TVnx$JSKpeoj!oMRy4*SJH&j>dUA_65~hJkixIx!uW<NV;LThw9b%
zHdVziIKy>V#}Pw4+9GYy)n(27QfbJyn~eaS`7%XUyX1bi<H%rsp>3CPG~b>*?w|gc
ziwzEGzEQqEXx4^#Vj2<8hMGwKMx(kqVz;PNsPuG+wbEawgm9I<E@yc-LPw%U82}nb
z-9~G&>*t;rZ`Rl6QH)A5GsSpf&LYOUp}w|fctoMjG2SZ}<4wCot<9SO(8~<xc<-P8
zGY|jhSf|mefq;B+r_mr?IJHhOvqNm**>4yo3uoPgFA0EnfGj+B8Ubn^=w2cK=4%dg
zFHN`HXyI|&T^cOL8;xjO{Xez353W6XiKAiSi{*E*u*VPTa0~L=D&VSHEgqIu3t6)H
zpS(IhH@llnN(|KrHEl+vF(0l`*M&>&)~lz@=)kgGrXK(~w9R(8u;&VFKbELiqRFap
zAgeZ`1H5%{Ah$@vw|;xoW`wpq@(jw^1ro`Qt`MQSNx(qpf!3uIuv`taE=_+4(68fw
zLwO0tyNvR)r+`4b1UP!|CBXg~b7)?Ill?}`6D^zhLMFcibB|H@oM;)EdyG<EYVI*Y
zSHoLYn|l>}Q`&k;HJB&q*)B4zo26f_EZA2n!9z;1gl^0|MsL4*_Jy}U^NwfU9&J74
zM~u6|*uf+95bSn-^~;q7`|b)4nR|><6(_OCU;6D|{D<H6p(Ssm*1S`Me{n4Gum^G`
z=;oSTNj18ev93YTGGmeG_GdbXC57F=OBss<69XL;0IM4?2{otAF~t@PhT2|^nu=C1
z#}tEjX@y0iF7h;BT49lch-rmIg1HeTA4CJ;)wTF<g+=brCyyT;lBkX8NG9hP<d$pg
z8Ar3e&0{n_kHkp%{tTn5`34Q6tN9M02Y&!v&9`V6UCsAs5M9mAF}R~oqO0haui`#5
zlBgTK1%pKEa9433>RhFa6+f4h-Gm!`hRf?wpSRL?t8X;0GggVhF3}6$s{88^AYEVk
zOPBdkcewK_{;U1TnJCW%aOH5H_C){J{?I85YJ6vkA{ul9(f*4TDIrIWaviiIkIXy}
zureKh9;>SH0?qSPueNL_KNQ^)xz`*dOX$5%xjr<{SI5hCIv&08x$y(7{hIS(S0jhj
zqj|nQp4;honGO}#&))y4@nxh}za2iscRPkWpc5bJ;{0+Q4+{MTX?ba%6@MjaK`Qrb
z?hUaz@Tw`s9kLn9osPDjLmKt;Kl_hA@L!JJM@%?mUo8n)@c!u*v;zDSZSCGHta`(C
zc`d6PmIc=sr64X%2-2>X6^|>WQr;d8($aYJU09K?z6*l=2ECEcL})6vuJTxsR^0^;
zsFYE73X>=_yBdO0%WBt%GF#<l-YwSF=n{g|vA&{NYN(wuxj6)B(p?bWfb5MB1i_Bg
zvg*m`q)_d;BzPXR2#50}v?V5%6t~MI52)OguLDY-y;TLrn)&9S9fd?eJo{1FNb^rA
zZkMl#2~K<bmMqRiF;H`Nq+BN?k4VgmWT{Dxv*-JRQPr#r#+r0j+BK^igl2Z>i`h}F
zx03k|nFoz;v_{=fE17Q*phzn>UU{!=`aSbK0-YK8z=0p`IsB5g(j{-j@d@W77`5ET
zdARAg7wUHO@o1ZabDiL6`_oN*o+*%@o?>cka$7c}{o%>?=uE0?nTX)LRJF+?6QPtr
zGMo*1TUD1U1S)4mw!>M0b5j!=!8<#P*a+8~Ym?!No#kwa_nt0CT&t3|#;*le?0jd(
z9_WBAdMzoPj>_4ofU3IvCR<;BUq+U14K-u?@A&Nh;cK6dh6$zq_SoKtz`?S%fUC)Y
z1{lq&Whb`FjEoD$K!YthBJe5)5&oOrNF(Edi0qj-Lt*9`(-Im%@h_F&niOkhWY~<{
z2vUdnifQt}zB7J69ywbPVJ+1+Cm(EJI_ql`N8V2jlOQeon0z4Rj`_<_f%&Ns+3m$3
zAP)k1ka|TiGA>zI-VW%NR2Bf+MRO#KTXu3w)S$X{4<3MO&6lMVOCZ(sVPwst8pc&(
z752%lhkeShiNa(vFUrq1m5JlHN(%1U`bu=F&x3>>i9tg87>_8Vj=np|MRnP(@;SI8
zDa)i=)Y^=>PUkXpI<NhSkNi_l{LX@@YaO!NT`#zgUX0z=>U(XM*<BYbEfy$Fh1V@`
z6B-p3Z&^T)cGm^b56KRA*xfx5%vz9k*99k!5Wpzk)13RXyT0Ne<v#7M3l1{w)9$+9
z%OTuXLsoN<q9&!H%qeudE<E0mFva5eY8^lnB{3d21oNoaK0D;{BX=wawqNuLo|F1U
zyUoGU8Me#)6PE;0oKAQIrJRMfNs>;pOYRYYOU?3byF6&PoFr36(G@(rrFdopz*`Q9
z+_Q3p#~f3h5HcQ?Yl5JJSIhIBdseRKJ|VD1M6g{S+6P{y*Ou!<u1CVq!(xRLNV8^o
zlCMx>gXSBqiBVEDGsUQHCU+8LARP|exX;*YbC+HCg3n!4pzu-k6Zmt7`W5ef$=7q^
z=Wd`Hr5(Vifocv+8&F&$*9a`xbXuX<!c&~+n`Apha&2IXHq$!|Y;nC6ijTndoGgOW
zamCj`j-W~^kU)2sz;{E`KYl-E5t!yf$Ae`NBtVOfqlR&}L$M16;9YgRgk$M=Zl?<t
zO5Qj>7<a*7o6j%7S2~{C>3GR{q~noAsel1c@K(TrY^US-JjJFC<}QJ~(jAvg>;)aL
z@?UN5-N#<A{rV>OaFc=qA1=6nT^M9pN)4>S+?40Itc)TYT%~VT0sdTx^3FtQ`_wxh
zr1@K?DVb)r+ZUgEsTna|h_4vw=*02Ig6;Ny{{w&RCqFPcnETmoVFC!pfJt@@h(`>$
z4NWz#*|vaY9f997fzd;YE6UKAWB~x}f#XvU1GAbH1+8*H@8s&E#TE5vf;JccD{e^l
zNL3!!C}@=l4)W)U!t_(4Mn+sBaD`d!Bvus7XoB#AY)sW+C*wEssTHj<NtA$KnkCa0
zXz^=*NJXoBP2_FhJ2BO;Zf0pu^N8RNsV_2Kzfgdr>}v-?6n;xDOr>Y(uT#hima{Y~
zPmzg3D4i<Pd)mroxa#t7RoH2%T=3Vx3P0R1SEjcgTc`H)_wrmQYr^tW%@dbzVHiU9
zANeUi@H0O)PW=spT~(LP0LgDVgRibK1a#lN?3FS^&?Cu0r0bBkt5sK4hTGL*Ewyo|
zK0^|=7R<`<h)x3$#Kc{&Bh`YHGF&XT5QLh+j1&ze{pKonpnn-8lG&0Vf`Z8BZ=IDP
z6dbdZP;ti<_?GHZ*UE6aqz!=NVRf#GqZ(+{n<$CcVdMu7qb@6J8a+58^0k_i{SUW6
z!W$|mKIH}ZIrhY-DKfQmLgT%X$NvQyKU05Sp8EUz?4!LFkDdzq&VTQ(eZyx2%Yj1D
zj)Hp9ERu>C=S78+^KhcVJYlz5v5%f}*Fh1`2#N}G!AL3&k5dPm8yN%um#!8<7@AKl
zD$G_yj*j&kOezv!t*=p3m<d|zC8wl=51|nhf6i9~bDbzfkiG&xt5*~iW`ahjic`~{
zZ3L_AW2r%g_u0v%R@uh`%2nihACUTOzm8NE`^~{XdL5FEw5rWovt2|C^WE73(~~a*
zzB-|n$iJnad%h-eqdFiS)h2>wSCTn9d_V?Qf20k{39Iiu>US0usLHcKeoY%AN2)t}
z0Jsw>xmBPl=KiK_Reh0t%&wtg<C9*=K8>q-xX;6?_Ev|Y=fJKwR6g;_k}v8?+W&>J
zo+%D4NOAD`C$-Q;-%^>Z3buB4KJOE~M1gl1iZsDpRBu$*J6Dy@iPdMWpMLQ6=dQo_
ztxtW?mj<vT%|7>()!R#td!)KEkop4#_2?(vqSm9I6RVd=tbXwSxBnO4H&)Punj==E
z(o<MUrYT#PY_uxhqcwyt-=%0BfZC<7I^2u76u^=&QG`hVl=il#&MpOdnv{?T{I8M<
z%Rf)$QULGK`6n>{of@gir2tWa(mNsKTDB*zTng*YheNYP7P2zxtxEwqcL_z2V{EF(
zM$NyP)0~F$<Sz}S8qxF3zpje<DEsj8BQjkGX5T)<it>kRn0@>3Vr%gyn*DaHiMRnN
zFC$sflvisrcktboRU$?*RrLW?=4DB?*hu8i6jqrlDZxy~w<Vb};wX+suI9W%pv+xK
z$0HF~CBhUnibPb?|04P2NF?dc;|U#@{p6s+?Bmx>vl1bU(TTI!f8qLpSWx7~EI(nR
z`D9>6@ks~gCZ3*+IAu!5#kp-QdKvM|X0v}g%`zYL*MH>Sd3|JtwBEXHmI1L3s#=&C
z0xhg!oZe|*#P8ZkA#J~kn)bA|(Cph`s5%*FZJ{D?L4c~-Rm0X6g)ZaNH2Xzxv&F1t
z;EZ4lGK@0>i6pq-{<t*achv0AHHo$f1w)G<fLLG?KrX?1ui2|uk!Ifo(fX@h!pMiM
z+7-p@yCj$zi7I1B778`z7PIe?D7MBV8X~bM)KP3VPA+EOC6AbVt(Uo`b5?(!x>*L3
zV+4x5LBohzQ8W7u1kjE8)v_8UTXl<+LnF%8rO#!f<wE(tST8>1{XHiZLA})ewh-5+
z{x4$v+2~);=-;PVXYy03G?%-YV5C1(lxW_b{Sli%(K~Jn`I2Y<qyO%g$D*ic3xVQj
zgQFZprEU*2xi=pD%a5Yk7@>}$UIoA`=a3lI>(sXiM9pm>$JK$OY8e}$SFiact0?Ls
z!0c!gMQx;-XCYclKQGhg^JA!1+v%G95Nf}y*D&glJ`zi|oKV0v6hIA4+xLm*NWf`N
z5`R{v7gVNm1oi$(H!w#~z^GrbQItRS^WXDBzZDUb-i$UE8zvtu<8{JKBPhFv7@X`4
zo|viL3ig|P6xFwuJV7Z>Y*!rC#a!%q$)S8z8aSJLgd8keLv1(;`CFth=VEPeBzi27
z?re=|m5W6es;syNMNjL$WZ=|FUXb10EfJ#B*H{6kV1w1Zg#Df~DI3Xb@?r4NxImM?
zU&*wx3XGNZWXlAj0+1ytz;{uG*naUU7i$#y_~wVzzSFUqZ_gH&r-^N}T6C^6-yiGA
z;B{hZX#0)w4Pxt&tcmQhkO)-YDBqzS_(J8ogA1(58DHKtQJ26;jvk`gCcK+Y=}o`m
z0VhR$4wT}AE1?S`&ubrA2p8^(9<}Qp)d&2>%6*^AUGZ42$6aZrf6bj2D|y)y;LGiV
z@bVRp&8BmJ@H6IaoE`g3vvxO*{iZG7!jY^i{CA(b_k-_^*iU2B4wMGQ5NKn}tN;Yo
zVnvMo9M%bfn)Sj6<!qO=Cb}SKO)%yc`W?l4HuydSd!aOpNVL%wAy`3aUg-?n5A9+#
zqEta?`wgpi0B2#QM+;~1S@3HFfM!M38>psc#SEokk_UMKfeEbk9l;t(Lq(8sudMfA
zC#^5Cg3|VD%+HdmT(jz>k)$E;%S4sBl|L?#muMyP-9gcg0(q!#_o0x+CNrvFRhwDC
zHkg*Fctj!Tj@-kR${KZNt7RKS)@s*gcREX7^^7UgsvgFJz{x9L*HD_P)ArvPN_zu1
zQ7)H1yK5+IE{wUm!WdIeBWP!9lIFsg%M`}^*njcQedr5e*8Af-3?hsY+BPK0kS=ga
z&cQ+h6T*@<F315y;^o<iwa(6djvo;IA!uQovs>FlG4o;IDCF<~;Sd8s!9Y8w&d~!B
zO7tjLYrE<Mt5tkg%1C=EjB|X4Gb=$IQf|^Dk-tnG-z_(q??bJv_#TyHj_<bMos+L~
zKq+k<DUX`qVsgs|x4miu>sia$_UZl{*4SSzMeW*WvhxL$EAA7Nzfocscb%K3F5f(L
zXMxOYdGF5h-YgiLE$?M4@1Oj{-~IHbhUHbl5l2>yeguFiy5GdAZJbU$C{1X2T@X<s
zV^H9r=vgDmm$^@9d0ntfN#3D3MMLyhk(Sp5OA+TDy(=2kh9E7k3m%p`Wj+Nl5s=3E
z)U>=sP+4hVdC^PQ3exhrAZl1(nQ5W{@M+{7r>5m~L4?y9c>{p8uEP)%%j=THoCg#X
zY=!mjbDlj_gV-fdr(M#0Yl(T?stuS_+11;R+-LoKLI3xrGI1PNN{xCww0U!*-g!l+
zl4}o4P|<ZS0woLK3a;_ln4mqwBN0*u6P!)y-I>y9w`dMxZ>P-jQ-0zXua{${6toq}
z8OtnOZ2>e%>84@_?sN%aL4srq=C;eQX%`%{x!IJ070r^A+m*rMv0^r*dl*nD^MqNG
zY1i3`XH&Wbod^5^P@RF#d(e~uoYw3g7<1ciolPmyh%2?ZXt!sgE>1maAk~odbxr7=
z2J|g)+zC_WfA)XFV#Oz2_}uK7ujv2AdVQZLmF!~=$cq;m&Iq!$xCQHbZ-9bd%Gh-$
z&(hkfNFj9!ETOb3wlV1<NKFoHS3%p1DNPHxD?^ZubV6<?gVZGXP@#BUlLoFgvxTuq
z1M3G{m}bG^{IZ?SPlzLJN}f9GBOv3<rzIrh{-WF`pzM36*HYTyv+Yc*S&vwY#I##9
z+s@muouB(Pulv&1joVJ}M`?7qG#V*?FB{tl(+b!UVd&BMP(m<=p%~PKem{*@Ve+!M
z(Rm_v7(%_Uoy*qJW!q_EH2?tj#H-nMq7G#v8Pfx@)$NKo48>Hm0~`UyjG&HauCcP6
z;LMUtF+GNM#VQPi>p=h*b{q3^Yjb>QD=QWflf2y4@!!VEsS$mpdK2Pe#trQph|Ym%
z-7(QWr?bpXeSfW%osH=$SuOiz@A)TR`JV;(n%;~S(>3-0*vJFA^%}F1G2O%Zpd{*h
z7**|(>o=yLvtElu!+Juu6R}%uOvCgcui9F)Y)r%S9goIq7N^d})Odzq(DvJodCtc4
zh(>>0BaA5~K(%mkHl|j83qiOV?axyg)BTY}vSLhE4f=bYF)gOC{{#QZ|J0)9?5LQq
z(^fPrTzpdL+bB?qbMRtQ;M!B{Ios1$u|1u@3dCs1vm_(2ZyQ*#*z>>qn&Z!XOfayW
z(qk(&1~cV!u{ji&L?J7V%%R9htWNY$>oN3n%ZgjD)i$~|>oH(1I;{!g4LdG;rN=hV
zwxY)lOGF2C;F9$ixJR@w6YNgbU>z#7(qo~%_asBBmy}{pI}k;%Xi5-`hmw@ego8vs
zF85xm>DeIAu9>Y25J&QCGAoA}8?9uH*>)I0h}*;9#hHKo8=a?bRR>PtR#VBgE>!+{
zeTE{|1Ew_#h8m4eZUOw?*wgpP)Nh+lbNmp+p@7}C88<v!EvspGAYH3S>j9$yr%OO1
zrWTxoyD4^-j>kB?3aAvQE#Z!`9Y7t&^<~_T%>n0-qmb|9kglIo8!Fs$WPBigunISn
zpC@p`J?T>tzi)c6g<`GjopHm*h+4&T&za_JE?>D!`N~_r@0T~95siU5x7$M<o8pb~
z6=tX4B4Esuuy@4tdc_T05SSgJ2M8X)ysTE$c3IBN1(6ohFaq825}4!_nR5%lBij5B
zZ*0NR%1|c@Qk@h*P>%`92SI5?$%51*E?BU;;}KJZ#8BEUTq9kCA_y*1Nu^;V5I)eJ
zhag=97sPi3%|8q(F4d685EK`|C4&r`WjW2a5f{NF3u^$tO>sN&*O7cwkwndm<PgzH
zh{;9uWlHISOYYEmBVPy>!irIC*S%50Ph%)XvNHP3UyVt%YwhhXHUO(K`D)WKnO9}{
zrcbJfoBg<o>utB5^^_rZlV7g5Pf-3wiBy^D=HCCEdqN(Oo)CL<N9;zYoMvj&HEsF6
zsOv93_29d{Hb%RjsKv*OZ8+h`gl<+Hy5?MqA0(CgWrtcZ*W$-8U8n)ZNVzCI*WyF-
zQ1>F>8|$?eg(6tbzC%7OzMJ(XZx3Fba9~SseQK&yD^W#1;TDQVR$R)2!hDTXsV<o2
z_@YFjSy5D~OCHN0UocO1B&%BdnB)s2u3a<tTE`^c{j%FZUEQ7CnjF>aGH3WzfAfm>
z8Vs4rdyW3>P6ve63;3_8z3_C@cQU6h|48CO`*%m33G5AjPUN9F-#y=<<9<O_Eg0s-
zLJ-4h&FU8IHampc?9|vu?MfwC-6IT6#v{yDjWrI*-+k1asIu=XHKF=dh2N0N=pi|V
zw7=ZYs`MEEUC~gzawVMHcv{*>yF+^K7v!Yfa;$zQ?2DDm(zs>m;(OY>9r2z{$;)*b
z^3<j}jdlzk+LzA>&>rjg?yrAR=fLS}CUf5qJr~k$#L9R-&M)h<m+`jj(6r_I?9i8e
z)i?h12aejIEi(L<wL?lYh@TR6s8$P_#c=JFI6oF0-AUKJ2&#vxoFD2n+b($QS<4yv
z2Ym?6kM`7A$)UEM#D!FHBb*=5s|Y`Us*Q1e?Djx2MHd77>{yp<hb$>Wn?XvCtT#b{
zob3<*I+zOT_Z=7(TghyPuoOv5#12V+eWkUtn_%P4#Ae8R(@HY?3E5_J`{Ak^RAxG0
z*4m&QI)FMx8zHtB(@}k+yRjKXO;<rkXlSqFS4*%xO(9m93fmZye%bRK8dHI%O8WU1
z2uhlgz?t`B=Kb)egQ=ju{RyA(+}|3-d6pXx@z6_zAFpW^2MB7MXA7El2_gCbA!-{t
zj3rkj<;98{&>-S{&lpO^d3NhHF%$@*|F=bDGR}hl1HDAliF8n#6*JCbDQ&FSgGFVW
zXA3Yy{8*$##M{r;m~kGA&C$WsM`ppBQ&%_-ejN^xCL#n2(@N49_zwj35|SW65^kLq
z2gFZa<2(zn>{D_Jo1{TjlB6;4HPLRx>OEj)i){z4lc2;U@r@J_0v6WAj`ICEu27sH
zYwlX?2u3U4APfX&WQ(&SHc}Pu*Ey=<LpvMu2x$A&aqf0%sm?uXq#Bpc|LM{WEZLUL
z*2!{lS$9o7DH){{07wEU1pqA@e<wqPoC@E7G6(v$Gep9bn=Tj`qH2!xO8kZtv2Z(O
zsBpcx6dwttRh3fHxjX52zVdNAD%q<|iB}`TgnSur)U!Lc?D@Sd7k}FFeJ=hd{Q2Mb
zf8G*>O1e;s-ebHi0CCak8zV;d7qZssyER@})xk?E*$Kg{)%Po?H4((Lj~3?7S_8yG
z<SK&B!j!re@6JkNcU)iyEtSTe11RM-p%iKHmW8?ab|LV?P5q-x30dq~Fl%-DGE|pK
ziv|44jH)43EvI<Ile1`mch(gV-pi-Fi=Ug_X{}eHmMA-ri>oR%Eu}?CaY%L|rItAu
zM1lxwnt}gWrIHo=iWgP3(~H{X&e3yIDGCL;(($#Tz>AJtdng*V<WvLaMHA%f?Pvz{
z_@v{}l5@_S6EXMc>qvkXibkfOJVuJ<JO9u({>YyTMWZ=eS2SMMQp$Nyi_)n!q=Vvu
zhmA~d74W4~Q$t1Lg6L%<nIs-8pq30QPgO*2!BZzGxWf@OM~xt#oU)>Gq5&c>WoWxh
z(YW9N!@!~A5cvZjX=^O`EEPd$A~`kd7~Aqr+Mgl_Ckvh{D|B{(RL7SY)ksBkR3Fr1
zxd=`=MNeHyCUhWI9L}}D>fslhTl2z!L+J-?8m2E_ZC0p@;dY~l(x$*kp_ioj?tHyu
zkMM0dK+~4*bAZ0(r6>R1zY!IV3Jbbka{LhG*9>c!Yg6*c40&Y~EqIOB#}03A2GMMo
z3JJ0>`bE5uKqNDU78vIe>Y)*&Uh->%gTyR8E$Xq8z3ay~(Nd)a5pinjC0{XNoU2au
zF6VI=Pr~hkUILp{`(>(J+X0TGqIalC=-`oJ0xgGy0GKoQ0I=@quT8=@p~Hy~<eQ_+
z3$|upoWSfmYv$Xt-R+!zd5aItn)&`5P}-r_xdUw7O6D6BxaqFTiKc~qcAW(`MgFcC
zn>R_%t5%;lazS0yoE4)^)g?NWm8}9-vHtj17e3c1)uZ}w&QSV&pUe<AVg&`qW^5?0
z8Q(Pj8i6xjjpUHOn()n;!{qKbOicfe*y`4p&h?a+si*v&*M8)eygQPv3OTq#;vh!U
zQ({nnS{=tGT0~}{4cqA6>Xaa6L<SW&Bp3tQv2h~KSTQ3q=*2P|7qvKQL_?7F&aV-p
z3qTh}L}sxtBF+%ZI6Gz)v6F&WH)1cC(16b;nY#pmG_3@(hnAsya0Awu5dX9P+w7Lj
z%AQfs{@}$Lj_ii;HOfAGMgO;N<@g(=QaIDKV#ljoJ`YF*!A(->>THE?uS6sf{Q&bo
z5<I|Mb&o3fDi&x*7Xj0$szGj>rUci!%)uKlpUQ;&|Lnbc&~9l~7dGKmjzA1iAwbF;
zQ=mZCXTA3e76qgSp>&ec(``i%=g>3LJ##YCU!Qch&8UgN0)mJ}4PppGN-YI}Dy0%j
zE>o3?z#l0hUN8xf%7r2_5)Eplh$+#8%I~)>&sxv3_q#9WeBalX*-$;-yU$+x+53I>
z-s}2XzcrGhp_7_I83}wMl#x{U{7}Xo;t}=OfekJe?Cvbsv|F@Tu-jo0`OdHVb+3FV
zQhi9=BSP{C5xT`l`iu$zY6_SpYIR-Pg*2Lk)N&XEF|9_*wFg)-t%Qc4#B3&Ls8oz^
z-JAvMat2zIr>60gkj+=bBpk6f;4(21lMs}Mt*l7$EylIr^=MBmA+-tO!jN2q-~r8r
zn(B)cC8YKhR~r^qISg`Z2~HQBWa`A^*f0hCti$xe=3thBY`DGQ->@%9?hS{N?;1N;
zv!$Ytt+gawlC|!!Z^_*CRTNTlob1-;5=lU*H#n4W0>qv6&EK!Nrf|aY)Xfu@Z`C-#
zD*ya@KmP|`39yQe7H}2hb5KAji*YV+P@!3jhSqkO3Ua~ohHo`>)f!12aZp2$D#!)F
zEJ)-6oM>Pi=o{yu%Tz%wxId#0N>8nr{47WnR0Q`-y>Ueh&}vtt3UWbaw2+757-!HH
zHm9ZvazXg{^xrw0S%+rjQ}cmxL2EUlAm+q0D~h98Bv&g}e`hOT*e?8#QucF6kliam
z(gA00e@OwWxa5Av7HF9ay_39WzD#jji{zOD0Yp;gg;kRI_8>*dCUE|kyo`K%Mzyax
z`<E)Zs*9tJqT79wp>-W}E4`tVvR-fhOq(9P+?HOYl$sTl7{^dlOWQKutE8Fb=ZQc>
z+Lq@BBK88@miC>te4qCHFCTs8XTRrD-uAY8?|3?ljB8)>cK}xdXSXWVwe>u@E7UlE
z7!fI0k;8rs%r)QI5X=rBfO4j$w>#rh3&HFFnhK;o=Scf{#q0nckdTlPF6se>*NYXi
z0|-KM5W|Tn*&6G52xbSc)KpNdF}~Ii6!Y#bCGd{wQUYS9aK;eSFyAB(V6jt4KrR&_
znIz)|tl@MXw9t%S5_YQo-ijM{KNStBuqN<Rw?K|pk`p!MgGUa)djNk`wcZ1O@sbDn
z;E^lL2iN73&jNe&V3@Kl`?ozlZgrZf2Jzq#hJEn}yQXW;+m!7K_`OD9H=A5BWp2Fg
z;D2cdQ&e+Ra0#$;0;10kwIW~iR$QHTZ~^2!*=z+wUrDCN`U;#+I^YvEHe(k~4?=pb
zuh_V(2utt~D@->@rU&~9k(9#iSzT;HAkDtmb@Gu?<GMQ<NmW`iJ=#~NEnEvp41xlx
zjh3VuZ3p64+VZ>OF+6e%Uj4wrWks#h&yManc?mF()aK;H*%h+rzvmVOQt^K_;A3gm
z%=ZZIJo%q-cDQ}@uQcr?xGpcjb$JQ!Z}}y_rak%)DWCi$z`qUhHcoyCaGLxQa5KFG
zI8F5uaQjJKf_IzCfE%RMH~)(`qwOyf+m~F24!lzkmyy9F14#w5+Q_4od<|}gtwqPy
zHse1wrz_O)(+RbuD~^}#bo`c0U2*>H>I!N;A1{wgI-c9<cwBFWnQhS|R>9o1V#3n#
z+>Yag5G6LZhbugg>2mOODtPO*alLl9-W)`4_g6ctA1Po6RB#a4juY-SSG$~o-JSs&
zsg7?t2ysBZ{@w0smr;JZ_0_KW{t&3oUw{AI{%V_3-n;G9u1Y~epgx}4asBwg@}@ps
z#r4u(t88NLE(m1qWAE;m_+)m;lHa?Jts;ETO&7LJU#e%XXybC;UF8r~;qiMPzVJd#
zc=_JvKlt45yTV<=|F6UOD}paP_p(%?#^kP_H^DvzO)gzHG<D;=y4N|!r9)qMo-T@A
z;tS8?&=*NIT~3Lu2Z@OK;dS2k@H+3iOj3<m=&xP*x2*@G^Fk%z9%p>%`lVN2x_<Z`
zxhVN+*SxMGSr)1U<%`G{n0#005f_Em`NiU{^vjhC?)zzZ2(Q|HSiMEl?g|g(_b7+*
zo4x7p3UfXG&0qdIf9}5x;%YVf-DpE*-ooWyout7qdr`^gr@S<L`qn)VRi%Cerv}0u
zV^U}fbXem@B)9A-W2#}afg)=on7lIZRZBBIvqxP=J~?F|(GgdRi7SlqZB|S=>ZxFh
z!Suj3bV@E6nyYqX;g(#FWskyrs-g`b;FKi}864~IN|MPXL)r)(NwOwTaqU%B(Z(5I
zIhEWwcc`y9&C&8$qeL3n#VQV##~A$`KRy0@cCVV<vZ)b+IaU!;pz14oic=NBk6jUV
zh0^{--(~}&2kd>MM%x140i2&xRP7{lq~j)e6zal<M<d%|kvknmb2;b)=pQZ+Q`eP8
z29kryBarPlf7?eO?`ko{sAz-HE~UxStL*Npr^(Hm_07scT(a4d)7mt;?|<c$FeOU?
z`R)qHrhekbm)q$sg4=M~)Ysz{B{x7nLPNV^xK~|i*NI4efPT!!ibqvEklLjh@U~vQ
ze&4%45YFAp53&8+dp;0eoVb$rRLp<G9x1vcwCLy0`R>pA`7uoPx$qxt&^7@hm|?%7
z0SrwW{6~AVWHf?e(H(XRLIJhte4`sdQ3ECz{71lKx0qkC=q_0JkC1X~!P{cdU9j*U
z9e1F_slSX^^dji|M;NlG=&Jy4i$yoV#D9eG#m$Op(M>Y=kM=Fv*s3=U2nXYWa^u4H
z>9R>k9B69>{}Co<v^Pf+VJ2zw7!hKFcDDUOnh5i6RQQk3oZR_F)%_{_N5`$qc+8K+
z1^y%A@Y`Q0-=T!b1|p%AtZq@^Kf+YX&Nr&=QQ<$@p+BWsbF$wPzJ=$NiX-CrB!>j3
zyONRK_Al<QWVp9_FoUdm^IW3K(hL7lI48(vL6Ifr2B7U(=`Qd!<#Y?vT~)dV`K!t~
zlI?VUdhlseUh3i;!F5COY<{I`8lrgdc&6jAkhz#(P9ZhHDWxCaO+Od>h{fZnouQld
zc)sD!{I#!7col7DbI`Z9e;zhlLbPEjH=E8FDtbIFIJr9(1wo)Nkk3ADca})7H4HLW
zRvhYOrN>hQhk99QPmAE?UREX1bwQ(s1lc_5#agVjUARVCQx^om7Tf|R=T}M_7TvM%
zW2p9u<Yuk&RN!-n6IDr41G*&GX^7Lo$$_4y)vqL}99<G5l5ik!cHnS2^H0R%aY=NR
zDXQ?aT7wNHuQ_V<Y1{iPe)`w`{Dv9yYs*cz8*qJtCSFhBrKGr`$Ig0Ot|PHqpN|CU
zOFD5=24bcpM5p_|p|@h%q%y265sGXlODF)jbV5wLtm;Twj!apP#*)g+=Qtioky%*_
z)V(UY0%lRFItm0T!!Fx#{esj_n^ghg9ZyS{$(~S2bAU_1^BPQZ<W2mvpk$fv1=#W<
zCZ^*BOtoOsmZ!1HF+1bUFZ|wr@8PR~7F2J=wXX+1K(?_VmB{5?)0Am=6_04pw25pc
z1i{S9_d7=)b-cOZ5Dz4VPvjn`1?za`un}sjqs&081#`R!zC^@3)aO9Jw={ZHG=s{!
z39cLFRn@-!t@m5t6Q}@>Oo=qtm}41m(i%H0coy0(Q^lL6r(wo$4V`Xb$sl_a322Mz
z)WcbjKlzu`46;cAPNBO0blk}>mP#^b0}wuwqJ}@wy3_q!$D3-(Ac_9wI))w9(;8UK
zVyDf?<{Q)1dC_7!nn+Bq^@)Qp*VPMLQ1oRL#NEP_llkiRF@vzF>UY}med_mjeeuVC
z^wY))c-B3y<u>Y<O9i|WYDn;D`^#;)fR`|P-6~qj`U9ybXelX<nY-xasb`-N^=l=;
z18CE=js<PhmfJ-Ay5NDCA(q?r7~9@_nOwj#J_$gOb{nIw@l%iLpz^-!3*MB(!aMWy
zP}UWVipaalvW<+MC8LaLjFG#As@t9jU*x%NS2@0oV%X9#GRLVEyPTXtF}@|+1LQua
z^wbO71IwEMcWuYW-8PUcrLd(G_VC5$fn}jRF+_}h#3NnQ!CRmd_Md<Ai|_oQ=%rLl
zzJpsz2aNl)V~tEF*gTCmkgZTetF3`t3T>mWXcK2?Z87da3{(ixHoD+`Yb}!KPm-ZY
zx%q~+(FG9`an=JY8GL%pq{ND}jYaSXPeKK^!cv=8q-}J;z^tIHM?HdAk)eqT+Ds|2
z-_~jxf}#Rl64qGLJsh5-Ol&SWYHrp38PvT6q7<Xa4{8BxV6XmLF2E!M-8Y!MvA(i7
z#Y|6;(Tf@E27;wfXfJH;GDCJ=Do7&NWOXGx4XZ1OqWSK<J*XG7pC|AiJG(M%`9Aml
z?f?Fd-}~NBVVb7#?209A;AQNz_I^Z)Oj}cI7c^7OZ6Bb)M1_Hq&H7?OkSeUKxZ1OU
z5EPL}GpGs+L8>qpjBGhBqD?SUg}ESjA(>=A+7TH6_1a^_oZChKg8dW}a<H7?ZF1Z4
z4pDI39X6YD+b9&<R9aNv>pb*i#gr7voyG!1<#7s*suqt0;*uUaMeKU0{R_Q>hY>d~
zQpCWGRmgSCAVtQulT*gaQbElnhnkoZ+nlQ8pwkH@Wt(%-5(B0aayu!4Hbo62-yCnA
zz`R`hMSISy;jq%Fn=YrW{o>a`!aGF+srrFvAnm>N;i^+p683hEsKh><^@pE7Jd;uq
z$|vGF%h*K_eID6(hiB>xW_@vzY?tY*A{f&zIb53g+YqGAazQ8-OeElnI}%P(afBds
zmJ1FNZBu8tAc*|gm4(LwcdlKLk6#fSV&taV<$`;tDybQ=D_(s_+hz4Q7d)X~4eFAN
z++Ct5al48na<=#(DY>?ZVX>q<l|}M&L?5O|vM;UvCFOl~$-@S?Z0caZn+*D#)}%!#
zlHlURj}A$6d$zYxGQuv2^t)E>0P8Xkd0I2ypS@y$0ObZ>Xy-cf4MNU{MF2`}#i-<G
zLs%jG?D%omv<FGx*fbTN&Yo{kp-jPS2(YI&zY^_=uZf8f>@p=s7e}$xl7icHNich|
z6_3}svtmV@T`~hj5}kM|iLSl&X@{POvtx1v=Lqt?^G(WUAGK=5sw7uijmuh%%mD0L
z<Q{ni^pShrkGvFGP4>}6v8(l*b%%3bCR2u?qI!n8$Z$QXFB31TU;H0l8G27!6X@H}
z&h6N48M2Tpla&9GWdm%e>RRL6xC$c<fx6nr?XVp{6|q!aY%hi`%z`1YOD=bv0TxBA
z7+q@+sLn6j>HN6fLpndZ04t36R-EoI#j+j8H^o!^dE7<E7`UXgF$NC5?mnue%_fdz
z)ce*M8S%G2<^9iGkEQF+=jgZTw3^FrZ>exp3mI}F(}3KBhTNXv)|7;8rN$ie+q4A$
z>fR!#6E&e#sQRH*a;1Q(*9D8?-`AKUu9{z?JN{_QF<O_nMtKoT5G+v4T`<!C`_r6S
zs--3fN@KQavj70fs76q1qhBN*I<lKUatCgyR#FnSwkDDntUI$-fU0OEIjT)n^K_FJ
zs^hvEl^RFXQC5nLe$q9OyRZ+h6b-7YLUY=OR}vXYUa*{{S$T>~975@&9bv%me-$&Z
z1O}n3G!970M$5vXEMS&_he!t?15!2NDw}h4K-P}!s5Q3i%yRUf6pJD>j&c3OqEy;d
ztaLU9)V84=1<sT<sSWq89odisWXpVoXHWcyx86x$EVY287VxoA3;3*m>w_Qo7h!lc
zy7i#clT;-?24BgN-I1iqiL_$<(9B`BDg<*P4OE4gPyqJ{d3394hhUXRLuO9WUO-g}
z1So?gb0W>k5NxvpTZdSxSdoU;ww^k#4-8Krh@wE7nxo-$L3COur6Y#1%J74H>YPZk
zb`!?KJ82Ecf?{}m$;kHYPUdgKMM_ZWl9BGikKbOU1f@l?<ohrOq0LD)AW=*BAv!ch
zNk99jF)wCQ4X-8pnty%kfJ8maF~goK6AMW6V+AC-cma3k1(+flan#zh>M|eTX66HY
z-fw#O6Td3v187~YMFiNCY(&*Pg?@SgR?dR~T{Ax{g?=zVFj%%-Eg}F2Tx3ZRRiB()
zIRxni_=<yMsq_L|aF8sOUVsY@lBLoMa6zQFFLR*M3vj_f4%7+}Fie8V@Y~l!HFAIi
zl>wAX4wInfyE9CJN+-?N+z*nV=KHhXt;t)$loUqZHFsRPCVb7)W`{|5nxrtl#&+S^
zkPz1;k>F?Z3zHP?OD4&Di*QaRv2k{pw^u)J(sJQzJ_%VXeI3m$IQ5{VFs{3)40V!>
zHCs1&GsBTkkWVT(mqc5SnwuBq*UA4imlD@=Jr%P-&Wv0eWqx-!1JLreA!Sh2Mmrf~
zK68;HKmxc*$TA~crA$V~^1e0uV(nQUv80`p$JF}gwB=hv&5oF_`q>}+{(m+WYVMKj
zl+-N-(;0)S$0#jk!x|S6%oyou2<A|;%>P8c2T1>=h5!JX4)Lu*O*dPJ3oR=eD^{WA
zj&lzgL4xeDjkt2CiTWr>DH&>#c)C7y6>6ePs3?#FhYQq#In?9@iN!=KPDii~HP<H{
z3y;9K3HFSE!M+@Fj-d9W6+U6f7A8}LnrB4yQYl3ZrW?LiiGUTlKlLZVu)*pJO$s$d
zr==>KsH4!p!Map-xGvKpz5I3!wHvDJ(Nxe|rBZDpb6YyrL{Iiaxbrr`^vDxflO@u;
z!ASEyI}E*|Cdl*jN~4;Y_JUfm-khKtsZTv~G*|U5apn?der#l$fAoL<gCG9sQP}1X
z$TAxlkiD}t3Q6SE#(1Q|U}qQLfaY3+5)j-X(yXkJPVsS5i1HMALSe8$doBD=Ig^|8
z1xOHgC$X9EQY^%cQ)d?d<Gkt55Vq;`=pmS0fCC15i^T*Qsgv-{E&#f5H6Uf4yY)UQ
z7XZvu>{`RQS9_zR_j6A&!o{{G3u=}ZG(cgE`$kf5uN)TtqjvPoP`h2g=QjvGM~@d1
z75?NA)d@tYVm@JMQK5LO%C4<9*Vaxj<&0hYaz~c$3v>R#!*~D4Pehob@lu;hD;?vY
zGBen>1y%<0v@H<5dgtlpEL3Kfa%tzCHU7hyH&&5YvC7prEgS%HR@Mr^oJ-pS93p5(
zApVfEsnaSsmj=E%vKSC}vJ$9ezQ&xWIim6=Va{O(uw%1g&ZX^9sM3ti#sjCg#wwS#
zM`I5z61g8BN82T(qUOIOPR*$UxXR7Bn|aE)w8(qO1djTPOGVAsL^lB9AgqZC7Fc3@
z^HB|}>M-CjMPurYO^#clu%<h6GN~LFnwI~R|BF5GNf$!a$Ud}48iY8q=lU=gNu!6=
zS)(P&!8ow<yLKzVP2L{qibXl|fim_)kLbHj)@TV`?k;qhc8gk*w3NthrbPDYhri)Z
z{rw1C6j<hF-GP;90IAZ6W|$~Lmm*k*-tjLruChU>7XEgFv*#6Q)_uj`Z{K*^m+gW_
zL9_0H!QYOgV+)R@S$9D)+o}=XAuB1?I##4vFM`@cARy5WoRntW1p#GYz(&E9wZg`V
zV%A*}bAdo1L}LxOZ3}u8v+j}@KgB6o$g!^2azSJ5YmS;=+DNrlQPL3$89O4=sDfi1
zwkqYIe@{4;^&SDoA2v8XWoymPu_r!Fk*TE<Le<lX{#P7tw5|nUb3v%SnGmW|;~0Av
zM(lcPG+3^A`}QCI-LD=~cyPYiLkei_mg$T9_G!7sU(=X+hajMRp_!|3!NPgCKciOM
zte6$v;C$q)@b;JIBR~60R(L2Rv1N=(hgFvP%VdRjT(8-#M#00T?gkjuIiesiTSDH{
z@~ZQLs*XCblHd7wx6844w;n#Xb*NdicjZ2r_%~j|(2%-?KW82gU+iEMxz*SO5MGMx
z^Zom2dZ6Rq-@cmpKYzvMuYGRt@@rbB1t0_b%f?Ie-E+pdyqTU)dd#ZuaeahO!xe$a
z9ZCrgmXC8vxS*c;%Evh+44}~E@^MZH50;PXl<->T=Ya0M!!}T*gpvDD_zjZB!&Two
zT5rneA-jCB){9DWPT23!mL+{hC-j|mQr9_SkG3O>vWJF+<lOpkrD1iW;U;iRt2+&*
z)77P&B61etXI;ltu5Dcya;SUz3&(mL)}v_~T5b+C+xKZ)^)5q|=Rt}DtCp0nxCfzp
z1#qOPYbhb8*BXhPw3j91YIA}jT}sG4Jg}MMcX?Xh6w34)XSJVJd1zhgB@c5md6-Z5
z%ZK%7!%{H+9M&>SC)aUni?mX540JX%B~s19*v&@Kz$k+HTA(tDY`<eAfVJkG5-{ge
zXMf>X%G?K>yYXp~VnOQMiqZgTb*k6dUnoUsj8(SGPIyV#U%*InIzI%kZHzZ91S@|b
zyC7%;W=NDI2~{pXP$_hyw$+i${z6o`cUvL7uGY+Vr<A(;espP|^|O~!H-L7ix997e
z9FeJoj!ckl2e?-(Fioy}P&3^YV)U4f<DkNChSK-qFx<SuFh5$e$9g1d(}~uWYRuhL
zW2W7r#S54|eh8z3CyXD`X@39rcm3;M^z)IN)Y6z&V@h@Y^`kHa(-tFLv_P#7MrdVM
zW!0E+ji(i09ZaCY%D25z^a5OPkeQTTfC~;XlU5)Lj5Ppwfo(H83~r#!jB6Axz?VcW
z00R)1?<|brNisJq-5S}cTF?d*Q7p0t=1q`X%x($RAMG=Yds^h)EZR9~aS0kh^#y7i
zgqL4hJj7CtdFLC0FvOngxt4cAcu!N{FWAWPt<_*T=JP-4Pk-ASSXs9ZkDhhB(WamF
zXOPJ??>-Z8E_m8*bo>BtR#uLQSHFgpnIhYx2(BJ@2r};R6@%Rwu(I~lS>s{U4ZB0B
zb#&%s)=!nj+wGL70R(v+V(F~$LWiPbu+yf<X<mMjp+vVxRW`p*1uMhXH7$zqrP{g^
z-&?w8e9h3JXf)EAf0E31C$#9{Xi=$4PL5`ZnvxH$K2;}mi`u%sjb{23nwPZoh&Qe}
zU7BZZzIkSTAY+g1h`w6_ndx5gji)oG-J;ecE$PggNoRidH-5s;eP)b_Q@&F#e-`%B
z;QA%fI&+$8`O^h4S%GwY=(+|!xtbW;g|LHW-32krjs2PI`8*&2O5Z;OY1WJ22Ce<F
zA{ukmWQHKkx(i}tgY&LpR$X(AH0vP<rdysGl|4aOWO7=X^&$x7Lqr`SC}SY9AkDf9
zqFIN;hY)0zwmG!~GA@Z898e&OB>b9Il7Wm%f;*NW5BNPXs7cMb?ZPivlLD^*2ceq+
zaz87+y5y*_E}?^3(<x=juBEnr=>W?-DDuCJH7^c=?=KhA2<rNM46?H4`gon-YW7e0
zVz}-wKm?rTcoGY-E2#@B9suD)M;e>}|J??$(y5+Xi6Zm5Vv>ab5JlAi6>$Iz4wBAs
zLX_&TA6aJH>Fw&?)521mj+gBy3j!zx+VXTf25b*A9Wei$j>q+8u17K_R7|p<PN=S5
zw&Qq7eWy)<MMafTXcgw$YI|zu+UlvH&$Q)RJHycDqkrJhN4_*VYBclaI?^iur5TW8
z9fu$yCz*Fi<Oj%MTcWs(L5QxwF^;+|^oo^JI<SUZ@`-8+9=*2HK9_usD~!IB6+yVy
zUL&7v|JEpPF@3}qzmw%~Y!}p2F8Lfc2c>)kr=6IPs_2pj8J{;;ikc5Z+D+|jx;`tq
zgdbEVbb}7=^Z!()Q#kS6{#V8N^ER^YQQxq*MxjmCd~qDlpU||HzQJ29<a_AqfJs<a
zDnWEwQYiw2Nh(E^T+$(U@()=D2^66|AnTBh4n)9nr#cYV%dS>3X<TjZy%Rh;vt}2I
z4NW>sG+yX1;f|TF!|dUotHYMU$x=A^*yzCj_D|V=(K7+JRHN*+)J!zA8(JjQ=|}%X
z6CLnHErKO50U=BqnB;f^1gdnB;UsO3osl6q-iRS2NKh(_z)og`6F>Fw0KRiIQz-K^
z*O=oCvwS%9?riL=v0{!lVhBlT{tv+%Z@@Nd2mTrSKW&s%#Ty_d;4~M;2HhW0(un?b
z_9v3JQW7MxnsAJh*YU<0=yQ=oWYRf%zB@K>4{_0IC1B4SK#31o&VJq@T$Hn)EghWB
zp6?G_WU|p?&E0v;N26LZ2ZUINJ-n1$30r?iPVytv)L({U=>D7aD$KnY>UdR={_a@W
z_Xv@aeTbgg@Fe;^nRj7c#k$A4XaXL@Bg5qGod^XH&a4Qf9qxe$MIzi1A@S`_-bp*$
zLg;kDJ0KTa<=uc_r#_zB;SLZyS#ilBrkO+SCIMh9CnSK0O&-1qp2oWxpRsrscjsN0
z+8S{H+bDC%X5LIT^FR3LSN*SlBqDWfI&oM=>F`QpTx{Z%?ShGyFu+Ho?9QmY5k!GV
zz3s;m{o{hAn-*hSG+7rbN^-;nLDr-BKcw~4%7&n5Bonl3Ch;5lrmM8>89SE#+yh(}
zaYJH7$wK+5OGhgvRJGl-^e*f$Br&a_m1G%ONR1W6yKqUQJn(H{wK1H<g8wARY^F=%
z_hXYR8*jJ9s_2rVwpeYM+2KUVr-pECw$ALZ@d9-s?8fTpnsbRxJqh4{n?*Y(rCgs(
z{F@nG5950b?=r?^p5q{Cj%0Y{HI1-d+=|pdk=|lq#y}d>nSmzc6<Ig*XM9BCFD1s;
zvBYS)X5<zvMtS-znuC@6&wlYM{^oxbB}T3Hx>2_3FZ%3QI-{-KcIl&NlwA<`dL60^
zZaJF;W4mCz`8vBGkV9&nV9?CWhaioz3j%_KS{wq!!)j}t10hJG?1DB}687%6wV^Q~
zn2|%L!`7(74*(;HQ4T>GWnU2mJ7Xh<@op)uXp~(LeU&<f1~b^Yc%Y0NvZ?_t63yZ2
zw8Xh<<Pdu1)hOeaYELd9zn>joQkE@=)C8qp^L<3)x}=R}#o19P?yQ+_5BNeD$Yafo
zCtA{VR`&<9PNi!TeI2OWW}N7#^H5B%TKt<WaNdj;p?-`vW{PiM|I{7N8J<IYQsElr
zIS|RWW8P#wbFLGJ(-@`8mQ@{PfmwNWWnoW|03t!%zBowM=hPa(!H&p39go^)Rh%6o
zX_q;4>3FyRb20%k6CgPh^7FVu@wCMGi1|3>aZ5HqnK3#)vbRTn#6oqPhzULlt(vQm
zk>y(h$lB-s`*(iwJD!V(O6wb*uk|Y6U^6?Fw+jw7vr{{`;DOU~sgsYJZKv;x%E1MZ
z0<t0UC>OSsN-A#`1dksEA!9{gIf1Om9a<VuxgdByE_0#t?z<rW8iu9Ig<jP@S2xfe
zKV8)|I<Jn5R9nntOy!bt<Xz&|L&aH`G@#X%>gPPIJXrmFV=<;K*~`1jUYcr~X(yKK
z<;`R-pZn?i-*$*VNmI#gYb~)1w;u6|R5DLHOG?@<%U-%5cuNRhWYuMDxyBHrt#v^g
z^$4d7vyrqRA_Qq`UGT66cF8J#mKh8|+FBQcH-lacE$6M;6?1JANK9r}iJV+Zn_AT9
zo_&V4)>quG_xQ3{5u;HMiqvJlTpQgVrJ<gJ$}XH&tZJk9`3~X`>@i!rU9zf;!WH57
z##OEbZBo}939T!a31UGFRlqOE@F&hbYQNRK_7QVMOz<d(cxe;;KQ-zd@RC_<F9+_2
z%YiC=8-%<aL+<&`_AmVB1&TQ@JQ{>z!jPvIU8#S41POx`gjR^Z@2U(rHCVBiX{Hfk
zu=0C;)lYrFuX_92-gfUDPY0H|RiFSPfcsPQqTp>S=y`@O7?TDR==o?AU3O`fY4W%J
zblfY6$9a3|2v!PoyCuv4D}w1yvm>!$r9jb*i)$nX5+FzkRzff<&`|Q=X>U;|wF1Qu
z2CjHsBZt$hm=!4IUhT9*b<nQ2P+<U`Gt=Z>`-mhLAR|=XOipEG2g$erIHDL1P%5^d
znhIPJmIJw-_A({Y<Y$K=P>XOrdvPv)q6%DsreRgeXC%=&Yv%hC#$%_F)pyS~D2&Hm
znPe-;>JAm-0md`l%1W}jMPWQJVxyJJ_sF<*#Tp)KGxO{-f))2)iL=Sn$RYf#*BmuS
zCFq+_bW`II)=9V9em?ov>yDjrGv<F)3?N(kkl`@8@LVgUM?E$$#_d$MKG))0I0A4u
zyVU|y0Bo6)j@n3qDW$WZ!z<V=1ZrRlw<CYGMfxqb9suaF#r|kLfIxM;Y^UP|h?CBL
zzS^#<<E8E!`NJ)@<9Ok?O`B40_jKBQBnw#rxGRgagqC*~TAD_hY1Nm|@@7KIKlAKM
zpZZdyaW&oC*5LMt1T`8%?Mw}&<)tmOv@I0tb{u8GV%<tQ<8o(wF7gykQk2$gLRPFu
z%j+wa0n04LwN)z`UKcELll$8Ia}6#=7sKgNU`(8vI?-2*$;CTZXz`3fkXF|PV{q|i
zduRp4Gb)m0a4`l0x96^FaQhQSRm%VugB$zFNA0s3wJtCwg^N$ZS5nMBIpfU|cRTH{
z&&{^~jc_kM`9A*}d*YLxqb_7MmKV09G`uXxn43q&%(uMuw2r9tPT^{?ymx1Lr`@8q
z__tWzo3Xs#_Zgq{*GK2JoC_p%i-M-uAjd~uf~11hOHFhL((>9Cn@d@oq%++e@IuR5
z1RaukSYt|Kb81>%7Ys=14o#npAT6&81|+p-WQ;^79Y|`=kQG{9U$H<^yE9fSC*hI-
zN#zK)<|4)Nx@167F+@#sF}Ca8V_ROeU<)Lbw=N<;ASkMCb0mB)fGmZa0<owM$RQ(|
zC(v0MAtyUm&(-nrtfUiiJH?m?Sy8o*PEmx=Rmcj6OLf7rosP%#?$hx|u*~XZnF2|j
zZ+PutoojffCSj*7-x>`jVgL9q|G?+|Y;^c(Nzs$Aj-8lHkUYqHOodlg9HKL(!gImF
zMtv$g7tD?N77p1ighNz#E{I20r}n;~36h7&j59&<0GTn=ZN~FJ)pPnK@L4;U2T`~2
z6G;-b{6^>FQ8)?!^aSp&hTjOkhD)B0J2W*i_YI(@8l<#lzCl3UVEPTt4q#@7&Onrk
zuL)2j1bCf5W_H%3O$teTCy~V3YKi^qlg)yJG#Z^f9^jBslG>8DRIU_JK$UtF7L#NL
zI(oQi0%VK-oi+hJVXk!}v@BtG*i={<E(p|yr!ibi#U?u>W-4-}Yi4evmq8M({;b}|
zR@sYEU%ffO2ry#vmtwAsS%SN}3-0WOkKCfxPA|dT%>;K}_ANj3eLwUoOTk&4CQqxH
z3&lYU#IG|H+Yl!V9bmgGty%;@G%7XPJt~!2CJn(H+*$0xj)j#}Uh|4MxI1n3<YQwq
z0IX_r>Kxo1Ry(3&+1fyEf%+PAaEC5J4&{U(IGgLRNfA5#Wlk8iq|Je>)K)8w8q#9_
z9z8(qF>kG9D;d}afW*kAXBPQ4a*CCt_4}!1eo#SKaiWUUhuaqhDK(3CPTq3?%^{TN
zlKDh#Q<;<Ohr9v<6T)piKAT-<x@qpmyh17&U;!57aYX%-TS4B}++!)ZIeYYDwvVI~
z<XsXB6N>pdAdS>Mli~{&$-^2CEzZtqtP1+FU3kpJ7j(%3yhV78Z2ef_sjDA0<yzAW
z!4<F?9CXOW6N0s}<n)K=x_XGP)jmYmlOG~T=7)%zna{g<h;XV~e~8}g8F2?k`!?V`
zZQQ-IdWg!4M1hb%go7m^Lu|ICb}gM}f3<Pnwj0*MD}N8KZ8`t~mA@z3al-BHieXPl
zRM2Uw{9Opt$8$R!f4IV{l8#3;y}}l3o9{2%>3G2cq~rHj+bU7B6-yAmpKQnR0zHUL
z>5U1}3w3sj`-;8W(^Ht-2U0~&D+7)IRYc$1VlQF^aDJ}1x4Vhop)9qP`{0|EbNk+h
zFTC(7nU$`6w%2=~|KM}K?+TtZ{(l{AQxSaOxtGN^7?UdtzWVZW*RMQ$_2Em`59Ca~
z^!4*p&UT*b=fRuXIme|#U&x2Pz(X;S?6vp~&3v+l*LmN=>j$sB^ubrPms5`Ouher`
z*;O6I3l%56d`WJ-`qK5o_sI8=uU5X&MR$L7C0|6oz~s9^SGDM{&My{srC+XGaNkeM
zLwMD8Pq=|T*3LOD9m?-f4&^s{)87@Q>;C-r{M$eNony!V^i~lR2p<H*km^}@nOf@;
zwgrwWOwObr;Lot}G}s|XZRLW|or~<5dLSW~kpaMa!+nGv+=H%P9nKJ>KJyiki6X@p
zd<D?)w&i&$E*Cr;;nSF4r$;p-1NK0r!=s^}vNnGzPEDQTD}wBp08lu^XrxsqDFka|
z038{1XrM-$-={(bVA3qFl8!c<{8o~N#LtfU1v>%+LtwtUpM8>(lzik1=_)#`nvWVA
zKi}&O>!Fo@T^u8I#&41|Q_ohz2wLZ;D3}Fs&i>wE#3B>E$*R{rhq~)-dj(RCM|L)V
z&mP#&{9;PoI%Tc@{#RZJsf(s349{gd7FMkG5c>6hG3RDO$|F==Y5%dsp08mMVMNNY
zgJ%5=Hg<H{Em|^7w?m@w6L0^r_x=4(Dw!rlQ+id+(cXyB0moy^u}4WnY=-T!Oj8lu
zqUlKl@v63vc)s8+nEML=RHHqQ6=|kj5L0Tj!a`^u6G$26PBZO-#{+sBBuT+mu11h%
zx(K4}Lh=dw)lM)Gc}1FO7ep%seGWuQ2({{OJ!=2d{IpG1`mZy=b2O6qzb5IEtgKnP
zVpSg<9ANkOED&)vE<2Q6x(|mWmtk0rugc*HlZYq}xtod}A8CXtD%IRhC0`kgcBH3}
z&!?#PmWiebAK0X*m}!x!3ZvwV>H6u~C4&d2KX2Am82nfO7tA+!_V9)&sLaS>@akCg
zb(yn0?G`Nt?{*B{mwwyt|9?Id6E-z>+%2sf(No2^6f-2-jJLFwIMfV1zS0K;lv^j^
zO@n8->8AjjLqZB^xWmTzL?Vk_l~#60PDy+Q?79LPLQwK-c52W>b2<#X;?t&c>Z-JY
zB8*0Sdo+2At&J5kZVuzwSk*yXO4C;fjvBmTbaG*(lM$a>RCzOulwQo;O`E#_9Vlc&
zpL8T~#TecZfnt?WtRpx#pVZC%W{+6fR*f??w)*h;>t6{z1`U8*1z9*m9&!$Ugu+;R
zAFAy#pW6jrN8&p8<jTi@p;DbhR!)r#GTi0V*dW7Ql^PpjxT{iQ!xVS)y8M?Mrnsw8
zV}lfTG!VY#FvVTYT%s-fvFYfB!wt<`Z8X)Es2=pF+)Qi#lBZmy_~P~AwbkqI&%AY8
zX9?rY)hZN(hGD@<Iv?dJ(igxpkJeS`d`>uG*$zj(?bRimtSZk*Z)!fCk5W3mfum8|
zRe_L@E#%|tR2hisDjYMJ@#ZkuNt_gyBh|_%f?*Sl#Pb6YdjUq&W2b><s`j0>e4qCH
zhClo9?-<W`6gHgEjK=`=!ljHyhf$%<csSEc4_QFYcnop{R2h#Us(?D<QD|mbrhrig
zP*um>XFT-0hv1-5ZP7o-BIi-~IkFplpZYT%czH{&;Nk+_v<o<2(M$`cJBnsW@!uVo
zblNRymGuH9y%{j+2fqGK{i=_P6hG!10sP`Ae%*pQg2Flq$ZMiXh5qdXrZWnz989WP
z0EQo!B!D2s!!pI61tsHQf)SSp8CxwV84nZOW5BKy4USvzpfxK>#=`|c2qc+%G+juy
zMLxA;Jp9zar%Js7jH|+vodqT1VS<PalxhfbgZIP%W<iD<{_|M+1}!0&Oxa$egc~l2
z@m?6LLA=#L$8zN*H3e=b$Eac*+HpFpgv6kdq>t!pVy3YU0tQG{MtxP1l8^FlzTW{y
zfyCA6v@r&sxQ&`nH_0Q48+x6mwV)NMHS_&BtT?ZO-{`1Col3I0L8lexs{yKsF4Fer
zRKL>BzQ?m8K+)rFFC^-*<~&bB&A;IbA_*12PKsZ0q!Xf@Rv2BfF9X%*B$)r<WO&ID
zxh&mB7^H3sW)9njOimOl^}8ei@x9NgL~d%-)X7jVBNHi8^n>P<Id%Id=Fyh;BF;~y
zqY4~|?iRcWQAUyN2v>-vtiX{VLYhNdBvqQU9IG-B{jet!rODa21AFOiEBcwXd}~md
zd-~_!@Iznw%K^($%k0L$>@<GuGAHqD-Qc#XsrMoQgdS%WhDWIE()hz}VK_%WN2IlN
zx*pERqHC_s=IH0RSz8$wEOA6UWx*W%oY{InrG$){kmF^+9Q^=+#%vaO=Qe_&%7Qco
z<>yg5#KN$r=HllOW8ji!G==Jt=s8rgktCUWa!Iz8OaB9k*QVl=B#nVf(yZv3Fxl-d
zB*=M}ETbe*>6Yn8`3s3La7nv8{6=e=qvU5FRmY)8jWXs@gZ2{bMJf59`m$BUq2ZEH
zhQ!x^__>%b)u~<ux2+j{7;$-x0`^$Y{{kJqq@Ugh=_h-9=PKYODqNz%kBx!JfAqKf
z)gSn>C_<@6;f{d$7q4ej2zFJCWH6~ic-A69c=nkbbPUFDIVv2C;c`?s7{cYKa5#b!
zPtxL@;RsHkKa(Q392FX?tW1^@FD?H<+Ct~C9vmO3C1UcT5E_K!sCid=ZFybY=!v$%
z37CZB)^(md#4=0nK@)<n+EVkf{J+@K_o=b#EwEcitpQJqIE8SpstKwCH)|p>!rkU%
zOEq#!C!9+!(*$-5Wo89-xZY#>!Yp&mDN6~0I|3{Hc>>Tae6??(!0Ic%>&yS62~3#C
zj7W$v%7j_Q){QY?p2+CU4jME@BMi!oF$}D{5RFM|*bhN4VcIBk+l82p`qTyYp2Qht
z!rbi;CJ}(N-E=xhs84;x<7T&3q|z2}-sT!}Q6FdId^<MAY`grH)Th2AhQNWwTh5K4
z_RW%2zY9Q3gmNhpW_|L>x=+>2P<W#XZEDN-+`z_FnE$<`z<fXd_Q~k?s0-;Hx%6nf
zg$Z+BAzy56(s+^$4~-{f{`nfu9{DAbnbdvyx*&R|-J&)fx74?8roQ#)ncw@tAC8o!
zVp|<fXGm9+waUzOlB&^81_-u7^db`n8w7*8-PxSe5R^B%K!3gA1T10N9uk65KQTc}
z+Tg$>S|Ch}ZB8wbj0x_yE9Gf{E$=X0NF?K@USrsXSijS5csM(Zy_)Fb(WvI)8YPmk
z6^-Hpw)_aT>gF1?zU3Erl3EmObkFaj^(~i#-B&m<Fw|<J<K&qP1ip)~#L(`y1S6w1
znzpXB&$_L29Qt{*9wcIqcHS_Pi$b~rc4hN=$;952Oqg%3h`yWFKD*sUWYYA}Xa+Ks
ze6*J`DkzGGOOXW(eN{41hK1^Y3a|z+Mb+tp63(3h=A_dnuAh<8oXAE}-^otP%NllC
z2E!&Oa(b5mMNWGU_a>m#2t09Bv)J%At_?TUG;)g;8-6=oIluW^f9~*gpIq8d^=7m-
z9FZwG!o>6l2;-6sx291MwC>WdJlTe`(5EJ<3=0pz^xlIB^u%l=?Bn5N6ShLIvf&5t
z#fl(uZLL?3ZTPuF&j-cP<S!%HNH_L7qC@1==tgQxbGG3a%x<J?D9g5eK9vnWZ^;A4
zB{AKrw#<|4tr(|b0sB9=AymWqpiE~116nEm;iDPcM}+{3$JsF)5D|7TKKbrWzw3k2
z@rtu}wNH-ot3XGrUzq=mb^AV1YN+eAAwWA|q9bk=pFFab)oy0?d9F|oW=@upD@)wO
z6Q$W(eE_b|T0w3P+$~VYw*I5oGCL4NZQ^m!i`$@iq&a(fp05n<h1~H9EP}0MdZ4fN
z8$zgfognCInc)@FW7ml$5Wu&1oo7PCnzN@z`pU+0LK29tj<=bf=_`B@^K=1*evFnh
zxuv{^10VcBkY@zkYQ^`9Czb!|u3`5{C0*OVlZxH#lgfW8>-9XTIN#$wsRP7qZAO`m
z0>h08;_M_x3n}C|odqPOJ>(SfTx<_IDJn1%lEzgEeT3+i%xanV3|OIAL&@-iWxu!O
zVN+hU<Y;dtkPN>WJZN}v;`bx*T2(U;qO^o<lI`$JNl%tj@LLe4RgO9YDr}T&$ML(B
zu$=|*+wp2wIqDFoj+gCpyb#5u>px%Zs+RtpRIcI+%XS>!@X%==OmVdJ*D9OXyTjAC
zW&7(9)NI?U9h`dK++i<z;h;4S?>gPPubb+mLl~PGwY8J`;44>7dST@%j9%W<ho531
zMOeRFS+I|*g@+WT7u{Hh+g`qU;iZ?Kf9?5~gDb84h;diwa+hGY+`c{vpFX*=VBcNg
z(hG50qSGTn@VSRy_alEI(rHQr?Z5z|g@)Szb}(ki;N))9DRqi)C2n)U^XZ8C3_x{<
z^BP$&>hBPwEAE2uoRCE$c6hTpsP_<pbX{B!ZSU&5LN5tIFt13@r3h*|jpY1i^P6Ht
zy5dD}4|E<K7YKrmuD(WU*dhotpSa=xiyIYOtVp-T1ySWwT7VPMY3<J=u6U7L0f^0W
zAJ1Fk!Hp#)omM1|;)sc)K&In+KZet;4zZ=ht~cTps{^z(_q%xM?hi3L*0{*Jij}kI
zN7+BPZ{s*UIZT(o!NG2EF=Jl(eK^!LSqpk5t75kL(P7E7ydS2IY&I1vSzX`ifb1)@
zIBX{6D%VAD$I0}!IAm#gPv@Uo{lCrOGMN8O3+oRm$E)Jk^!|J%B!Lu|U+J>-LfbFg
zH3K}WuS=zC+AUhLWVe$g`=#&y;@|m;F^68m{!W%`wZ?=pTHNgsm8?1puPa?r93sih
z8g-{yFlWikno)4IZv*?3Re=4(&^M`_fl<CabxJD084wIRN@B-@c?FUD$XPO*NRBUq
z1YI5f*JA-}&?~_E=aa!(V#SnEU>Sw1ZpdexFio}j)^(O_y<&0@n(PE!)=Em`V<|dJ
zv<GUQlHk(sNJ?yClKTxN8_C)CJM)|TGDnTL+SUh;9EU>sdH=Txa{u6wm%ARovb%`e
z^#|8AL@%tUeDZ9F|7~{5rZ0)KM)}w@U~2--_Fg|ZFz;gd3kkUNP$OM+WLq1bEOhR-
zH!VHYSJ9ui1-ou5nI7w_Gl+l1huxp{=Jxqb!`ahweRYNtr`;=TlWf;a5B3$FW~SBn
zyh5~<%*ir)1^A7&UCH!lU!Ass1>>4$o0=8ZsU#Mjs@rVgLh>a*gJhd1l&psxh(zJW
zprc#lmz(R<fZo<TA6H5j487Bt5+VLVS#yP)iJW~+BIK-iro9A6pV_02L|OS%^_@V(
zo!jyf;NR>ez+pG@5-^cxF9AO`F9Fkdj!nhKCq}2f`{G+*b;<A-HT9NqQ!<kv`I|_c
z)wwk|ZIy#MmRplSQ0auoRaLnmB)h8Il5D5k5QYe3`b6aF@HV6i?j+Orny2F>ml)?~
za<1~|M}``pkXtR+rs^d1mM=%%-thN3xwZYw+!_*Exo;7}2AKW|))~!dr4!PQ#P{Fr
zm=KHakJQ_)`u-58et+3c$4f3T9glolmD}Bk3QXtk{9yTV^zrhP+kKtrP&To5cPKN(
zxOaCfo9}pfm-HQyd8ZJ@j0SGHux<KMJ$pqPm-FuArupXWCITm~{x}IOCT2eW$NuiO
z{-NmOS694E%)sn1je^7s@-l52B?WEhhJ=-nd<z;a)MxQ{?%8K@VrDSa!)L+{!>q;?
zTNrU_*o-!fk`prnsh&~&Uy=+B&!Bo54YjWP<CAN8cZK|KpG)NX{BNI3{2QfGRngO%
z{`cPf(^by<!!4~;vl#t&GnHvKUS)J9RGLKVj+K0VbrG&tJiN#{jpRo7c!VZVyiuH<
zxt6NRp)OK7KOcs4Jgyf{QK?b@t~4vvLgf*w$#3It{C(-cSTYfLh77sl8Rtm3<IPJO
zzhok=-}~UjYP=4%Uc2(bNWE)HvIv7`RLqxn`Qi2Z-u>KrJ`i(%<k6um`ZvDkXMX>`
z8btzd!JuEmGZDH)XV50@0vTW<;BA*vSju5*c(oWDr@_$5$H3?=2vXVjick{Ft0NWy
zEI|_tu_A4e3z`{(U`gqRAk~ixBBJ9|V1(|wwbAW{AiW0{Jg*4+p&&T!)w+csRgep!
zeV+ur1a_>q)^Jk347F1cbi4#6&<INnT6auvk{J|pLQA4%Zf&u+hdqy*({~Hzf5U3U
zC*S9PV^4hYef~H0#3#M-x*_Fqj6=gz845}2CzrWn=p@UZEDI{Hm5<v&#@0S=rwAY|
zaruNzP#{HtutiT?1i<xXA0N2fSwLH<AEnEwtK|5#z??GQINC$Hr0L(dVAg53Xi3xG
zPMUuGYd`c;e?403m5RaxwL-aq5hzkY2StpLtvlt4R?uB=zusY#3c@Os(hdI^f;5gU
zh>|o1l|c~Q6dKWmAQhVnf}D$>ItXIGm|D>gq;Yh?LLh@P+nC=Fr0Oez00~Os|BUcc
zjbjMXIJ%&r9+9XxZ>$$41Zf;y@VMS<@}1vWGn64HX?mB0^&~wRmu$zh7&x;?uJ&Xr
zf>W^Satn#=aLHpZrtUjaXC&Y3lK4W*r1I=ri??kTTo)-SyCf#KfwYbp-@{s}C>0w|
zzCXk@XcieT0{)sIIqDc_9PByKG~^gu{JJmv1KsXvT2-^`b${Y@#bmoB_rEIUHGbQ=
zz^bEr9g}NYCM#QyK#InNZ9~W3hNsZ?iQ>bZG18--?7xJA*?vpnDI(JrA&JNpCNdqM
zx$)|N9DFLGGEO+V=e`A8!bDdNi)1Zx7Pod-kY}qpb4aFEh2otoa3>VcUq}0ZJeWq+
zKfUv4g~6|jNvo3nM<%Y>q_2YKb~baGy)JXTiz@pzz}i1;`PMQr<oU|q{agRuSBz<O
zRQ2fmqsty$hSb6F>egC424Ef69g4L|s{;TH^$)cHrOt37uUKh~-3hoU5nN04Cktk+
zemWhYdPR^msCvb8hdHVQ0gUK2aMMFD59zA3x^iAZ38K}pRo0bO-)?bfToJXZcEw7o
zpOwgx+Hx!P-283CuXVkO=0Qc6A(E4{V<kzK*Cj#QrcE4pfiTd#{^GS(M+r+?HdotK
zeH%wLFtw6sE7fF)EG7M`DP0xmMOw+Oz@ocM!J+%W@X(n+R_Q^mk>h;VcY(U{?4|!*
zI8)yF#^6kurt^qOu7+UVzDoU#Kk>Dn^`((T>aS?PKc*+xk08wz4bbTtk8n@@fhyGt
z6*lK%9BK;ICX!JS+U5)=wuW)0_=+dEc1mZ<NhhKyLy#)f1&`p>S3%4%ZmTX-sV;~_
z7t|h44V;43-D5?nR2Mt~n;=RW&{Qk>hoGobmju#)Wp}___cT&e=Po&2sTJ*3^iF}8
zcx}C|tatU8s3jhr|0|lyKIB@t{8Op3=PJ7_)%;Ihm7km4%_b#MC8kY2L5Im0!*J=e
zT9RBsg`b2=*eQp=nW%iQv2uvaD@iAm@gDhvjngV#T4Z_Pb5=eea#FLBMsRU!qALIe
z=J>!8NX6yo&*MHMfw<^7n(r3clRDQeT=*RCj?Z!0Eoxosg$3niSWv$4AAQa*{;@Gz
zihgG#6cC;u!O$^3*_KX52MqRiFg$VVbHbU`@`0JYwm!-~Rs{D#eMX~;0lk%(diI%Y
zOF>mk251O^mtG4kwg#+owx!3R9*fGBUT(2KGKal#evxR}Aa_4(>F#8$=y7fdPh4`p
zJ|TjjB!2w*DyLgrwSbnvv8jq`D7DHXMlBmY-I+5u_AlN3XWh{sGswP9_bPi=8#CIu
zfZ3MUqXz#A%e(Y!jP>W%kT5Z|$@0?YEmZbP&xZB-l?SZqF6nO%T#ypay)5R5e$=Zk
zT|ayeP$TlA5ICZyyL4D)8R>OpYvsK<7uWrn=?_>BrhbH;oqCISfFM{HyBe;g94lyu
zxVYB~De;Ye<MbP^ennw6(OA4K2JKfst4l=&ZGdh4gm$^4uspwrFw>a7Y?mP=TyXNh
zg;U8d9`>l=)Jd@_ZCLV)dj`9;U``uGesQlb^qi2(X~W3zAt6Ugp9OQ;Fj9QrO6er-
zXqWwKmlPlBOV+9qzfYYu^b{Yk@+idB$<3-5WNb1h8|`+(#h@b^WDtuZX}O03)qJ6<
z7-R!cAqgL+&FTs&A-)j?_0WIlmbWJP4{F}6AXGu*0gx|dTRy0@_e|p>_a1Jn@AI-Z
zp%>}Zp}3gGX2EbR(Ef#2vDAcauqJe$WuD_>#PjxeUr_bA`@hObF7f=`#q-l{5e@I_
zaf{ShI4g|R$Tj?JSEs{ajQu{x8{KdC>GyxiH-F_P6u&_!W(&enCXfO+iRe-c4kpMs
zdQo@59iZRfeAy@3E;<;1Im+m~OcTyHp$Kj<Zd?SnNNI{$v|U({@w^LK!zET+A7s{B
zUXgx-3!ZkMh@b%@?m+|KSdo5%3+~TrGIb--zXHE$b87kxMG!C!NqM6rY80)$;;2bf
z8x;5m-LBdvCjcbGLdE~&$oo>@ds8xMDr?B3KlhfsMpP&d!3x%HnNVAGPK>ZFNRB5(
z(9*&dxohEMn4i10hjXs2n_7RHwtTCn32FGy`@iAC-y1qcQ&t|EcmfNxFQNdLGjxP>
zq`Gp>5TyO=C_+=;W&;kyTwiKBiZEx*vXH3RHG);nuyhoioJ}uIO&wFN5vetCl2M2=
z!p9KIIm7*WtJ45ZfMaQ(6@ocuc!r(?kOS!3_1Y*BLonwIfiG7`!)diP(q<Q&tc=3p
z?RC&qNA=*eLIcUCDJn+SYWe7bjqV10aIdBHXeB(1xOvg>vjDjn#AaL<w;M&2Hv53w
ziLscw{UN+h3<9S08cb_~QeiU155Fy)Wmh+{e4ozx!f(F%@ieze7ix7@sdJzqUPcN>
zsk^493e3Rqgej4ibyi>owtK-2<rOQPRWJi+@z=b9--@QrQ7{AG_iQWtS!W%fc2&9I
z+bB^%teADy0bCl&V+BY+`(?7u3d{h;@3va7s&fQpptxFb?yAmFnte{-`D&33l25S%
zLGT5V2C0awS2pFv*BsTD#k;Efw&q`F0TFbeH}EJbcjo*roVvDQQ$|i^ga9ANq)iZ{
zSEHO*S*ds=1wv3Ckk!u<LkdI%C4CP_LDiwEL=u6aknPZS<d>>=L~oMt9fgqu4g!u9
zzwW;1%XSmFIUsW9`+)X@k0`vy#$ZibzE7WSe)K25<BtcdRTpaYDU>5Mi~4}A!pJ^g
zX+Nho)Vg-*XGYXWmcI+mV%Dcoi3Vz^Rg;wu2p3V@PjGd2yvnRkt=kY8Rq(pB*O>LG
zF~oqC0)YJXTW5WG0*$tTwKf75&Bme9r)XwS2<j1bsa>+tr-wC&ibP8S_S=5%N}uY;
z4px|D;6`o3q$X%j2iRW7%tA@5-5LnVPrKyN2Zx+iTlm3w%~2h#8nqi@%fF{KgyOR%
z_cte>snzuu&qS9_gv&}>4?s2BK4cu(6#saNOt{^YP8|q6p6)UYcwPsCfa5~*OG9O;
zVKaG;eum`fruSMp5X#=^vpJ@z&euURf7Oq@{DmJGkIr2)!@Rc6Vb;upE^*e(!S{jg
zuolajHFNNN^!UzMGY8*CkMErBAjYIPzbgK=r_P$W@T)?L8iSPGMr0|oW-iblV2NZ{
z`bEu*aShCnQa6%8<*_tgQe;g=G41L1PD7_8(Kj5is{5e+7ry8Mk9`xvV<%(-=rc~t
z2K2d?pZ2#t`>X%8fIsM4dfB}M24PT%xz`APtbwq%DMoh&fz+$tW-Vj5qm%KAQi#tA
zA}g`bcBt*QUSp-il*|H(1pQdG>r}%Mi+g$MiR-fx3-|Kmy<(y5F1VM#k+jK&3+^S#
zE`#BExR<ar?HBKNFOMhC239K6dSU%nFYYBS6CD;etZdcwvoa1S|B^<1bNiRBcKt8Z
z@8Vy+Mg7YK>2P;Q2UANU$(h!?Eu9ND)4A|dAAI&VeEJL*VQu5G!Up!nIeje-InLSd
zz%juJAKQjoJDua42#9)SU1S$wuv0O+2vK$D=~T>dPE;LwhGJwF0l8bmL5yB7nxgf0
zDi;By*CfF}VG|g{jwB1-#Yhi!7N!~#IgBrL7Q*o7yAxFcjNIrr6Z!U(DgiFD32Y~4
zppxN_-U-bebwM8LHCS}^sC5*Y_-sm=NKfSSz`1QvPgSUapPU%j9fJ1n;vl?}lpJ#?
zG~P4`sKr589E682J})$$Z|xR}fA~wk?)UzS8*6P$fqa*yI9s4dTlN^=>>#|3t&Nc}
zy}5~0H__S%vtKy~f=ATks<mZ=_f|Ow7)ZeBCFDK8fcbfu0UG7X<jY3$ul?y)waB^7
zWdCBED!ptgjZctHeJA)TH}*YMr<V6pdLA-BfNpE9_X{yrD$t__J_k41;mw*mtx8w{
z;{3CDvxJy49S^_(>bnR5klNa=?rDiXePJp2r!o^?=d>w5kK;Z85J+!3%1romy$D6#
zt9ldu1By_01`t@hfW-^=*zf}0_w;8x|3gs@Rn&8*A>shMuJjO~jX}+aw+1u~5enI0
zLj(u5GXouh^a5-PZTrB!5U6t4`kaIiq+W1A09Ak>gylk4g3Z4PK`Im%BsdR(KoM<#
z6>Lt;G=U2qj%&ooDhP_R`Wl%ga6#nym8cEi5}Ujbr)HYK1ws6#@MjFtMdL`lqNE92
z65~B}n$P}7+R7|BIs8@=+O!@PbIcDaF^MopMBNPA#$}R7E}sAEGu3%NKljP#1=igb
zW^a)y(Jl-ByA(s;iS~*&09TMb!z1b3$3}EaTfWT}v)|&I{*UuVQy`-YbyS%5bfL|<
z^D;A+=C5e<bb+H#OL(@+dcj?=v}ys=1)lx<F4sL>r2`98ik2IU6|)Kh{XS^UsOt`n
zwF|+l!T{A#zB5oSS{5$^vkC(PKG|_euaDM?<{GmKJ8v=JPX)>5TYs5Gg{@ZrEmF^d
zR=<^Grqh3*(`Khbcrj(rfPQh663DnDI5^oB!pmIYGMcmJyR+YJh~MMc*EYE@t(h&%
zzO=I)jt01K$=Ry5=rPrh7v|yU&Ya@3f9deLOxBUTBr&QzAHStUkFT|_Yui=}FR9L3
zNp;Q-WbE0Ut9_S1=I#QSX}74gU`xI8X6l{)-EaB}fAX_qimxWZT1eC&K0a5}2J!JZ
zomr4hh(BzSXXM~gf&-Lh188=70bU>+1heOQ=OFGp*E<U?s0%x9aGh{@6v+<UW(^=}
znA*^Ft{kpFSy{;AUwhQLHrDh3x-->KKFAzw7<D_u!iL?RSLDYbE`98G<T^iQ?7E$o
zX108cX`_9i%M{Zc$5A>V+1<+eUwD)mK6XX74^c6C_3^WIItfzh_lhHtrI8jcrBNIC
z?_bx3ua4W@UU<om0ROh=-`t&Wg~Xn5>H!PTx%=r^hU6A)DDc<NmDT{waQ5^tU+uRf
z5rn@67<KoFN>B3@r$H;DAD*nvcTbP=m9hNb>~Ly3AiGq*zdFk0u__N#U@bZGDa9CC
zuXR^XDQ3|QJ*C*~PbohRJ*C+1Pboikp3;jmapP%=PmlGK4$Z-p9{rRFmdtX_1oPp{
znc#Nh!fIZ<SO@K<6UtoLd_q1u`Gnk#Tytj*FY?D#>V0euFRnMwh}vLqE!L0JHwNog
z=a=nteg;CZ$uYXsSlZp#Sl)JUF6IJPbjMr1W$F9Nb~>R9+Ku0DD|2b{_4AF&$8$T5
z@66%FY}xAjL!dfdw$t&rUczRO%R#B&-a9#mx1u}7VBPxo&JUI^M>`q4bZ}pX82ctB
z-6qwD(Y7d4pr_6!Xke3YB;UkRnD848I2Xf+-}DcIC#$gC?|t~f3pEp8P%JSKQuKTg
zboQJJa+6o57dd71EXQ2QH-Fk6{<voX6{ciF?j<=n+Tp<2Nl4C~52#v7IBvUOu;?YZ
z;C{7X=Np?&LD<j;(o1r|7^1X2uC+lgR-|Qd!9=ik?hO9nBkzJSMCq_M%86K!x}XS_
zAxgXRs{Jy2=v@%WTTQB*L5rbz;aHJY#RZS(ua-1T-?x@riA!R#y-A*qmVSvP#qzr(
z+$rsK<gEMl<dQ3K$rwk!T1hE4)B8!$*CoLd$bnQG3v!lWA9>J7#hZtM9_OooH*W_v
z65PjX&3u2b5T_ASawT2!AvtPM)x(MoMj9#kx759;+YQJ(TQe0XMs?Vv7K2)u)4W_<
zLqBdzuNgy>?8C5gSR3FW$8RCb``8(xwB%s!E(c?}FKlB)N9<O2$-&&th{hMc{PX|&
z&kOHjFFu!7VswjRi8;dyCImLmM*i9^^DbP_(iW%@bL^LR7a>URq6lK3A3|IflXo@|
zIRt6Fir{f&!@PigR+M%KibE||3{M>;Uj(>VaecCCQ3y)pVS-i!f;~K8Mq_i0;$oX1
z5Lj@0SmFSgmbyx@BE1X0MmS$2AEe;kTF63Byo(~a+8nhKv)$Q9<RmHnwVfOlDz1sD
z$#!e3yh&0*H~&6hZ_ql>Zfnz%lO%mVKRYhY%ILeDfzOg;zCC-;BA^EtXUFN=-$-W#
z``Onk4kf~OKQIx+kj>Ye?OmwB_MqKUOo^OVJ&8-mblv+zeuiE_Ni6}7?w&{Z3GvDI
z`QO;n_lZ)Ae^G^qw>hNou?czY@g1>8ouc{TGTfcZFzpsCF2n7(3?Kh-Kk%OQ7z#be
zGJzg%`F(QPrjTWhcd|2a4Fi81>0}24jI6j2>}gO>neL~K@D#*yB0z2+*7blL^pfcu
zVh{^;`nl;ccp(Vg-dquB$knPd4JW0!u^&l(noZy+8gjhJW>bue+sw4lU>#(Sn<)Nm
zj8op#vpX$pU~V&#iaArG>&OP%x-j~<IdQYeb0_-<r<0jTcslZz6ux+&@l%%sB{C=L
ziabq{E-66@N2MO%2BlqfK&dAaY-_R|8PN_yzJRM!Mpj9A((!dh8z3;S%cTnzdzX(F
zFESm^?KnT#=5j`R4KR6?(S-oKyEtC9<9JC|rA_e~Jq1g`l0t{MzXxo0m663(*?a30
zLW}LZJKJfhYQ()+Z0GIR&VTyxKly9k7PeCznKs-87bz!Q_eaq=pgY2XA?8IW+Y=mD
z@!STXp9JtLmVtAWrocz@s8Ai=GC)N*hXJia;r1SUB_`-~1X}|sfhyd_Fjk?HLYs4E
z#T;%Uc80#^slke>h(cd+)Fje&sO?!v#HOFu@;MnWV?fCkuQ5V$U@y2@mqdCx*1O)%
zFm(B({M?+l*`&m<F<kiUtK#qt@YyFey_AVTUz1eQy&757`z}=y!4zfB?uedU!pP}*
z7BkddzJA}kKXBz0*_R(;^SSqY;K6GzeehLTM~0x?n{bP0-zMIo#S~7zMe9koXuV=x
z`{B3$*suP<Pbza=v<%SJwK1)QBpq<`&qt##vwA^O*G^UFy)k)*V6JQL(N>EUImB!)
z#pNi6swuR?z!A%0EsZOJKbpcU*jv}e2s7bN$%47AZP&;NW@{r_@oSu9;llFtPDdzK
zC7YTayE3plo#9S`JrC|I*n`^jVDEfYMNSg><;batd^8q}PzyZYU@H#nk5t)Hq$JxZ
zG-@M7kU8FIq=@UyU?Z}b&EX}l<3=qxM4$&F102C#@-XY^!JIvtiv=s@<=%_Wedx7f
zey|ai$4pZhv)N;tWD4lbTCi!iXt7|oW5GW8um0Kp@Gqp=DKl2@atj7{4z`f5TAyK$
z03|$Pz9qw&6AX&%^4h5h7E^ZwMwS`VEGWU830jjY!b1!OSHl!5O6}BF#AtIVi2_tB
z*~q-2)J|OxrBK9Z%ryZg+?-m1IT!Ro@CmSIMIFVdC7APT#Hb{c1p(qiCm9RD`P7p2
zDk}nLCW+8JBpX3hWF`qZV$j~plDi|Z&Ur~mb@@*YIx~zXrR4D}HOWd+vS4M+9V?>v
z;^<N%+PIRGP}?N|N5&VzOeX{ataDb9`S$D&42b3IfOEBy`Tm?vC!AEi5&D)}$$W#3
z@LB}z1twJ~ZdYf|cj$a%;+fZZLjAg0bCQp7DT>yAZ%|$uE$8+*`W7lWy$%~rM+vBP
z;khn|9tGrAxr#@}%bn5T8BrX;wmTo~Uu2$}M6O1J$-U?#VjCI{W^OBg1Y}%w=9b&x
zkAO-8v>oBjFdJL>BSOTH{2AF!#|u4tI({w|TWCwt@xWqN{s_R;_4RW*&M(n;Z0gVB
z4hd4tNdq9hMz1tlPz6vx8j%%o+w<KOd;1r6<&EpEOuI#kyK+13%0K&o&->n=58e>H
z8Lhi=-fTFzo9+q{vX%W%Ah{b^Of-jmVUikfD?)^_Ny_%{09H{g#{!w&TDi&`Zjp0V
zbEs`kdBtoE0gk3$L+4_RrR!5?W4H$(%A5;mmqswVD;Rl3I2u<3HoXx{$_iacC>4t!
zuC?{%YIkJ~U^XRThXq2FzfI+??AL_sQxeTwwF@-KWQ!rA4GTkxiRNmBwbMyIkFkrW
z)b53XdE%PIl(N++Os2~2ofOP0brI$m#So59zM}t)J$;`jHJk*O3UHVyE%+w8ERgwR
zCOZ{f#QDc6Pauy^R8*zH8-}cP1Q@5!Nkcy|J(%Pr;tRu8&YB<Arak06b-T=QYVcC-
z%<@i7)2a(TV%S@&!4%_n{FT44|NIEhwDjp3&oLX5kt!en;($}m{#tc-lKD&eii40A
zYCIPNpvC6D_CQ*w@m#R9EFaG6&Ts}kvM!i79lOIBsPSBIf7-Dd6;39uR;z8c3t=fW
zo(n?9YJ)3uGIS(Mhao5$uSfzkDkVYCKB0)%NV23-BzNdilAsS@+|HT_hky}@KF@Z)
zv4)s*b~??zW(>5&^v6ysY`#4)(RPJdIPG=j`%^~RcJKJ8W)=<kC|YN9yP1ZgYP5-}
zTsiV@qZW0Mxzlj;s6HL7=XdmuoXq~IYXzE1X*S1owNNjH>QYsjb#)t3G!3le6Ri3X
zp-k%-gxjI3$#={!n7J1AGg4RHX$<y;M7P@OGNMeEvgC9Z{Km_Y({52~?-o*$n;|8+
z|L!mP?Jp&SjyNCgGnC+`L`hrpUpDbVP8&v)1k`Gsj?+0!zG6g4;3R2RybvX!hom`m
zf0TsGYJ2JnQPM`}CUT^5AxhGjrdhB*O2TleP6|{?cb--)Q4%h)6HkePH_3>Sj>jfM
z8@0v7l9mr@65onZQcw1BiIU#LQPP5qy*q5IDdQ36XtBK0oufH`px^nI{^C!4(-<HK
zbue-c0T6_ood%hXp}9nKfvlRwm?6k;(6&&}L*-Rg+_6&2ur&l}d0j9dMrV)|HG;If
zE{Fx$6N!W#iW=>Tw7f-d1LiO}_0i`U#;Iv}iy-Ql2$<Qkx<08b4?$YqBDh(@gj4W%
zl$nbeizLyyOCrs~YzDzdTUj!HZOKo%B(9D0p+ZVwtf_JGis%B!s~ye`Y!#T*)S4$r
z`bECx86%>kNQ&<z361JH^X&<|7QVaYnbVrp{V9O0<3?JJsx_+{bYNYWztVbzL<AGa
zY0Z3x3S<l4Na#Q+$$X3U8zzML-O&!*-Xf`o`FF=~a(ofJN5WbXYmRy{>bFEzfewvE
z?9nc(+f7nI4}7bYYP(HBZH85|04dF&R6&v9?UY(SwrQ{Q=kb*AJD%Rs2ltobKDIJX
zQ?rR<Sr57^pxM;?v0eR4tIquKXa244|L&_#E)Z1>%smz?iAS&q0GA?ZVI$DDT?X)R
z!NO!Y-sw1TX76COH|LL!g(VUk0ByoG=Z}LV5;RCH^&r)qe;Ev=v6jfyszah#<&RMY
z(;D1))l2~%)pkX@fdtZY-XpU5MWPa$RlDN@pK{AX{lA`6rSIb3W_PtXlBTLTA9Tjn
z;hLO)h#xciUtayv8RD#zNM){009W&PUZL<v(knb~r-QL$#q%bH+cpz7CF-P|gnUY~
zgK-jt1)3!Ab~N0eEjOj|m_u^6Gybr2_LOE%oR8~`F@z_{lx9zykHBWPPiMtVc|2~(
zjESlRGWSe=RK<w&AcJl5u*O@t2L_B^ElW;+?7-^irnY|U!0ebGJ8X`(7EO65)O6!n
zv&W7MlEFb?d&^^o%X{+2Zh%6vt#&T3r;Vh;lJmgrC^?9m7n>lM<O5nN5K1YTd=W9O
zsXV<Ej>$Lx$SAtq0b_?iRq~MSbi$T`a(lNFl%R}+UW)7A9<R1lc^m@u^>aI2KU0IT
zS;NNYeBj`4O@zPFRmy#AWfU1U31Ug0GLTt@Yr@5L*;gU#EUn(0ta3F9Gh_jKJ4qFm
zm`L*2FIN_P_2uWTUwQcI!<VifNQ)U4<{{V5GlnZ0C_R*m@usLthrW;xec^c=Dq!|<
z${Nl7;=}9LaJJ`OmZUni64HGD1TTmAmDES^LM4|KtG;yo(yK3BKYWjTANgtmkuQ>E
zp-ND`h<t&`cZC@nH>=%WEbd;vT)E)BpO%O4s_mZWE$W`*(xLnw<xqaJH~n3q-@N{w
zkDUKR)KK&tZT;rKY0|l}pTQ5t8|rVp)=+E<uQz6q(2GHY4bre|^R>0h1^4Tt<|&}6
z0DP|nv)_ybPw=&fk~mvWa-2H38-(=3!%{&3?`Ov(`^~p8r*Z}K2+w^4(5(I1*&(Da
zgld&ao@&WSPLcSm#i3QlxW9&?`*OO${-uKp(|?}}X_(?nO_Aw%;jSjSnT58+Yyq2f
zQYj26!h8>c%>V*V?>JE3C{mFPXN`^sfWQewBNM>fo;7<rL)-U~NiOh)=^6Y5-f-bz
z>Y8-=0%p+lBb+tYC-(+DDtcSR+o_x_?H4RANN-!=oFdCdzwIOM|04kc2ZIP+I42;{
zEr6^P+s$$Vn6<?|+Ad3J6+sNOkX!_ETNaOpAY&C5B(&MG<69qACa0!Xz+8X}0+$H*
z156agi%BaQ#!(hQnr0U~ZGlXrAQ0OCdDDi6AWgFif*zAoCaF!p{@n=DG#5chd5Pe@
zb7}@EE{I=+{4BV}BYcx;#d&5_Y+nzRHZ7O#>*Kn-WJ#ykyPJ~HtLq;~=8wGpU6{Mx
zc^k}K^NpiDzoC<+8OJKj>SsKmy7Sj#WO<6d|CLvY6yt?Y{cS(;;WW`!jd&X`pr{J&
zVS4xbjkHN*7d!b9p!IW9H6|&xNy3z&L<#wbX|h~#WDwM0f1vh);C6SYhtfRzOpX^$
zAS)KlfRs%q<C^1zLUsgXv61#hV3Fg66Uwuqy^!rS&fXBrdG-Kwqq15jJnIB9yJi&8
zje4oov3<##S6^A-sM5%dq4qD{M$#7rgohrV@}m44d*ag+nOb@%2T!)VnxO$)fEAip
zyxo+`V`~UzD3#<N0x=9rPq{ovY}W@=-ZLm6j`?^{R8%dP&?!|eO}4}PMm`IzDe3%Z
z3B~%6OVor{%4H%Ek-T>#du#H<H^f9+dr*t^xOcNEY})dDD(t6!^ap?L=K{Q<>`(4j
z85a~`5z~0ojeADG1S_qG*cxz`BnK>k5<ImHNWZC>`pC@bSNW+yIip;spkeE<gj0pN
z;2=pjRag-m;s}>#)35OcD8l7UEw4I1sOq@f-xlw7IX0^VJ-mO~i0mshm|THr7VTZR
zPbU72*D$PT7Gk%mWFR$_tS0M{fnb_K>q)w+)Cfo!3#B*TQ?h4#u9{h}ns<oRRP8cy
zi&|B^bV=Mym&E7X|C0aVzm7D5+RfHu$J7ia#fgkrcaq}SV;>x}nmzWxL8}Q;fFU5T
zP7KjO-3=VT<qZD;v^<))N70~8iPs$90io#`w3<EkfY5a2tk#{C0ijugb+x%h(QD?8
zpcmgTG$*)g^|u-I*wvV=)JLu?Xt7@9gBwUSj@eNc5nT#Sx_t;_LfE(Xq(Y8l$W~OB
zcks*&U>Gb}kNPnG?_F?Y^)E6Hi`zc0Yf|vM$)55xP4-lJD(BnNX?}GlEk8B$Fm3tP
z7BKVh?f=e~f6H^Dl}hwn1HuM92EV)wt+O5js9AyEfE*21DtCY}NJ3$xQhCM@UIBhX
zk97)=IrDJd@0boH=KO34R{K>DG33m{0ZrOk(!>x(9qki>S&y9nwUT@b2)a7B#+-S;
zgeI+hBDOrWD^_|8kSxN?2;U+zS=P#<daSFuRKZNGKbGTL4P{9O(`k9CfiqsHzPfd?
zXV+To-$jeP6G6bN-tR#z)-&n=shlW1LdQK03&u1h)X{NE%y4%x!?at}+KDA*xS5#Y
zxu5yvhok*nF`u}nZHu<nyJ!ksCMONHJv@vVT=31%--V<bz5}c`^Lc6*z2`z>2LCc7
zv=l*PD7sxn%-|Nu!A`K$)xq@0KqnY69c9hIPO$3k40eL$+cVq=#+c5}KHLdLXUHXk
zy1TCvjNXt-mYLMOonUl_TynS*jQ)^I4t9b`*4-taq!Wz(j5;O;QAb~dZrk6)0j9o<
z)snCKYRa)%C+g#_{GWM4y@N3HM8-5@8`-0BrWsx1VB<4X@QHalyO1pal;Ac*4TJ-X
ztD=oykWzAS1CE(ov?1cXD%wB*@lYz7a67^!;KMh$Xv5~Rs%SF;Aa4=l8vv-Qq74M9
z^UHRepAA{ec`ynV&Km%-E6$t_;+%>gju%8%+N`5L{8|Wt=0|__xR0djI-b$g=x^Hc
ztyO3A_r-tUU;eT08_Qztk1OpDKCQM*(4rm3=2bj(*l;*50r2ZHfB&jEC1<e$`3fGx
zHu00QSSVi`fiqHRZQz-szvBiaYzeF|J4i7u5!B`A4-gnKJ>wZcJ-KZO%F!PfyvPrR
zcoLCpTk@`=KTO1vo?mq50t=MC^`w|iRYB;mlxfN>HaFQ|(_7jxm2_=N^vN4*JdA6T
zQwtpCX5lah=cvT|dFQwuj0KEv4mRL%l-9IZ;jxw0wB`Gh)+=B1Gl#GF#L|?ioE4sW
zcRC?`8HL6btg3h`GgXghb*I(w0V{mp)s40_ywYrf;6K-7ejnefRGNLo&4H*I+yc>F
z^{K^tn&1gZGO1l3L1a(umakDN&A#HAN)1X2$dwL-K9yE{>y6=bkdbOvq|$Oha4{+G
zI~er0?YEYcl}jS)W=o=FK->D`_t9#j|3DjHbtI>UG#7pN{B<ND;cFhiU?gAsgx_+I
zbspqW;763K-X52c*PNwX!@bj)XsYZib=Id^Rp5zy!R#}}`ESJoY*nnQUZ~o3u#2z|
zCKOBuh0{>I1BiaN46jehS|~G0lLrX(SG5qr2d+Fw*$$Vl9f2w@Z1mhI@4P<o0PW+~
zJtS2N@A>c;{-M1Wx8)yBTfR@#e!=hgFFx{1p=#C9bXDs?+#XaXct^=dqr_yHNTrI2
zcbmN^Mo^VvJ_TQ9Tf<ZU??4d0t*?lLI}yuRaR==K!MtLQh!5y@g73xYSm;!01bOFt
zMKHH2Ob7*3IJ5PNRXnWRBv=uw2I@%0HRf<QWh^#Ew;F;u8ZJ4102$3I4na}1evzO}
zQBM+O6nv;JQdF%=;@a?aVGscDv>HZQGiNNIHPzomn!#M{B$@Bd0n=HWyT<tCl4Q<U
zfLI6JWl+ld)n3k?@1wjwew~1gqG*Hb#0vwPSl@BcJT3`zmJav;4W3X2Rcq!uWb<Tj
z_JfY{49Qt0K{Wh~oIzISUF%@~(q)a+9bQQZ=l&Yp;d#lfg-Ehs6W7bN96=F&bnNt#
zMR`X)QFIt@)hK+1{8h-^!AUk68o0}mqyita(6AlCnYYqx54KTLUCnOXvKQgDY{0bT
z`)t6k{?Z@&SH5f12CS(1MG<$&#<G?{rqyi#p`f)!$Vz6AY%JS=(`L(N6zBuk3iWyI
z%fhN;8*tiTc#sOBS*JO5rD0A-U`}PljggziirEH~nln_9`Q;&4*#NM0NHSp$26c6!
zL$I;|NE>Tc4{~fLr9n-SRn~e78XeWGAh&70j=0-)AbuERCPWfFMeP?C*tSbz`UBG_
z)U78BiLCFA%n~S)m`7<g;C$#v=KBMMuJez((;**AUx!+B3KFWg^sl$qbgMepvb&*!
zT|1MPB($$8e(a-Q{x3xOK2Z|-+CBKzvxi5&@QBaLNw*^`Bw%)r{yweO@vWq<zv>ru
zZ~u>D=7T!_?z4LYz6|AChVt3704pgzdwDdLp?qc3k2&vEneKHe?zutmzc2)g<4y-9
z<+4VKiw!%K#x=zdJg(zz@6BAteStmQ9qeh^Eoy_G1@?3^u%|Ei?0@i0e{b9&VewSz
zHLFcqxnKb4=BdD*I3-vEB-?edUc*wD#4;gR)oYaF2=y9F32y5axn8rE6dIx<G#Gcr
z30L(Roh?NyG6p?0r_S}7{Rxx{jJHsY?tJT9uYnwj&=5rW($Zt`WvY738PlD#3bSwL
z-@?!{fQ(-fK|8}j^pkgMKujXK<Y|LRy?XY&5G+-doqTsnJ0>E@j)x@yWUNVg3x4(e
z*2t>UH>&QBPI2asv=zS3>g@Rj9acbz%XJ=+Vs3tS2?NU6L3<@IGEEHAj-<2aTLff*
zBGr*R5O8-TneS1WJdAH&oqblITLMcEW5nmCR;8h6Z>XzIOD<j6zjQ-U^KZIg>)>ER
zJ*q$0m3@yMJ5A}{jfK5<2)&U-(~_yKBU#97{N;&F$fS0J@WN}I8d*qHo%tXqV2xPn
z_qSy6c9tQ-^kAL7GRP}yew2QWDC3Ky!zBlnx4a@V=s)(2&M)<s{_*d4`imo7u8q_!
z55oC;KzbGFa%8!!p~^b0;lzkNHR=E~K7yfyf(NydXQv-<Z^s1zx|LQ_5VgpZh%6}9
z)C5mEm{VC1euB1A#;L`a`ig4!Nb=-m-4GOS#{|(|3f~2m98<Qe{V4>+l$zjq3lEBd
z_|rMHSW*|<?UXVd*=8&2#)|6g_(kqkToT+?=Z&?8B}wsiOcMSGOT|K$W!#uaQf!Bx
z9gd|+vcd>E9zW%%9ar;bU_R&0t_j=CkY9&ES9@AdCvH;1Ie*O0&2HJ$bHCo%X`zJ)
zGp#Hz$xLgmDa<tTuQkmJco3_g9s(6!L$*^j5oYO$XkHqKG&`+W{XY|!v|ZhMdW7VW
zyp4y9I7az&7-G%$JFZ`x;<PF6YDr4q*8+M)v3&b`K>DRuS>PvSFE}H$u<F3S$rfE7
z`?(f<!t&JbuC7LwZ)0zQldt~g=YRRNh#M6!=!tBD58|iUkRFPysX~=mVUGDryWq_a
zFAhE~Xwnw$Oik*i4nD4)DaX{LE(jnoMhZYjola{RZI~Ip_!<<!El18%@Th>mEJ#gS
z1QFU9+<65^4Rx9AV%nEjdY265F4hKG$pkP(zy@vso*YQ(Zo4ZrX<4&ycVVnS-L{Xj
zS9fP<8ne72zGfls>K(_-*P}=dj$^KF(B*N=kFD`lsjm&UVMy+xx>Z-t4d3*rK9Vp!
z+ccC(pY51pU*b4QCrn8<SZkF!a;w82!nRQb!TLNcER8K;b{H+rLH%|5UJzZ*>U$A#
zv2=du?PFy{#A6DxhsKu53h?btRzSQVw*pZ6>E(x>hsgxlr|4}`1;b)J4&ub#lM&6_
z0eCNo>$^)_PrF5}@mvzuH<P&jzkcAIzwH--8$+Ql?tnND=5R!Elnw}BFoFTfSXG)h
z7qnhS2qJmhwzy{GQy@z*8nDHx(!lwOfh-j#NVEVTxZr<*ai@JNf(2NLP)_Zz?Sdc`
zkqZW{^teHOv|f=q$OR*m+iz@aajZz?<$|Ss0I?KkHyT7y?$-_C<DCzHjwNgK>v4UO
z)G@%V9mH3Kd`d{wRTp=a?@mFgA~bE_ypvr3F_6W?mk(;Sqxm%3TD9A~x!TLdJ`8bu
zQt3Mh{v49jWA>M3gL!U;1jEVfOa3L9{q(j=cy|-c2KG`dX8(<w!JT%C7PCKnh{K!-
z0lR<rKYqn$jOQJk+RvKZa$sFWw%$FBj1n>xbp%ec@8N3co+gV_8-VAycE3Av{vm3?
zz!DGU9aeib&oMWGb2q21@(#!iNX8T8(@vb8LXW4;+a0*IShPK!ErV;94@V;B9nft<
znhvI}Hr7THf_2{E2%as=CP>9N|Bzz#%MY|hvR9HGJ)=3r>=#LpkZT@gw*^MJ{>`hr
zgUyn}b@u$|Ro(&l5x95!MrZ`Ba~YEz1hw|j>aE+;a|HAp^qA~1h!ddpzmJ+w59lZQ
z&8|rCSHh`~Pg7*#5K0fjms?tJ$^WK&tJdjLq}(QW5n3ZwP6^tE5<+lYVN^S*g}Djd
zCrvE~Z+S`$AhocsuAeV=rqtkeT)(tQrA<jBxQ{?II1&{pL7d)exD09U;ogLlEu($c
zNQ;N?#`O?P(~aDs#Y4Cq58>bZzR!O3qcIj+>xgZQ7jN`5u6u;!$Oo{-OC~R|R#BOx
zhhSSU<J*JSbB5At&qGj@h*dBn>^(zqG%HGsVS*<N3D#4uPZA$TsZ$dJe(FI!etHNl
zh<<)Av$85o(;L?)Sxr0j`LISR0`?RIYp@{Hf>n)okhxzGZMNnBb^k;g{os*X=Q8<N
z25zf)eNaXfas09Wn^N$1Y-QL)`DD_Miiv*kXdnE+zLuM54AjQnSYnD1o+4APm~!|I
z!SKH<52d2`RVht@k--Ss;=bzW54{Oj!IOc;yv~hH&+}FE{O&O=rhNp`1AT>Zn2zU$
zdkQ+(=3z-s^c9<|#Y5dAG1a~!>RR}9ZrR*NGy)l8+cncOeRbNRBqon4fDoPQOb_)H
z`nTzE6OMoxJ<XbmH@CARDqsa~529}G%Z}d@)r;~*U4in0BXuCT;p?Bj`?QA|GqfF$
zP#$Xho4K8sp<O=tLydo%@lazef2jGfd8o0LKh*qqClB?&NN<$uQCLdem=xHvHz`kO
zazDj|N(TThFsHJE5!L{grsKg8QO$RNK<)l<JN!KmmVp5+9e<j~Xoi{F6{8yn)0nId
z_Zb4!`DHuKFAQ<9*-q%*%EaxwT;t7dalM%lZVL{z3f}>N`uyCE6LO9<Lc+r6hLPI4
z%Keuq*vWmC?R5O+3WKlH@n_DxP8YmuzQ1gz<7I?+9KXZd><ZtpW3->o&+RzAnNY6m
zBgK8+%CA*6v3JLqnKKFZ_wHlwZeKRv@$@cfx_kGrRfJIn<faRAQ=a3p@~%2j6$z9H
z+GWUM41{JpP)tG*^hu3la%I6Tln*hRqyP9(H<oeUFJHaz(#y}k_Wa8+LA(5j@oUqs
zpK@3F<;sG6cZG*6<GfcGV+tRXSW_aA{`}W|;_vvlF-&Lp`=gl74LctM(`lrJVfvGQ
zguaXeIZ+rGoI0LVa*x;)qJ0mT`f(Wa_jh|Hv9Mwf_)`)K>YiYLIGhbSGJs9lDA2gZ
z<QqK|{ys{AwRfT!K|AcJ!wOtJT=6Jlv$7jwNF<j$BPx;HA*T*@9LVGvAyI*oio10H
zKK3zOqv9C3*YB=S<mALNpW_bE8KNt$E<H%|%f^Ma(kXuX6q$~1?<&FzcD@bB2tbS3
z3ql<Vv+j~n)K;DFg5Wt<C`ZHwKyBs&<mssn$X2lJRV6I6@`9u{Gg(pD4lCMrf2`59
zU-7!C%N*yI?Q}e@x8k)$Gf3qHjG#Ov!inYd=PAY&o4|a!*#=xEy~RkvrM=UWaP~HY
zp0BX+*%stsqEm8wRPi2^k1S8oygZfR=l$?+`RYfZ3^fzws0h!%?|~#h$b@HXweFN2
za(M)ElS#?~!Of;KWP?AWuQ+*D>1nr8Y(4HrXP^-sC|_}K=u%3fqS%2niKs5n+P38c
zDnnm!aOe`<`XV?qbg3$j3{G9D$|Hkgm#Xr}@Z6<*cb;VKQmDO9uA-u1hv!hXn(4?&
zPSx&=GDm2?_@pWrpa}cWhNfs?)AKwz*{$nX`-_;u8`o5~MgXCzG^<YW>O*yPbmhXp
zm>Sn$IS|h#U7KEM=-RZGi1=!=ihAlkrL0f=EgjK~3|X>noUD3H35+b?r+R<jyT0Vp
z|C2G*3tnqxU{Uo&UaKuQ=a-v>Yw<%dN#Ue^mR%Z>e_8eJcY7mt-EVE&dkE4Gb+rbb
zDVr08DGjZnO!o=2g*GSZxY}6mEmo{lZz2G<gjxtzs<#Z3f*V?wXY0yz87OsLD@$!v
zZ)PdczfEEH!XS>}%CxcR5{?gSy!fGUm9^^KVDOU^(9haQU7bDO9SpJBtK=GE%iA^c
z?coG%@zp`@Wxl$feOiJLUa;s))smN8VBH@;Hr>u3c53iYzw#+7^`717#B+&NrmP$n
zL+MnQcKz&s;S(=~>9=TMdV<~;pV;1-$571c@A;c=|23hQ)px&K5WMpQfw{W<alg19
zQ{w)dAlPr#O5r`D=@ao&6{FV)0;}Ahc7mqQPL+j<*%JhZ?U7RkS!Fp3Z+fmVRt3QW
zn2E)6M}EL!0b8W0Z#`wSBB-*UjjX=R$x2jx$;R7NC25}SEjNAYxiD3R%1*DwSsHB1
zn5>>ib@sTpOVj7gH+{~hkbT3Z48HeMUwYgBG&W{tFcXkHqvVbPY|wZ{*)f`tGLW>W
zpa6wRHG5^*Zyn48WWN<IxDWbNI-|T|_FE170oQT0?KD&7l)-Q!Ap5NYiGWd6M#Yd^
zvhW2%qg<#AtAgnS?5(Czu~HGP>cO(FcQ#G9aq+2LIrj8@qI7~g^ysi<Q1ZN#s>C%Z
zCCXQ{3`#~0lx0<qL+)eibsRBz`wrkg`OrW4&OaKhV*0(?q-czTM_ofvEdB%4gS0}!
z^>mi^YB<bW?hIzmkvY5?=3|khMn8BvaVu-MNMY{JK$){l6W3U2xRSyI5WWSMR~ioN
z)Z&AoSIa6yv0~P6p||?*-C4s$0u%Yz)&f*X(UQPKkSt?>;_Hm+LRCj;aJ=fSnv^T_
z;vj#AuH`V943g<nR+kl_Uc%kvEteY6t=EYBMR?2_ksUq~uyzuaO9%JT!Ts>X=LK|A
z&>qZyyyeKazWqo4>DT_F$jj*aa@j1SNHb)XONSQVpf@w$GDRreJa{(CQPk`igqh9q
z9wX%>H*$t$Y?J!gEW;sSCK`dqc`vM|VHxX$Ie0Oxnd%G+-FO65t@4ENpRy!qRIPj5
z6&xTQHH8d^{16OsUJF5LK6#xmUt?IDNP>T}bu+3~u04tv0x;p<*2Sq>xeP}S6yFLM
z{q`GWx9PY75Jr9^4DjeU8u<ntfx!`s@M*=$clMj+IT~vF*EtI7CXd8vP|2Krw|}u=
zLDxALQ^#TFbA$||QoNT(PWV|cDshUwNkx5L%VPy(o`3iHsc$16-w=Z>95TWglQ1%K
zIAnw+=9KHE$@NB|R8Y!VRh^Dec})M~_QSF3uYB7ddi$qN9ud05oMF7Onb}vOSs%Yy
zMucuLu(A<kIOeRvXDA7%HKf^(C<!)#0@(8v%ZShogQNP?R4cwB%B1WKBJcB7qO5$4
zl8?6)L0iE|$q>Z6o%Yn!`@Z6Siv|u+sA%b+^2;monQ%c2TxPcsPpuH1ET|1Sc5a*+
z7$1?ujGtyn&By!q#+*JG{0Z7+=^w7v6d<-q9#KJ-J`S8+GWV5azB>R5+Ms5bCuJD1
zufQCCzCHND1T~_28BG`Ub&l%j(3I?<$Xh8MMAwc(S{_^+DheT2%>3x!6nwnE&&*z+
z4ns5l@v^*U{X>aE-p3T7p{c{qjDLF4L2~%9(<6R$!U!qcz;$7Xt8QR=#;<HPBLEBA
zouD`?$@Gw;4G1JU0&Upt#2Kh0(^GzhZZ=lW@g_>!cKwtq)=(d`E1(6;y}|fH9Z?vM
zkGAZ%<QnK&aR%0h4hy>C4^0w%dK|h)Zgb3mYhDON8oDG#p{o~$Oh)_KO6!GP%oRG1
z@LqFG032E+q<}+P6Q~a5ibu<OM_nm_WcfxiSD0&dIoN@nDazmq)cF27l48C7J=HGx
znwamV$^cCC^>?5ClA%*5y=2%*FB!JnA-epMLC9Y+ezcd2A1}N#*wuZ>_>Y(6yDu5!
z{Ut*uRQr<gpC-O!Lk&z#KautaB{&%xd~n|aPR53tjP>21A*X6!fk1mehVG&Xg;7E@
z;D9;2>R;C$mUKd@fk+IaVP~5OQrSUNL5CqwpP$?5cxiY_=SRoUoCYH4uZrc`ej>E{
zpg$=6erWKiE(eV?X!uFzM*~rXmDWz1`2Mn;PAGjjaXk8kb``atcJ-v=`QoMHPglF#
zK!r}8UG@EUqWIGB+)l?!!&5px8mKDh^-ipQI-c9<cs@_DsgGBIKI^aLo9}pfFHfK9
zf_wL|h2}MPE4hi^x@=;pedeIQkHz*-*=2U-{lSw}Ze;0AD%~vs>0<({#1%zwX<}MQ
zlaXWt%deJ6-B+3rVmnYs`p;GimUfvM1zCRVvVPnZCY+|+m43Oh;L^kd8vd)1yF%Rl
zm;Q#Y`Mm2WQT1b#f|!1VPHWit46PA6;XolcU;^+5kv*EDYe8|ki=Z>uZ=EGMAUNVM
zx}dTfkR6`<>-CD_i<n@^g~8o7+-j^Sw!~K~O-#s3Y33>fMQN55$(l$&5rT;pdL-J>
z1QC?5BMX9ktx2N#ToV0G5@sEC;E8U&O@&cHqdM`rKpyR&HY>?dhe;DYhB!)e^P26k
z>V4_zzEHVuayhzYU#Uu0?XTTWN&ZZciHo81Fko{jP~CiiDvWPsUI)<f_DY2=pVp|f
z6rt{}2sP~%(a=^64R>^lwDNR@_QVCe1&dIx{jT?Y-TxOwD7_g2;9zps)z+^zylzjC
z#A+!<D#Kg4hmiwm?P+azZRq!5b?(eisSK~CbciC}b;hz}!;2Agz_KCA#Gn@>Z0awQ
z4KJn_U?J9l)+@LZwO}^91ty1dXy??LjC5NL7G^2LpwAdn-0LN4!+YA%n2X_U=DZ-6
zCCNxzbC0x>nP@gKH*4m*V_nW%6HOznWWGK4skKlCEYP<7jWm<yXJ2jDr_%>b#&zZ!
zgsDPorX(<W)oB>d<Tv=e(etB{;H;^x^TwPGG36ywdTo6pk31Rk$P+Y=yebtb8<)A9
zuIWkEcnOOLLU%RPnL$z}{EGPGLjE`Q^nIdKLJ)fB;(vvQACqe$(AJ*ilv&VhVg%YP
z7Y!<2vqs10yq9!Bp?b+Dl-E3+u+GdVJ778<jmlMKW~AVROcI)~)A8uGt1=T1s8T7i
z9jS!2$6NeoPbJ*PuZ8I2&is)H?hdV!HzAC;JFP8c0QMz6eD8BNVF#4t*TD!z`O7jW
zY0=P|j;=0!F>M#B8PqgIP+4F%Pmwf^k=n_3S@)g`q9<)9NptYdTND&4@_}$cOc<jw
z=BddzCBJ?zojkzOKuI%+xWO>7Mv!)(thi@k4f+VZ9fs43Q}fwyL2^O}VJpg5rnEzl
zcEAPq``uauk>Ir4b_j|caLL1N!#p$?EYM=8#hxU|9G^?>m7y1sAiL0vb&{kVaLG~<
z1DU;L>s@kGYf^n^02K`)x*cJfsGSh35rr!3N|QP5BJK(-4($)pOdER130=>A<RyjY
z`}wy|CjN~Q!{OS}Br;FEOYJggOHt;o9*tzeA3p*JL2yx0t8gIDB|Tqx+Il0}t%E3>
zsywGH-={p^_QJRQ?LQaF^AeJ4V(YWL@}#H0OhVCMfmEWGW?NwA8|abd4ROKOv-KIe
zQ)`Go3#ca<Uxv!luaT8QEg>S!*M92?$#q2KP}D4VhdPkB3dvPwkN^`0x>FjBIC+KS
z8g7!NY4htm4>n2X+cVrGUEQA>Xp(N#w<tf=sT<Sn_P4M2AF47PH<v4@XmF}q+qj!{
zKUmDdXwi}ZokvDCswW(dA~_tYnwwV7lcP%e9ICH%l<68)B}}c9r{noJrQ?O<G0u+?
z{45G?l)|eSlVtR%N_bi;Pv@^ocoKTW<-pH}TkM*gKsUJE^L4eoF1Mwtr!C*7tAF+j
ze(g_uP3US>`W;6X{u?4tc$@GshaFuY3Mhz??lPG0vZK4jh(oT3AYE0Fo5yFLsU2M;
zI@Hkx3byfIdFSjfoDq?~I=Y)?C{R5&sc1nqF}EvbNB2-BF`Z5pcgHnmM>i%howhdQ
zAp|Q&7ekN;HldU4OtMKbJGw~o02nJSF}@hScm5?sSC=n-+L7@CF7W{n*;X=#A>gL4
zSxgv8Q9IAChfAy~`Xb2Vb<Y|Y%oRaJQKrkxq_^zMq}z9kKl<_C_1m9)1J9}fyzTM`
z8mijj^Eo3BIvFkbV7rjcU{1jWkx?Mf6=*>ad~22>1gUCW5V%pcJOaAZ(@vP(;=|yy
z7$&8B$>C`+RJATSFfGP%%Px7`9HC)(nLCVTZyo6D7K4OH75xd^;%a1U5eK^Zn&;!r
zAhBrO(BgBFtlZ)aK(RbK=J_;^{;2v^_p@7kPVVg!xW&49hfRELVnYqW4K_@==I+tt
zi(7p2Zn0)GWb}D@xzVs7PIJk3)SDCZE>jr(w$AmS@2D=yOO%d0t&9pQvp;?Jsv>(o
z21+nldMt^I<6FM?+=pJn%Fn$lV^Xp8U>S6i;cW7w3+k<gJ#>F&MnA@utsmi;PrXGv
zK<<l{z2$C|V_$rDoo~^@>wJs2uK>QPT`yn1@7*7WTl?}uY(Mv&55&RpK;2U@U!q5f
z7sHap7k}%2`kP<+m8FkH!-GyFS1J*!m2?v3up-9_QDDG4x;ErvTBn2`N1%-*{OB14
zTSaofrAvT@)(<H(=TqlM4s&l=i9kgfIQurBsY({RmBvU&Ej1;JQG-~L<Z8P(Vk6l?
zj*OAbBE}E?pX`bM@qhNeu_r$HSt{PV2ahuS6T3dFb;P8vv0Ik#mHlt5+xLl5L&eW$
zpGi^f71%8pvI8}}-Wfqxgrq6Ty#hXfOkNN~BW7E2%2Dps0d$Gde1UFM>l}(DB_nB@
z(W-zuF7)d8naEKt)+7xFqg=EYwIwv^EiG$qH;i&wOoB&S#lth73N!)arvjU|@l;^h
z{8Vr=X9=69f^7>=@>C3TD=g%<NHtd70@|vRQiW7}DK|*<7Mn_7n=+T;_T&=3;BI0$
zAm*8ZFWnlz2mpbf4p2yabwEBLroW(PD;<BHH!h|#9|q%Vs_!rJP;z@p{r%BQg0xhe
zpWQ2Sx=kb#8^52zV@j%30S&(Sj;ArbrdS<}TS1!FXDNa_7@Nq;78essp>HM9v>dL8
zMwIjqgD0ze(ji<t-GSl<6hSxEfuHY}D{fuaS#dX#dR6{keV3Rt;^(+@XmKccYPv4>
z20h6k7lt0FpRRF0FMBuqF~s*SUov}bOSgjD6-+vQv)>hZo}clpf90FMENTea1?irr
z^+Y}e<_h*iqNQlJL3<)KNAzye^K?Pu#AY)p5QmK*6_5*_(F!g#gaaItwlSNk*#!YF
z;Y<Lep#aWPk04G>t>S{5`YbLE+FXVHDqo{0Z*7)>YXRO<NyVU=!1PWQq<7^jqPLVT
zDx4<tWVT<1-jxely%$w(R7Bbp#k(q!D<C>$Nz74ce@F#Q+ErpH^uFSIpTM8pwxKF$
z(!bAv1SGgjXi)UH*560Gt8#YKLIh8QIn{tFWyw+RO5NIqz`8iNve$;U=;uk88eO!n
zHrwYLt916aYHzFZz@N<1a=Xy}1^93&BRr9#)!1%qJ{Eq)9_cXPRh}k{cZ0OAoP#>)
z;Kwjs;BWTG@)XTLe(KXd{o()RBVU~KsbGs+5PEcffuXwXgA#pekYsQSS@#!cDca5|
z(Wgbw(dr{3tfHx_XEK%OQ(v((b@fc95`Aidd*J_szv9Lis(~crU@G9Mz(v%4Yt^TA
zZj8RQ?k|j}(xiTLo>d@pGC>M>hYZyn$qYh2qdXw>j00-2n$-6-Cu>R7fdN1ib*B2O
zo2pmgT46T&&yxrKx7nSRhk7(U8K6Tw!QJHM7x3Xc$jl)4kk$rKZOYAyYorf9a`R~~
zhp<$e6I30;i-|aEie^<;w;vB_QoY#6(4@cq<39aQKJ`f@C(1UH)w^7i>J|d%ophA4
zRfdfgjLB`6!MKZ{V)7ukMNHlZQj-=zcalI@V%;jSB7c4tJa0&?0}t)6K0pE1r=})#
z!P9o5H2UPtQFRt8Qj@wMylfps0su+p8tIw3ARY)X@F3nlfOl0Zl(8Z`(;^7Z)dWcd
zS6`!OQkOhqDJhR0_MnGpC0TNHNtj;xn{2(oWwesiq($-oYa@~%oawAdJLi&z4XBM&
z5+m2!HS_HOqLkh{F}EA*wz5T7oXz(K>;@#><8?alDM{uV1jZMh9X}`9tvcVRx<iK}
z$#$?NaQ2;Vly4EbjcK`&$pl79F`$0-Q7b|%uR1#{h;9djUAN7cI1Ez$v1<I`QjM`t
zp}Bp>dem)L7yTxu$d)H`d$;%tNMa2UMBZ;0;wV!ABhiIsm|2O!PG%5;t><78wPG6Q
zr<R`6yhFygidF`5vMXAVk-65=3>AqwMSyx{?mjk=wv61`RI6dn@`zf0Y^yPC`9A;q
zU;3VZ_kVjW{BJERcs|3*40x(GoEjj_KSq^pyUc17L1&~JYyLTG(W;^=g271?Sgc5`
z<SQ1wHTaYOiSt<qK`NypxWPOshV`5bt4W0rq)u`{)7%h*15QnoS4`C+kS?%xCo95d
zZv<1d2+<FRxKMYEHU9t#31$c`x!!c~iy0G%hDp`2fKkfmu6&ibTEw187$CV!&c?03
zPp%e$FprH^a`qMILmNq24gZbo_Q*GCYE_S=jg!OOmX+q|;G*guO&DNXbZ{J1m78uM
z0n$~#h}f$MuRv7~PpEA*HhtQvQX(2ERVrGIB^o{yC>1!~+#{T&cR_jGds>O!qS7MU
zN#ivJfFSv*QZiC0g2JxbO<@44N(lsz3ry$dcAQ@_9%)lz=c0fCS%*29uYm2zzAXhj
zZTUV0{0o2aJ->T&hPUYrdgEhV_)L({$sX&%M<N+ogMurAxqH;Epmf_}W?F&Nqh0tr
z6wPHt<ZRU^jTPl4S`q>jn^rPE0^tZXl(~E)p=^SuHT#C>XMzf`&Med;x<C}I-Ip=8
zF-~14KuWS=&wO!x?kWL-sSt|wK<3#RUVY6`6{w1*i$GM@t?QH)uah7Aze{dtD6<JF
z&=>Q+&7!jD`}}X8O#B<Ah7cFa3~%V;SqfKdbW(VdrwoNB>Nuq|WOqqvq`lMmk?ldg
zEoC@u`95X%J%8}UZ+YqMC5NF|YxhRIEQ5Y=^xLqy2Ij81ETgUHE(pho(L4paM9T6r
zdP&ILRtR>ONyS>3;CVy0O;+54R-GP{oeYk!WP?o*)FyP_$j_*x?^#fkp`W_Yr(#5F
zTelKr=z?do8Oe&rqYRSGE7sMu0cz%|x;DtoTvgWw$(gI_8s`~W#m*by;}@!`Yamc2
z>oH?B=6mYjvf@VuT8rTaeoVOR?QbMG48P-WtCjKj1Ylif&3uDE<~G3iobOPHKzbRU
z^DP=?e9rf1fbsd+XVky*+YWwR`8|(&4m+-YB@IqZ(hL^rnmha)mE>%LMJ3-Ft70qU
zgPJ(!Lz&8%qDNT)vz&w^|6yR_lS*3wGo%ZZt^4nBL51eFLvl-sTm44I4rldsMSt5E
zxUNUv>H3sBa(1vqw<HHweAe*D+2%Qm&!nN&Wr}4^N<*o5)A@zDHeE0TDvw;Y!y*BD
z1rI)s2ULr>fQTp{P#@3jI9|G7(`IGh0Cq}<2%wt~E_e!`V5fQ$u?PLZ!o)f?|6&Sa
zuEkpr^>;`Hr+RGU7PVf(y*s)^Jnvf>QU6<i`Q=}~2^Lmu%W}`l`?vNe9!UuYQ|n+o
zsfD6{i*K<DmYJzXP=9H`B?S5UyWkNBXI&8kZ8Ys4g7myxu+-sU2Rp4}H0&-I^GJKf
z`q8etAgW8Gj_>coqgi*s!Kr?<>Mr;sL;Z?*OKhoO*Bp$k7I7Q7e;&sF!f47T|7ZW(
z>`n{cMuj5I#`6yci+Hc_0~S~?Jj8WnTGY7=TMBn?omt=E&?3E-Y?1nd)dATSA%6rc
zZ8{+e@RcoAI=XZ`pP4XT$fi}<EvEM>TP);ZDZ3@xaXg$m3>;182XGqehb>j_FU~L9
zalE+YX;Un(2a6!zNzI<9aO2kn5>SFg*i!tK2u$Z2PkX*cG;^nTaYve&=Uv*-K<}`6
z*Z<Gn+W_m9W@TX`k`Ym%OdwE5^h%ilsyXoe|5#80Jp{By#M=`rES$@onZDz7rcd8=
zH_cFpmIxRlMM$C&EdoLzC00O?L{L<))CdKlQAU#~Qd1=A0ELnGgH|Rad7kz2uJyiq
zfBW0}+;dLf?%7k-bH07QwcowJ{j+|b^{i7(UKy)Zp%QNC7QNPu=db<c|M|y0lb{!Z
zA}G)c*;-0rTX0`Uk2nrMzjEk>34&@4MK+d`&`)i}{US)?iIgnz8kkUkb#z5=7#T*R
z2-0}EAfOpKAqGULM%rOt-5DJk7Q0eDXgJlB)ZOCnZ`gsd&%oE$Dx>E%wn)Ce$R^=<
zQPrCz!Vi561zcGy=>Mvou6dJ6zOo-JIk?z^r2jQXlJ=)xl@xw!|1iLMlKtCV<Tf1S
zSzr$H;U!6u4$tj4d~FQn(zx-tD}}A;*2SL0*}6Qr&CcU)5w-kmT^82GTzY|e+L-CC
zjBj>+-)H>%{m+chOtb55T`boQ))Bw}r20nag@k7Im06b}sN*bHO56xBQm(#9X2#2O
ziYtH_LWxlEda<G;#Z7R#1gx0p+1&!|sLi28otPkKSq-Tm^sROAO;WCY=*5bKKf=Z~
zXnUyzMd|pV!ODt5Q*do?#yCewxSAjuIY_OA)Dc>|+ZF2yAwY)$MB9PCN@HAK@~o|-
zbyLd|K(u1kT63w}HH=yRt;3}%|NN*Ag4USWa<J>Lv#~Z;OJQ6$C5p%bRxm|10&C7@
zMRI(VS*cMGP##n4kfJEk0R?oB57?S*ZigLX7*^Rqq-gQ|=rD|tRTYLJMO(Q6nwpOv
zEZcE>32W1)T%KY{;R9SLP_qYhHl<IlDIIr<W>b3q9hd`u?WXi6{@we&@rMKLs8s>a
za(WRlHD$5~rY^b3i)t0Os0M=~tF23lDRse(j4FrC1$jqZnlrGC2?C=Hq#=qcdtno+
z4=twD1kvS43&|n4NbfY~D5lg`T*@d-R8$Zxw&y51(pN-GDZ%6(CERw!T)Q;BT=q}G
z-ZzJ?>dUC>GVDaU3*>2Sp}wjwbJnT+Znkl@XQ?SbJ55Y5*19bU^_`nj)t6DAC&e3%
zj+Aj{&3t>nKCCtCJ!iGIzbM!L3(-1B-s+1q$~h2|s@6QSZKO$9`ejds_k?SZ4Sl#D
z&L^om0Vk9WZ1q$<kl`W2)W;_kuwkLLk;yu|j;hDl+c9GQ#%btj4Q*4gGhJ^Je7B?#
zb@UA%2vt{i8j$-<?>%zAxVk=9ow;A+nKLQG4Hr&FEKeKf=$SJfOKT3<IJ*0~Px<xl
zkDOb-Di5g8W`hanwLZ<NJfK1w%t&qoD_v2bLZC8iwO>{h**HiSQ^xCLRUS|wjNWMx
z9_f}Mf#{Q#ftYEnNLJ<B0u_RXS!*f7O}fsHDeeHwG{3r1<pC8!_g1@PrOFdj2(eeY
zrj#pOl^3WG+IHLDQ>pTR3SpRhdx=g!g?3;(ZjYYtPe6r`Ztbj@Z%{ym_8X9O)en)D
zi|iLAsL-xsmGyNkzukw!lUKA|Gn?Ch3hg)60AY>_0(&hM*_xmeHK-7fRjnD*c*G<F
zDg<(eTJo$JQ%exo)-XCtjeWgV$uE-GnV~}KJ8#mK(;Q}sZb@O{3>B)vbh$iX;+|lr
z(9K)C-}Qz!{KgMN9#E^Y9=sF`!hx-$j37871d8PpM5BxvLC(W+Y_<mU3NO2_xI-tb
z;4~p<^z|V~jp~A1<y#~GQKOuEXev|}93)?+#dATF(aBN6L<>q-&5xnQbHU?!!#-2`
z`bR-Y=0j(Q2AUoe^nt!qW0J5n@T<k*`I3h{Xli(DkQ*a)SYJr7crH0e=q$lYksM@n
zra$76!;H@P_6#sOPuAtC+f9#Oj@Rd5116*{HE!tVP3D66zlbX0Q~sWx<D0%ult_)T
zJ<-VAr}ZTCY1s>KCLoxxCgbyCpu-!%s|%^I7Hr%tYGbw;s41-0k6jJ?rBC_pzx*@d
z1!&`;dja0R3p!Y|KPX6BdV(>qvW!fmohgFKh|A9Iy*2HHAkB;mVsD$)h+Z0o40%O*
z0WKJw-GBmUcVDbXFTe%2I%o&;l9rs0Q?E!bzy%TYlKT!lsIbV2hlv&G1r$NB<Vn9E
z0_lz*y#N<Pc2x;>k4R>=D~cE3lIZLvogR*j_F44;;@IK^xFk9=kzo+Yjg=ykB;#I}
z++rr108ep6JA;EH?sZ9|V%ctlc5#4SH52V?p0({Xcxqy!7OC4kc3r<XSBq4sCvS+2
z8*{5m0s<-AH;b8l?oDhpnbN#1=-E`4lsrhpU(&kmk01$@GA}K;>29$#`<AeaGrSaO
zTD#?Eyt3KkkN3)^0D!*k8@})Je)`&mDGkQ+IkFrK({tbtQWIs%@0*d71OLGpNw2=Z
ze>g~|$@g%6AV^2rp$hy5$1dgDGdy;wx<7+sm+}qTpB}q}^UQZ>2O>4?o&lL#n`c_)
z+zhh(I?4&-p^WOsF3UvlvA7@Al!8-rQ<cma=kSI)847-t|5GogKQEyRPwkn}y--QD
z55Y9qm#K(<<5{JgMc2J)QB1V<!ziSN+lAaPQ{00O26C^UT97tae^&2m7j0>#sW(T&
z&U7SPA)GO!b9!UEA)V73CmyEmv;_KRPx@r1-|!26`|`6Qy`gWPr#IZ34mup!nr4SX
zSk!V|ARAMdGXS#?Y42AXqsjCaUlCe<=))QV#icB80O4Fy7RaVF>IZ0UWF0&oI$P2*
z)137cmaMa2Wk{Dt(qNz(vN#xWJI*l|Ibx#u<{$}%ykb@4h>7MPWvZ?Cs04%9Dw?VC
zL9J&r1?l#=%tG0{*AUCMy3p02OnKFEwz6v9|4|~DUC$=?U+JTq(aytu2HQ|m8iBrW
z+T<%*fJ-Edx)R#i9{v;R&wCzcTRPiP$-MX5!`CvW!`J_*zwrP4e4w56eQ9m!z5oW$
zP0`w^Y)cFI17N?#&>ZHcvZW3ffTcuJa&6FZT#B4F8Np$6K|MWWOCu8j{8uZOZRr-M
zXaNkM-_`i0;~cXs-L7Fn#g<}LPi^=VB4@i1ph!FgjP4PH5zUXOZ0P|o2?Y!seYQdz
zy8;X#5C*w8*4!VL7~D`_pb9X6?@4M)J{&@n8_DYKkZ4TK(+I~Zo1bqFKw{uH!&S%4
z>3A@b|MlMv>TuA+io?MX56#iDv$F>)rFcb$1sOuJ<XJyLgW>>`>GpIGg6@avgn9}&
z&bNQDuh>ZC9)a!z{wWo4+;sLh9u#5U>6Fvp-}7&uO#B-ql8e!e?2$nMEk*P<X{4Wk
zV=c{uhW@bwk~Nb^2tlm0%Dfc73*K&KKpL>({E3vScsUf^Tp{HupMr09axnx#>4l5C
z%yT#xT4pvUcNtLW^zC>OMSDx8x*sDl1&q^1W(wMrJCV^}@M%xI_}!5%*4(|Teaqy7
zfO>~2rBsOF`pX;ZbsZ&9EP`Gk26nVFMVETT1u-QIWn8X^31w~Jx2_N`*oz6lo^iX>
zD!w8nlWD)`0Yetr6{+@%;A(^Djw>Q7scO=`0;-D-h6{ocNayk%!N1*Bv2%qO;5m)8
zFmBh-aj{}mAqJy@rZ<o1OldD<RUrm>5+KP?r>HvH@SU_~RUt;}si;#7R1-eRTx_o@
z#HbJ3SYR~QwwFkd(f-pxijKgRwPb{&l&lEF^ZnW4YKtiW=v&6mR!7e_2v-rnZLSG|
zM#T}QUzG0<TmgUqC<!ik#gDt>Spz|3sp|Q3C0oCr8g}Yl6ARi|%g3or<suAQ(D%vg
zLu(gO2-?sPQC&m-4fL-{kQMqB1_t^*?;t^0(y!bOg{sKq*q`>M;+>GvaN<3Z?X-Uj
zY=*s=qTg3I-`ru$Oms!l0fCBxP`2avT^gnof}(dOz5Hqrz@8$#;~W$O`;a$5e+ZQ_
znS;<C_^AeCu5;fW2jRF|)EeCx+IBtAwwJ%+^3y-<Lks(}-i(gpQv4BM!)r`b?YIOG
z4IMTuzAQT~AOa@F3$_V3Gi?-g+oZW)+XBDEhTXXkB*MKhq1i4L)EM-kwRFXb*(?^&
z7+r(<$BZ(IVmri&wRKVXhGwLEaJ$vm8{PX=5E7A=DeMnCE6^|{`z20UvOjQ>z<n1x
zAfT%3ow!l8e71KTp24X+OKo%ORGvYcZ?<>j8LaG`)Y$X+*8vdiS+af@terEiNQ%O#
zyDbYgHsrB)<cxuAwJ$@S=l{mP`N4k|nJ!(ZqdBw{9#I0k>NZNCx8v`*HL|P_B&|CD
z&8#^h<k<jm+5}PAr3O6>U4I-J2`o*Cg+j=hqm1amDay#<?0aX;VKpACh_Iq{{QE<m
z)vDvoC$9&?+!kQ(VR*u-Q1fG|kY~SS7LJmA;R#d!<w_zt(m)1pY9~0VLLPA5DJT}>
zrYTv4C;9Fm%t99?wJ`cNYn=&<O1?e#MIIcjEo~TAT{2yMp2rosebfXXLTrzoZ_r@@
zAKQ!t+M@D#j?&l~a$I*H(^2vfn0QJ{5A5|bHwOM!zx5!-wkq0yJ|cj|lr)=M8%eH#
zAZAW-O$&lV=O?Ys*SFNs5X7YS9#IRfE~a~yAg11AM(j0Z0^albU;Lk+Ih*w=gX}oc
zz0q-5$#D9yC~RiES|yWpOqhVPSudY>ht71ZVT3Zu8YWD@*{m0*B8|#=Nv@Bb7H6_v
zV1AJj#t|boS~FFf08Ca<6VP)ZtE|@+tr%`BY$SL5ZYg%S5;i(h8X&OQHaDlrdKn0V
zCV;c=vJ!4g!1jm{rE02<;@f9?+L!>OrbMy#<+Ab(!a_RR1tX*+j~Axui8TSH{a;LV
z30M98x0nF?kY(kcI8(zuOhhowCIBOVk8jzaBL!s)<dPyRxrsW*tvU8{YHChRJ$w#C
zZ75j1w#Q?9-zR?lzxb#KFm>LpbFkX<UC^AZ0pNo{-mSf@(7r+$ir$3_9#KvgN(BsQ
zG{|2F(z|d$U<{EzLZr0?1*<v@A;<vJ1p%edapWl72<1<n>rUh4g1h4$g)cIuu0Vw#
zMmAPtfLR2Ut3U*WZ78ouN7Dt3NP--!tOn7oj1}pjx*!Hka^RN;3Oh~~6z{?%ZTKxD
z(fFtUiC9v+iy{f5jock2k(_G|F5X3v1Qt>}0n7)sh6P`9l+~8tM$O*|>NXluwVjU#
zchohuUHjYDchJOKvgsDx|Mtn~L282Z0W&Rpu3@#9jjF0Y;!4%os0zQpY}}OeRomG>
zI75~0Q|6;ou40BOLZc2G0^tsGC8LMn;(RkFJnL&!`cP(Cr61hPxRN|XoQ__efFsDn
zu<2&n9@sMpvJT>LZ2oQB@_qTYk9+P5KIS81Zlikio&4K^L|cqk^foa5JkgYn43lQd
zP$`#}It1(BE1J?fg8lio9YzQ?D`ww*kAgbRk(8BPSVWzFL+(q1FF+}p6;m9J93n7L
zToG;VokU&EzhR~lD)`K6AzG_T<Opi`czd9PDV3OAE0P;&UylF;k~#kd4r}Jhn5kRY
z5ZkztRq%y-&td0~gnDd$PZfNj6<vqzB2Oqq|9l&p{M(A0<P7LGk}Inu^ZhX!0z0=+
z$?6y78&nuLq4<rqE&ZbE4n>}DW2A9Ovbse`6v}xroa|ire2<LkoTE9=)rPyC&r?*A
z-y_bw5|rH*^FQk~N0}Sd&(rgSvfrcETI-twAN()kC~0*Ii=_Cqtl;TzWd#kuKptX9
zjb1U13)yD9LuF9_K&TLT(BYLuI|D$#&_s52lu1_z1c4$*Ck%n=gk?KS2>Q(c$V>Yl
zFEEET@6Q)MoxgOi#s0Wp)z6X3Q!En97yZ`TstC=2;~Y4Ca0HG&{3CzyYri-!4NCv+
zfuoVZ!#<LCS^`Iu{luBDuPktMLHH!}I$(dm`>j?w1nC*MAUXZiML;T7i+LeP%Uc8w
zgmz=a9kQ7kVTK?LuL~MyC?{yF&6Nm2+Fcifu~*Fc4gmA^$I$G$;BK|i%<z7(5(6ER
zNY0u_HFJwVH<Z9f4d+Tg+xoeV#+qQrV<i8pyYv^$^q^z;vwcPX+Z?#rq||V+Y*7zx
zl8GBh{fpn1)IU72YS176gLLjn03@DTEuZa!MtEUv7{FEL5(2oD5rD~dn41>BjtFQ{
z0Eqfj1>ZmbIBCwJILzsE#5fjnhl_=9a=N**NB5=zuyM=x1z>;a;m>``=R|%A&M_(|
z9)Rf<?(PQg1DReA;wdeg*jKJ*WH)4NP_>8lSc3Z!bue*rLr~O=34*O(*+$O|HZX7j
zXh|`kUCZXNqC82q;`I+U*r9t!0x*p85uUM3#4Qz6KeQ&>02)FJ)Sja}Pkte9Vz2=c
zcQ$_kEli!Gi?`+%eRZ(5DWiCeA2dyGsy?%978Q^xztg%?zt5dDqQ!I_gOJ&VP6}w0
zT$1k7qPl!P2*I_e|Lt2j{;kobme_&^JQIVlvPvfE>m&xd3+0dC>I(W)AL$#@r=ynd
z)2Dy(Q-Abpe?DjkG^%%f>M_1)79_N`U33%QSQjj4f+v_|vFJ3kf5?FCoZ86Q67&~Z
zBMFjAEi@u0OAd#<r9e=HZ<8feLTi{2F(<SJ7!i^B%78MmHme7`*q}sEr)uhi){djc
zB~8`d#;fU5)niL5maoD|)i2ieMNZPF)<h>t=rH-@>dyZ*U(2TMYYLTu_7C3F=n6H2
zFL&db8sliDP+ftxe`lt}>s6@0a;5YrvRyONBGUyA?!R!Nm7g__2bijfQnY?Nrdim}
z-GBGX7!hteW9#0NJ0H$W#u<y)nyk44<$5|${_ID;bo{FyUOG@T9&58Tdz7cG%+Fa1
zOzT?U=3$f%9YrMvSYhN<mzew32<B{!u@Ur7P_42xC||*-F$uFVh+G^xXKVHt_9kF%
zoa#!k?je}7H9H7MMrnuMSX%S^V{*0zi38%}aOlm_aOA$?Su08F5!UC?y}DWDo==QX
zyLInT&-AmfMDoeaq5o~Zu8!3)jQ1YF{MoTCyT<X+y(^nXi!<P`Xq@4H@mxrtsn5j)
zDuF1mv3|EbcAg6jG+h!{Lz6_@+}s___?RRrQ`$0&!c>z?Gsnp8*2Q+Urn>`oeZP<a
zP5F+CV^kzDELUoRC=Q|{xPCS?f8^&0N-BLgK=IgS5w-Yjk~<KSYrb)#^}9Iw#K-8e
zevGhbo|fENY+mDIgw@)|i2vjs7M_^P<hj`iT=_A2>&fTnF4JHcm%ubxRfTHXg0wL>
z<?#@yRb?XxRCzqvj>H)XLjX?1{wQ)z0zweqSe31&_6-ARQkBinH(cc*&y?+O!E#y?
zMY^hrr&YO>7L`Wel-69YSY}JVA7#6OU|28iJPm>B`pI@&zxCz<FM8}x+Q=#o3xRrn
zZm0cs7kE|E{wUN{zkl82VP!k*FJ;EG|Mp^C^<A&U8blsfwqt*(YR0BMUL}vJSF3E|
z+cju5Ftq#d7VVo}1LNhJYkZ4aiGHO8zwK_~I+Uf>qA-24La@C3;e!V+(H$%<U2uKT
z`72tt2)f^RPOdE2<%&|jl7rjD#pH2F9d)O3j8nT7@6`R%JA-cN!^`ZlKD->~vOdS%
z{MTY5?Zp$7vTON~+<NKx%ZG21UnD=R{G^iw+J(B=q>-!#hLj6Y){m=AcXiBN>6a@D
z_FY=;VjNz)u-pK(b~zcp7N>SKI!xV_x9C-WSJ)2up?~k+{;l7VssUnqTQ_!e(Q&_F
zhaufq__3{vnB7?8YoXvmy6D2T+n8f@0-ic-Fv>$#+-z*>i2IUnekQxIgZ0kr#)45x
z#{%y_t<pLmwHrH77)?$ZF-xb*nAu4KlJ;aB)8JV4<B%ZTLHCLKzA`eg_}!Ada!L5w
zoIVC8ZF6j{&{;Q0U0Z_=T?T|GdBrRBe=qGXTqX5cCY4H0JKeXM+;_#|f02u7N}pQG
zOh$f2t1>&Cta|Np^rBUR7F$wN{@gM(1qUzM46Dt`ZlAK*90P38LAi$_>&iPDlHdE{
zi(yJeEKid#a(Rm8<*7;E|2=>6Pk(D@Qsw;eIzZvcd*vt;2bmG6N&Otrs;%4=$OjxX
zmb0%AoKlmz-~n-&BrSjgwFZF@q$YJi&PtaQ1OKYZ4MA#B7aS%Wgg+IMgM@<&RLYvC
z2?s6QEs|1jhR&tqsn#n_Q^H-BG^8%06&)>ql0uPXCDG&HeC$v*%iH5??v4m}squl>
zwP{#>^qbM+qLe)?Qt~dLs?|75rTfsm+7LJb;GXdJaZ3z6HQPD%eXQ8GiQTY?P<VRH
z)}G(c!q=;-$1UHdtAF+DKmQ&7S?Fr*W^k|9dK<`8N3Dfa>(bHd_LU`XUC>Bc;mnpq
zRS42vb_LP@q*>k&Wb(EM9#`ZPMB49wPBBTRg&=jc3kIqNNnBM~AxK^Af?LdBfgde-
zV>qi!(1jp%wF_D?6v^AYj<?T()YUF%Eo@9M@6oYZuP9!xOCB*uR|q?R2ORFv+My*)
z6OJp)$ZOYR`oz~n4w@6p;q{_9t^GZstBd4eOJWg7g8xu+@b(pMqogKX68TUt=RyNt
zq3`RIC#}x%(vrwI?dVBI-LtpCt2<{9js45GnrznXJ^H<)|4+&2LHirPdUWqR9d{bv
zVGD<pVAa!EOygc@RGvN5H>G>WE#Iemzu_%^>06(9eJPyn_e<agi|&=JCEh@TxWV1G
ziJvM+hnf+IZ2^D>HXwOtx2QU)#?Un&D7x2HG|C95RPZ60N-ct-d;QQ@Qp#K?$zjlk
z=rt1@?T3|Dt9;ORD1zwng}<co5AlEg&;B>QiBJBW|BY|rlYi%b<D2-@_kMeL)z%m8
z+}&T~ssgFjQn)PnlgJRG?gZ+!NGU@#R278|5}A&B3N{;JHdvM0WoFyeKx!lls)V|{
zxv2usyvcl#<HyLQDX+Eg8BO=7?6IHvR-eq#N~&2hw5Jokk?yU-^8Q4rNP7xALn|uZ
zuYcc?__pCg{tymlS_#?k_`gPM=rHS&6z(0UeC<P_#@(V;GtRJ#>w#r_`}6Pr>Q{gm
zG+>Ea9gUG8kqMvmRG<=fqz0<7hV<+m2%12NN9jY(D^jz#Ai9(llL%S^u~#8@)?U#n
zh#e3UP-86;7*Ryrf|Py2faI@wzD`GYh4TV4F=%D2HOx=unl>sd7Nfn`(n3ZtV6??(
z(!Q-W(6Ra3tAXN#81%Q^{TpvQn-f4corcliM{5IA@k=G&2N=KxIYO;lId~<a&YTk%
zBzVa=f$d=fqO}tde9j3B61?P`z#h|QB;!c_mNp$x<peNVMqFY<`W@<&oD&#kV`2Wb
z#M&qT20{70Tb*WOp&RIuWnj#1P25tGXUI7LjOQWC9U}ep3JHfsGT$9^+FzOBMO9_g
z%!<O(FPacved|6PciHcXdQ=a$3w|{{6!NR~A+y4a*3g%u!+hY3Gg?RCMky5NILFN#
z0+!y6Id%}<{p?NG`lka=5}N;Xz?+6A;e4yE{wJa>W?URj&_b?P)p(5Bt@jcdJyd@Q
z&%_(8GfQ>)m%r^V9=|-oeC>zxa+q!*GF*ZjQris4=}T|OzOpdi1wr<q_*fteWIAUS
zq&juMNQh$4IK%ubNOkIh2Bbxs;d&|f*epnOS_A<=knRxl`k~daUQu#2+B$?>ttn`9
zvmoPG3rh4?1cm1kSTC?_wt{@<{m|gU<eV@}JwuaaduX-Cwj>}3N=CEak!`a+wno{0
zaP)4A(kHsIy+8^qFv-IT)h#(XaKEer<}0M=Pe1x<qtkcxm<ryJ%(v&TS}?^bq&e0)
zWIvY4*y{eQIJuOP2c&M=qvsn0zB3MJ!&O4%tnF15P+z&8&d7{BuTiz8qvu<MIpjLz
z6}bzkSXRG1-y@Ku(Ubt_2jpvg^il4&D(gjRLSLrOO~OcZ{^RC~^^o4U9^Uz-4>#)|
zSkIO3^t_DsnYPCMq0^)kwoX1#Gz~ZNc;Ek`n?<^>BY~tC)2#FxOl1c|3J_{VKc<j`
z@OfoByj+rQ=KL1PVk!_M1n`2U{kfg?mjPkvgaM+htXzv4mF?J{DANoAT>%M+^`ja8
z4EIZm$(76FPWv057N!?}<~gSvh}{5W0KkeO)?}C7);nV)u55$GE#GH@KJHgv{DmJl
z+oK^Uc=_Qg=uqA+N33Y7*|wmBZ5kp*QFdr8M9CRuZ3`|dEgUWuLb{b#6dhN<Z2%f5
z$ZOX@_lt@%!6T+ssvuh~n{$*0(giVi1X`1=7uuSc4=oDL&vCnA-#$@eP~z2!<JA`W
zAClt2ma4AMDCplf53N^SagVbW%g4s6#nMn$_U&cGcR0qg9U~K(sZmOu40zL_feEJ?
z=&gWItS%%h8bcmOEEU_gMUhiWmhqs~9U53lY&7nncoO+8X7Jwy;6${%tky0qI7(|W
z<ng2LR!g?nLK0kT?PFebXc!QMrPZEZj`aLq7*zu$iQ~?%&iIGuvU-TH)jmX{`ZMI&
zfTTY}{MbB1SlS;Vew-Q}UFjiutDCaUQ#AKy(6m<Nl(|2nb}i=q41asND1DDowbIm;
zD@C8aN)ug?Qm4|5xj*BDiwDoY@a)Uaz7Q9?T*SEAbhElw+skj~<jR75cZEyO{TZoi
zhG~>9`O1f1^UaZ!R>+&DQ49b_yb{u~aA6N<(`*sDbOKxuU@79OAh=qYA8B71TEYbr
z26$;6NC?seaKXR;V-AQqH6chBwg_UTtmKdp%2+FO2-1Zuf=KX)uS=F&byPx-E^HCR
z@DHSrW#YN@kc1#ZbQiS5jG%QpIAw9sTyno#l6M>oybH`|5r-z06c?>Xu9i%=V9h<4
z*IP+OT`q~qVCb@?B-%|h%bwQEcLzBfw#Fj-1Lj42@+8R!!`DQ!EpIs1?A-7Pj#ty~
zK?g_Os3z->Js%b5g`}x_-iH?s-#R|2^sUqbTi>hbFOm^~oIIj+6vU9@P0_Zdk5aS`
zYms|Qk+Qm7vT^uKReC_EUegJ~sjAZx+>Z1DvK?pwjvvf?%9Qj-gK*Ky<LL#%s-^ai
z^j_;clU>R-NT$V;4<qV(G}QhEgbk`-CE5O5Dc@}`*qP<~at(j;WB$~4BpN79TDYRN
z6h@-eTQ5h_>H&OArIGWGa*I9nT4?o<du;{ld@8~@s(v(gAhBAnnA53HQ;I!CDp{(6
z`OuPUutRTkNFwy_7W7WdiaD8z);!ii@!SH=+zRGgD%i|f6oeqU{_0$+$I^D}9n$wu
zs$3F{z|FDid^f^wJ@{t7Y!6=LyUmIskBstQC*v%!wEuD-E!38+ai-PL&#GV5dqzj9
z8$Gmo`bAIfM83Gp`Zr};JowF_Z)n{3lodKZ$2ajw&!BIPlb*uf>x}yxNXPzMH?fqO
z4u)Zr0y)MTL3Pdr#HzpljP925NT_nHue$Cv8YojV*G2<1F84~!T&dd`Z+Ls8-Q#Xi
zYqDm%;q~AR|K*qcM<4Oo!TzZJgPVPK*Nr3>ws*I*<{SIU%G@qUmR6+iA&4NUjeT+e
zuwTL_6+uvEx8X+>pRLy{qhdw$y|*z%j?d6Nt`*NctbQBFB|i?M&C$dzu?MhF?TS@=
zw%#fu5e8p&g3TPCp}b6LU^o)TRkufyDfD!G=$kO^VRe`ZXTOk-VR+TlodE7+TN&0(
zKIwiI@Ysfu*{X^33af3|jNH87DdRgvCQgRZsfyZ$J217v03KeycD<*lL96)@=5*pB
zsE;aDtH!6=A%__nN2LQw*pUw?{$bjm+hN-td!`f47Y|99purKgRpY@KtdRJHaXNZ=
z+|=I1Cd#JM>!kLmo(V2yV|xD`m=k#C6#?&$yG64x9e<0!lcRUibHqJe80`CCvh&Y>
z@4x!mPm00JTDtVsFe_w&ZDpsd1Hk>rOx;`@O%>0lK#tDD8{gD!#ZzKvtA(R|70Esd
zA}X(I;WZh}AOaxQ>q}O2w?y($>{OIxC5HBTy;hIe=({&&gb)-RX@Y37RU3(>G#ii=
zf)Ya)L5>82iQFAXUz2OH9z(n20w<?%*-f{~EL?9UiRNKmasq2N=0wE7b3OVg=*ZLf
z168BG=4k)|&NJVh?P7%n2p+wMD@ZY8wkD^HDf$7ieEr);y9a8lBQ>hqO#?42u6}U}
z*{k1n;`G+At5_*ZS4C<0j!-Q75asMJ{qd<?Ilk%pblzo{85AC?I<2i0;WAv2LBU?L
z*=2ZgX_&{|qS<A*8JFQR{?_0Bf8HN3b8Y|e_}g3LKs&*%9bk0fv}*~@zOwk+1qUY^
z&?XhZp~(h}zl-3|WCOYkE(idiRx<%Nw?=mR3g<|dp$Hz4bf$qnqDNjk-b0WsgA4B0
zYb_EUQ5mQO&ssy;2eBLFgBqc>DNz6QaRJGvEL65>SCxtV8k^^tX#a~ad7hh9L6y%m
z<VfW_1<B$uhQ+($+$?)~XN&jbTD)<$XtsDaWAWbj@*lhZHNUFxr>OhU2FXFhx?Ogn
zz$!>am<Vga*mq+Y)d=l!6b4!Y60ssuJ>s2j;z}trdstZpPa<BcLBTa+YY?$6)*Z(B
z93%s=Y@-YiB)5k?5hP>r<{Yaad9g5_DRhjsf*G{UxO{D)?;71uIh-D4MAbkN;%uML
zWbgl$8hrq8chF6N%=-?-y54()c#>Ak7x3^Bdqb$$>+3L^c@2IJGurow5~<khCe{DK
zgQ@WC#|?sidFWQ_&fL$OPr&?x6&oM$)b-6jNDuM_;Ac*mcC_Z-c#v~G;o`Vnp=i%F
z4}0UcOp@tQzK9v#eUlPW!sh~1nL40!cO<)TeoXM&)5CnRWe1}aJ23K8ebIFE^fX`W
z_GrM<tP3Wtw`-=y`2w@saT%E*Ssj~~c>F^uIDC1sfStF8w4m|r-~AyCNWSmj4=KLM
z4=EP#hm;?mgokusWWwBS_ITZ9?F0m!XpqW2Yk6a%>;r^5p4zC>aO6Q%XA%f}>b7ek
z@i^bylfA~sf{Nh+lLIO^oNR~Bx>?Aafl?>JM1xJ$Z3lsBf7wp^%ZP?_{>O_=RcG3W
zQyf27wqyTh0)z}+PlFBQY88(f-)>OH6*IfVP=xixhCQ#OPut#k<I|gDt?%5$RuRSo
z1#Y@9H><Y5yPN7j6%?<~;}__5VC-0_Dk{DRdY=EBTv@OW3(loygnq(;*B$%iVwZ~;
zSDSwKn7h(1R~GEME8Jy9=%>5FqSZfr_TT@c|2h!*3divbl!0>+@DuZtTfCyYeFiP8
z<|)(P%;-yb!%Z-FV=yp`MXM|*PK<*UQ9V)Mr$9k!LGfWs5Htr1@$fO6p~E&HE{q@g
zKraIh6yPi}n;;)r6s{k7jgbW6>(Iq%&QTl~69oRlXaP{PYk!RT<0feceNJB9%J8au
z><aj~1AJA{^zg}845}nW0s3jKKy}OC1AbT_RvO8Ccfi}A^gld}4nQ^Eo`ZHV5KOvg
zpsZ(IGIj5-5hAKi8b*fd%3~q=V`2bZv9I%A4+@xQ1Pu01nHAt%<S;|@uN9&Xm}q+C
z0TV?7#6B?jh*Yjb^gRxP*g>{bp2h$R&A8>;gcFtXn_l`upZn>*vLs71bLGm}@>s-6
zZ6zEvPZg*#`>H7qfFODa=o{(?NU3WgA_V#N`-&JKu#v0^a`hd-Tprl1*K1MEs7wgU
zUp{m$4*+VX1TQH6TMi@+oy!9V8rf%sb3~lk);g%1{TywE0eYR5YY^TtWQ`T6oL!K8
zSfU<5h-<j`5ESKHB-dbIk;oc+Vyrj>6`^GSToMs0fsQC*99Gsgme!<lc1aK%t*qPu
z+t{3@APQV^yWK2Rk~n}?GT)xv1`G{y^do`%^-mW>L0NOZ;Ee<&_(mnGUzBeUCSZUh
zM=}*k_*O|)cWA%mZ%6(CSP4Ewm1K2`&|oj;i6>OjRF!1DN0F((Gpd$0t|!oRaXs;%
z*{E%d;?OP!mpp5jsH|0odpgVW1fJBgB$nq1(zGBo6rLuilLRG2a4JEd2b~I&(7pNz
z(e!ls+8e@L^?fpX<qmciYl$cgP{Rlb+2xLREC^hn&#RCUDi|$TX$KTesu=i8TRa$I
zsyT&Zz^P(j2-FAUc8Wp4IFno2KyWjOafT!^8EXkb8;n6rKUg_uA_kW2IKBkEX|sw&
z!B~@&0fJs!-4w?*o4B-it)>Gr?FERiX<Sp;+~sh4T@K@JQR@QCT@KgN<?t^)_oaXQ
z#UEPSC{0+mHKzb(uF36L=fLHywhEDvtI3>=&hS48qD&C;?8vRdniJ=O)GMY$J-i5n
zgEZ3|daY20NUDp&Yb#pK37K;A__aCpl%|LGv|)n<VU&A#X!W5*q56;6EO?H>tJ6$A
zK5I|a2w9*<%OVyhtn4bPJ(p|w%<qa|*eCyI|6A;3h}b`Q4A|Fr9m4U+SM<N}P2VR<
zbvqHD!AsNM46%6SAr|&(Of`3-qcwdq&Me=mYDQ~+?aRL6H$M~jZ`Jv2v}R>7g1J^`
zE9RSN#-WYYv_BbwpwDdMfgBFt4F|&{Lne?uwBf*sXw655#-VdCfCI9~hm6t=8KdSL
zt5^VB7*I2e)<8MY2<A}0sJ0j|Es@r$e|3%o5TTN;l<+WwPK{s{t#Q5y!d@<k3(!ik
zaA7|VPA=J5A&F6==>2LUmgJyeEK7-P7M2=}D^x{m_(1@?%hA2nElK9vgG+75gN*{-
zswgPmA4H$%2%x>d7+Ouw`I@73vFgc0YX?3u)zjVBMpU3{M*h{E$A>erfNACv?P2<@
zPtCI}la;MUmVC1fZ9wZ&&hc-iragGEzgbPs=<jJkn3VV<h*^q<;FUWh-O@WRL>M69
zaOR7<_%d%|UuFa*vvAszen#zfa&U7HGY2sbpF_hPYI~)+rypT0isx2E#NFB;cd!BJ
z`Z4b-qvlItv7R+QFou3i1K^bIvQK@(d*1!JXw~iyV)p2D5`&BZgTuf%bS-PHvj-2C
zgBVNA$>c>N=Ze@Q2Qio=OG;Km5~S!9dmMr}h&iIGObj=&s5a3s1gjth@F|T=z|Y;r
zPdSL$0<?r*jj#yBqZ1pa!tT{Zlm8y}Z8Q&TABTxrz5etc50n23D;J;g_vN(W>*f<B
z5}2*WI?h4Nbq6s4W6{oR4IyAE1x4<razq3|HC8xp`?XhwO3bV!-kw|Zb5DORF&|^P
zcp)|77STYAyhRj!C2kRqYd{zMn9bR1z!ZMo*T3+u-yiur9U|iSJR2$UlnoZ6;lfl6
zu}Aim1==on*a(d|FytUG)QCI;X$p&=GJ+6nj&eY=B2A$Sf(Jx-DgiJtV(D0srqBg}
z3PukUlm3fkXGNMq7sPONP(RYRZuf%R$YYIZ3SAJPBa#JT3PHozoFfD6BDh1Bx59Hb
zMrdhY5$?>An@bXv>lktgpYyQ=v*ME5EeJX#&_-{U8f;&4asaFGpmB@oMniETb}!q;
z#t`aGuarSnqhF6Ba)sVwliN|D!Y-DoPznK$Dik6%P?|*9jT|Y1)Q@e5*N!s+l46Qg
zY_Y}+`>L{;8p1eX*^UqsWwVO95=CB+lB6Fjb+rVYZR%{2jEUv+@_5907n`WFO%Fot
zX+04)oV2`St;V?JTdTp;;#=SQW$)SsN>793PHJ%n?_aWUsOGmBLsl>>#s(U|fFKMK
z+H!l&T?G(i!;D~^w#%uj0D=Il)@BTIYB69NtBr*dp5v<fL<6>QSPEh!r_FL|abHjy
zAWcw)#8@$>7Pkcq0eXd2P&A%jp8!K(W(I#vKDKB)myCoVSbu3`D#@H$+y)o|TECJU
zts6zbxnfiW6WP6@4)u#u%npr`%=+_GY@tW&V{*_#^+OcKtQ;pp>2B!-S=>^3!Td5L
zqzl$vIi8ZjP%4d3IM7Jdz%ytJ<f-xw5<*rxWMIK#lQ=a<3X(WY(QrTmR2rTdcNmGm
zSG-Y)X;Wf#*O18RrS}|x3a;)pa(cLy0Ch~)pY~eZl>QvIe4qY&(@+1wkNI;^no&fa
z>rcbyJ#~~OEucSuj+4Hw47rsGu%mcUc|fWIg6LdQ{a|arcar3Z2?9UIu6THi81AK5
zsSuPKVuD*87l!@_%t28-5`vOEaY1%{NofXsimJpyP?9GuxL9&f8ebVS(u=+cL3#51
z99JaTfglKTWv)y9)zqK18=MvtTIk*F;aaypM)apk?$DSfOM)?1DpGmL3gry40Fvwh
z4-G-pigA|ON@u5u7BS{{@O!pvw2V;GCdqtv4siEzbgYTMn?|dW%(n+WMBDQYsLHkX
zQT2&mBp;K8ac&&|yt2vpb;nwAp1ew(HS--h0F)=U60mJ6OZhLlnnh?VfE9c^b){N-
zB!W$a`?vu^WyhytAgIM{<8&cM1^UW9^3A1Vu5HVTMRBzArlRp})mKfLUl4`aw-iRa
zWLx0OPEobQtW_8?sQxLm<S3y$-qbd6zT^Cf$*WA=84R5;C4{Na%acq+(gH9Quww`g
zCB=naEC>T{H{Dd&qdwJC%=MnztM`n%MXkA=L2K6oTKg})>)*WdLxLJeZ^mhtWwYwE
zC}pFV8nZOhl#ODxiQ%%Kp{li>{Vof*?AunaUYF&#P=4He=xh+7k<Dc}A{Eyd?h`Hx
zJd8##DXZkNfSR~<t4_Eq`=zis<dZz%vS5s2XHABD_TGTy*Ikw!MtV1=DG7`sSzMOm
zQb0k~(ev#Im*ubk48L)1^8Lw^T|3_F372KJ>`sf#cPLzzEe1DKN1t7mx0R6s=Uf(#
zYW**`n`W2g8eJATCB)TD2BD0zcpVVR*!<tP<y(Ww{NLyN;lKVn{z)<h;(d9=!>Z>M
zwQPrtRg8hwB@y4scxV9PpqN^`v1l6;3<NV;YU{#!O)VP;<_#`76hmgU6=Ps427(#0
zL8(2npr{%@bU`p<el}H278GOPKc*m<!7N)JdYVpRT+s=kN<{N&Mlk0z+FbmfQsxjJ
zAtEDn1Uxdji%o<=S5Pzh=+3dvlS>k3I`zygYE|_d`&>`#^Jl)~<3IkXFn3xvb8}}^
zG)&n-F-elEn}v~9*;j3WAEj<dGQtZtCeaY2xhpFk0Agc~5re+Wl!PFCYZnX#Fdzoh
zfQBH=oeLh;D4t729At88Ktqt`&IOT3R{pPD8QmCyG<PnzKQd8DB)}fsLSh#~kg-n@
z#2k0&{RMQudXz&@^qNar$VcR!PHQ_^;NLF}THpuch4fNal8k+PO%T;GtBfK7vb8l7
z0Pq4jBQ6Od4DC_gVYp{|Ev2sOl3>AwC%`qac>B{OUF(v#G{&TkpQK)feMN9XzCqS+
zj^Do4nQhfC%6AA0fyRtS2j52L7v)=o-YnK(r9n&v#>JXvJ(BP@#`h?T)1q0`HoY5J
z(<IcF*41Qd|Hv0z+FGt`BM*w{NB8rW<o}veiSxOvK@tXzC_YOBN$~2c>6L&4oWUG4
z<0e^xV`m9aH*Z1K@{Sh4aw3@8Ak2NIw;6fj+w5&-s+FY?DJ`$>yoq_@%6ox8-5bsA
zbpC|#q)j%(1V0zj;#bPt+M9I7G+sGK8n=9Fc^M@A$dCOmKlSegNJ599c*e{|qu}iw
zm?2EP<bu#3oRUZi9M@p>l@<A1aQv|0p&7zdO1`48?{K12cZM)^QV|@QAxxFzg1D$k
zLxE=rtlE5x>?`~jJ~TyevjhDkbQjV~rei};tVWR>93jj{%_Rp$2#?Z-p(+ZoW8xkK
zSp(IK2xWbv8Z9?7*}puf)Dm~mFI@S>;V!qg(r<cN;}Nrv6#$GJ216wUHp9Z`bcBi%
z&mwI~GQ;CFfF9Bdj?xvbF5WQ-#!$&3Xp8{ME!eaGy%>W97Pz)O<~OAe$1UHd4?lDJ
zjUWBx!5*Xwwfb-m-xzKpXZ9_P(AAD;UbJliGzRMAYt@Hk3N9e6=9kBzvpzJ}izQaH
zqcqwp>qEG@>hm5j22kDYSh3QF>xI(TBCln{MIo5=Av{ggRj3aELsJA?2v+*=2oDmH
z04Siq(jHq92eu<7JAt!Eb?nkSNni7<K2-PX-lNoYZ~ufw&!WqOk2!A*w%_}j1uA>*
z+yq|VqhP}BJ=(>5A3q_-h2d=Qt*F(GmgY&uH+`Qdk@{KO9rV9+=&1wj3SJ;jiDwD7
z0ndWehO(s-_280)VGs9%uY6?_MX|aho==XNf-3;hq0X&kVCa&dZ$R&pI1LzW->#XS
z=?fqW3FN_p1=7CunHI3FuZh|a-%vbQfI4;_!SqyL>_O~>pbKlR5uvt6PmlElU`Bkb
zu_jh;C8hrFM+a1rza48XHwGxTuW;oB)a#N+zmnsNFfzcMs-us4tU<oqKGr=9&gHSj
zcjq5#tm%(6KgL7a_o&H(3o(DJ`7!peZ!i6^#_=Eju^ysxZcr(TGD*h2GjO?uksv;*
zFyYe2o5LOI&ajpYHx4=60E}cxxIy}3yb2MnfZn*mgrA{OA{|nul3D{=QXwXVOevke
zY^U?5b&pM@Z;sPZw&ryGK(|~)PheNB>6pRCRKaL&nvNL^$(eg=4LauP_bcylAXa5N
zelU2DK|dWo7;Md8O-?^piIUU)oeP$)pFUphJl)l+RW|YM#+@h9Id3mE4j>ge=cMaE
z*t`p2@;77Cg}GUIX?HhrTl_#(ZEc7+n7&vs3Ptb?o%86`>0gamJ<BmB{eqA9#2@~&
zXjM`KtNUP%A|J%#^B%e2Ak3au(FF&w?!3M(I0$v;J#@iAq&xM93qB6ey?6uaLiBb2
z6cK*#{~BfP|LlL8uVqt@;F`Xx=Zltqd>!x4P5bxG-Qz{pw{Q}dcmX3lCH`g7cWpQb
zgnRXVi+fYc=NDR|$IuV#8LD843V99vAlso|Iz2}KjaT+X+?IU&+P)BxpY<Cd{FQ!%
zK&6mnJC0u`xAfw;n&9*+BnPKQZ}!;6%U$(HK6cB`xaIqzw;%imzwb?NIj1>*UuFX#
zti+j?pO_(s1|4-G?bP7LWz7);tox;T#UYqA2dT;=GTNe6rZ}h&%$fru-Z`TORh9ip
zR?IyzS#uQHA&^hY43sR$N5j@fu3iS>k<bTDDLl;(9Or3fKQn2Ai4BIXUi|N%nxT;C
zoa@P*$I-ZS>YeGT8N3^rB9rfZ<(Fw$JH7W!uMNOS!&xUjK=GdPz0Q6d)mD`@SM*sr
z9v_#qf7`h;ar`>LR8`gty^@t5D|qLur+PF?I-NRge$}GCJ-knzqWRsw{Zqc}2SU+k
zIOi^k1$R07v$jHJgn=omhO!>YUz$%MlUE&8^EvSqQ`&rGbBtm|DjF9|X`-!hM~7f?
zGZCTg82U49&Qwf&Xet_C@qi#5seKA+*GbM1q<|XS(mM^P8PY1YC=Q+CX%>w6tC=Q3
zil8~PSSmku8Nz*B0Pb2zp4DA^(dD%-%A|+gE556vV+~(>^WmzOtSngee}wFJD4F;~
zf&5>56QA<;{2br(eWFBCV3rR@R;M)oiN;Evizuk<De)1^Z*;4IU}0}l1+}G0ct$`9
zr4yDMOFCiM4xNL<3RG_T!3fPOl`WZ<yg!vx>@O*?v?-S-d?m>&O!t-S8NMkMHg5So
zU+KC3?uS0|#o$KLg<2K1$9P<6#zTUzlkdwa3_X41pqJ>@5D9i_<}2A2TG4<B5J5D&
zDa;@QvkC(p1P_fB(J|O2`?3n#9yVlUz^zA}vd*Dtd$b?g28l2!h<PWK8hP_GS%vLO
znGQ;?6|7X)a;?}7Q1nYvcvgy)3Oj-)11E`N_Y@PWOgD%tb%rkrnTGcC3v^c5sZvS_
zLD3vVnN%OR!T+vJ$LYZh@=#WOw6l63bAX}9^r-mGPs9I)NZ%()4JEekw&C7f{d+}7
zA?%5rs`chhwcG1d8+VIZ%Q2%huLrHU_<O(X3r1urWE?0n6$cw|8tDRB-w5t~rVNmQ
zYE(n8&Qv)0F!-CaeiwpOrUK3PipSf*=Z39}bb*faEr&)~OsZm-a%#QXv0{~}2%g}r
zcnEpLDpOHtf{$y9hJ3{<8bGy7ukK960N`cLRLq%*`(JK!u9-WJ#guRTZO=XP=p4Xr
z3vGZhG!j6lz_$)>cJl|3fG08)%TB4ja`V?qR{v$nX$O9i-TcFb5LCtkph|7a?^UJ(
z+~FqJW(#UJpCcwDW;p=*(nc8B&8Ml9Y%H>}9l^@Y$Ha7{Uj>gwCzDgT`GDmZ^yPRk
zOuD=IH97+nD=V&v&|ygu*g8cc<qO*pcMqrwy+nYlR+48mXK~oe+;XcV#TN}ZhI{NG
zkP{{1y{qEw!%p`(_f-I48#k2q9nhu%7fe|MA&pB}h)yE5X+s4HW>V%9SqY>$(gCNk
z!nKeG^l?@dE&=f6v<F}4$a9d!C=XO7LcGdccOVl@=9+JFET@+z(k3$MO7ViMam!>Y
zf6zIL5a@-1CagEBI<r)m3Snt3F?oh^YOFD0c{;x%mv8-Ks@`w;roa0Kzx1r?9fC|}
z)eG>EcH|&$B3<k`*N{~&I4W6W>er}Q^=?;t@?PR*FEE3(QWxsuxn2Q#5Hb<WuwV${
zP?ETiSwgWIo1Wk6TJ=V{=!kI`&7mvRThc{6u<lCrM!tv>IGQCZ)f)*TWS%?Vump_b
zv|D7opn9SBtpXUor&7IxVDSu$10L=qT6~m}7R?ztcWD)}KJQj_Xzp*ZfAN$|R@m~@
z<>16v73s%(XKTREeF}bzOzcPLl<VoqaJLC-sexrxO}8LxKB;#nRSOQHNf~Boo=N*l
zuSq)KnOqArDO7XpPt`v~)dJ0i;(yv7s=opwK%oA?J-RumYPme2ss#%78p?2g$1nTN
zi)H9vZBO-%NGAXQkQHw>v?Y+3)|O~rA#qLpTLhK(jDj2kKsPJ|seg+g1hArzC^zH5
zY61XEb=90X1_l`cXsk%r*H=VLk5l7{tD}&j<U`9%^uB(;s6|olmkUEl$BK-xeMOsj
z0B0JED4H+`LF!)@JRkuskXyDGX=D(BqJLcyT9w3b==IycUNqN7^ly<Apt(qHIu}T)
zFfO@UP(UPg!fO2RQMytUR<|pVsztwRd|LU(M^iQC7`=8~GE?+p14&SfK~h&8>{1IN
z00?0U*yyn<c#o@J1vHWL<8{)1-o@2|wob0BC|iB=&=`}x9p49I5Bg2{uH%;P^Ibpi
zcmB6O@>`-hs11g$J9TRb(k4{qsh2R?S#p2Tw5z()1u?6URP2N^9Sk~eUs-jq2(DKM
zo8f7K_65y%RI(w+>+OQu^+Bs-7-?o1ix8ylEQ07iP_?vD4$QnFb*Bp=%qFcSyPB6P
zRf(}8b*Bq%0p3!jwAzC-vpz@aP8Zy7*&QS+0^!jJitcpD;y1&_*jzS0_E|lrDl66e
zRI#Pb7VGxYf3E=!p-tpdtE6-ncld0pnnY&hKKbg=?lggAyK-}2+0^yx&Su9m^mu<W
zzTrv0H`sGJRfElyzT2zxjk`sy6__i1*Hh_x?;HN_cYahvA$l`fOL`chTVWJp_Ygxv
zx5z*<T<Kv^VHDzmgDfhQCEaXko5{R!0G&#0&ax%lW8Mk`N#?P(w22)IMm$^6-F|7K
z#b9!WE$IN^Nw%cJd?$JE$}ryv0bhQBhWSpI1#-#9<t7Q^hF<)%VX?=6c~*;<vox}M
zYfQ~B8@|T2Zq-bCKE20G&q2tve7qzJQ<6raj7ZW*m@z#`W6$zbGd(s*K5qHe#4t(z
zYrg%%770nM3$^O81R7`OQYlIq>JfN51s~g~bpnk88*d?q+Ft9#SL(3@8v7&KMoz0o
zj5i?36xE~hyq*d)&;zpdhy?A-^@=&rh=KH&#oX%AtR9h1K{p8ljgrHPUwtCbxaMh7
zR7piQsRpVejT5R&{+aE@T);LSFN$cDqnuUqe|j?gk%mVc{ud+{bENSqjx=swg?<0O
z`rO|%0%8GXYbqR&1a+$Txy}bd0F?JA2oJ9<jpaHYKw-?4fMH=V+zf(pA^2sn;vR7B
z7CBKtEWnkZ$zGg7i!`8z=LJEc8w_HBs-&o}sEbjBx!?|291-NXwt`e)E@(qdP#rlQ
zJ9v?*&WEWZ%2S6yTgFot$FAyp$Cahc567+P_#~O@d`DatNw6Q5`!jVulpz%}vH+!B
z^~=v@YKn^cpnzDo{&d&Sx1mpGh{d$JpXBM2ODMIWa0wHL;)4xhvG|s6_~d^UeR!Jh
zcJI-vNYE$>h(HglfnM#aw#2s~OC_DcokzGKSe5un(GVP@s@6hK9Ck0rfwD%hTcBey
zwHAVM8%?l)HsH8|GKx-N2v#M&6&r#jT-S+wSumIQY(y)Z!Xq5gW<{!ATOatcB@1^D
zJghqBSe5wj$QeZ_NYK@g#7WjAK4dAB%9JBztAn3alj;Rp(JrY<b=m^&pxY;y?D`zO
z!fKN_ZFCi+xb4GK(xox(W}FPAyIp%oOO&oXl(4EkT+x-eW+%^K>I0sKnpW^Lxjdm8
zuQ=4y9_yP5m&YyN7cTGL`@(y^KUkg>81G$sj>#|FDB!j-ys9JUwJzs^!HoiXV0}$P
zkXO<LgBxYD=}h;e!gImkM%ik`KBN4p@LVvsQJ_<(@UkEko(m>!6wqr{f@f6;UpIOA
z<mGO&Z}LqM$uDxeutjFz>vV)$<wogxRx>xs6u1J7VDhS%Mh>Q57{1%&Mw#njw^t7{
z&2mP$x7v5Ehh0xS>^r~WnNR!V7%Qt0xmz$#2aN7J$5?9OKuE>fc1tTqkFQudSN4bo
z)E$mw04<mc2F@B-=QatFvn7R$V|QFii(Cd|SJ{#R$lj7-pT2z@I%i7)nB3FJp0gzd
zm)zIMUS~_3#2UrDP6DRNmK0nv`fI?;QJ<xt^2!w&YLBl_(ZOQf*B?&@!`B?{kI(n#
zI{M?)*AHA^vfxpaQ=efSX>E4_8t*{m`j|lUFb<!IgA8+I>t46hE#C$Y(#w*g4T&ft
z+UPW^AonnZV*|Y?H9P;*W)&%aC4`^QU#1g2gMdbd39NeBAMruOaU%UG88Xf{J~;_|
zuhXVno`^Qky0gCmpPIb{XABa_8gqBwZ5bpU_wre46=^ZNeD=6Fai%Z5aR2hfhc7*R
z{_>vm>*x*-V&4bVzR%r%_sdxM{tIGQuyyaroozJk7R^4x{dYWjvAFZX<vZT`&bYNN
zJjC|>x4kp&uRKsD=5(VyQhan+B>wFm_J*JNr9h+V&1ijw7(Rg%T5I8Rg=NsfXQNb-
za;VsL40pCkrIQMb=N-Z9GejrVUWb-uS0MWg1rd56?545C*=LAOD%5V;;!gG%28nkn
zpCLM_dYE@ApJC8pseK0Tr0Vrps=G7jvE<t`;IWL)4A7{n#f{8jSnAV*;MMATyVPaI
z=;(6(l^3_mfyfc2BK@9$yBYqsaW`bszw^I+GVyPenmeho;eJhZQq8%8+shrye!%71
z?>+bMGEDg$Y`uKpM!f#&y8VE!x%l`G`{uyXp6~;L%;F5v0*sa@r4eZXV#&tFW<ygV
z{j*4m66s?^Ol!5XAMk3Y-2_Div9$I!U^ucBC4tH4jK-+^0F0l60E&$VnH$+;8L}U+
zm68}Gm%9#8VAWB*I|5QCYc4SAy}FO1`~Wq^O*ViwQ@?0h1l{C@z(m_0b~!%DkvK!c
zSGRv~PvIJ~0bXlt{{ojW_fubgKXvLCO#VIk1*O|Ms4uF`5tubb<^KMi2*)!^MwK`i
zu{<N$k;}J1F9Wu}{n7V+;RB(lb%?tMY&OyzFZP0rK1)y{tbssa3DCZBTn2T(TL8qz
zv>%`eAc$lyW1K8VMeQqYH=BcIgFy+(dS(`6z~+KB9|%YfU>@2-Q&GF%cC`camic7?
z(bX&RhPohnCy-wy8V`efv`lGV;m1gt$bJl(0->a!*O0^&a?yN_g3B;LB0~f_vd84u
zc0~!;{MZJG!?6J_Y=5@mGJMU|8VDGiC9bFtbmW7JqV_ex;6YYZ7)M+yrh_X<Dr%Pm
z-7`iB%bE%=tR(B(b0p(4j*fu3vu3_OTj7r8)g()Fd-QyRO2HY-`XG|Z=XoXNuR6c(
zo>XPrlL=Pgtp7!&yAt=mD(2CiZC$B9L(gQN;nMp|k+iRRK=4(Vm~v*rkzmsTt}Wdu
z!P@e`>p<DasFJn08o+bYoEU<Bi{mrHJSC$`Jq$-C1~4JRTn*?rGXScYx1pEEGs56I
zO0PbYKCUj>0tEAgAwJC}_C;xEdT)olh&N>g#x37x1-|LCzvJaEJYVYbVTwdP;|0zs
zvC1Lb8-f}IDr=H9|I>Oq+#B7wUk^c}^a#P6whP{UVC33EXLr3ot)VrIsUucQW*rvO
zq1MnwwJTZ^Ab}o<jC=5I(c99p73H)Y3TK>T2SLDO+7+v`9jH9Oi$}xK^Rp{=9XUfz
zfu|(TqQ2ynyS_(@yEF%aqQ5f(w=VTzjGq0VRj0mMx$CGy(z3t`U`Ahi)dlM6H*&v1
zVpY%cDCRsKjkCI4HDwn?C~8o@G{+wOce>r9;2ZU+awzrLhj!3iKa5a?UtwraXAsd`
z>Jv92)i$}EYI}UI(`HrbgJMswy?FCrN(se|x@y{ljez?!U9sDHFjcY7`M^0J`0zQ@
z;Sj^Ibc=eee}uvCxc^Qyw5waX<-Ss{)qSOkTlSTlUzBcNseIsf{?xs%|J{Lx?Qb4E
zASzT;e@{;+9SrN0gz+{iTJ(fs*f7mvv{5pSDS{sBVK9YJU4<YW3>O5FhJ>sLGnYFX
zO%Z}rH!g^Q(qw~06aH?AA<OllscBqri!sRfF(elQ*H<G*73G4^Md}S;Fog}Jk3-YJ
za6$0uqEi-!2BhDzXCWvKhD#oiP8GL-Lu=I)Ns_s@9_dsNN^;HZ5!b%{IpSdWnmds0
zh<k%cxDpcPYc39kOKvw3JfgpLy|MCcI(oi6+v6I31v_!!5GkrTN#^?l<^vM@LXw5q
z_B^W_wA!GLL4Fa!_Es|AAvD&Ka0tI0dvw;!w+P3CS0m@CNr>vV=X-=`nIy@=(O`6$
z{)^5!LXoG845xS`J#&!MQ!zhCH+s3yPPs)@z<aLFS=^#}uWOhWqCanJdvA+rQjAgx
zc{>qo3qk^jX$lRarv6gM2@ukhkn^!;4h!fi9Un7%;p!l4KyGclxbrlI2qV1{o{?;a
zD})P{JtMt59`fGBCNg!?ogI6iPuQo&&Sj2UzAuXXs^9l_zxvNdkC{4Up3C%5#Tq1#
zE<<~78`R_|wgji=icS%ng8ih85OWk8G3S}0Qv`Du^WKJ%$(;oX-eWmrUfZr+YB2xR
z5y~Mf9s-|~NKSLUIpVyM0;{69v~fO!b7kXy8&#IpdL;t3JiiUkVoprK7@{*Qy``G2
zjkmPZRtv|iQcDtBr`Nh|D{aA`LJXb{$Y&()&+SkPNMBX#v@9o08O*>`Ba#9o6UV5j
zQcE)LEgfIB)A4Jyq?gCl5(2hVutdOyt1ArI(0RzjK^R%f=}PO05!%fcVE*tQ{{t`n
z+Ca={!&%!HYjYLx!`I+!6{jZpIVHvK-Kp^Sgh2p#BlBrOP%```xLsm6gSw|{qh$<1
zDlOY$>k@ga;CZ13O>6HVD1o`H2yDGFLV{J-JmV0Q2hs$0hl7%o@6gYx+ARbnc=vN$
zA*m&Y-mHz$#RW&{qNE}kI;Zr_t4<MlGnxI(ifEoMGewO_$kb@1)K(_b9yC!T&HB_z
z{`gTVLFGjnsoET&G#IM;YEvDlIcs!#StGmQXKqpJjNTUDpT~VIXN`X8<9_5zzBn9u
zy&0`-Jfh~Kshc$mF!WgcHLZE!2U*;+ZDiF7NfD4BA3^QYte9;h=F-zPGJCQy-kLac
zwv7eSO}+{Z5kfHA#^Z6LGnp}0zQb3MZ6mnLFgpW>2GY$KfPBTXwo&8xL_{p+kbbtt
z<I2>apKER~5sd$H*WCZ=F4s{$_Z9tb9JB8erG|^;vt_()%Q)Qyx99VWE~RC>5(aAA
z@~x^S2I>p{*kAvnZ=S2)0^u?Yl;;iR>i1mzcC!Fzt19^2iwUjd8ruK%>F4-2)6`y`
z@>s^V5#P7Djk)?QjznaSr13R{ElqAmoCHs%++}KJZ*hu5F)1l1u%_~@qMXv^*eK?T
znUy(;xxFZ6+%0NN;~d3Y50Az_``pi3pP$g8MN>{_0RvrAwybL3#}&xm8Jr;Xui=hY
z7?nrEwvhT?q0N+~NMvH$(r#T_-GCaDg1}g}RoGlw1!X&t(?|ub&_>dnBh`=}db>Lk
zISoNj<h3hO0~Ns)*{r0ny0AzqeoU^b9uVya78^;(;o3JSwLteNzh-aKXMM@(fsxv~
z8e>co_SmtJSVRk#taYTfsU$3Cd~!?cf8(3@q)XR@RX4UhiNl#Uc^W&0lq`}BmK1y#
zUE$7{9w6CsIyFF=E$Qu9(s8$Fwxl=1F7bKa`&T~d|A;_c@$O#WH}rdMR$4TDCYBHM
z{+`l<v{vj>ZikV4pb16~5)=Ngds$HOfxe=Se?+-*xfg_d78Ez$1%c#}3?ovP0+Gss
zk`HvjgF~>%q0k&!T>T;lcsktzFn)J_H7#kmJiv~@Enxf8M&M@o&{7g{N#r6)GS1c>
zG;TJ>u9}sY{Zv5v7K3D3N%D95niv7ck!(D=GJRJ^7d2`>$PjsyWKUUr(FLX+Yhs$Z
z{PgV_jJu6wb$`%hppyIbf(}e|^n8PM3ygi2^8|N!M>5|bjB?lU@cZ2X5W;57e2akU
zVBHh92#sfLE1R~X_;24Y@Kms+>wsTht$Egm3HM|XqZ)u9i+^uW^x`T!_9RX7Wvr`7
z9FY<3jf(X5%<6er2UR)yNBajU`4A3Ie4=P#?)B7y{}n_*-AE+nI>9Gq7#U$@;ojT~
zjLcr&+1q(?xwOXJqS@QI8E@x(-}CeLUkYzWlQ{0}c!K^F<lh{m@uD#;=ZMiG2;Siq
z^$>N^mb%~|J6FnFJ~hbBMQ^992!})}w0-Pc;_bNPAU#*zl!s|1f*VHyrptGcr<Dxz
zbJ5%JqYv|Q(HV8gVMbAUJ4JGkpR2k-@GS*14_BsP6g}&2sG07z@2P2DS_9rH$T`C|
znCx`uv8EDu(jmzIg{u&s^7s54-}HT=#BjZNZqA;Dlt-N+dmMFh_FeW{dzYS6G14mz
ze=dDHexSF#CA0hS<hmc@Zqe+1+>HD2-+b3!`Mei{8AdZ-4!*KH7~=XRI8+eRo}c$P
z(A!7*<|__%;#Fmfp$0ozH(wD9og}4UPu6kQc7)}!#b75M6_l?y*ol|R7Q>BrjIw;m
z;RZKiPhIjb*x*L@!zG6s+;UNAxWO&op2ul$Yh8t6X!Q&85p-i+YAm`vrn_y75!cmj
zg1<{vzN||wo^<fii*L^qUT<RaUe)w+h7cT0DwT&IIk+gf2rJjEm0U((BWRZVO+4dd
zv=)q*wCBF~*Zsry2lQ26>8|!gW5_t|%hqDHH}`Z_=hyq7gC*H$GCXY;1zu#htzo*Y
z1y|blu+VySUmtYVzJo(_v-TYvqMNnvcE4eRFUruRYsOR~P;Pa@^cLOCG!bY8HhgZ9
z%-XlmJ!1&FO$T+!D~C!FVyI$jbfA2b)mjsc=uUk@c~u+rLvizhMF%%4kC7SDc&_RE
zFErjfFl`#)mNeBz7FKH>q4BObFwLIUGb-uIDBN+&_i4Q2r@j7%KP3E3h4Z?`GjQ)y
z)r3g(<?JiG(o#OM-m!fd{0PKI+hj(K=PQDZj?4n=DMZUFYAv~aK#E7cq7$P7pVR5V
zqsH?U(G<Yikto|U6C$M`M8|ZG;$3}cYCK;Nc_uinR8MFRXnzbfo(pc#dM8jGP)ylK
zV*AQ9o=c)>LeW8(Cx_ZyeQYi6l`gy`*Mt_`lCv>OURmR*=7{8wZcm&L=_*QgKF49;
z!v42WcO6AbxjvcrH%biFnP!Ct`huzvx>HzVw30L&U*NPUuW6(3QbS&Pi;)Bpb?6Ow
zBmA?+>A)MYytse%vYpYjeai6lU-X|m_Y<KEH51a!{R`VFyQBB;vG`_M%EXkRZ9%^b
zj5OzEbiu)q=2dPPgS5o`+hZuLYNR+cm7%X#2>JRLxpVG6lOqUqZ2mD+hQ8uWOe$Z1
zxRv@9GlCoXx`scSfJV{8AcZis8NXXlVSbv!)6aA6e|Y+NzCFX!&#U|M7}L*HpLR3)
zqWbj9#~|dR+BA)w`B3{kRTZ>o-8S-GjvSD$E_cSF>N?*4hR*K$)adaZbmZKUFpa4d
zJsg!D7gxsh_!CXYH&(IROMV5#{(t`LoBo5(4#ln&c312L(UkbMcP;iwyj(|p6$o1o
zjkefFM-**9;VC|84~@2XxxQki(QX;M#mhCpgX7TqXtX6c<0}r)Xv?GFD{AgR5MS^(
zYb2F;xh}ZD@B#&AExMf3LW$(Z#+Z0XkbsXICFpv|3c_ZI4>`CHp;geZ3}FKU83|zt
z<2rz4ty#0WJBJkrxkYjzQ1n>ytmj<RB<8Be=K&a(E4vUL&vvcqb34@5Wg51(1xyt)
zkXrU3$X5IGZTuTgHI-34Rp5V-GLV_-iD*VNaYAZIQZNzCbeL_xj5DS2RcRg40nt8n
zt5Xw&$tR8vhk3QhR6=lb5$&aBFm5N8nXhu%lo!@DFULk8<vKk{It~VO<&?p=<@>zk
zcmMJ4dCz(7oRSGx`?R@;iRE>*K(`E$1G8f%c$Vv4B4R|>ns!|nnO3YQ%G3oH(54bP
zBQ9?P{G2iX7Y~ULNhGj0lId7cl&P(V7#z;A2qJGGE`JE-ltEAvY%uDs5*(#_<So_r
z`8C9y<Eo|o3so~S1WZwsl08p~M#ynO(Ih&YnLEcgTKt(iN9RjD`kI);YKm#1lRS5w
zUQgHQulmvN`a?e%1w_3WZ909wfrBhz0ZL@Gl{l472WM_evXgD2G1UPOvRv%6>og2h
zwvA;H87-a`5JNEAM%X#Cwksbm7J`*+^Z^VQ8*8}C5X`o52L?Jx;-JmK=2L`VPN$<}
z$-zGGnKqzvu8gP^5N$s&1uZ~etdd4F?Msd_x9VPZd4I#3KijntqGuidaKYmfchCRD
zH}NTd&(HBq-zQ3>1bI(lWo|p27G8{LJ534l<lG`bE?q9KnFRTdeaip%i6aI~VhkD8
z+hGBfAn$KvYk74%S-S&DT=XCs;3f5tlh0IdE|>;PE=|3~id1hdSb_;$aYGb@Ak|wD
zTtIEnqX+i7L*YyHRs;*|9J$?g77W!}5j;|>am53|iRM>Ry}4k3&e4Y0)(<Lhd;y&w
z!SUIVr1R%%g2SFogGi-YA7Z|eRe~ISbq38pqA{*HO{snRn&?9$jsqE&<<d&D_7$s|
z`Szeb${Wr^etW~Oq=rSUQIDy9Zgg-~hboXYul<X6_xGXJ`?RL)*2@K;3wp|1_O*X{
zP-*Vbn%PllJ(_tQFnRsxw4|BSdkxK8O_XdlM`Wa>l4&o*8E5;-Iht|H_vL6l@QuIg
zm%i;>!n>Lq+2&MpO7*x}am*DbQG!zuN+VLL%6{T0^yu0Cph|d`Ze%2<G3BeiK2^f|
zxZHB41$&GE;%+6cJ>hMN+HVh>eky9F+ZWv~bcqu@g}>Nv_A{*6W{vSD`4^2+>7ged
zHHj=$o|ANXu?Jn%KXszmY2DwKNY``4&`A4`Q}wYD&uTcKK9@4Dv6N}-YOkS$`k(u%
zx9;EdD_{4zJ8yiNP91az3T<AU)Ghog23`oh?TCg7bwuqegPph_7#_$;ArK(L;DsRF
zHW$QUHd38D;uY8ILI_eBxZn=tPXaqR;-ah17=rYwU2u<%2G|J}>EIJWYhYZb{FnvK
zk=C~eu0XLM=eXGlZ$=iRSM7oaAIJ;(3>k)qIdNr0deud61AYe{8m$s*t)PaWc-2J`
zO{r2x*kbsS(q<&dxB*yug$YSig5V7?Cj2BxW$kMMl}$Ee7LB0Sn;$M-wM*{TYxR|n
zfU0yP^X=L0HVQuknU@6=>FD+SL5qzX9V4JL;7XGD25tAKaZ{2rzdBc`x<i;JAh&Y6
z-EKOP`4-`6BzZEfJYYO(($dlMJp${P5;tRy&Lb?-D*P&8PJ&L$#KCR4a;z!D2rjvU
z$rZ{FTrR61yX09zC0hMaKyIkWRnvDdk}7Ls3v{EmIY#&IjYzWfibFXBCoJaW)}xoH
z4KsRPH$mPZX^d1Ml@U*=B;2Wxig%rMKuPshXE-f6vnd@PGn}fD@>*&qQPP#|D6On<
zzT31vMm(uTEi<6RS4;bIJN8H3r`qI;U8Wqo@#!_c_UH62woq^OBvHGGON$qFy1BFE
zr^Y5Tui5SKnvJ_fZG1P^YObeN^S&?ptS?%|3?L1*-R-i_o7p_Ju>$S8TXqIfSIJCF
z=QeEas*|J4*)o`51My<oK%3R8l9_2(b|(RslbHc}-LSo&MkJ@C$5VlM1&B(kKlVG}
zpXFp`Kwbf0YqOp?nYnkwE1=Lee#loGZGOY@wXtIQ#w>5Pp%H<}r~DN^H(#f<UTI`2
zUg&I_v|}L|Q%Tq+xKRg?g@jVo#QDKIxlCr0Au}aV9f3X268l5Qaf`r`xoy4Syxwd(
zZ_jqx9X{jU%(nApXe$2G5B${+eB?EzEQDSAl}TA_j1%;_QWosZkt8dKy)7B+p8lJk
z$>A`Hb{04z($^eo2v#WzIRBP3Kw4exc9P5~i~VxVzDh|%SWiuo%qa_Ga&!hY(hJKr
zS5u`dY}6A2{M|+wG}D@O$^w0znqJr%(`S;bQWhv_;yjtI0is><%Ske)EcReE*F*$T
z(w(E{l!c|K@Y|0|k<3XYp*pfdJZ0b(q(Lw`Rtq2L==D9q2y8je-3IO*^WZKy+P~41
zKn*C@Nc}`ZkA9ic3z06!nT1}rM~~)N1>3R~9bI^;`=fhVR>3y39jMR6ihtutcabP~
zTKT`XEKq_Iz+wv0CCiW;p-$)GJXyNRDo75(@t?>lBu#MVF1FAI>Yh)#iA#&1X3i=+
ze|hhvhtEBGf&P`(3Ify=)a)50j<tuEFJC;k|AHhAo_lzCFTQ%=@*Qt|C+0cYmoGfT
z_WifLGbHfca&bq+e2E?@f`>^Vv-pJf{_j8V;iZ_Pc*HIO6t<LE1q|cG(8Ju_-ylHu
zOO#(}Cyz)jHiD8=^rnZE@CA?(Y;99Q918j7XJ~mHGkI9bI1ywN!B)_&NW<%bTi}_*
zl>k!8iaD_&?XC-^rUzn+>L0_bf(ynxMo^Awox)c<YfOs;d~`4OW?@Uavr>3}@6q{W
zfts;<k17%uY^F9>EL~YqZck`+<q7Bi!Y=lGGF$1`Ah(hJ7wH*#C3dwgj;NX`fEeYm
z78fj@qe}t?r#wJgbPfO%LF>NOG!oWh?UFW{nx!G4lk2;v83}#VE<i2BQX8KjI!BG9
z;6_~1i*j&F_no7s$M?btXu$j~LFCb{nV#PZIM;Z-iTOnh@v0BeW%UqYt9^(rM?XZ6
z%nuPa(?f)%tA~i&H~kR3_2g4D&v_$Z#ja}Y6O|WroZ&fdD;ew-9xKX1sBveHRbJCr
z4s|5doni-|&L-87)+qj<oPMCke6hasCOCBx+@U0l?Zus^AppIQ4#@4OL#=lgcvaH=
z>x*^u`yo*8&+W87&Nt^O*V~JARmWS4B}m8TcI=M}mNz8|D|Ik($l~Dcz!KQ82I1@)
zkfXP7Fy8TEeT>aDz6Gom*oXzc?QZ6!*44^Y+VAZTA3PA3uh{&zKl`rxUoIXXeZZn~
zi=bQmb8=<DmtMGk`QpQu9%ko~OVg7m$KeR+JDp>k+O_m1shf&jpS%C=m&0$tR=6y8
z;Ns-(Du+k*^PkkSTluQmizh1S&GI9;_0sc~58o!gNPb%RNhcGb3vIG`z~4Ult}ux=
z=C1V1l@so}wA{sJdGo^REgE-MD9zs?KVLWCC%)S63SHPw{n>B*pMLC@7Z-Mq&YtaC
z+=bPxC0^Slf#kwL>l5)rkQFpK0WJvTvjg4+j>T?m?Ev-_1gWiD5T;)TfCG6Vc_zI4
zWktFGE(j7prGf_%%IDXa;8{OX9lxO|+$wS2h0M+!Kc8~zvfNc=<eVCoQ@8T=7r6k>
ztL!g!N#!3e4%tG9Lmv7X=+vqh1qgbDlTh?(C0{A@mR=BV(K;VaGKtAP$#&R>PH79x
zNs~%jy9?NaVjj_!u&m5mhpM19Z?&<KOH-_okjYO^kk~^S3QKH_E7dR|SDd#!Zh5Ms
zJkFbM^?&<;U;LO)KbNwHaRt9-xF{8ZFM(DVC4gLmf&j8<xZsIVeXDJY2D_jPJAze8
zc)eaD)ru8Cj;A3&tjOEuD-ygcng=MuLwji6(IS{ohDMhaEAnQ$An3Fg0wRM>LtIXu
zE(GaGxS*B05sphUV@?NKYhsaH0~BtFW5M}F;@AQ&mjnYMfdfPtItywXTmmnb1k0n2
z3<cm0#HsaZRw;Yb3p94`P3}|a`kJ6#MW&udKN9iXteNkR(U}Q$(8gn>^UOC0ZB5kp
zOz!h(YOYdshkzK>^u}T%L1%T9s#}E8gPbRxQ0X46B=bFrKo-v^pQ9{Up$ze$84`4J
zkp8NWJZnd&)m1BZ+eg)cbd&okZyejz7>-zNe12b7tLS6&z{v00hoFQ};r?0TD%^*E
z?=x5NZ#?O4em~hEt-XhKX7E)R2SLdt<AC6+Lfx@IP^oi?&gvbqHEP2%kXz&K=kTnt
zeoc6y;aoaliGI@l5U8UiZih8v${k&B#T+q$sjR7F=+pVjcI+?pj<hMc6gNo7@2C15
z0w5q8(8(dG7RE#MCN3>~GZeV+QZL63=&)CMs`)zU@Jrkx?*8fMA!3I*F6(#LOAz(J
z%J4Am7PT(Gj2gTi)Zp^N-tf+U^7;}SY5LTIBTJ?-A;Zy}<YVwsw0&j4kqg3s(LUrY
z@b+~!(>m)czhAm>w{WNw;}<KY{3x{DLF@V`Sa)cWXr=woXhfs!CC$RxaEP%YjkF8W
zAJcp<Y;c?J6@_Z&xL+<gW>iWGO%39#Jyjz#6qkjKTn{DIDVG0J%jZd0v0nC1Ln!;O
za`7pz%Fppl-zQ28cZJQ|M^)-nr%$CwlTKY2Ln&*dO~LQGZM=&x71Kqhn>%~PXLA<?
z(SiKnm|7_kdn0P4ZThb^{2nFKhPfI#bBktkcQZi0uV4K0PyVDBhpF$&X>*4G&V%Lb
z&5A>#S@&KchG(=HHb*KMZc1QsBpHAXJQ>xU1+%%sJZ<a$LIB$yI-9%022s8ox`$`5
zGI#4O2u2ybqQ2O!n9Utp&sJ&|k%-XHIerY4mu;a=y5=NK*u}%TQ|-^?S`_aWpah{!
z#vYx*uBbe_K&a=ET2>^xMOXm_x#xhh6Hp%Llp2yGWFk_z7NBWN&XV(F{-C)#Itatp
zJg&8maJO4p|7QGjMWdVKZoMbIl0Ly&NOj{zj`j)EUZ0M$#r)FVs^E16*s`qo3TFXy
zr(A&SH1x^DznR0}X<Se5`ZmZC=Qi?b>3~5-?5nqt>yv%P9<iE~GqAT6e(|^;^bxY@
zqBFN>b{THQW%zBM@C(20&qQCHrYpR!&XO0<ZUZa@b)jKJ+Hol~NMnD_%~zT1p>F-0
z$R2Fg&w1>@Uj3ZLK5nH|UlQJ8j3be(eRck;cMGK3wJJcut~xheC9ww^^_g|_CHI4k
z`Uw#Xs<WX+{ghiob>n2C{#m0Pb~p^S7&(5?{owXd?QG6|+rM~}{sc04xoPfJU|EOE
zupN{k&y+Qr(be$FmR06jkuxberY9QVFzOnhT#w8%OdsOml)09|N6I|QcG_Rs<kJ3_
z?kw#%jx*{&@%g-@{c*mz=*-OhaH^m0c<e9UW!e-MKcaiV{ZEhX?HxH|2WC?}-VR)Q
zbU*GE&8B+%Et&#W|B^qk{@0%!rdoR{-BeqLB}z;y(%`TKUaCn5+P%G2kv=Y1_&h;J
z1Dnn0J_Kp1U2wkyTt`*}AF-wZLXfY%3nE@7p%4y@h_r23r>S;9GWbiveGg7i4ccQx
znratBY_D87nC(a1R-GetstfY3bf_Kn`;H(@wF~ZnMv$!c{(vNXv!X=zF1cF~gN;}i
zWX?voWMADmYgWY?f~!U>sBSdGYUvw`fG-CV<+@15F4xFqPnoM7zH!vD$GbhobS5mm
ze!}AE#?&6w*_b}Lsq%5RXf~!dV@$vBhkoa8{C~rks%PWI)WbDYUD=Q$zIBJ4ua0{;
z_f_NG4sNcBdxzNha@;%Em|n%bLyhTG+{+Fi31>G)f$W`(dtq#~-FvqJ`OQGwJJ^+;
z<KE$}^c?qc)UKFa5ZQIR(sSGkLY{%H^n7=QyV84ZPhVI1S)+e4mR8$sW6Mimt^eBg
zjf-Cp|93UBuX|1H9QWYf|HfJLeWFwsPlh|`xMq!_Q;;e_a|&`L;adl}bySQv@>Zi_
z0ldWtGb+Y|0M2>q-s#Zh@yUrW82B}00n+|xkgw3u5UB8IvK{*i`Z;Y%nJc1d;pm(0
z2#gEa=BRqSm6@aJ6JBK(0Z8Y?x^DC%BCMhz2HT!)uLb-!{jVSLb)OlIfL0^gn)x2X
zYpfK0rUiVrCYl;G^EC>%5?@BGmHNWAFs5Ft7aMK5STa!*R+BY^wDyX(ptD>vKdu<3
zYAM_T`Z%;G6R+|vR(r2T3pzWhyqW;_bHtD45FnPV*G5$vE9RQ{VYMai9_q$B@Y6KE
zx~iEUF*p$I0FXq%zOI>H-N4coiOst-7&m&#b-RwK_1|*ZS}dEIOT^apA%NJY44<1w
z{=KS9>}RIbV`_gv{4Z+Bb4>jt#MJiehXxzhEHtC7Z;xkg+%1}8>ha#rlmzv&7vJ#Q
z_XpbgL`;q8tS3vvIcgguvd=-=U_m#>)Pn`x98(Xnx#yS~fT>et?xW0EvOj$Z;$mM0
z3{J6LE@S^-rP#t~5r-mWxeNQ>utxF8zw^KGP2VR<rGU__m;b$Um#wW49ce3Timud6
zNHx=@Sry@9RijieTqU2QvB&OJZSh+&b~>N0xK!!*+)m*e&Np*OFdUvzBc^+mR+Cny
z2nMBqJO_iBvf(u{5q4a>K3lMxv0#7Tb^qume=a7%p0HpgD~!1)8sphrvwk7lLff^O
zI?VBtI%m$fW(&64ZP=g9wByPei(<uW!S)MI7olL!p|b^J)3LN&gU6xMftxKDaMm0b
zgE$oAo-70Bb7YCN{Fo!ge5l~D(@~t!P+*(_`5EI-v?8%+n^zPq?@J!m2ki|<=P{z@
zMzYEZAJO)t7YM&x0!+U^qwK8ML{O2bZ8TF$izSw<`ry`1cX`ZUQI9vZ$JbB6|Mr>N
z_%}+W-ld+BY-35)G-gvKiHXXTRrnyrpB0Z#o4ReWyT>{cO4~Q3d#I%v1)K`B;OT@R
zP-jxP9o7=?Cjhv@*8qHK5<W^?i&##<^kIA@Pkd{}w<6vd=)AG_;Y@Ve8V+(zeCM4X
z__}ApZCBC?&wv#g7iW+G0fO~-*jFbRWVS0K_6<QkXue`$klBJ)Ksz!*kV?-5gF$9@
zu=xfqc=qMj7jURkQ6*kI3^MLe``<fvX9k&4qLCU(swp)!mD)v@_Mq}wOL=PgTWwF`
znb&TvuZ;KCuD!l8?iRJ0@wR$Ecw8ej(~r4EQe_xlo%NN^|BO%hmmd<=MW>rO(86b(
z9ziURraR88Ret+CvzAFA7fe%d(X1~nqkU!kWG<M7+v+&(yrR@se0b{WfI<yXi|eH{
z%x6KVuehM19gu`rtePpSIxn#t8s!jp^B5b8u0QP?h;tOBV=DrJsI)_SjKx#`Hw2}=
z;)e$9kD?ZL+F+T_Q4=R7Y3&jm>d1-}UFuj;>A_qQL`Jyelw1H3*+@!HqMzn^!}d-}
zg0Hxh%y-8!aU7VtGB%%dbn!B6O+rZ(uz6Sl@6jAR-=EzA@C`XSV5RLHSAB15ZV`D1
zzy(nmE?2Xrq-$If&yK`f`whxamE<&!LY;>cPsl%{GL*RKD%C<gRP@FIj0h0olb%Q)
zd61sW$l<x}dbEF0scJlb_2jeXE{UuNHNboW*F0Qxy82a`ufsaT=Pi75k_J^IK4^`Y
z0=m$_;&FVk9#x?Z1nN+u_8~}Ol+%19MXJ(#LRyqOl7_@ccofplsq=gcT+l%^nHR`j
zx~Wdd*id8K@~uH-sPVNw_S~m_&bdkFub?pi!5YW7!We*VMROOSz_KwIRNa-T8B*QZ
z7z}FeYz)wsKA^be0UD*aC5AT1Va1(|ff36Imz6|qm4V5|0F@Cih{9~_!2wvS_-j(K
z%zUWgx`8^s>dIS5-L!htx1mpgDa+~&iIy{znT-NwZ`-Y=UK)K)4K_)iOPM9<W9aiK
zAUvTn2z{#lL5cCO3G470(x>0@<KO*nlRi~QxjWYeFbA!b4ZA#m@kuc^_LZe>To8)I
zTw!z(we2?a-dqrFEmAvtwb!7wfd7<xhv>Yypk;V5FBhlK3R0gI!953oBMl9bV1SS7
z6{$~M5UK<dt|53ha5P3ONPX&pSP!(D^jeR{&WKOyQx}YZocpbjbJ$n7JmOrtWK85d
zq9eLFwm8>CQbPb798<$OZAR3mE?H)B9)QZyVuBz2td^wyOAcmoL({)r4GL9MtG5}2
z6B(b1iVW#p9;W~X%Q%rsd?i~H&i}=FeV-^fSXQ39cdxU1_l-{r%Gn>myVyc1z3^5x
zo6xpb(x<lkP5GzemhbaVfBlzz(=YyH)c+Jt<f(827coa+yhs3fwrEH3fDCB4FT6+y
zO>3}Y%@<gBk#@_4=_)%IRFDM2z9Pn{2ssftC{0_0p!lbb8Z8rsR=Yz>11SEfgF&4a
z2~D0ILGe#r(0P&e3(Oi4<rjw*|I`HwEfRw3mT5_o6@HS17O6vaG)niW?-x})-PY+a
z`Z@5Rp@^=^<$FpyozrFcdw%X)C;qL`cRkg-84NnD8YryX$t9FGG+aV)SXIHvK;rZQ
zW2#B|c04Vwy%#s7-o`E8r{2EhD}Vg;BZjD7e+T)Blxm2o&vlS*7Hs}H-$9OPtV9mL
z3j{SCQ+?S1&F&(Q7aV*6!QIY=TDfg&hNy2qD=+RM)Rz+4hhTOWF<wo_yTWs``lbt>
zRa)vWjqVE<t&r76sEN*3r!<Qm(t5!tWdGpsK=;vPK~<W&aqz%68Piz<0Cqf#aCr;W
zHVIQI!V-B$Q<kg~_o9GSrS&Avt9Qt0L5-8s0jHw(+Aw-5f&u3X=RbZh(u6p_)JxXp
zLAjljR1Ij*%M&_F_+OIFN&y9QR{D0l&Kl=4&2`LIu#P$I7PWeRu47&gmH3~1=}+G|
zOC@f7&S?L-HY#x#W5Di&;Iz}#l|zHU!JauZ7#Qqnbxd>5$<b<EUBgu3JEUY(5S2I0
z8+m9j%_J5hRtyzNY4N$v@`nBCHHK=-`gu_*qi7qahh*~v@_)^Nn@vh4IG*Qn{V#I5
zGnM$1jI>0Ijb%(z2xlts&hWz52onBkVa{ysuE*T{(wBb7@7YDAR?($iS#SV1XulQd
z0^@@bRt&=&maSxCF1Rnly)ax@b3!4=%7P1Sms^BsFn8=`Zn5<=cP@w`j)q~!<GM3m
zi{{P+aX?ao5i*Z8n{#BS=z^G&Z2Ty@l_cRZZk?Gh7bK0gHd=$Kq=n2gRCK}MGQqRl
z3W{EH$>1_U;aNd0_7!Uo3<g{>xJ;G{nGv1OQsM-cwEj6D`!S)B3A>Pd467{GPEF=(
ztFq8WuQ1dI8mk~~<^`4HScTrHqE^1i$yAGb>QSpz7W}bpD+^aGCD?j5m1`Tfd>eBS
z>hjNj>K_~)g#y*!%@wGHa!&#J&R!WHpcY8KH+d{xY!@tSjfd50+m=0ZX%_7<N?Hu2
z!%o?gH^f&AwnmJdZ;P2#X?8<+H54ea7wA$toomAc2Cv~_qEaK6OS3`ih?8xr!a3K*
za}`YsEMrGd6sZ52{qjJt3G#e6iT2o4Y4&gc)>P}l2f-8O)S)O)U-P(KDS+j$Bxyzc
z!=*>WB{4mc)Em4+a7`=8v#K`2n<!+IfJTFurizhc5fwNMA}p&vA$45Wvi28=tHKvG
zLtU;P>XLGV>6J!~P=GK|)~z;2zyO&CbWL(os@GnwGt2j>-f#c?zxk^_^qlGqqTHho
zu(l&Ms2FhBqTi8Vmn~>+s+U`<xUL08tKQ(h#o(A$qo(_WvE9yp+oLFRnS-ZrQc-5r
zJE$is)jOyrnXN8Adr(c%cXi3fQIqO&rbaH+e08z6XuGSD={C8X<m}!Go1SY&*NXlZ
zzUbV;dHp?{NjoMN3ffURJY74!>eb<UKlbN7>msItsY~mgs5!WJ@~(Zv$5^UUFqUJE
zH&fg@<qeNO_07-ZQ2wwvaJD5UchJ+!5v^vf{l{Rgnazsc9;KL@SInXOAazU*<p-%_
zs!)EAJEjWd2gqX(^s}btzh^r*V1#jkOAZeh$(fen0V6rpaw7vqRG*(GMOC@YgBCWU
z|K2xeL=A%{{zHY6_D1)*m1?-_?pCKmm$%e1QfyAe;dXpTrym~f+N6H?#|33SZj+5v
z&!ZYn{W@h*cuOz9H#n65P+Q+$0n}};%o+c3u6VzK74LDks0{(;62SG806zCm{+A#4
z*mGgjcC#mS4WpwqDA4O9NzP!QRIm|dROCoH8JgsNAzFwo1cTRF0AXNQ4=0e<W@#Lm
z{pHHwwIP_psN-7L+1a_f6GHQx@~Ok94M&|wyFw=&m&2%(>eA6*dBq$??GRfk{tQ$%
z?d7R5Siq-oMkq5__+8C~tTI^p#ah{d04nabAtWpivWg(tkTn-u!|eJM8kLu7@=!~&
zpJuhym>P42Zaj96YcbyT;l*V4bKZ-e`+~JGGO-_}=jMW(vj^a{qW+=lF{OV?xN=UF
z0NBokZm#M5SN70OHPs^`H`)%*Pn=o4H8V`||Nc*S&wu}==T+qP2pym*vdv9jO+^-*
z>>h>JMpxt{|8}`YZl5X=eFbgVAgjpjdcn!e&_2ju*KJ4|S{0?cU6`6WZqX`R>-$PY
zE_c>re_VGoUsjQX@JdeuTEAp2Mb@R2ip2K{NCFE)(<^0F(sGt)bW_ELzwITjlKem{
zk+7S#p<A@5lKlGx(95b!H{D`HwqR&@1@8kd=7Qy&e2s2i?V2KXd~Yrds7^&Jr7}~4
zr!l&9jLb>>+Y>5D$u%MeL`K6*Wau}Yhe#y-OYbIyN>>~r@x<!iFZ#;=@bdWKuY28{
zH$ENh?4H`P&VBS?f;CaeW!4}vsd+)De_e39u_-?L&8F#Cw|*^em*0pI1kCfG9A~)+
zUaH<sG_u;U8cT8+I<@tosek>@ha)t#*oYnSj*Xz)L|<{aLDdqvWe<pHOL8KCu?Z5y
zDT)m#A%lMel>zmyAKLm~VV(}CvNnen{p*q-VzVV-RTQNXONxu?lE)RgZuRG&`M6z^
zE~-mHqobY;{d>T*)SO;gv%Wh=>U2ng2&Vq!qqM3jy?bDRq>}QjR6uxiuMoG~!vuli
z{JKu_U0kI?9{z4eEU)faDBtzeU_SreyD`dkHOl_pBT%=b<sa=&gH}6AwpFg4pCZ7B
z#>=kyg_&beF&#G2V4a_BZM)DX>;kMsta|g|J_7$+Kirv_=K@{OL`;b!GPsRodb}_2
zJ}IIMP3xVfR`X(ZbWA<w$SoLIp%QA=Ob_@4!cDZjizFha=IAgO@!JuC*}O>*lD3*c
zNhkfcgRoUn(T5d5()H1G{H{qJmkWfud~AUl>qw>t{NlKyqeMw`LA5Upa%OR!m~pw1
zHK8k-SK-ai=$Iip`c~BikIw$4e4gW9n#<~?!B%={ux0Rs%hN9ng#4x9$Dx-7-&ZdU
zw@);V%S(dqtCxn``K7^G<(Gz=6JDCPo_uTWc9?@Ogn5wpXT7{wqZV3vf>478;aN)i
zLIAfo&{eV>wtT(5AW*36zg}G6S;_m$!<6>tcG`b?!FM3`UtM6dOWt2BSK6Q3X@6v$
z;hM+(n~Qao#a)X*OZ#&>_7^6l*jyhj*88;F20y35g|Fouj^#G1i%q6lTJJA5RTCKm
zs_Q4)alp;yVuSafod0Hdv8kv<AW-km?X>^yVv|{AH|vW{_4_x?@0abgKh8Jb|IPMd
zQ&CNAL=mPR%<b487c6fIkyN;LdbI*<w@JRhox9k=!|4@GZsJ;(O)Ry^G*fr6*cLUV
z!aH?$@L*M#{q~0s9`v)+LeV1FE@r0)KDhsa<SXC)?7QxNIb<5S(W^5)AG3OvV+{Y?
zhkf6>Q)7?joZW}?x>}S+j|<Vbr;yHx^LvRQ$Gq&e1`I79C|?m?%tmbDaf3)2f_X*0
zc`g`pFyJF<#2qX0k#j+0U^#yo&p7UEyCR>ZA~=M`=5ynM+vN^(pQvSe(Aa!ET`)?x
za1qtS+E;WhXkv?Gsp0OIoziSo9*rU{oCikH`ASyhQQ);<*P-0BW2wHB62tq^jbsaw
zhvQ!Hmgzk6?SaE?_;PUA&}`@1BW{qdSqi>T-9m;_ooBv5rQnOnmW%e;kRn%EvlM*6
z9HBmWI?sHIqTmY-$&O^cN2%c3o&PCrP&qn$E6HDM7aMbf;^^WA6-jWytK^PlfchSZ
z8|0EZ`1evL;OuX8gM7^ijz~Zx)%Zt7TDQ#=N%Ozdlg@c*`<KB4iM#y%S66q(M0{)e
zCr_qKttsnSm}?R~{k~J^4oAL38YyLM{s}Cf<0uup5@t@Je#y*t#!yQAH0^+D@t`9k
z#vs`xAt_Az@9F2H1Hw_M?5b?1{o#jXyS_To&&m5Yc74t5v_H;wm+U(ItSNqxc-FC;
zULH53cd-e@5~i>+N2d%Oa?18_hefKWe8bi)FMjWfFNV~J<t1f3Zu!2H^=G{9-v97-
zQp!Y{J-ZY)Nb@pa+ilOxI|QH*?74vC9B~OnO?vC6rA(}u6iHr<^Fbji!A%}ID2}HI
z9+u?w;x$K|t6fn%EME~cG$!b|?Krf!QYJXq87pp*9~%5$$ky<7ugp65isN+@vI2b>
zhR_+0dgG+#HCOd;?~&zb%Vwh2!hu{N+1Do{rDpM>NL;<~Z=X#38zqLiTHpMPc<Xv0
zFOHin4G10|K)bZ;;1|-|0oz1oV56M9;E|Jdru<lFO3Bu=Ihbf?+92Q1JZa(%lr<M<
z<<ci;V}x$$=n^E^ngvjXCNX0NNs<x{n4~!lAP-y~#BHh0Gd;f-+a)-fWN0S5EOjw_
z&G8QrqS*8hVM`-!xgT<~`-APcUzKEjh_EB#>0)rOboCH%`$V|iEH0b*0UG@f4FuIQ
zH0tr7Q83NGLWM1csfFX3Y$=RuZHrsu3*$Y>_#z3AgK8SzDyUw|TNFX9Y=^Z)&Hzb>
zbizn-R6+HbqymxvRZ?XQb6o}15U77}9aJ~IjAj_a_{-HQoA`F^QS=rtVK^!tMUy%T
z84Mgs6$V0X<TG4&r<*Q}O$p{tMemgpP~zmvXNLpjB6udCE^=kT?qC6p!7ra>=w<;q
zXB6Bi`}#3X?fM|^$`R0ap1c3<moezTegn4dz5M*UUQ%$`;3#PB=ZEXrt$g+HGOfrH
zmBFiV#OE)cf9d(lhi{YLBR{SDq?03B7Z_iSYal;h^j*mbhVB*1u3ulmqMUHwrRCB#
zEP}}tZeT#?sJlXGo5iiW@|V5p?+Oz*&)(hq=$8ZtLkk(6!0|d$E&_H+5Ge}}_u@v2
zfNhCOJX%fG1ADRZ0S3t6NHiU_FwtWsXj%OcML?ghhBSe+jpkyGC^tX!2A!z%<M%+$
z(__nmO%ZU7ik?Vr!0OgWMirKAKQ`Wt6-Z6s#LrQW&5phU{6xxyND_2sQB-&5zyWzQ
zIlF}=9DL0Q-c|OkaxEj$2OaN5y{=Nl7_MQ!rBeCr5PnTXP`$7ZSyujul?(eYJd-?J
zvvYnrAy_k`c;%sJWi33ECLbWVzHnG-MsYN?i|?<`F<b&%-CZQs$v3{cLh`^K=|~G)
zZ}MQ=@-!GZH$hdq`Qe}W*)NP%BE^Ncs&()cs;z{Gq1sF4af#IID<p&YGP|J75`f^5
zl#_H-Ly&%>3+{jrlXL_GkwvTpc>`Q9K*l>P*$7hAy5N!Y;nX8LB-J%n9*3r?b-_R*
zqs_4uWb(iT1L?a(inBR1Rc#S0K;O-}X%@HO*d+_lx9?|Hkl+IJ-D9MX2FJDt^Y6@;
zl{Hs@7c)3U>}!E+lC16yW{65|7kOo49!rw>_8f_q5v2@ROncSk{fVPX;hF$zOi`*X
z(pmMbn$R-9?JQ6I()71ir29Y|p(^E*?uP)`K7^i?e_(KIAG+EZDPP@d*Y4G?)2|dP
zdt$en=$&Kb$BUKgSPz;!z4p-LXwpjITeFFMS&k|N(keC>$O_wgFjcXij87suIUVdo
zs8gR<e|%A5J_|Jb`MXn@p#FVJ&}WfG*>^DZJ00J>aQTk6zB6LR7an5!{@dOeVV=Z7
zcT~*dz#ge?_*P#D56NipTv496MXhn1dycNB=jiwR^dJ3?Kl0ZUK&QShT?b<%Lv^9o
z>2fvK)D4AR2SbZGwk|suK?bqWNqpI5$qvRIwR(WL;M??YUMEdMzz=W&G{^{kh|tO_
zW(Nc4N92<k`e2Sr>xpCs14D9+u&0OFM`AfQ$I-?Fzd>`=_7&ZROx+Mn6d*rqJ+R8b
z-~#aeh(Z8Nt@%9-pni#955F9v$~#>Qf=TrA+^tv~;5Axo06EOpXr$Y4?~yqUQl3$>
zeecoI3^?=a{%`#)$@gMv06tzu0-YdG^AY&bpl!Zb&b*`UlQZv6SotQqX%`qS!QKsc
z#~a`VtLu&DB0c68Xo^6lf{!@@@{a45p7V<`whZ)n2oLf-#G2_rzkpdK^a8nvHLx+Q
zWO~vs_G`HBa-JAt-=3#H8te(WKs^dSMBLtPLx?~cT=IYfkme$`z<@MI7f6Fk8do9G
z*O-XWo~O($bjc%TW=LTX3@G5OuYbG5n=Xm+l4*k#7{OY}q=_!d3?@>;wC@>;{>9}7
z{uO9!R-_O{bSS~H*MsW`b}@a=d|-*4*qw3j8LCU}ptFJ{uL1te-Y%(Q`M>qPXOMNM
z20y0v4Ey?f#*g_ugJgcsxcTH(xKK2J=a>o}f(lI)nhsi`fqkN4fmb8%Ao;P#UjQds
z!6P0Q_K6Bh1+PHGXG(>IIL{34V8y3`muM*@oj-L!BwVCo6`KkZjq9hV&~!Nf>#Pbs
zE$kE6#@mH`0$iC(a)f<Wzh9A>@%v>v{a~DLhW!F13b1DW!IH;K`*S<(&#F{x>f=>P
zT6(p7^Nmk$Dedq4U2LJcR&b)&1Om5gVyW#i1wnVQ*p`ryEh$Wwta5R+sB+Z@t74{7
z!YJhrcgk6R>Z_Buzkg1yEZ7&baOo29=*C>-dg0>1^DjL6^0O~QVX0iixY~?>N`O~x
zU-z!`%asND?h1FAt6Zt}$kglC{*m`Bzd5R0`i{1dQ3-g^%GKej%gMcxQALSHrk>}h
zqsr|CpXf?TGg&bCBgiNE?4F*U<m8T^6J?+=IC+dR+vj9saB>*I|1i)Oocu);=ZD&Y
zlj=k2dZ;ZpM@9(mbR-)bHv#qL3sgl$@KGTkkzRMaW)&H+oS^<90N8CzSVu;HI+@3Y
zX>*moc-CWMK2p6gkB!m<N&R9{K}>fUY%gxIuPFsP6+e63$<(>gc-r7}i2}o|5cGOj
zdTgTbrJjOJRZH)+<W|eK@DdP$q|M4L=+D#9P`i^7HmFKaW;>OOSa(J&PxCW!d5Y%c
zsi=R&_kQ>9{E1N1T5NMgZKb#IJ;{ixk0?~Z?ZVhehIzR#FW4%pp%3B~sHpwWs1Rv+
zuCIBSirNLYL(R*)p)NSsyi7&yf`hmPabxYr5JV-puD<5w3XU+`y<EW&oMsSLRE8Dg
zD_Ox2IF=IX7hX56Ro!WfRE30FcAmrC%k}L!*}aT4^ZmilOpX^NU5JiruFf;xAaH*u
zcLkVf(fN~~jVmdCRp2)ShzMO@5T<gxN2d90<8*VT3gl)^Z{^hU-dEFx(dF3~oAb~J
zA(x2IQn@5jq_u1!EuFL$J5cLY;7M%+j?hfDLun%O19F|%pJY2zpb6UAk@lBPh;+h|
z21*^JvYn2P^F_2*IwFBy0D3g<FK>A|e{RSAlC?{la(O(Kyo;-Kr5c$ji<?sH<CgDJ
z?EmN^e*6dDa1Q)XJaA$fS{OjD2i{lQ@Abg@pbGsScn?&e-vd7Y4Ny6aHQ7&4^N?z+
z5$yNC!OhSnV*5St?V(HI)9ZmB_M2{Ix!(id9FS(Mv#TdOaKu0DX`b-FG2y1Yjr|@t
zN=H*`6SD_iG6;9!P-4VqC?hDBnd%3wQ_{YC*^|2EE1fn)SBLgZPW6-JfzM!uXD{D=
zuLq_eaP%3>V2!L*IM>Y*(m)%WT|Xi!D9U88pWxwT>L;k02FBn`fSDh0i<S#Ug1_UR
z{)YeSJ+Cid2JPAONYFYsfj1|*4#5UO3ZqK0uM92ef;(WO5edS<1h!F4QV7yvaKSAA
z00P|GZg%(}UKo>8Z&_eP>JS&)m*&lFw`-r;B?K2nyuMfn2r{?0Q}4JS7~F|b(EfTY
zU)opa3y3s^5hS2ym^RvCVb1>axcLKLr$uii<z%=$_$&Hc``<fvj~BTP2($%8WGCNS
zqukK`a8EEZJM1IOV^s#!xU`b5%tMR&UfuFUZJci|1Jc}AWiSaQlC^7s3p$a>*j0rk
zkiYS3f6^Fv;98bC6|N-0oG43;NC8QP$<_<CQmLeq8K&93-JX3LcZ=F!ZU*aJ4_NOf
z9{$kNtH^Zf&FI*-g)}w6Y@KD!vBoP>4zx@r*}h#rbI#z@(QMxgngsjSGj)^!u|1Ga
z4Ne_R$_lB`oig-7^&y#88*8xvzNF0-2h{@T&NbU-UpZLMC5PvZvKzo92j-4GRt8aX
zb;)XLimo2ut^Za~J&x(g#+#p2tnO0x9(6s=*bHL3a^pEPo0RS{trSB(&j)=I^Ocnk
zBA~Zq!(}^JZN9~6Q?lM3u>ydfUUF20Fntw}HEE|tkq9aB71$RFw&aG5_=x3cRs2Od
z<0G^Nh{3_fzV{zr{Iy?kCOC)z%Ug8JNNOwId1e#+MK0B!<IzWIhG4p`Bu_Qku{GH{
znJf#z92^Ag7%sY2mP0TH2cbNdYfGwyU{;<O{6I)F=R6(ISyZdKN_no9<oTxHW=9BS
z4mT?0iQ>25g0~w`YBhqD@(e|X>7VVf1?g<(jLJVwQx4ukekIvNmn;L8j{qQO-qC;f
zS>>sUYmsA|rD;0*`>02I>RyhJbbMrw-pZP;`C2wl>rMHlq11Fj&Aci<_pKcN*62?U
zeEjdMKVQrGa}I*$An4(9&kA<4fca<!1#MY$KowEHI+J{#{SUwK9q<3pV#oKA=5anX
z-CEM(vHbuI70Z=i4?qPYf{d1E$6fHS0ZNQXJ|GZm&UXmXju*ilx~xTT4a#h>;~_{p
z?t;O^wZ!P_Mv!*g1;I3F%@iQ4*5X*KNIUL=2z``p6P+B^5*>oH<1Pp)3G_uE8wmaf
zYtINl+Hn_zgTYBo@bA_>VmAcEj=LmgN6~%3lIx>&JtRq11zi%;rnKh*-PoY0qod=J
zqph<V!v-Cvw$rS$ZuF4FhaF4?H@7L&O$z<t%@~6_Mn-?fK@GOqWlv1nUqpqPpoCp+
zGL3M(K^c)#lY9`9o8Rd~MsBgf5hyw=<tF(!r9eOiAVzAFxxotJ-U2UVJN9QBl5q@3
z9Zs8%D7nx$K7b#Sa2%3)jnh#&eUEGIViQr)^vK1Y)-$06GnE;EHX7hIZu!2*<z4^P
z`@ZV$N7|<!i9CM1Hi2zaWCt`n{r~K}3$Sf#Ru<N20eMD&M8HbvQHcdyS6HvP9;MRa
zy$U2<B%FJ(uu1yV?Y@263*3E=-A&ts&{iczfq>YFU@4KHk&uSUgTjDx1qkGUCQ<Q`
zguKdXQ647MDG7-n2J?O6@sIKUbM86kI%n^FPj^qK+h@(a#{B1F%{iXm_(t1<$Op~3
z5y&OTvIYdvq|`Q$QRBH_^k@zY?54(ZL3C)U4n3Yh^B^k1zJgZfBkF=6Ex`07mNnL#
zr&J>hG7bW*R)_>32zp_oGmI6f@yd!|_!rd(teF{v5EPB)lAv|~K?Rm%_f~t^RgWfW
zIEorZw`;#|E|WlDIEQL*pbPuxhKy1{Es0P#cBl3yO;tDukbMvbjeI~cAL)wn?}I0g
z(;(Q*x$aX?R6o!jOvEa=Ge&D)^O1G9>cW8_E9vPRVX209RC6?;xy+S+<xObRuey^D
zoGlcKaR?5houj$u$b_kxR_zPK?MZ;R*+YC=s(s$_L#q9EzxzKwJ^yjVnN_TuXY?J#
zTS1arcr2BN3!-V8Tr<Ef@4dckUqQc$4`DD`4C&_F6?nZjHcttYo)kojlnga?2GLTr
zmvh9xI}_Z<Ji9DN)$W3DJjr_oJxq$nW<{!Y7YtM``dr#Wi)t^DXU=(n$^_}!uDy|>
z+KZ&q<N~|AW2z~iWrbZf`dPqAQEt&hmo367LH>0Phpn;p5Lno*nSUObKUM93;tBIW
zb#$tBKTlxgkg($w!5Yw5RgzTgE@{S!DCd@NZc?>V&4nsOUwwrYc!12A>fbV&W|(r>
zg}efx{rlyweFg1bxwD?nA*PEza6~gt({_1y80K~NuX{Z37*pfS@(->Y*<2m3Vv27R
zzx(q3FMs;<(4!Y$$oTQTfor?>;H9S?OaP#BkT-Md1pn!AN{{{cj3?>Ew;ji4JV`IU
zOM(=dtqSlI&|}p;P71D84T4704GGIBxv}C{oTrv0OcIS<q_x5ea#;80F{Q_TWyyE?
zDFf4>(qq5EQ;$HHYocGE)eQ>5wxeSXr%u$soQ&QGHR;7K*RE5muqLqmy=$+2Bc&R_
zs|0ey_LZ0R>9QuEM)G<>gMm=3em%8Wx7<%TPkNoKPd1W_v&))7y})bVoST7k7rw}7
z+|MsEHl5F_yvX?5K&&lqQI8;?{Pmg_89Ve}Wd6}7L-b!{9DeLY#@FqO%s-X&23}+w
zZ|FrHp~&uyfs+3I6rJ}Nm)iz@P(D}D`2<BW8s^ckAJH)z_^ap~0(cxEx|i(;htc(2
z%{@ZDKf1`%32R$;>@VACf0<Ji#|HzzMRT7TK7^JJ08^SU)+wKkyxHk=+6I2ieX5X4
z6Ak?AnnzD)>9RlrKZYx%14_?*x_`1A*WYc~W}o)QaHguu1OnClm+iE_%&Cg~J9Oz+
zRohOyg1BJWj{RjUR&46yRk9nPGr+-p69fWDgWkK3EqY8|#Kk7=b=kyHU9Rcg$6{UP
z%e+5&u&PE|I?zjJc>uJSBPph^2=-gmE4kUL(~q9BdX{4W_zhqDiGT8|Kc@KF>a@GB
zZ7%$H(xVF0_d8N#(x37as;yzZwy$`OhVD&|4Te9a3yvlpa+E%rcgSg!(X>NOql{(<
zavJ4$eS!ckPt{I>h7V1+z)JR7KWNbWy1UKJQ#0F!8uUVgCZ4IeczI?b9L=pn|KiZm
zck};T_*HaC{Z#y4Imc`Nhf?EnL2?65B7p*lS?^a!&+`f;1M7m^pL;2{oOlWnD7dng
z=#MAAqP4<kbGm7C68q#z($H)>Vt@&d2O_z_;{x}mYU)qV^y;uZnTrYyPUowVOb<0w
z-I^{c>7urR^r|G&Q@sM3K>^&zN=jUqB`aDhcxT9&@``pyI5tNYSK6-#RBudS!c7A;
z#3K*|MC<{V#-R#6m&9GIv8Vdg_0Rli_J6N^pydmDsz<yqgcK+dgi&SdWK|;(FjF$>
z+T@;g*j|>1YI&pB`&oHm>gb0Rm+fS>+v%f1%apv3sp+FQ-`t*sXaV_}yno|`G*h}7
zC>RX8K8qwD+1-1+&H>5GHC`BLq%w^X-Qda#o1l?ud86R;!YfS&gh1s*$#&eo-t<wS
zWy<?E*WXSbmBA+Q`_n6~yfBOtt&h*`IKFs7v8j)jyIuD&_N4N{#AkCYcpqDMIE&kb
zO_IU6Q--DBltq1ti(#;7`orkKX8TE%clE@0Vc-1UeZrr6O~CMUe2bUwZI}!4m&kxg
z76fC=RLT3C7cJixK@_@$I1vimtX2q$XDoLefPff>wzl$p2+EIXf?$ea*AHvxVA&Q8
zAA*ulGeKrE#1ueICL`ntRg(NM!Se~3KUr~)a#gdU<Q4qT81x|AGI$h>pVJRL%Mntm
z(4Vysrer9XZ#1)dM6XuiJWj?;f;;+NJ@;)?&DSfK=@R9^?Logw(hr)?4gCxs1`Ry1
z18U%v0TCZ384%eH1<-4kMbW+*oFx8C-k%Rk+P|p;vB+QPQxwrFeI(myf1GbNfW!b)
z_GqGJFV1;dPEtxmpW$YqqOU4Sx>x0EeJ%kFPTwBp6uhZ=d@@tSY8Ch>qQ$13z98A|
z4#{TfW#T7l3s*}!&&{;+{K)VB`Jd|o*P&QuPe>Hd^PwZG3a%gOTxyRT^sJ`P1u>=(
zW(a~8wex697%S2g`icicLwe{kvm*ql-CWR!*5F=k_Xa5mL7GArBv3+<CV23AqnoM!
zir@~+y^Nj!CKK9<YZ2+kwc^t_1Y{JEUdn_WDiWHDkCPN*Q4Ws5-jcN^zC(+DNs?}-
zO9C&?{61!6qV}hC2$!6+*lK8BeMGvR$E!3_qp`_qWU~=(q7oQIAl<@<6FPT^6;+C%
zw7)R&qyuW<EW8HU4zt-~AOh!36_rgf5Q*0i$ERhjq7p5grQ_F8N$Zp}VTc3{{sex=
zcl3PJM}6e4{QkRd_lJ{C8hz*{(EeyK*Kc{<?vK`dB~^pQ*(;S?2v+(N+&q#iMXuN~
zRUw%5CuXpnBse)k)7Cvgllq1Zb&3RX<uA9sh=^xx%0A(jSMdSAw?BB%ZJ@+=7tkw@
z3;f@u-TyMh$aH9^IYrGxbO3)IZEJVc3%Eo8cNYPeo>?M*W;m`FE5U3N<ByDqrc^u!
zIfX7Etog}5{^p-}B_+cI4B`=h0Y2bVJF0I-)LsJ(!FyW-3(+V8`9?66iP7t_1@sP~
z0*2=Fz<T0RC@KYv&>)zRBIg0rm(7Zj3^PGw-&pNqHT2LsM@fd6V7Ff@e=^FZk_^j$
zHOYYZp|?Ol>!CY@0`*l30KyNw<%k*{`atH^T2K{PS#qr<t`q$G_G&8lUx%rOirWDk
zOQQ<A$rsS-6yvED(y=vKvys1DP4(xi6adfJAQz)M1gCK@O&=3?4Unc?IV}CqCrbBw
z^bry*y)0H(LBMcPL~uL3EcUccsCB04s$Q1G^4^{0o%a(hxi_6yf74WP-}gz!A9#Pn
zj$md3*|(RWbPGkJ^M=S2M0zOqE8&2B1&j{^a~C|FV1%Szg<5|rNXzSjWpXQHLu-<h
zL(}Nf^12`rO6=Lep@Cqv=FlQY%j<&3C6JREDJal~w}+<XbwNzJV$Ua2YBDc|{h4J&
zT3#2#sS$#V718pl#Wxq63^LW!O(eC{syS$U0AJczo;fD!reyTohC4Ui%K5)b4eAzZ
zP?txU_HZt?E`=~p*o@bCKha|AZpYUBUqAXSf9CI|CNnAPx~;PkAPCkt`~WfGVdLaF
z4nAlg7oh$@4F`fOG$G!~xQ7h6Kq`}g8Jk`Jd}stE$~4>qo(|v$#nvGO)d)(IX@W3E
z6y!OQ5urY`M42XdKs&I&3A@8V21?{}lql0z+@K*xuo>tGYY#0^rU`av;5ESmaDDZm
zHOe$e)agKYL;%U2xYkB8)zuMQTmSF@4PQ(o$63xav}O7u5U$ReP|$+-o8bn5i*;*z
zEfTh|xoXJkL62A_4|>G<p6oU0GHBwatZ|%_1)ePoq?lr$j|C5=KLP;z)<E8&iLib4
zv@6dfgRTSPN_szRdY)J34axiYm<p^l|6DkFdZ5vowO3{j^ix?^db~@D6Y-;?yAaqQ
zu8FZ$)g$07WG=be>X=m43hIXilIfXVfmVd%!FT`xOj3_99X&nND-7pSnqjaf8k#jE
zwGw7WM;9cu17K?#Jl@TDY73c3T0bNtw;Z3G@6x=78gSP#$kZR|1_G;2T6w5}biD=$
z8_$n?^8GTp2}|dPn*B)CToY{DWRqKas2A94R33n0U0|=Nz|HBYRe@Pxt_f!LD#Bh_
zV8&=yM~w-N)p4!_k)hKI$!XOK_wKXr%Z0%&scm2d6e|GQ($>Vrq=c`%UC3*J#+JN(
zpBM{RW#r11$)#yVW{m^v-^2{?yS!m*U=-@mufmXFnOvHF6*jv4wZHYT|K9tes-z`8
zuPRx=4~~@6M1z2H99Nd+CA268`bWMZ<_nQ?4cZEHW;HmW#ziEiQ;3R-U~3==MxEg+
zc3^0h8W3DB^UXrA!bPAwCCKSBTrYKbLXf_ZuXtQ<K@kDp2=PJhs_7cJAO<v;AUGIW
zkevAYE{S-F402c!zFd3k3Ri}7qC(3tC&Iex<1EE}^)=zbArMlxupwlfbfV~{sH5W%
zfkgo&8W<ot&AyH9>>Y7s^m`VGW58Kb`R?tBo>czC5|n*-dnsTw9+_;mD-X%U-zYuS
z0Z0C?3h2Fn%06^JWlMqT?g~_<j3)A`OVaLkl6L36@?{_U%K;A8YM~o(s~zU4wtzpw
zl4dl8^tTn*h0S_6B74^gN|M9T6a_<aQc}{qqB!NgqCzBqA=xpDRUcX$e;3q&3qZN9
zx6&@0SIp3mGg_|%z)lhxCeE^;BsgqEPKlLD7BY+gSk{6W8q#e^^90ijb|k6a<rQma
z2xdVczX1>l@Z_V=5cJdoxh|4h$!b<>N)F0TbGO%g5&FLx5D79i`PVtaArQ|Abwr!Q
zVZSgOBJE4^o2hVjoac$`fAytn4$360Q<+zEK#Hl6%s&u_6ZP8BA`W)<Ml%0Ghc&z=
zUOPzbg`z&L{UWy{+=r3bx9U1L>z{M4tFv)S&WSF5aF+&{_;5S)bU-&_AI4pp*w5S*
zPgJ)5qK4Rzv1+r`7$m)Eg+}u4A`H2<PA3eyq+Id=<vmXrbZ$qArq@}GZr6(6MroDO
z{(Oeg{=ycUj*rgj3d6sZmp$#z?byF1qpe0$-6#I$QLw7>;21r`OqH6D2Dg$$@Bo+=
z(lL4$8dFAd|KyMV?Em@Rk1w<(Q-%Os(aa;qn`zY>S0H;g1Uqn&HG;#2;E0jmjbLpE
z4qNFGI&RlJg_!jWa^ad42YFXd$7VGXQ_DL5FPcMFhF}K{EYZav#%^s;HUy_V$MI2V
zqrBV-R)(NEq6t?e5p*`kt}ue9ba3ha&9_{O5q8fH9VQ8i+C=4`y_J<A=s=Vq0WVH?
zQ(yUf1uM0nqGF{Al($MHXs8)jmD_{Dx{AG_fkm5fjr^aBdIZRFP*#{(HXq;BE93_h
ztT1}n(hrXpD%r8>P<pmkCr|*xfdEe?N-4d^AwAryQo}s%d@@S1%<1XQbbKUIso%hg
z3=ou?>gODQ>}g*?t>ox~vqJ5X-R)o-0V@h~G}R-P9&j?4AWI>VU}J71wUXm&b{nPD
z1jwi7JZUAzBu~KqNNozPhIGQ`qgP~r7{rY3H~IzZBVO_PJT>?%M?X;qFb~`(LR8uV
zlRSb8NMBjxY}&g76INi)D_9bgxsZ3l(Hl=^{!4?pPi~y=6RpTIiDJ>N|2EiH<)tC>
z%GgVzH74I{`lW%qzcl=qUot-{_e*esm%cP()hC&0oGi6Wn9rU<W2wPuvIDVGY03v_
z3f{7`!xZTWNIP<qJ4sKf_Bi2|OVy8tYYt2rd<V+$QB6Y4G9O<aoNz6K$0J+|u%f_u
zNc)4iqiXVp03N)wKeuCl@qA*l<xo*hlzck!4##pm(i4!TTvYu*K_+t?ko2S?InXjo
zIv~|zoUj&U;`+gn0%nqQ!dlWv``6bGs=bPO5M`Ww<r+eux_`1A#}`hS*i=Wbv>_?)
zp>LwTlzagcl;BpLtQf`m68LL?aFps3Hn9{)0RvoiAB%w%F#TclV3nIUR_maH=steb
zFua?@T|zA<_2r81I8^IcDo}4m6)x2}mI_qvO?vry%%6<s_Ghr}XyUUhN!9Y`aqAtg
zT)m_>TS}fXD7{FQg(|@p-vU3H1Hu`zeBYOU@%Mj6B(F4+=*cTDav%}K5)1U(<e*Zo
zKml+zR)LDb5(o?0q75~_9~$(=#IO+y?L_JJRa1c~2$}A<5!_4WA)qcRE1ocXM^_|H
zhpH%6q@Hp?%k|*Uhn;zUAxLxJg5VcoT*Y%lyH>MeRe|EvK5?pGn0l-VRRs$4)8u_8
zU%_tO-pQ&0h3h3SiLi3e=e0*?^2*N>ZC50n=LMZCeH0fY$*UrXS&5Rj>wr+|t(kwH
z4r3?~G4VY20&0)Dd4Wq8^)Crv#8>LII_qC^n#umsBM{fW8+Irn8t=F&xS=>$p*9}u
z#*+2Q<iE5RI<?y1Nxr@Ie<+>0vfQrtzsNBGsC`I&jpm$^UDvQ9?7AkQ!Vy3X?Xn3!
zO^%9LnaYuyC`mnbj!GsP#rY$&*jA2=l7y!Vt{oW(*uoLf+vA?ceP-N_$#<x{gW)6)
zjkmut;ULUoM4GX@<e2O|n^?Xr*ic{pxnKX<xBo&=<0@vSMF>N?uyvC&)g}<an1?I@
z8Gyf1=MG$}jiFFX5IZ(ab-)C)P+9TRO%MqbrFlal-3VqJSEPsm+j<IZs0iq(jF_T^
z+KNL@Dh_?Wi9U>Xaez^_`f_LK;0H}BXY;Dgd7W=cCJt?CVI(Ue-nic5amcKA+9rsm
zC=8|GJs!ZpN<d?RNM>oj?H~+R(zbqV03%f2BX`n12%2^CH8CDZtqtag)NtK-KQJQX
z`~zciV+aP1`Qrzca9`E*ll%jZMDWNi)~s>MXgMj-CB@I}r0MC}#3t=V4yefjTO|}U
z6P1<|(~t}g4B;ik4P-p33<NR?$fzVsty3f7fU+GY%#24SuLmnFJ#5S}gEri)?>#Lv
zu{ncLUq2EmFqCor%;;2xdm;lPIlMR>EnXJGsduYv;#=HJjnR+yh#!T^S2po20q2Ev
z<UaPs_vsI#t5;TY3EAKE&Q%HAo_|;3w7ApYa0%I;)R6th-u;Ka>{o>gsJ>(yvfG3n
zD3tC9#&oH)!qHm4agDe+2Zae;dN%g+2nv~V^q3HPlfMruqHx*5P;*Eau{{(3!(n9e
z%Ax7J`-)f)pi(F;z%F_a&m6KJ(Vzl928Z5*9k^LBhwMiTsMjVP9HWixbI5+&f=@*}
zBShp{g^6>lLUvpOhj1b{i)s)h^VL)#JL-UdzETox*$kR1Nh)u@aNHJFwFpG@naAnq
z`PZ>tKX`C!5Ex?32(JxqQr0|xr$qvB;D&lvRQ)~}Ln#OvdWG!(+(0A0O_H7`UlSOT
zPF=WOaF3(sU&wxQUXe!}>5B4CRFFQf7SeT8T~YlTu_=n)EBo`RYoF&pmhPlnFESs}
z+w9Pfq|t;`i*zF=8R(m<XE`6sk{na-Nz#P@v@PpBJiHtmCA*-9!dJ0|k51Ahj=EO_
z4?~|Upzyqc1r~S)o&BXv8z9P`AVU!v|8O^$Cm8py_{@cW@z_`tsc5C+O93dkOH(ZW
zlGjN4qv~*pyCwzKbUA#VOBc*DPfNMXANl*(!rd$-jb;-SS?IFxjw=7>=93Z&5_du3
zzVz~2q%W%U;4rr&6@#J@sti}Zs>K~xG_Yl)h*0(w&ot^16%*Tt*Lu@bG5_GLZ~G(v
zLr}%&>)3im!M+S6X>C?&&nQTh59e*${E|JRpkdh`#MjCut+KpeaWnS>^R+9BR=3j}
z3$HN-<0wqSb&HPQwk>q7uZ?CD-bwA|P1;D>h-73Qm1dO7<o|}u(W4o^ylOewq2=Xo
zlo;(cIj{1pKDch1O8+akEW-6RAp<_+D!f)WSS6qB9j69lfCFkao624)1~~0s+sj@d
z0KGC*fnNNtlpg3O!ZBqb>>Yb+s`Q3t%G2@brG<f`Cl*_Jb37gJ%+rGG^hZ*Fv0x>V
z#2+g75XOjt#lF3A^+1%W>P*p?{t<dKlqbu<p*-u5|N2t#9OZdl8xf`_6b5)OsnqLW
zf7k_~0q_VWPQJ1l4w|6otHD;s<64iYe#DblZgX{}ADs5B`@8Qszb=aP!^NNT86+hP
zmJySobj~m|Dlm*Ky#jNT3_b->{n4m81O<etnS9LnIip4|F6*gByg{wyD`Lj49U2^?
zYK>w=M*c2{Mh4^>5CHqofP9Xr)PZ3@(iehC76!vH{>_4^)PbagQ+*E<s8Xo|k<gYi
zevqyNqegqxs^;vn8Jh!Oj65bd<usm;tI4GfsJcCpwv<G-Xirii1z)pJ&K%YfrN{M5
z+G{nURw{SCjcP9NKv1jUWX)dMn9w<9wis~x5O7~TKtVlLn8!IQ$H|xh_ZPM;hQY2Z
z?V^{}<|XT%jJUk3Zp81y0V%W3WRg&Nl>x&`-x8HqZO)BY?R~jM3A?#?^i~aht0tCj
ztr5%U|M**f%i&8ty0mKOJUEXOY&skq8WzQ&_t2CEFPqB82ghlo;DX?3WZM7)&s%Gg
z4?${D7d&h?97QXFD3jMK^7gx+&ACJy1Q127AT_BA9@ibl)XO>I*v*R6q%PQPpzo=p
z;1Wt|G+zugX%W;4J_JG1OgMKIq$Vwb%t^|M$lj`<v9H(*$D*-Io^aKoA2Bf$c!PRL
z(WFHZ`CTy#NTC`%Kw6WU)FqK;WCj;iD8L6)k0(jmIhX7}mnOZ1Xh9Ubf4(4jMO^ZT
zc{AG7apbg^<~;N7b6mrO$<aY2&^{WHLoI6_*&@mFMBcoXd}NKUIyW+zCH|2Qs-klW
z)BfUvA95a3mjZ9K%3O+>nxo<8$V^#*l(|IQQVo_tB657ZT5l2zu<})*b!rk!TUkbO
zk4gSgsMT(Wh@_gzs#c;S5@V|M5`+^or+AtRRGzB1iUbAAo+3fnPHMjn>?Cw6nM=lQ
zEGm@R?OfGv&-aAx?Wy)98F_cf$az0eYaW-GaW^wF?uUNvg};0i+&=0GwMe}P*4Ajc
z6pIrv#&EDUFlTi*SlekQeMA__?PKf1sWD?WG>|n1YZ29LI_Yi8E9PJ=qB@xSHnhsY
znl*V!yd;3>ykZX4P<|vnkx&xESk3LJgEfG#HCRJ`oto~rkyWq;{zh{9AOJ+6v<@k6
z%pj{(y@2ZQAq=ls;5M}KuFdhD%`l+x=_U*>SWvxW*d|0Z!<p=$f_%ab_EMNgfmViV
zDuvnf+cSlE$spCX#tF~iGHA4<Y?I+dUh@*iK{CY24$EsA;g`4-mm6MttQW)k<dSI5
z`-v9AdpmqQU;AA@`mg;@A2AWzgJy=4L(#&zA)9s`>Q&L>9`=za6qMwe_)Q`59_WFU
zThUBtZ8q9p`wHQ3jvbATKq5keP={cS?ZL{cQ^wJ%E#<--xaQdYxF$;-V|xV6>P5tg
zIkv}DYg0Ga7^FWdub5+d5J{*x1#ruliDShowm*XhL3#~<@@^vGNpngAl|ohvXu*ER
zFGg*sewhPVS*NvlDpu13PXltog2tzI<@jdk6Qxs|Pal56YG75JlhkImrQ-Z0TMFCP
zr67>y%PNSJq(ce<Wjh(4CTgbfzN8$eQFhoum~I56>4YJG{C=FUY{&6oi|cYI!p^GS
zT<|q{fAX)#{?hW6Hs$uXEq&%`3E}+-y^k%_YNH;BfEyKry9#k<M9@_Io}Zd9<gJxp
z_VP2{^AmsPbrD(|#Y6MZLbosyr-O$t@VV2@oNW8b5TGu20!x>o{E&3hTw@5*XDNa^
zW;dZ`K&)Z<C<LkDTo9U4F_Yw_&=g__Qo|KNP)oqSCk6SAuy^DdLy#KI1<#oCCV4@$
zVhVpu7NmxA!46%JNa1ls<mj3eso`7@dJRcSh88>IP#Zzfa4v~}0cx6;eK^`I1N&-v
z#GLx{*2WTAt*_x!Q(aW2xm)F?N2|x}cx=%Ai&D*^-)>O9-A5#W$m4PiX3yz_idm9$
z^BvI}M^w!FiCQbLB<XG@N%z}7?rVPI7kzw*6^0Rm2lO9EjSao<LIjY3{d7bejb~mn
z`xJn&7n|Z3DUB6eu-kMB1RSEvrX_Vj;QL@eVS9$?vS~?O@VEh-UIf95sadT!G%cwM
zqEtt_$DUBOK-3)tw4^QwldORc;P(O#$*4wJQWqSBKZ+%FNdPXc$3IGv&Lu|ykm3uv
z<l`V9)jF3pQ`%?o=F0~cxUPBFzAt=IiG<B}%@a&wEYDET;Coa(0uQP#0Wz`WnJ3)7
zVCG32)dG|jW8sFJ1>E#tugbG<JcB#1d9WqzXFtaZ^zM5J2T>lgj&l2oYo<qg1>Op!
z4FgB4;gphOdbU9uwo!655TfBltRGp)A^OoRrwvzagEMU<)6=~wV~`Qv?s!G{>CWgz
z5VoP4US1eXFQ%iIB_+!7qaP3ei%W^Zcn=3G@r_I3J+MBdokFjwHCg6%N!WaIr9p(p
z(7uw)ztIu>_L2d|Su~6xlYzKAdeLuPp8xW}1?)utxmoS1+V|x}fPfRL`L6jzz@coE
zPdt+G$@j=F0`{odWtta(Y-^A6B8)U<EAk~GUu|T`kuRUs9J#igDoFHJY3r#@m6n<f
zohntREWIY_fU+I2G5UU)*DCemi>cXgzQ?>j+EuE0_f8Vo5$el!93M9<NA4hELpYXB
z2bWV-0d`VDish7hE$skmvMSX8f$E0Kb{xM)&35Tvp2wwTL-TV+nv<HXLVoMWoOHpx
z8<y{%@}GFB<G$W4-?Y&^rY&Sv53mI#^8pe#-0QN5r9ko)VFVZ3L!p$+$o<iSRf&zI
zPkZUpPU!Xmyk;<|twnuOy*}z%rLC{XH#X~gLXmbICjO<XjF+#QjjqSbkMtoG!n8PI
z#hCVJ)M`KcGoRG`bkrd<(CcOCls^mUNzmG>XJrv#o264?WMlzAoYUsi>MUKyR2lK#
zP%-cra;l7)#@2u8yahwP2yPA_->(I$EFF+e;?scxsJCL3rQ;k!$*F;yS&J%hF?E(s
zNc>rYKVcG4edwH}<6vt|5Eg=>Sh32|9k$33O5zVLRWF~%WU^m(<nur&rzmw_bDpD=
z`ijL>X))O1$>=u&9JJx*B)M0s{I9Ooa8|Dk4v^JbIBK2*1b{uQmuB@U^F7kzGjI7e
zBgMMJxBZ9T`rrJs7oe&c+VI`?!cC2;2K6^*o)RpL$f^;f#<Sg?0^uV$sUXM!8I2${
zo(rB&j9!uZIGi`&rm7E3jpu>|@&s(Lp^4%gsqsRv(~)BEv5Y+<1gY_gAQ;p{HG;_8
zlx+y+$}2$E&bv*8R-a>4c|CSaGQl;+ib8;&Z)An4PORUEOxraxsv506(D1S*qR3W~
z;ig{@5?FfWKvjd<zd6tR^MLW6d=scn@6n`FNzT@{NmVv|s;a>n76-<y{+|lWFBPl&
z46g6to)_7jj1Bf7;9QO3Z#H?lqwT9iKjk<|anLCaZP8S{k}Yt<RU9={vc<HKsz!~t
z_7eD0kCE$A+_aRaBMu~Px{9MD&`fb~6L_{2a0xR#a3axWiIk-KCB4>YcS+x}`=#2P
zp-Ab<`m1uOo?al*3q<<EgRkL-zWoRP{O5<ips+cQkPJkJ4k)&<GM+&fmOA$Kl|@KJ
z@VH?DR6?m{o_S4X3IsL4#1z~*u|up#f5BITO+Sj|I$+LO3o4eZpS5*%u)rc1*soYo
z^iHq;6KQ3ICxjsVg>q=*r4{*%=G<Cv(rD6@sP(BvWuRD~xPxR&2d88-mdTbPL^-YO
z30yx=1+v1S9A@Qa*#B)7mrei9{~eNvzfo$;Qa<-A{VBVVD^iAOl)J;PE#61maq*{I
z5(8=Jd7&~k&_bLc<SW!6Ktl$P24OB@ZTdcGP5M)1P4H(+Sq@IMaiJwi`cp-6kA7qx
z9j#|pWK5EhakXy80~|;^Y=pSL8EcQ8o?o0N`m8l32E|$>IsYL-YCZF3^&cYPUhVyk
z@q5W9c}$r0PY+R40qomC%w4uG$m#pT<Nr1%&}`a6G?Mp+gRn4hR}e!@4oBWvwj=K@
z{%34rN=IEr0D6A34ALV+1)*x?Z%z@Sf`v3Qz@jXIS2Q`u6LpJTp#pW3>iQ=}${?9u
zjnpAY>S&=uQu);l%XxqJ_s|Z-U)7>f*~GUfG9b%_V3H0h$YYV`^G!@=!6r!;*Zj7>
znOCldwN%dSjVJkMug>&BsYaL6a2k``IUaIMLHq|l^KBpXXM!R_qt$+6H==Aw7=R_R
z%>&dy?pir7PwO^xRhPn};ZZnwPC;N;0|RU(H83+>RI;rBZziW8M&aZsRzZ}p!!SR|
zeqbzXvtmv`V7Mqt^XvepTT@5uIRydKxzdIsKip3j<i_q%;CYpT7)727pu=zFIP^UK
zIwR0?U=4B#!UontI{@?2{@{X2C`UhYsw{8PdfPOMPnt}1g)SuM5WD0yi~lx;N*B#$
zv+}$elj&ZU?v)GZb@{wPkvv}M+~3mnU!;o{3wl|ncVj^t3xLf>nq^^+cBs>e2`Q`6
zinFOw)g$TRdCL!_i~s(=^c$Y}-Jz?8=cNKlcZSx&1jzlqH<D8vXdOd{Dz_+gj*8s(
z#t@4CY=Wq>DXj;<={B+^CPj+-Y=Rw_f)Im2S3|`r@+Sl({4>EcFBN%UXx2P`QNll8
z5#T7%E?^W9CqD~{y*I%FhA$cug$BJ@P{Nxch?$BE8%~G@Xiu`BhBq!*W}9MhMN!4o
zXn5miS!SD};MZQa=xW=M^i4!3A!vbQ@96p0;dmgua(FBZrQ<wj>s8T=s@W_FOnoVT
zXlZ=2LREoY0$3LsD!ersxTm29v~)VPq13OQ!qLZ7WANj3#~2H2$=Fjj(TIXg9&WD*
zsO_Ldn^EP1D;Y$%^s=2?QlxB=@-I*#s-OyrG|{!AtirKBGR~I`7ZTFLv_DePl}kTC
zy&<MOE=O-q_|P&UBG4~0G@j&j?diWQg*tEfA%*(!zw6ii!2cJ0L8_Ts=NAPnzKKjU
zI6;7^aLcFJ7RqWI{w$7VzpR$MtI=v%c7D6<k@M^6fs$IS_CT}q+o5AgvR|j8>d9Q%
z%Fb`8<zuLu0SV$_vh#ad9~7Gj1r2*rAG&gWJ@Mufhx4JU`Ww7sv;*O409KY^Zj~ev
z2Yx3(n?Mu;t{XsFMOwsJ*3R#NWkjm;BL*5brzwzJUlR!>^kDJmr*qGnubkiG9vBmm
zJa0jSlrMc!^%hkZns#Hk#cgigyp}y(wXE|C1wPd2iLU%B&kU6VJ)9WVM#22wbsBX_
zCibJ`xc*&Aa5rCqyIlX;D{!g)UFvE}UG2l8uJ*O>`IOK73xPb;Izqp$#smk<`w;!B
zxb9Z7I+h`U7%<wB@T9`TQ^EgpJX-D~N*Jjw0an*ifa|lm=DOM_t81>SflZajLjnq!
zyP}PYLrY-gmAVs3c_z4P%R#Ab^b~Sw%n_nL7$>1tGgi!XBOo{TC^ym)!z3%d#U*Fi
zR}Bs<ZRA)&+9LfTpe?#R)Oc}ya8uUttWlV|_>@f~KgT!mX^u=S4O8klp#do2(ja_U
zC?#%U3T5OCjZo$h8-(paz0{P>h?E$g_&YeU{7@+KD}K{={<fsT)a3U=nGtRl-k@-n
zoh9|mHp%P)*LnPc5R5{;t%2YuhcXAxrW~OiYEWC9#}Dq)v$IIYiaC_QNM@rx1+{4l
z{L7(CFrT7g+(uD3lnLTf5TLeKU4=4*3+}vTvs=DDvs9S8_(jzKW}t4rsV*dE;=3vJ
zrAlrzDhM?bpXR8iIWqN%iwesX$y?=cd1Q_Qdz&V73==nZoZkM*&-=iC^1Xp>QdqTn
zVY&r)rM~sjtzl!3?JLX16u})~wshClI~iM(+dAll6~Qq&7pgE9Ja=$yxFT@GhB%2s
z(+hJ!@W))w`$84wg76OwK?5AID#cilD$E6uHa1|@9;qPFHz7zBRs{EZ<!(mPdXLUU
zRG3Si(WH*6A)m|E+KFRHNpHL4aVvA>D2X2A=HOyxU9uaar;%)oOOEo>NH)eLM+s^q
zU+I$L3^n-&LhG;1AJ}ayYh;VyA(En!uX$L@a0vLx8~6_GwdbFxWY!*m^(M4^c(tn6
z`<6j1#kKh(E)_aiKItA$PDNC&Y;F%ti^^7Z>XgIprPLexX%aSHk^=`y2e9dsLx-za
z!CEF@JuQxh{m>P27z6+(i5h}zho=f?3Si^u_`p(EHHe9JXhEr`<(ODauo!8UzC4Fy
zFT|x*W62@iT@Gp9Pt*nv3p38mFys8T?|AY3e>#fu>SeV780I;!1VS{^rZ=u32Vh1Z
z0zCjr)nbowEy<WMxFUc}RU}deU@21D^gv3vmUKdMl%6AsPIYXfv-He?M0*k${h7xB
zy0<M6<p3=4a<<WuVvl`A)TB^p$BHPRj<aYIi7qvo(+1pn&1F|WDtH=X1R|7a({xk8
z*5_U>%=ys1D?rTzIZ@T8@~;CJGd)4p<#sk-*)D<pL;iVyrN+%xN#nwE$;m)b?Y42@
z>BepMa)rNeEgoI1_b?txPZ9<F;?O+2WcqHK_3~^OkWot^>E;VbN^|jK^>WQkl-Wx(
z;f}Pnj)2#H=a2vPKk=^VT)$6n!%)*UJuaC<&-Hu#`LJ07Ra)oKbFSZ7AlO6GPvPfW
zKlHdZzG6raD)9A+IoIDEFv>{OFGjw#Wrm#V2Qrq3FvM>NO;k^NzWupp#TWHlzm^#g
z>>&GB=lUm=Re9s9=7(PYjKwXK_W#DBm9pxqR@`<SWV#9VqR{)VS1=F(^^pX9ic1tC
z7H&T50!Vga2SAOgute-e3JYXA{P>;<1h+sHmh`Ypd|1-{k4b2ZFlv*-5`tB$uw()n
zCCP_$I(1lrn+4!h(n#{PK9@l2r*98)W^XF&NOzym5s#ecnpX-KQbFr)``16~9pNe}
ze#u=$hYH>XjuXy^WW+APaZ;%T_E2;6evZJ!v!kAHM&NH6K~X`5kUT>Jnc&9eGus+)
zm_!9RlybLM&k7JB>Cw-JmU5$`GY^=?slcDTG~Q=HEjOAZpreEc;n~GGFv(U)%5zrM
z+!A&~Z0K3C`jw=#srhN56l|~~w4t?Yih*!RXO-q@P)k>9iiI%AlLD!5GrP6u^N^g>
zzSp~oMT1_3_*5_NR;mh>lUPpg;nAn90reRsLC*u<#h<v#f;!5o9T_HY(}!ibjmH1W
zGe-7;-<tZ>*Jfh*A@%#+|Iz)Qd2?{ssvh?`gK2f<=in<r7XWx}iC_E5>I^QJhW&1=
z6c~cp0}NP5p=Juf>;az00xx=c14Rv$k+B)s0|YMJ_%jcObql%39w2J3I&%WG5aXAR
zLuU^V#P;NuM@uhy;aXQQqdmYdqkXy<q|pq8LIf;)gXUBckSa0#0VU$rLslQjBoB~)
zQl+1|=^b1mb-(B2*AjdvxV?nrGw#q#RY?w8RYdI8wNH*E@l7koqB*;Kdbo4phR`$g
zlYF9*%KtTA%cdX3x{g8>g1Xb^O9pLc-sKA4=hgXn=m_yQN{lH@{AekxSry=M2Xox}
z(b7}B0;CtPAePy-yB^p{$}{$(tw5BcU2<Iqs@vwVR_E0mHq2acN%mvcFMyP1%9=X_
zN&38^<FJ)XPc~c7$$5kpbeZDateGC|6|u+2fAJkS_hzUm1&p01<{Yq99`O<qS9QGf
z+_PGiaLEIrIgQoUJ*ovAr|H*zTJsIWORz<1uel;9cI5I+60w|K5#B&cY}r>c$1I?o
z+#Y>tS(nEVf6F;0Y}%s_k@Cr30{pE;C&cq6pXNNGe$@6Qz>)nWz+pVyB+J?^kNgsh
z^suv5!>k@+yf?0P_g=qn*G}|+Hxxp>mhgqURxEpD0ffso!eD8Uk4-Ht7L;1eT}!gr
zy_3~;=u!t6t&`O<i?>hu4$QWv5JpQoH(l5^eW`(O#mgwCu@_&$H>(s~=`=4)pwS=6
z$hxFUieSGbeORt6I7EWVU4&#5o(BG3$yDd@r&9XGx6nngT}h_;LC>FBCq>H5E;liL
z6?(*TewAUlvf$9K!d<R#H|@qQthUTIzv0tg^IKn+l09O*ua7o%7=PYa{bcmfgH{sL
zeR8Mdmm!G&r$qcRKv-HoVmR8^?|bYx!_mf(tzoqXH5_eh&ppU^(ovZD;n4>82HLAm
zF4<ENZ2)iC3SJX!VD?CR+1ErH==SQlj){{9yuTi8bU;E?7d|uEa5u{%jqG9-2g_p&
zi!g4;wR+e(R^e6+I8`?uSKM#2-kQeFY4XNEqGh%kS5FSz3O)mY3O*y-$%I0|S9y8B
zXOJvO)90a|D)<ez)Bcak;wX9f<U%5My{nEd?{jzr;?&3S+qA#j9=E;hXoiED^5p4N
zMxH!<3#(plR(5-spMbWp#23X#+${ZphcDyH59MwCt6%<~UwUs;?z9BxX>%(GI;#Qj
z5EE22qYO0Eq-Di11{&Ue7aS#)p(b^~QN|W(QWqR0Y~gEA1krsX*{UJF7HU!#Jl+6Z
zO9Ww6tO!MxzL_rc&5jOTg=xN|nrh-IOhdxQnrPzBWBV__2Y4892TM>$ja2b$X>Kas
zXuPB~?Ev1ZiilP7BGnFA1+lbt$On|J{-nY*2a@*ZLz7fU%VGfZ#3iLVLN0Tq5*qd*
z6)JW%osJmOP+_8AlM3V4_IyHTrElk#`fT@bY;RU)&0Bs*XT9(1zWUwYIHj`^G^;-e
znbyMJ-XIP*p3D>R8&|jGV4M^4VyG{Jzgiup5X?HuGQ-Gu0Q00?PzYw71s8~YfFdXr
zybO-YI%~9lC+n=y{++C|M*4Rq{jZW4tv;x_2!|`_E$_2`=q-W|y$Ckki$6z;-IR=+
zru+|A?DyK>MJnKgMQ7c-&bqt?X7AFIr<dOLBR~INZ>E?}pk$Qz3AO8<a>#lKV;)J7
zLJ;cKD2GFfRK64-ODG0G@Nl-ED+IG%3SSEFO$|0fFzclw#ug)6552VK3}r^8A(-_N
zx|20s4!zTxj*#^d*g*^h0FBqG#H^Q&Ta-z7=p*=V>qAfKCG};-u$@UK-LNlZepC?c
zoA=~wONY0oV$vMlHKmt;u~_tyRTMsCeW|6><L){=Ov6o>mDV3!Iz4Wt)8iAK`=QVO
zv9O(*&}cKdXP|E3FQYC#i63#g{%!(4ayYR)ft|1W9@IFcf!LQpUVk1My&P4((%^eq
zLB`C~x%iR7KLY$nn=6%coUI6>j-s{UM_Q`&Dx-_Kz1Yr4{Kx?$yef&N`xcT?XLNyx
z*3vn_*3ETS_z?s%Sc-*vKoaUy_H4_oM)Ot7=$aXSIhrj>ncNKe1!V9&6F$<5(cqYu
zjIP2mA|3M~lF?l(`rTRdN6U&Y7X4-{`scjk51ijOm8FYl7^z?_Ek>j{7!8Af!myDc
zI2;WRu<Q&+!vlH091R~p`3w^X4EX@dPL75#D{yUniEb<79CI`bP^RVm1_(o|Xn4It
z6DR}mwNL7a6{SXNn-G9wqLSUs_e554vl_xtkeZs&AzEYI8FPB<!=+*IO^4141K5+m
z0A^3|MC`>%>K)VP{Lw%0fe*Yc!V+Zz@UX=BOn~QQ0*>HTbS#RGY+o6y-31FpJ1EPo
zf)IjKVnq-bSb6W^RNGw35Tp`w!EV1-0$#$~)jbbEDzPGXkSSvD&bN-t2tg{b5CkQU
zxbd5_Is73=B~}Et9T>vI-|sW`P>H$V39*Jk(7|A@fkCV&O3WnzBWC{*@Wh9sHNU&$
z><~g!6}5ya7;To+&(|}6g{NM2&x)oft&p=nIi!3D5E8=iDX+@U@lAZvrE4mek;q}u
zU{8Vuv*+|u4Yq^>cNY%K`-zqW-}7&M%W8e^ZC7u7)0YEz`uy8&CLH*!|Jxh>*e``8
zRg2#T8qF+@bw`_th2mJ_?$$;7(JERF2Vi^XYI7oi4rIwSuN)2>$I5Lv9DwO&-VV+?
zrc<}<oH-mg@5l^*Ljzr9jCJAV=WqbIO0>kVIELXUZ2_+i2acGZC6ZXOjjJTV=Yc~6
zEgig)rq)+cxkS{m-;Gnbpn+M#mZ8$6VDbFuC2WB|gxD!p8fRO4wU?1J)a{M+lo^1k
z7+d-FVMZkIE8WN830L@9?6C@eFvKwnm&?s_x!f5vVeta)&I>TjGvTN$Ucl{m0YCmD
zfA(+vx8VgKyhSq4y#U<;GH<gc2O5T`VM-UxT#z<A_pAWDod*KEtkNgT3CQf#&Vr1m
zeZ`ozb_7ni5tK5YuUMw7ZPy*ke0fEB0lp$eqmew8EFBzBR14AzaKWxjTkE=J=2*?r
z!D^pm5daL5RA@f5l=<u&4e5+SvvH+4v^H+|)g0k@=t-Uh$CH=TGM`BTVg%2Fm(v}j
z@3oQ?tf@&JFlb0F`~-4Vq97~D`q#0v2nG&G+#iyYwmoce9{XGe^J<)TYN@${|96cs
z_pkk56{|*uznTPX7UE~TVGDU)CauX!or;3oPM~PM%4t(x*uY5N?WDEEsNbDYH)XpR
z^>@DV74J~X{qjp!?_7CbFg6~<S2rEn|C+z}H~x>0{Fv9g2JO<p{L~ut^MR8mXw<i8
zmuc)qHXAWQ6-@4}Wxd9z!*(_+Rz_W!S^$vU90hV4hN!0A>VWYPqzzz3XD0HqU}e;G
zmL4N^%(ZI-1-s)G7*<kOM9ALy6WORk?x5)h(66*tT^aQ=Iy~J}_h!*nM!iGLP?G>8
z)o9LAu{*`6@9~>31A!7o`{O8yiAma!4iJA~<X?M1656}tgA|34iFHc%m~W;w>-bFq
zzS(HUc0xE(NoKDLz+^4OV(17MJ?bAw8+dHZ^Kp$|5O}TA=_qKVYR&2=0;|7JlYkwq
zH#b-L_iRltn6cu)6cPHCs-sUjH0rDjVUy{Os4H?Ky%TyMBc?BFlj<=l4Dm^&=T<LN
z+Nfw_?Mqq49J$;UHJp<$y21eZ0d0F~(o$NN<N&nXBAD%3b%hC+Mk0W0xHXiEBpnbu
z_s8mh^4g~ZaywiM^2%2%Vem1kuCNJS`JK$7O~(hf2iA|qS_o8)wX&U#PlcN{#Zz~W
z=b5K>p^w+#wm{b`dpHqjypf%(+ZFMQUB?(}Gruovp0Vu}YZrfL@rOP<CLg}*)4%ik
zF6sm7&BYo-J`E+)=?{4>eY7x<OC8biIN1lZB_pTw0f|49Ya&#He&`OI6XIzg)E}LI
zOiL<oV#vcW%K849wH~I{d>1&5b+hGT*jhDUx7|}6ma(vHc~5mz6&{&s%dKbo@6uEK
z`lS!Z%e6GN?|9|vr8k2%NI0EHA#|@Ms2FA&DhyCYJLHd<?(6~O@V<otifmAzEGqlH
z{QSG#8MS0=rD#;X^L6X5<^-y^EEV%5-u4nI*U!KC%i|DoGBue~eJ7mAiCKTwX>sy{
zZSPBg;C2cGpYdDX@x$N$Q6-lE3T*V1dM-h?;1BQFZ3^cVwQXx(v9Anp=YnW%IY`$I
z$c_wp7J{^$E(lUe4FciZ3WV?EUtvYsP8Y<S-K~IuJM<ta@pY`oP}K!H4J*MG0IDOk
zbRkIF>4E^}kwKh-m_sKf(FG?h9W70mltJc@BEk>;Uj%?@@1dAc4Ca;R$V2!F@uV;2
z|2B)trhn)E4#~vdC^g!Fe_rKa3&{>}h@@ai^CS_hDXB<AS71S^Sc5dPQOW0Msz*5j
zs9hCY-Zte3&KJWOgChk+{!3V!m|u$6P;ZXMhWD`v{*ue1KYKPO)Z-HU%~y{%Zo%gL
zM2iKR{}XLyIT@QZxd}h@PkqV@Kl^dTf@z547R&>tm|2Dy&P?~_$SOyF-F`<F2<9Bo
z_|gdG=&$hIV9Wx@8|oEv^mhQvOEb;eT@M;Y3+CsDY`7XGpxTi!s#na>A9v8~F{<mW
zU<SG!!M(0cDo9AzL0ujF0Wrs70rTtNgJ=#dip)<kCXua~@U2g>0=mT{GLTiMowY?~
zQae8+wR5p*8cIIEm}y!q-%1d3Fr3fmk$LdY%&x8Qu+!etFpBZXMJ!!gHdD7M4(g$%
zpVDIyc(p0o;?dV*-d4xsQOg&HJx0Q{KSO$;SFkvMBJhC*&3KLYtH->pj$5SeRr1vT
zY11RUI!N<_yd-Pmy!4+IYo=#<g(fLYE1)`I?BYo>J=Ckx^@T?ey%^1dm7eMqCfg}0
z6QlilFO(Dz>=3Kc|8eS$)~yjo7Z!AvJYqt;wvw&E=h+-xSkPV4pe@9No}2TWbRXpr
z5ytZRu?9JKdaQ+|ygcMn{N%o7eynkbsmGes<Y~kmH`8OC*vf7FtH*k*$JolH<Pc7m
z@;xReb1OB-a2k6h1dy%WX$e7w&!!Vb31O4HVl4v13Cni)g*%*Y9?gpi10$<cf{>y@
z+MnC8f76%^ZgcAG;<PGzd0vihZmP)agw8zeuv_gaFBbys4*B?doG+|r91slN_&&}b
z0@ePq9p}H_uJ+l>-kn$b>iQv2?_Xd49_O2h1^1iPzKSv+Q18#}IKGg<$EH4B#ZBMI
z-71^-b{|xw_wnt%vx<(el_y;XdU8;kyD<64vFXCxl;^mtEF9`(q;bV-I>vlWN52?_
zBIvmoPpse<7?dmR1w;8H?ozT*x&c?YcZ}<HEy*bEy6};|{FZ_SiC={=K>ybp{Z+Wj
z!biSVx_drW^!Y#caD4ag`RGZXzcjbd1*$!Y<RU%QA?F3-Pv$pHqpSt9&yU^>4h*8;
zp+~=#93%i=_H-v82*0Csx{}U#YBY*S?h*KK)^N<ZBK*0Q&@FjK;LBNq$Bi|l?rc4s
z;q&C?mcvmnC@ePhDdH-h{{ZDK^mK4}`q2SP-gX3>+?liVpad~er@iG>bIWl@ysw<*
z2AJ9Uo=cv{*JR?)E@+@(CjUGJd4e@ugE6#Sv-*AZ804epxt76u5f09C;>ysOXoC@#
zPJ;j+GSdJ|JSoS2Bg#no!H3fYx|Y{&xPf1V;m6sBb}xh`^3B!bv*BGEnB4CX{R(k!
z@&`~Qs_d~2!VCuj0mHU(pmgd`I6$(UEOzTL3Z^3+aDvK4J<K>h*?Oxq;}nKPn26K<
zz_3(9OmzTCI)A?LasGmvN}F<f+%I_MY52G0g#u^<801sJkkp$jz#(&fF{H$UnPQ6u
zYc4e8Rsa72DY-jH$-JLvNh;n>Qt>B#`Vak&Z;yc|`eU?7#nS6K#F3L7j4<8<)Hm6J
zAvsA;gvpwI3u`uDqXgC2!5Af|PMYSa5rS&%n<@4fU|mfr;@>eyi9uHpo2@a2vNgvH
z5`9THNrroYC)UgTWCtUFFDFb3XxC(*=xYY@W#hBT?JHCmD+i-MUy!l1qNPhtIs?U&
zs|B{1D9vAohoPut&MmO^7w5Pbst-19L-HMlFr{Yv`?F{tbwrBWi`E+e7G~+4;ZT$8
zg-E=gyZ~+|v(MK#ZStip=>T{E>1~G>fV-O}oi&?upbmHE1ys3;8wYkUy!+dq{BOSX
z(;~c60IX|Y$Gg9Z4GsrT79e;*Ft$M=9$F}a-Q|aL1p8Q*0kv<rn6V}UaS(0A(H?``
z;9%k1H7y2`qO&E(TMQDS`Kj?114-NYX^yrSNP^BJG4xl*`l3pPn5@2+b%VniIYu##
zLL!?bC-t<d=c#r8>TT7TbtTCZvqw`-W^m<G6}+ZY_P-qdf7_}WKaM~_0ZUh|(}-UX
zL||A|(p>Fi7LHQM8DHr7i$<yFIFxiifcUG7Z?DUs&iEn;i|JFbKLf~1R4vtI#<8%=
z;gWz)Dq2ZZ%Zr-=w)DnBRU<k~Gb(W}QJuIvLNmn>*}^44d(wc5^M0ZwLc5&^?bm+#
zy<hW9QxRIgKF;6@ZNh~dp_LTy31#Xw9a%+a0C7lW7xaI<TygT*fSGh42}X6a$;cd`
z!G~aelSyR^3~A0WM`)<wX)XPXQ*6`JIRy+{Bj$4>iaf(&wpX1~!05U}5GCnakfS$;
zu2R5Q(D;qc1F>8cp%oMh3;FGuIYMKQB`_A`jQf)L*8ywmSwl`llI;am{6>fE8HIkP
zl{ej^jx$kH9En}s-dK|LX84Vgr;y9;4*b+ZqJ}R49)<INLqTBvo}Y(g;%}4y@YBOM
z`*-mXl8<qdJ_2*(h|towxb$92j<<X}zb(*m6qkAkw;#QI?EAJ~{|&)8qvz?-o1Hc8
z#oXfDzB8tjn*-!|l5GNzH(E+dzu;4&rL?S>N2^|0GmjU&a_(%r=#@1y*lQlU=vDNQ
zD@AG&{hXk9bAWh5wXRT5@ktgZR>?lRIyEktmKoYXvt2o4YLY#8;p^#_fU=LqSQ2xk
z7~^rLUm`?8F0_DjSSY%v#wO7F*dHO_MIoCcSkvXm?eUO_^OO<LC3ToQEU3fu?X9cB
zrKGi#v_3q#q~H4^fAEie>&H%FW=QWU!N?LYt>3stttgx2C14^s@mpSa@WS)&c-|~B
zQTl3|A6%7BXpQr~l}|ofyM!ku5#+EBIIy;O6u{Pdb&MY?irDL5{C?0Xkiy<~7D{=V
z)&FI&F{K3(aR0dP<DODpV0B!>K<cXqBv%_qC--xObU4eWJG3|sGy^36Gc^P3&%HL7
z3Oaw>p|3^OL=L4*h*Z$|ZhJ-t0z>ZOrq^U5&>fFdPMDq`)$P-1Cu~B2x_a(e$pO1$
zw*g?Evkp*M$9U2j9VYM|mo(lX2K>h!MYCXgT{2n`_DZ=}9X<a>(Tac;(H6xf;2vMI
z+kwYX&Jzrpz4Oe!(Q$`-ktQZs#mHAQuXrJC1M+wSoNw<L{$_6%{#HH>zh{swb&L8v
z!@mBW@ne3^u+wl~fuBhAo?-7>f6vA`2^wx7;O-~5eSjn<VHGJdR=azz-vSw5A<1`A
zsEQJ<Y=>71G&mpovOkdhcw|#lpkVT}KcBL+|FK506Utu&2|GSP8M@Eyw7+y7q~jkh
zL-Gq(QY_a3`xU64E(b{a>U4l;2l750A4vYHE~Ak5xPIAA2NZyO><{4nz5>z#L0=z`
z+iCyPYJW_}2c&*qbu#Rwa+;3M?X<si9;D-=lc6G$+Dkz-?a%GBKjZM&RO+Y_<mlZh
zoA|cFj20n->d+0aS#{v%v(0Co?owv--hFHpVYCi#(}lSy)!VXiCqIjCR{5O*-Y*dI
zz@ih~SFBVKoaiK&z4|cHy}x>vW9k1-zV{#g())vtKxari`T(E~L%nH3kry@_>H}_c
zY=Lb7EbW5p11t~Ka|rUSb-}`HjD|^JugijbJYDcKHd2W1u?qq+jd7$<JU};tz^^_u
zAJ`&z1Jim`Z@Zgja3yt`E(a~sQ_KHF(k4FT@A)~tiBEH6^u%Wm=~=I;g0;--Nt#S)
z8{@@BLIO+$p$U+EsT7&w5Gwin=JzV<NEcO#Oe)Apk+&6PsldnKnO>?C*``rsvK^WS
zp4%oHGG?DDMW(ch>HKR&CZ(RVT%}O%<7Oe3ba@Hep4(6|O9}f)D`C(3iIx)f?Ub;;
z>;3=f4}D!s>>QrKeeAZZl9D(QJpE!GY|bh|OYu*|hd@W=9+)c;M4?0ruOTS;R}<u5
zX$|eRj*JaK+B(~M>b&1;!2kpoyY|rHn%RozB+~lq0nwTUi?O2kuqJpoa5@g0=EDIa
z=bDQV9cO}PG^?v12!+%h`-+p{QZX}X*BT=yW=Fpnpt296B%fwmCU2(Z4!ZblQqg(c
zwzzL(mst#6Ht>z-E(S1JxY~19cfdVpGkD>fYd?}cnJ7<)In;0AjMtl$e>{whrQhwZ
z*rm2{#`09wGna4mI{ojTf8Aet;df3KZ#_#q!KQL>w9HV$WGea)NNXUNfriPHn*qFk
zL*+Hx2T7e|sTrut5!w~%Bo`c|U7=2LLH>I!?Fyea7c6iU^h@r0nY&!P4Y<mB-{j6F
z)tsuCAxNu!)39#JA7>V3LyH-Wgzj7?du~0|(`vb-?Zlr?{<665`SouSH$4X(kN#ac
z=sg52@E`~vf-^xfswqH_?a)_HG6+c01tZmUNjX<qZ(}*-VGDgH4V9NW`1ZVCs>GI(
z>r!(4@F=<da`!#I{uk1q4sjtonN_@Oa0v+hlbsN)Pn;e6hy->UV;*v!Sd&@4A_iJ%
zJqXAt8`c?u*};!U01fks{|v$G;GcJ}l9Ho3X~WCeU5tylywo_A!Ubw`N_~|4@&^yC
zV-8=|jTn#Mp3iaN=g7pNDcxtyM9#z-ES`Hdg*+<^;{c%)LY{8V`Q1$K#XU|T&k9vE
zoyyVS|JrCv+*$QNSEudqDDfLIhlX*D6(yBpn=1?q+e^`jVB|I=RHxV1+yi_pKQOY9
zwd6cUM9Nd~8#a|G-m>aTZ;5=8f8aOyFWNdHXrWo~V2_0d>vi<VsIcr0iJFRpB}#K>
zqX`FyEj(3*6arPLNVdb{LG^`{kEK)xT7`+)U>zZ{w3kV%P&z{ll1>-`)$wIJ9UteL
zNvl|!yrk49mF!qf^U=kDlV;J<JSy-yC!RWD42hH}HDJXi_C=L4ygfFTG9yz+Bq)Jv
zxn}h$1TAHwo2oDM7rc&cYGJBMoJcj<djBRy)sHd1>$N_&*OXlOnTLKAF1;{SrC&wz
zDL?jC|N7sH9IsLZI~d-GgP*dQrBEnf;M5YJePub`BB(>tx7cGRE?}lj=UeN7hy7Za
zU%`gH){JbdNZsm!7{bmGY^ZkO(5)a{Ko>j%uP-1Y5UqpTit0C3q{HKamh+K%rZ81y
zL279i>@ZSHW|MRWwDmMA(&2Hz1F}Q#khvlnuNy&ecw7=GAGA<Wa^3ark2pLoi5moG
zo7a8b;Ret;*jLjYExj4dh%|-N;^tHzxDmS)s7(d5sbDsf<ei>vR$saz?yT5oACI)N
zb$g21Sll0^XKox09|pKGu_H-jdtgJK&nvLbSe~lf--n6iDVj;5sPw+|tKR(??~k}w
z&$Cr}Mj}k5hlr+n1GTW_ud2;orn}V{#Lr(<jeA|N1JjH4J#M!K;t0X4^bVN$C~?t{
zcFaoeD6l@$j;&(OO7DC`u2&S#0r6g=qAI1gIdMV;!od#VTO*j29x$I;DubL2RE&$M
zl->zM+;(ivw5&CArSt&1)KQjDk2b$Mtw|5I+{*JF6+cmrFbvg?GcO*j$-5=?D@xH*
z0M|wN_I*Jm4x@j4mBf`7F?xJ*vC=^VrsJlE2<tmj9zVW4fmB>~`@ga7&?iczwn|r+
z{x7k>Oz7B6ULCeuj#CHl1oTJsO@?GL=OD+%RCAHsfNj3|ISgOyYj($tPV5BP_gR~S
z(@&h9?G@OYNM?yAak~fack|e!hkJ$4L0=b)FOxO1MHeVaIXZ^4U%zI0yjPeYkD=?d
z)L@}(-3iHl`<e%2sq~H6aAtaao>H1~$?mw`OM!-z=RL{vc>DdtwWG1PeG3I&T-MyI
z$#&Jj7-|Y7u6^E%5Q&lU{Fe{%Y(n6=Y>0hdUW8$IuCFrZMZm%QMc~KgMZo(0BJks5
zzX)Ss-FA?ubjYTFx<{hepnI7@s4z}blRqDqwz~lfleYVk2p-_xDucm{LWMCCUg}f=
zYI&+@z#;>nG~bcX*a@q5x_>QpxJCDp%DO5+-8OA^0~RK2cXj<!U}0n_GKmYp!WGzB
z3AH0-B-_;u%lA(ouae`?yXBkDJT1s$(*eTdof@=B><yfDAOHYk&J-{Au^4d3;m?s1
zCMQ(tgH`U>Sm^#_0(L+76aUiRd?f$_iYW6MM}e-w)TxUCSgej;v9Bz^b-~k~^T&vG
z+O0vc#aBE8X%UMc2oe?9yWXKRQ48`_aY4Xzm9T?2TQ!KWB7YYb1faJQ8;dB@@KYg3
zbLfJYl!>Z7Ufu(+2x^Q&kiMP^B8?Ao$z<DhBa_4P#n2cQ!2=i~RZvHFXF>7xToQl*
z7K^y#*5*{ivBlSO$qpl5aLZxh4o52jx#T><<!xQwY%)0QOuegVAeG7u^?a-K0<^$B
zTxxXOGxL9k{4!m-1`oSa)<(HYa{u*DMs#aW>qPiTrI^FddkebY?$8DEexf!*a92N3
z%17%*Xi|Ucr>3iN2N|i#=ZhcnzAwLYm8Yw|bQPmd@5y)G=7Np%)tu?7mkd7p?jQfb
zKmVyy3|ONyR18K7Xssad256{gd0j9Nc$mMWQLB9gTTaXCg79Up=c}USb-_`-Dq3C_
z9Bsd*<t>6^bXByxE*RjyA-1Xv)#Dx#Tn@5Tv17v5Ebu?1xBF~Wsn3gDNsr7{Rf0%<
zPy=Tjpx*x}e?)947Ew361GMV1j_`6iC9MZ~m4JX|s&NMmX~9Zm)wiQKzZ3;Y?{x~Q
zkgWg@ON31<<X3fMNoUkMRAB@3L9Qc{*r|%5Bz2MYm+go`9-9hNI*a1`i9W8PP)T0o
z<MSPl<4aa4ZSsAMN-ypfh&3Z{sX~*HM6^Bm*J#&VRIE((jOD3({l%JCo}zhqCZd1m
z$NZx&{DG*x>3Mo0+TT~?pIHI}Aq}7}8cNt#7M!>s+U$wY0rnU@@hx3mR*|KFe3Hgp
z6S6Wd1>QQNm^gG+k%@nA)0?=LRV13=4^UG8i>^@uY*x%F@_;50iDHlvhMKMqomHfz
z-?1VHdNtgMbClr3?+;6jnx#B<Nbcn&Cqs@(or%T@y=I+>B~4ai!c-;o%4*y_|2L+&
zR5==hx@}xP7Ba8O&qEPM{EZTQv{=?*3z+$X7|eY8I_#Uj;3MAlmm|TVFI%g_MkiBc
zH!ns}49t<LbXXZhF~E|S-MrHtLm8-XkPz!}iqtynK-P2!Vmef-XR{6)<=v}v*w_fG
zN{5Y3o62t9IPqR~^TvtyvYYof#Ct`-s9Jiw0Tam+97@H6(uz+-HQI-^Vo>S@k6$fl
zGck*s7jVU!bn`G=BE9Isx3_V)ARF4?Q>RV(gc&Y4L*X%Ic0XpqX<O1a^IMB=d~Ut@
zZ4*CH>sK%78*-pMc-u=?Z++AAZ~pQ~@W}Agdz$6(4A6iSb4iR%{<(WU{J*?sDt&W2
zVfdni94F3T%r3QpWZd_*+BaAr*67t}1k>w|`H%p-amCXHgPa<{Y}e7Rz`_Z_qHfol
z^OWuSaf70poFfKvYjMZU3h`66>nOD|-GO*@!;*e|G1;!4yFEPx2m;1e2NDe*-&p)q
z#U`TxloU?<P99Ju5^xa1!uAGLGb}-g&KeZ(8|QO>-emT=Mx!!`$;;8N56dQFC^gZ}
z4Hno$`MdLjd3LCAEIv3hL+&E9uER3q<n`>3sOsa|BoOs+*-oh-zS3!vFK)>U!DUEq
zJbZ+k8FFF|{e%JP`3N&ykjKm$y|VwwKlt^N1E^3j#_JDauI(AAVFHtEB#7mWYzu*r
zC~*jOCjl^KLF#4~L|CNks|P@%6~GiLN~*3NuOtJg3`H1%{H1)wu7lkW6?Hlbxl}&1
z{KUSZChJLpw3ANsEJ)q#E1uE%uh}wWuc@1}AY(2U>;SM9+!luF85%3jQFOCQ9x(Yr
zmOP<xO_69xQpkwxEKTE*>u4j*bot<-n|)2BzW_d`ZU#rAf+EwJ)XhZ_lwM+DVIn|e
zSznOoW|zc;U|2BM1V>o!JoE2ktdu-YOc!j|tbQP@CFjYz)RWA=P+`wJ?h$fVYtFU|
zDF957q}qqbvL&g<HVY9+#wQCU9|kJ^p<&oVCO)b3F-srw%#5RrH`J@ZSFx*n8cx7P
zyXJkeXJurOGJ2#ULNBK;{qm87N6p}(Bs?@P((JK6ivpLZYBaA^&18haRlJchLO2%z
z_#B09M{gY11Jd!)eODDhq<JpyPX!SBH)JY$dmKA;ADe8ZDD7l3w6z3`_Lg0043>KT
zwb96p2aNN6q9tIQAJbn_@Bh4i>zQBt&Eb@&^VBAS%OERoi&$Tid09;{s|+uOAgVTX
zFqQ*G*k+*IVD&%<EjaO9qsjqeN-b{<<QIod?js^k(0^&hcngDCADXJlc5_xvrj}97
zY6Po*adW^NPDw3yT^lCnL~vJz7sD}XL(nQ<EYpimNHX^%b0RpV7w=EKaa&cu7}JY!
z_uJEy)&Re9`a8JkEaP=OV(B_y1RPOsCKA$hxH{<;&@`&qj9{_YO#Pzq3b=>=rvka~
zW`FtM9AJ!)27Kcs<*G=5<ORrtmTVMZwJIn8tU9dZd`=%&{cMF{RWIr(qt&Oo&Id)<
ztC1tF0M(M^%_q#aI;=RnklO9J$$;^qiC6d4p>aU<T!+ElmBnFr=PO_F4mCMX8o%hg
zpJ;IyZpUHxlCSupAN=Xy($;nccNna>fk1oBFe8OI+J<TTqUhK%?#}3hs@pJu(lag@
zLhdC{^-c-}2>PL6i{Vhfvp|a@fHe$4LXZxF3l^-(8J%)!H$#vPLlHb3a1Ah<=*P4y
zcnH#Aa6xn#SbrmuHwu$+!C6+bSV{RHc5g;vRpoqYbcMSsrqivf|0@S*{>Q)bf19sm
z)4%h7hh*Y!luDh814z4tV6^HslNdM|*Cr+wS2Ap^6c|c2^^qC1?Garp-ji$b=KVyA
z#k(Dg_X)53p>O!9NsD*fk|qFr0*Jy|$`fXW-AIg@Mmp0jc--!RjO2=Ypu;6jwXYC#
zGema54z^L7vi4xY9<g{}cyk+il7Kdw#%%G9C@o6v91)}CkK@qU;=yWjC^SqXDghT-
zJhfX!HA_<=hNH52x-s1d=H|%%4HFcfbS;BpQqcZjaV2|{8{sRkZTpJ;Z-@+iqSRvW
zl7)IAM@ZPCycoR2;4#ntj#sW;dh=>6KpUYN(>AUVRx%n|<0R9MaLgPu@S)HH_7k7_
z8~=@$101XgdJpluZi%@OoIQv7GwRD4XW3WR7OD@MUNJHksxioq%q6Hl?@mS}6DwAQ
zP!MrwoA$9^GR<|+1DL%4`C&!dEn}=mgI6wQcZ7*W(1--B(Tjy34W0|a&MBYjP-V3$
zRPQhhQIf|Um{r9n$BK2K8q{Z6R6c-esFloxYNOy~0a(-UQK+63Uy8*`o!7dpOu72`
z`f=O({SD-0-IDsh*V$43p8pQX#NQ|}Zgm%8)yuNLQZ-0sT;9@Bi$$dXIpU=j`^wb=
zX<q}<9|l3XdHv%#83ak67Hfj70C(Pd(o(CYqOP%K-gCDEnzs{Ze)4<2_T7ItYO(rb
zTyJ;x>mIHtLy(d=1<ht-SF+tL(+4jCh_xm^+ue?Io`PvYSlAvq+g+qyNsmH{wv(Z_
zVbPv@HrrjyJW*z^;}k$_yG9!ji?&k$G1Rz7q(Ym&ms)i`w&vCxAa;h?S4pI^n>$$p
z#CCfFb76pS4W}}iw&F-A8W~p(ELTr5|2&0&4B2PtsjSX3`$d?CLw;6V5je|wptJk~
zaUPCbI~*;r0Kz~$zneAiwEPPl)<>X_u_lt>;4I6M7x^4C(nJ1+{OytY$+LqHs}FO&
z=uw=ctWQxk+;F6O0VHN0qD?8BkD*WIka$QifFoYOVGB5{Zhar-zAk*^7I69_H5Fwp
z4$0LkD{q&{LB4ot<Y3W;qj1ZXL_oNu;>)s|=yN{zUwrL99f<%fyt_l<sG?CQSMFCC
zg>up2`HGzregcOgtl-yy!_ZAkZU6w9fQ4_He`)W^is1NF0)vD4LU~1+I~N>9k<lS3
zf;!uI7)6%BVdv{nWU~yY7#LcV@<FW8RfC~Y6P1zG_7~4@4~Fio4ZY5qWw}R{iTxV;
z=t|B1MV}2K4BYC1LL#9GnVLbS2UODf-qY%IMcWBy8)xt{5-h-7<sHONs&>e*CC)a^
zlQa<Gg3%@KFFsM)pW7)yZVWmpV=k%e0^VFx&Hzk(3G7SU)pR;+6i=050fk*2u-mgf
z5e?GTWQvr#YUSi{KkV(}yq{<Z*l#Cb|6kv<{jC4~3GTKXZKBQgag?p7vX5hIMcF=%
zHoRv0INtA?Sv1D`T{C#PK)Z%$h$`^(I1f?wUPgF`j91-V150WkWZrP5T8%^(?l6};
zqQwt|3<9#Z4RCzYH&FB5@5>c4t6!Rn(7FmE#$5LUjR$3HBez8jbF``85Yv%-Q%dW$
zWzAIiH269EgP~89NQ_7BEBL?g8CnxACOMmM$tQ&g*N&{@WT0W`lJTePg=ONZK&8>5
zbO~$PV>fJxkCBd#w0t#wRtcih1@nzhPD10t$nA+FzsyEc^mKO*t-9&uMyqc6mh2wY
z<}E|5XDm;9=brk6_tKh1hCP4pYkvPn|D6E*=-@+VpSCfGNa_d?B?=)^6E?RP#K4!l
zLxYN{16u=yQc3jbxWuROJWS(82tn~*UGQ{3pDI>7?9qoR3MK?4&1ZtA9o7^<@G`ZB
z7LV3fJnsOi<%+1$wu16tnBZY|)X7e0FtzCte(2d6OJ48t#`A+JhU<%ujY8lq`9IzL
zQr*-nTFxr3JR}o;qh!)a3%>P<g!|a@IiUxZC}zHkeDf`!s$C|2qE=PkRiMe^ekj9z
ze)ZFz{p;QyQH=6$ySXzqZcNkxp)%`0G!WVmXkQuJ#|5LB2r3|L0S!T#I~T;z29(Ie
zE^=xf-Q*CYxpP4_d<a11u-*xjCJWNs6~X-;G^Sh;bF<Wn#fmg{E_ghnl|#&3v0@=e
zbLWB>tA$BEVi&=;*PJ7x7#BS6H%hvEIP5S>p}rV_`?w@3ncAlc8*b4-99!}@E@_0X
zG|FdWFPk-);&I6iW*HX_Mm5~i-q9Jwgygw{GeXJ!C=6)<Et2~qVM)B1;JvPn{)m=d
z%rqKW+}i85k14W$9}cE4!{*8--P6JpT4DQx=Q`aL2;r-49+o#7e|~NboEEGHKeRQz
z6qhV5pqCL*Aa~jeQ&KKdXeutxW!hssVPbk-z}#SC-tw&lW3cg4-}Z%{_z|IfH8s%I
zSU?S}96sP{>-DVXEX61@f3C5Vstt(cdo*LzzMi8%UtXu6f*loCoz37+<$`EK;Jg{8
z;ZGK9*jKO+IfrC0MiQ~@dijwQW`Y6<ly0&ju3z<eteA617+kAkX-?=iB;F*KcSQU8
zNkUIkp))tL>GMukIixeJi5z^r0jeUedAa^ml{XJ**{GcBbzLJEy2&TGhGs-3gPy`I
zbUC=H^M99FH@7nDCZ%H1do0YVNITt8oBLdKXs_FZwjEN3|LC=E-F|=MUbI)k)nVy)
z+HZBB7XVtq`IsA-s199lcC%IFUNDYQVNSLNlo!>ZuL##t)ggQ?Bg_gxs>33vBx!7o
z>d(NYI&{IqdPAZz2%fis)4OnJbb9ZNeoIxxHY&y<l3kldbzHAwRBPO>KNTm#QyfKd
zpI4bvOUuML#mjO*?w@Ud-<Z=;WoQNGT+3IkM_W|}ss5c-m2timnFn0Sic)K0t_V$J
z%J<66i3BfvokwBRvd4Df)$Tb`3!~QEF>1~GiCXQuq*HE&g88}s_YM0O!l55VadVI|
zk{{@v+9R<7?=pjWX~7&P95kOt7`;YNss{#Aj=alhi($?6ic&o=!ESpX^%mQ#IC-WP
zqy_UsBZtDQ0s+!+FB(Cq9{7rwBP|sUc=po!oG(VI2PO!nOPz)Z`l}u*Cbd;LG<vAv
z`J=psL`@4Iue+y!ik6cElDT((B%R`GmJAj~&cKA%*Il8wLBOmXS)f)GHtT#nYBTJD
z5a^&~i?;}FLw$=b3lh`<Ptj*)6Rwsyqq>qKFjT<}GaH}sg8Urc#3wzq$EM00$&j>W
zRw}vKtjkjwg-p<5R3uYGYh8r40;yF6FGKnAPAk8HE=!eL;IqgsQ{ZhABwK<ZNQPZ*
zj~jNBYSY_}_yBkJP~wC8$gZGDe0l!F-l9v*{@j3J-tw)<V*cbGzV8EXO_OYNArBb*
z-9Kkm<+dAhSlxnExg|>;R<{$Z%BctSD9rC!nbhibq{XFDw_`0XmAVD>v+720A+=gG
ztJ?!Qc%i_N71`@RfP9XXx<#ALNP|mmRyDf)YZ_bxpy=iU-70Elh8kR|U+4M;mm5;I
zRD!BmXUzjs-S`BKz42tsKuvnlwl}D4MV~&(B%65(ZwaGb&8oEOrwNnS+N33nx|uNQ
zQ=a{j7ry7?U-KGZ&uCUOrgghsa%Xc{mqy8yXxDwkZqtFt2&VA7*~<Kd$i~%)z=AOy
zq7S59_Z1Ig^np1MI6@z&&9J`WXtI)a-33RJm15V6<QRvPgi$UzN+Km;R7j4nNJ$vw
zl8;X#_26MkBvry0wb2iJ(C5PkR|BRUfAvs`AHC%hAFXoo@WI1gy%~RcaU^7V(67Vb
zPi4IVi<MBz&hoK2r`+)Szerz8S>JB;>VUzE+RF^WE@i*AuPB)^-K)bMVZFq*m`I}V
zgCv=r@Kq-ypL}QbYZ*n7-x*;z_M=<RH9!UMVKrV(Ytnah$uiy$^w!46k|fhZzB1n)
zgrED3On}VA02w3TYod)?{oxK^4fTg(O~8TU=p6`Sq)gcDj@F%>B<Z~RngyhR4l{Bl
zS9GH?H`yg|MW}Y-E_FNJ*hVtxpw$5^G*S`hQ0(oknSUcdGf0_(HMhXDRBO(2h_9wz
z90)i<WAMefiWi3;?Zx3oFFE#K9IQF^;^6C+rj36p>kYg(INqa@wY};3#6)i-2FSFl
z{JVgnQ~hAKL3dh)gdbK&@uk2X0#$)Rwj+|??N-8t;E?j&dd0UY5(5yZ_vd!nzgtQD
zz3jhT0qT?Ym()OHQg+-<`y)A!*~fSL)vkh+?<8PN`*S<?mk}YcxjU_Pc`o`Mms90w
zb`pffa{KLSp9>zl<7!{^{Xw9*e%X!#?)R%bg4hDd-)~m?DzO5AdVg-G{ST{srfc8t
zR{QGu_s#XocG@53n}7d(x7t^2gnNnO(*<)o_Qws&oBDWpV&}fzE#G|R>3!0d_wHj0
z52x3GxruvSHnG$`S9`cv2Q5|xsu07ETjQ%Y2dmOJFTC{Pi*@t56T>L|O)))1&^xiG
z<VrjCP&Yhdq0;ZC8vvsAj&a?tg`bDJY6tl9?|LUzeEx0Xur|azFJ0yDUb>3z=rPis
zm${o?EjH3#JW(lv#Sv4_d8VH$l4YSvFk0AW|0;}8=KLzda^-}FZY_5)a4c`E{zUVB
z6-x7m0DY3~$}7{mTMqfb_p2}``LnAxTpgkWq#i&&c9CKT^c$)Ot$#(mZjJ+BP(To{
z7y|#nW?|b`aM_aI@KnSu+r3coWj`#r4Sekt+jK^TQ1Mc+V)E?3o3}#{L~H_(RqZ+E
z*ah=Kfcc^$f`MbTBT~mMY%q~zAGmD9|IFT6a_g`V1bAo^YXqs41*_QQ034Yu$xfTv
zv8rR21BQvpS%SP!iG1R^tJno7-h-lF*BhhKamjg()l@v<PSrpxQX&z$Qz<x@Th!4|
z;?-`)LyaSwVs^w=u871M$#>GxC}F$V^Ithz6JQ6n&}ZKTamPh1^qiNLeUFPLr{H`u
zg#g<NE8k6mfpo#L9kyShw6rOnnww)3b<&G2pfB9bV%>2sA8DMnJ<?$t#H_p732WUn
z_*Zsk*b|^`cLg@FJVi5q02SyLzVea(;2WoiM=X>@AsY-@8p;MdlnT^Ap8<;9Z+f$o
zcwb$xfMPL~YTW`(`GdG1CT>zCll@~OJYN}bN(EX3yN!-qI$|7UdyZ6~MG&eOstGHi
z=&YRv_7#*oT{9O1(pZ}u(BsgXBuoWb1osDRNkI4@%w72$MS&K{g0lswNey*HPJkhc
zO9s&PfN7ObwXx=;T5J+B8>_SQL9wFpDDD4=LaVf*{iToT*Dr?gYL#B(+V+Rx9F8B{
z|BZt9&?icbBG;(Sa<?#ESumEQ&`jR{q#k<x%mANNv4kX6>K$_M&?}NlyKp{W6MQwp
zCVK7BmlnwdEEoEm6CA5p4hpx5#bi4vz&f<m+mrNRdcmO&ad+iq1Ox>A$Ph+BJ%XDV
z3X2}7Kjsq(i=tU!-Bre)$1MSndELdUuQd0tqh2B)bGysF!a{nT%grFkEkf;$>rnc#
z-@sIQ1_pCx*F$Q?Pb4<QG||LQ)Y_E0`iXel59L<DKl({O`vnQxrv5`eu<=nCNKUGe
zaNqg4XLDeKAjDwYo1L*`hG6n{p2Ac`z{MB=?E$|QvlD_<U{mH!fu>u{Z3yPTCWT7d
zW^jcztJrQpL~xKL0~;don&2WOU?AuNs*qP#L|+^_2R1RF>PYg_CR`y%*N+{8J&;=N
zWy@2(8cu^x_Bd{OL$9j9CXM#!3?>+-Ib4)M8MKMiCT+c1Rx8QqM%a7ZPVjX31FACm
zh3AkTmVyjY$&Jl*qwN1SU(2Sh)@G6;k9;?+Fbmq^2GJIVZSx2Arm$_c-h@r*nf<vY
zYu@s$$zmAv1)ueM|N6H_9!Z%DyffQ)4bV0sRjn;rdckBY%F(_;<Un=ng2z2-RMeu#
z!I%~eL8{v#2s{`PDimDXoY)YQxYXD{irP6~+@A&xAt<SG6Ex+B7595Ek}z%vLCJ}m
zAm$5iggLr9pDYv!LCH{?AWDuLQ3R(CwnN*KLr^?h7eu5cDXimWBLLxiXvMa<B$|m(
z8U>mMH%g61EU8dpljN9R<fbUO@7*AU5}PD)ds}H?Jak0&)fX=6)((NO#1<){gB;}9
zjb#3L&ai@V=}3GTL?NAL{(V5%jML*yLI=GDl`c73g{yKlo~ehAq{XSFbs1ObC9~xU
z-2YWEkKb&|2%}`nOM|wd<WxiI-&gd1hh*Y!lp2rU7J8|hr<b}sLbJ#Bwp8`J<%d-D
zuYA{6U2Q{EtIlsd<8HkLF9rSB^IBREa)Q40jBON7x7mn$nv>tPXAGKu^^8gEK&|1{
zv4JaTLYs%)SkrX~UgH_}iwHU8KUze{p7CfAA$!I)HUKGq^rW{<m{rO@BRR%B<MA>=
zLULdd{k3I;No7g(p~~t4Md_L;c7D>;qh|~TP{m8Se@XYFv1<LsH2J2=NqIG4NO`{T
zd%xv3{7j_#hkH0?4;)K_Px^Dj#F4VbgJ_u>m?I{BlnF-5+;%<DGA9b2Epyt-of|2{
z&-E2c%N)v^Z4;jOxh8npp~ArY1A6N$3ODFDynFF;U2xC4FM<$&CCG<XKi7|aq{l3h
z0J*l3f>81`)4?~Is)&QDpKFo_q+8@PPXnzc>gW2=PusP$%%Rt0Q(yT-YBscHT|rRC
zaA0Pr>gV|?R^_|5r`$u<*m3+@86I*yDorZ~kyzJ4K~C&T<P{*JUL{~@mkXfNlhrP_
zbjjacm%M4RiBO_7S4$<~X4*SG?X}<apZ)2Gm$a<o@sgLDXVYF4C;$o$Xa{E)WNR2i
zs;r3M2bmjU26Sp^7Np5{!2$&s;>V(bb-~k)jJaI#w6VNR9J;FT@7dqWWXyRh#xx(A
zCcmr*zC9BJ$8d8oH2E%wQ#-?yl0JOhC$nND!6h*?O|bx{4Pww{$*RJC7XBMJ2O!Am
zS{#Cyd|wl@S(qi{^=Nl#z8*38F4?KWL1wUBkH<?2yG0U%T_s%xN$C%WH7A_|HT+G@
zAyopl7NOa{K65PF^h;fYSpBNIhSgk`^jd>$RSB)3&iY794SnJ%k0+}Z&cU1K98|QG
zaG|Hy8rTD2BrI60dUHmN;Bk-#GnS_Tb3JgOtz!w|7e4wk|I1H`&<q)WC^0WV=oUI0
zbRe@7NhLl4@>~R|(3#gNf*?JGYTF|FukH0&kfE6io+LpA<<fOpB+Y`<(=M25q(0dx
z4oyAnf+ti*1YmX8!B-X)7=rvkT@W!5i_O4~pebH;We8GF7r_qHs3Hg=+jd3jX%_^b
zi-i|l40-{zu;43BYBj#=q5~q{upE41QjPC!x`@h^^MBW=qbV6xd^KmPXOr50m-$bx
z-vDd{FjqAGNFQ4Qd&zbJOEGFsn*yzJu+)8+Z9rQuPqy1bI-xasb<rh=xw{}{-cPgy
zG4p8z(1N1CHV3^8;M$0!qj7xk-+t!L{$etY=(}5oag?nMEZ7F@S@5Wl=##Efm@@s!
zjiU*kkhp~N%R)XoLm0$_pcqFN^pFFD=m-F6K{1Xl=nP%}FRj}Z#W<QE5W-sVC)c{h
zPjP55jwaZhQEr!uK|8D2JpBq6BgWAL;SV9mp%vX@6jXDLVjNA-paC?uAm?oaC2whG
z;`31`*`e#TzHA9%T#|fcTA$x|z!8@tK}?Y(22CpYo2?-jlBBpUcAkKQlQ|eQ|1}#^
znxp5R2N_*Pgf#aYmXakW&Ar%3^p2>#oG2!#u%$Y6V-P&0i{;Fx{iTneepLIO?LR*c
zt)mAW_5lVeN=poL^D)dL_yGF&FFwFKU-^o6s1*^ov|EQK01|BqYvLzbe1O~W0k(hS
zZ+_0FeRSce(M(o9k+~-b4M{@az|?qH%FBF!k^n;yPkaE31{_Uf9yWX8--er?aw4<a
zcSiUz(EOAWnMb5A_0Y#HFl#hhagHhD0ae{;2hs^VnHtW9U`}LOeU)v18yia!f;o|S
z+QUbp#f06q4%ZMAAHX(&1P6FgB#&raZBDXEWF7#glm5{Yd=~Y6(wYpAd`+~jYLn{;
zKaxTTlO%nBB8iAhkA6a)v^_d~0GB+%k&^yVoTk)R<7VEh4^WJ4-~RKfzUddstinUQ
zb-Dupc=lne{5fRg=QskfAElQ}W)9s+*O@ua(b<I7&YTJ0%bha;+)klCU+J{T7q_rF
zpxBw-c%&}jV;xf)DKeSIN5~V{LqB1FmOR0H1GKbFUGp&YJKN~j7>k5{guU>L;yvNx
zC>?4wA;$<;$DGc#OY#J#zy0IC<8@K&RFtVF(G7FTclwA>6cg4aar{CgH3P?w092U1
zf#Yw6bt*X24}C&nTB@Ri=eNjrY77@VpyyaK+290MxT$>wKSorIBjk5$ZJR>nu{WZW
zK7y}U2J!&#Z<&W!kv>8Z#2}*UQSU5vx+H-9C-EjQHf`HO(hI)H&Js<`nwUpf0wd<=
z^2EUv-{d%cR3UIHk$Zseqge`<d}Mo`EOEa!sphw4hX}hVpXQiaHS~Ad1T_%KFW}G{
z1c%-y+k?2u$XrP|Qal2EP+`;4w;at}ZO*6{N;%S=?NFqHP|lu3pRqi3w7+B%%eN6L
zGp=9xS3l`HUVDiqwE)Fm)}|v$z4RHH^spn(KLw{cqKxx$vLgy{3<3nKIG}Q~CN)?(
zvWWvKH)~RiKRBC$M9s8OX0;|IvV*z|5X`n~OOc|k^-v2{O$u(so@Caf=%+>K#Ox>w
zw$;HOSwE@@9Ikfu6kwwpRqs7<0ggK+{_ip??Jj1e`OAMFBsz~UEA5F@hVT5e&piCp
zN0ii)o@eV;o<WYIzTJ-PpS6#}<c3`yCeZ+<r8;icxH$EkdLuH0>cWhKw&66{A|$&+
zYjS4r+Gb4pPx0Kd*{wY7ky27+xUs5I2xeu7%oGO|P#JPMZGAD7GE_7?bR0@stw&oa
z!)`+#naWU!%PJQzyOk)XQ^nB*JlG)2ILW#_wA)e>QyG$iC!gh_44;3&m0$bsq6}|E
z8D3uVvB!GCJ$n_rzc2cwfBY|e-IT&RmZ*0?J!OSwS!cRneXYY}uH6TaE5o~y$b5S+
z60dmkv%&+1m*$+&T4{Og5X=e>A-*y^AJEBIhm&<2eK;U@E=uQc=yio>g=bAq)H%CG
z*G}pj29n}Gsn;|#MN+>R=&EV_u8U(*UHG7V+_F*Voo(wHU~O~YX44YOu3`pa()9`{
z`N9;8U>~CvQ<_y3dTOF+Vpxoz8mI5#1C;WxZ8cli0`u|Y@kqzxcG@4KATyORn}9B1
zM`RCBML&ji_KJ#G*uPR*xnTp7uppg|`2GPflYv?aft83fg$4*g)3=OBtIZi9<C7hc
ze*3@tgJ1bOrZGV9h2~*^wj1T>n8W}{Um%nhFhJ@F1#pEr$q_CcW<W_Dj5)p*EX0S*
z1rJE=K@b{b0187VtO#O$o+4p@1#Xcr)JZOg3EGTt;W6#D=6c#!$QIHGb3vQVK|wI@
zi^CLx^60rFqD!6hO>9jqIjQDU)%3A7qB@EW<OkI}b@EOBmO(VKpDsF=nsttPoFk(r
zGYX}_D=5&7HQXvuZb{jRF3ZYC%wnZ{KrfnhKq{u<K?j>F%wx5~O=_#h=5odPvfBhV
z;Ek)@z1KsTT{PfNQol*t$?XYkhmstF9~jy<;=atGt`ikz&+w&g)dHuzLpbd$v{Rdy
zTzUd;rYG=wp8oN}dm_23W#vAU1{6`u6#$B1k-gQQuY=N@*34ou3J<}I)fjCaq$#!C
zFkohPfN_>-uf5(lYD5=2j&&K*l)9kR{NPFA6x+T<no<`$?@vh8;?U<ckU`DG(3H9$
z;Iss^4tE)fDJ_y?ZH5(;2BkTSkHng%)3JvP5?xu=+>wz=PIK3nq#AX}@!rMy=ef3b
z@sUlw+RsQW*DiqC^bDm9r{DqyKo2s^Wi`jh&yk6+htdg3<JRB*g%{9<AQDn!FF-PF
zVbpt87^Ngw`Poe=kIkqq+1jw;3>>PMYhq09hY^%!MifP(<!|4F3)0#*7T$jBsek@6
zfALq8T(iE$t!~Cl2SqQQ*1Mj9%x`~y5)q!XEzbGn$G~uKmy5Q?D6U5DW!+p-$QX%b
z*=tiZFbi2X7p9UUx(I5R<D@zXHL#YhxIs0d+QS<0npt!4x)zO--}2_`8<mN*O;fmL
zX#c%;|Fo)74a}6Jw_dX6p(Wbj0*Qr+=3DGT4iyN!OuluKSApTV+r$da7gi}k1teB3
zp;@Pqj;oP-QvwAUQ+|1zV9)KuOZv#^*Llki>45*|v%l&0{9vSChjqYg&W2G>CF=lq
zKG*XhWF0W-r(_+#Gr!hN$^IE=m9+rQb7<91_Rmg086$ZDsPh?Mszy&`|7@IJA#*m2
z@GDFzD%C;Pj>Mz}=qVRfW*7K3p;6+K9{5gX&sa_u4R#W=L9NKYOTObt$#=|CG_Qgl
z`@%o)qyNm;N6Us10l6<@v_MbUSkVo}Zz1Nw8=d*oBq3ykX5@uOwHJb@H_@TUg4APW
z#r;~FK+vAp6Qmw<!48!3$eSX>-JpG-Sy3`?&ZX9|C`7FzxXk*{;?0{Nu$Aa2#fson
zk^Yl>j?`m*=o5N2WJTnHaaHw-V%_}E7~m?<Ae7rA(#tC<h{z-lXw(p8J4g*Dl>(Op
z>5IhIz$Ky2qCU9#5hjVNVAUQMj#4|}M%B^tuXFD9Y#70n0}a}f%s&siUi3VHi()KZ
zt(kuxbbykj21f_WA2SP;Wc35#hsM#FF#uqpmCU~oh+c7ejNA8Xn@wxK$-T?8y=q{q
z;f2H6u->TDr6I2F1xh@3T0`;2r(y!^!yIXF;HdO5q~#A+NAE<zH*t*k)Ht(l6p}Fz
zFDx89Ek7lHwnwcX>D}0YTnv{C@>0ZQ?9VXxk`9jE)LDeVl}9R5R+C39+u?(5Wl&E#
zK8Cthr9uc)$$Qz3{hP|4-k##qViSq9%bi+#m@jo|m*n@|CBNtWL~UTOB)@Ma`TZ9@
z?=%1J_f7{_-bae}yNyS3g5`iRvec1LCAL`x=8hp9l7p-H36|Z?oRWzI%W;d`u4Icb
zaH0)`a&QH>G8;~jR)z~Tn*2WQk?E(s-RLy-oXO9@6$a{{;e#t;zNey){i+|sh^l3>
zc{RFm!$25a^w8SAVPaXgz5Z|5!l6%%eRR9%{~|4n7rIJ-$e_ApAA1R$cBBnF_{zQk
zn^M^q*-rMQouq&aP-Wk4d$1kOmmV{sMJ*2B0@qT4!lX`PU|)oZNxz+6IDTRSslWFA
z&wuunz`m#f=><~TE%gE7Bb0=w53;vhx#}rC>hV%%PyMjps!xyjsOME@M;#FdoobS2
z?*Xf+51svVj8rj}?G9l=BUriV2#?fF2M~qWmwYi*AcYxdQm})@>V=OvkTU8Wo+IPP
zdc`V`0&zAl&s2jQ<D^D12T~S5Lh`sn8+w+U)PqzQqDaaI*MIWw)i!0mcO?*@uzsHt
zNcNXL&5VBGr7=02{pm#u1cqAHuG4Qkxc@gK6Mv%=ie#?++J6@W@{@u<);NEO7M@(z
znI&4ddf~yV)=~bi{wrVnE&s&FlydB_<&B9M$SJk?v}}3P#Edg2=(4@A)*s8erHL76
z5o`pr<;6G%_J7YvVS<O-QkZ)Iwq%1^SzaXNGzd6iuyu3jY<W9aeO(cysP?L}<sJ17
zD$6_S9w<`WZV;!uUF#pzDa<hkp_-U6?jdByXxu}nejiOS4|xa}`JG*(!y2_M@u7~G
z{@vVnb=5s73uuzmz>YFMuzre=MT;ymLPYT3(vx8-yj?T@M(5)iPlY6|;U86!vpgB~
zD(Y%|_$rf0QQ7?==8x(+x9HpG0h}j1c|-^Ih>Rz^oc+UNZ!Bs!I`V1K+tFNTghC{n
zsfzb9lRKvxrJFqMfNWqD`yu&R#eT9KvEO6oHUZ?V*m02CtiTCdd3XYyAlq^L9nKd|
zU5WjW`rK8JCJ0pLFWa#{Zdfk&>+SK}=rd36k`sRKKDKZ-J=kOHhv#_7oW64PK-dIu
zr-izOn$|v^Gj$6Gj|X+j^Y41F_(IHvzvGpwm)?x~C6nM~z`ESca;JtFaz2!Yl7HKO
z@ipJ_@1`t-kQcOV(1x^SFY?AX*rPR1d?DjJNZrkty$Fkw8-m5yx?t%u+%YLz3yK;v
z!C=<`nuIniuPCP01cO}%G!re|g7`uvXx<erX1z0Lb6kuVS`!R*9n5B{X&JNw$98)P
zwNYc&IqWT894o3XWJ?CS4u{G%SEIg=Uru4y0Xsx{aP@`!Gz+`VUdLU=9h$WAYND^{
z>d`OF%p-N7+c$>I)8&Rz74l8#WUS3O&2s*)vD(e18F4Rc4xn9H1fAe~a{{-q$#BK$
zUP5Gis{*0=YGFiWI~hUf?W%VYv~iUY?NwB1RMY;F1Pvq1?woP2qlOH^OF=hM>`yP|
zk^-$voQc!XbhR6CW7l~EGp*0^lCpS$&Gf9FXen^c=PA5|1n)gx`eh&Z<uKFNOi0$b
zm{bP`pmAs`V@xs4v~8gm=SY5eOp?LWb`48IkY?HiF~tRxb`Zp5DPw~RL25=9L{Omk
zACv&Z`sSI-G}A6<RYnl{i_v665-ZY7yC4SA>;=z+5dc=F2tk@@7qku}u85XdTy<WN
z5seFSfQ*z(F`mrYUt>iv(?t>krnT)CQ;ik(lq4Ca6iI~OxIy4l*@KOsIk=c<mpq`}
zA_0Df7GXt5r8V=fb3CF%!2ln>4oWrk1&RJGYwp)*0Km}!Q8V5GUvtv*(=@7~4Wj%o
zwV0118<a|aZ81-cxmA-s)X%F&@|uNhRg=8#6!tn$yI2~0F3Uzpo+~*5;$nv*AalJg
zcLeP5T^xZY*AbZa6D^Lw?KlGe`!~Pg`M(m5z;Nv%P}Q(Cb>i1J94+gN=1_+hbfdM4
zgc@)=XEiK9q9jD}JofHL?sit>cftpsOA<LXj<#4rqKNK2^v<!WUF`OpxD9YG@JVfr
zKPSdX@*^vr4jT|o(r(5%=Dav&*%2yDK=)P}Q?g)HyTDXZg&-phA-RG|G9@gZ>NX_7
zWmX>MyFJUQc7cfkN{EC^zqt%?npN!rvq3qDhxbyaqsGx^nfGM4hiHky1DFj(s0@7B
z|6w<rOjqewJHl@fSAw9*5xzW?DPV6f&+xb|V*6?90!RQ{#Gj#cgcPAm+C7~x1gbQ<
zY=<RAV{etAAZ+TA&fgYsCbuUHJBkKERC*zQhdttpVSjQB`@ElMG3>Wv*x&HGKH>v^
zF@X{!-O?uFBfrl1sxWbp>ut%Iw`|g6$qYb-MzBi6t1T3oQJX#+x$B&Wx3aUahl+vA
zhZY@S`RESgYNTxkX1z!FmlN@aGlsp1VMh|8Ef(ZN{1Lv1;sU_(-xdqRu-l<Ar_acl
z>0LBeT_xhtZDxF3=x|aRuDI+f5f4r+(h@@F?a9(y-|{LE57;=-7?fOF1HZ31%Ur9u
zd~oIQ_=ATV%eg<e+5~X$;e(Qkf8cYtZP$k-K7a7g%k2;G(W~GOuHvs(A$tV@8uR>L
z6WPmV{+^#7RVMc1DhX2Pd3q1e;ajRhWVy#Ph!KR)0KR4KL6FRd-SE{J0~Ey_Ln5bF
z4br5wt$Bh|sgEm09<_BN1#|e(_rmdpyv+IBqwZBOhe=}00n$dy3Zcx?zNT6rFiCJe
zFu?}r7XBl1J^8JYW+J~Lyi2+!Qg^)?oE8mi&BKlrBU$royg2?GKKHB?;mevxG~s^o
ziET?qkYwSYNCE`ql07nWbx7>!C)|2C*Px@rB+mL}o&OeGmABxkyao7MdJC}Sm8tqI
zzz+Uo^P_jb#an<q<FDl{;9p<mx8P0w*!Nxo8$^(NLP@V=pRjRa0o|yv9_WL+2<x#S
zwj&)7SdWV0Q_&jXHOqE5p}QUN8nHj1Ac$kq2}7WAVr4t+FMWGyf8akVCw3=BEFGWQ
zv3~=d*&SAR%F}YVoT_bNCx$7O+v9w5nQqsu_Enh<0@eA;b{v1dUF|pdfale|D$_xr
z-oGx>?f0vF#@g&Rt9^C-5UBUBuYcdIb{Xrl->vo))(8Ui{@jl9#|_JyGWn`Z!n&__
ztHcEOc7H&J!XC;59HsbnkHJ{JxyQGlM1iJT^V|L=&Z8`~uhNJWGtUbzz4&5{)_CD9
zU-A4`3I2s(7=L^26+s^}d5zrc)#>uiSv|{<_NV*WU;db%oNAR{aHb2+H06JCE^VX}
zWx<)6YwV`HIJsfmiyJUt*u`PK@_Ljwr1mHGl`l<OsSEztIMV^!FO<(=yEH$POsP6*
zVY_-g^b5{3Sr{ToHw%*Vr3Fdqlt*_&lR)p_Lm5f>-JkpYzxMUX+mU$i`UKYGe2I~%
zHsbqgyovb|!li=*)<C)`C$Kt%;+n`o<3t;3=L8ngS2nBWw6n>}5kFT6ER3U;K>L8w
zQ=3G}2`q%+p!t!Qcr7iZ5#`T{iNii2n^To?9yn0LGe9@lT>s!9jG!;vHrOK#<t_I7
zm<eX)<cTiH0i_fl5<6f>U`=c=u_Hm5B_fcdQKBIbYxa{cQoA$B@pMg2gP;OQh9@LU
z0Eg-&izKA=qhop|o(<IQ05a~S4bua>IwPqoX;3^v{qsyu@Cr@QtQ_L#n5m-doBm*4
z_!vo6C7vp5W`+iA-r!?|)%-Ey$Cjrd9wVe+9{({KNunS{S3zHqUK@w*_9}U(eN{;i
zO{OK!i%(Sq(dwxs&+XDmUfVEqDH#$lO*x6d32xOS0uAfa`PWgu<TTUeppcolP1z4L
znp4_|tCg<*$fQv6Tp>^;A!Iuah#U`Ipx7Tdri+q5y{r$CQq}cKmLqj&HCYcNGO8pP
zl0f5GBgu=z`J+K9KMtDsEvXLewkn(W78#q8HNm$?K$XoMzQs@JNtFI(PNM8YVfxCm
z(;QD`66Hs}^y-@~p!NZ;y(Zk9yGH9`wuJ_kJzF)9n%r)oOZfnYAhog!9)Y-k$tF`i
zi1ivlDrFZ8?qq;Pw2Bog@_lkaut{`A?ZY}keQ3HBE(m}qVIO3S-`ISsSdovE3!V@3
zPxy*#Sb3^f<TK!curNAH25kPA0FwnL4Tsu)V?))A$^*%U<0j2UF&cf7;eN*rm<tcL
z?O*7l!h;Hb9e1#FJE9OZ!Oh$PP4GTdDOW8!g|=;h7Oi<&sD0k~mbcxzF6BF$kezDn
z_r3k?k-@DL;_&i})%@*=9YwZHDpNEs&&2OfeZ#-M{nC$`PcT5Vc@0++SJEJLK`^lI
zq?R8ru;+x1%+iNX1;GGl0Tk9BnZ+uxSO^Ao8);a}D@rKjhb{yIu-YxEO+q0TECd55
z(tFkyBcV_cbb<kR@~xoQEfY)x1E4x<R;)mJK`?OG_MvwbNG}Km`rNP;NDs`_#z2H2
z_bryN0_g?809qS*lH%z56%`61gp2LZqsqZ=QXv=slu<hX;)lG3U;vbM+EtJw^Dl(&
zjbH$iYPF{!N#>s@2nJ4UFQ|V@tx4N?7J>oL<TXd1v?~k}#hd`|5TA2}H#!a@QYp3F
zQrTS+zZ;a@P+jzK^<lC|H(FVs4`+e_p%A#k#P3`yV=v(&SefVk<JbKC&koW{#pOGJ
zoT2nns~0@Kwv~xi#s#C*3%G1WjM-O4dg+2)X?Z%V0UoD%2tisI7mSXlBRJ<8L0TCX
zObt(6?;NSaToAx2>vuZu%;EJz&(b$Zj|};+R6`<?6Ik+x_@De$k&mzClX}TkK(dWn
zn$dc_|9W2K`o9uYrqEk0XmUoyy$#)gHs=bjp*F9QuVUNQ`Nh=4O7+~f^NaJ%cncWG
z@!XCC;}NRU^N20IIUYdY$0qV9ch<}_S992-{|K7nwV(3UFaKgRk?3qiPt})cp?l0t
z(@ZNy(Bt(*IzoaYsu*ex7X*#Gja}WIg?T;;(gErVt`F#itXHJwD1zs$wq1bu-5Ocr
z(9|3*SUg?~5V24>1gSY(usf4)foWrqUTQcWf^>dd@OY-T$y7bieyt#%5*Iujc0jj^
zAlh!5i;)M&CBY+sLH3l~9fk2e-ygx6x+Dml&=JA}?`9`{UnR+8S&{5EoQX?GPej{S
zj+rcyXkF1Y*TB5C=b3*VbOp122B<}7?)r)*wX15mK9PiacpIxC4CM-RPp{QKs$17+
zVA-6bs^`e)HPrKBuh+ZOJQ->RMKjLO3oot8(n3?-Eh7Z7!a2*ztak-9do?G;-A4`@
zIqS=HuRZ<~%CVOlxT9Jyk1HHRO5P-8;gWi)(hPk@BJTU*Tc}|^$cdlk{X}hkWueT!
z8Or=$^|9aibN^J#i`5^a=L2*$dL3p)rtV~eRQUi%O~F|}ze(wRu%FkW2ipQ^p_okw
z?zS>gCa+le0GOsDxcu&feHyz}`v7Fd=Ak!mUmL;72k;yfh@T{*ln-6|01TCAut9y@
zn#}A291q8%V%<Tq-#Rpv4*+&*_T#X0yR!+4aerzbfCn|nZF@PAqw-sc;f|ztl#U!L
zG5eZ#YOiTS)kZoPkEr?^HNlT-rd_xCzu>A~h@YMW;wO7xFE!J1L!^1jw<d-u{NMEZ
z4)6P+2$3|_YLhF7Vwfc+J<is;Vmu$AA(Cxj3>q`O6a-JNDzgxz5AK2>dsCJeWXG+0
zCIqYGiZ<lHQA63WP1jV(6*NLgK!do($mwFmoLspD!kv;S8Y0IbR>v<pQbjN{n#PWk
z6!qwmDOWT=j>8biB_mmMIXR97CD)FC)USt`@UGE>y2}tX&QR#0(s!Cca@FYnE-Lc%
zjD)Hrm^Qja5=^#J+K6v*+T{CMT3eoZTH+{wtnaf;zYBs}Mt$%Oy6(chdRy;O1-isJ
zcQ+br-cQsTp(W0_nK<V+|K9ihjh~JxiI!X3kX!NPso=rcp8(RSOP;wFe+H0OgAEuJ
zn%!JE=j-n)24N0(VQBHQpeP2f3I|~hsAC#I8ggGT2y;Mh)1D&@xeIndm;*3svtlmv
zV%9rq+r+3I4!y#8Rg1^qWyzkxg<!F<x)_Ob{MbR5gUO}s{Sia%l0ler)^7Q@L6gx7
z!+{cC$Oko;-VywvFlNUyjBbzp-(}3s2ltqrxuJ`_CliLfwHgdvzU&*{|GjUY&g>9d
zh<E=0;?6eBP-S)iKN27$I0Bk1gQAZt#)1yr@04-`r3osDoL<S9oep3`tjI$Hx7Y~g
z%ua{-#1gu6$Fpd>ykgGmU`zn{OqsA@DXKnn&g`53wU-hH6rPP&w9`kLDra_#X#y)A
zHZs#JAG*rybo-5EcDnzcy>}0`ZO!U~f*|q=!Pp@ppvRyl;joJJerSZy_exTF1J>>a
z>3{lgy8GVFspjr`>h`76gi4Gt5NRP53iB{_gd$X_>6oA~w&@611|yvawvnz1h`f?$
zAqlZeO2CB1-)}s=F}`okJ?C8e?7i=~mkD)yuX)y(-<)ec#^X1}_zfUh&9N(F=eS0J
zznmp2JX^_}-8mf59V3#ZB_K{yGO>QqaZF<;!NJ?xD2y0I5=CZGev16PF|PZXqxx30
zYh-td{&lsRbZ|sP`ekosr-^wc!#gYrbzxoJCwx2sUAQcCS?70L+qQq9edqknc~wPH
zua=@BaaAI>ez*CZsoK|GjcaJ%_x<z#;E#V*w83c!w)>l<Ap7W}XaQQ&>C0v4)V?l=
z-X-Af0FF?+*D3L)zv+TyW-SCUa;RRB+SdhlThP~t(}$v*R*>4)1!K4^nw?Zl+gH$)
zyooLder6QDL;C`LN6mbFXlmagsAZ=R1RSmwB37jKErPoxF>n#=l=F-Bb;%<p^@`@l
zxGMC8)yEd?TO_qg0Zcm}(&<Q2`?}<51z4X)2i7FPK)yMmeO(f%36@CUJa?d!ZjYXC
z57H>=Y9BG8z9X6M4;swWN}xiuGo@JGB|kcH546lU&(o%Ph|bpNs!T0U51t*}9w&iT
zIVYIv@4Z(UgTAP}?H_zFRDTz~t9k$1m<-wU@BD9{O#B<A64_LbYHwycXl6baO-WFc
z@Sk=V1C&|S;M8f&=W`aikPTT+lzJC3#wgli!&%kfG}7S3iBfp(OOFGY11ee^2q3OZ
zKbYHb{-|xJHs$h!Y8MWo^C2yJzDIQLoVuURLwH)=d-?L6Z+q9mn-^Ywgw2O<e^-o!
zLP-InrkclTx2O$HW=QL1Ag#CF``)ko$XITw%y>XSn>1QnH4GvOe=(6klh_VYYk+54
zK#7(*=lQq?bFo;v5Tpq!f@?H^XtoPwYK>sdO|iQ~bNt(*jGWJ<#8frDBGO_jaR?A?
z8BcW_no6h$BF%1sTN`;8f>ci~Sg<d|+0sj$4=pZ+OB$ag((JgT3a7=ARc;D%pujcA
zBE#)b7zU~}b8hO0(Nl0SkX(QxsQzDClMbLC{eW@_aYmq4r4c1=<EW9R!4vbR2Dd4B
zmVa~-y$PLr#f;mBXygtP8=q9j8^3c8U&zEKl?Hi^eTcQ?A1)70<9EnB1F?T&+6_Cy
z6))X<ZGH000xf8DGVR<>rkyWz+7u)@O#3xipzW!iP5aZ!0zK^(&8B_2_jS&kr_cGu
zKl*2XQ=kV*@8MN>M)q<4bVA%o`#L_35rMP_?ncHj%Fto1h<QgEo;tILjdxI56NJPB
zfobAEucAIc2#Ss{K|@-lD$j;3g`h+gCJ1Z+lOnky*$u>52tk^5+al$PO<6pmOuiA6
z$ir6LYXu#!TXrTif|{*zNldLm1dY5q$SOOMi6Vw4w*hub$qh<=h%>}lRxHrL^|Rer
zuYHn~T%unhbn`083tE}ZnxdZl8l6y@&qyDQqgKO{)}*E`7iqmkCXbRp92DJg$x(Mf
zZEv8wx;=misBJdDx9ZHKJ=SkWO9EBQF#y{doeUMGKR)rG`M>z4?~}O<o@VQD8QOmQ
znJz|N@Z>UZJNXDb;6N#Vxd2uGY~g$~z#iY(Wq5jBhH1BGb{THRWq9e^e(dAl7-)b(
zGuKWy4CWCkM0<%SS27bl>46Mr2SLux`OOSILLPw{#I~RtHq3RP9A)i6aR^ekxZoh{
zo)Zp(s5@N-UvU_8XQgV9yaCW%%#m2Sn#`|hk5gM%&t_^YnJ)6wLF+xn6#c+ef3B3@
zXJ}nKqp&TVG%M6c1#L?zBtg?{nz6z1QD1phLvW`nPoVO2!VsvTac+k(MD6HGGGg@C
zHus+6oaS@5<HV<o<+S}e;%@-`aIMd-7PY5%Hl|OnF`agcW@CCg#`IJF&`Y29vr#Ro
zH{)t!iqx4R=62U$(Q@`avePGLk`2LZOs$2UsoYhE8!j8u$W4R9w#_4FV;VLRDeAVu
zARE(SBf;T=K)lYNSH={DtkUepIK3?#$i@_%fcTzSam-h21*_~mOJF4e#)Y!hy|_MA
z_I`iblTH<DE-^2%I?Ks%t=LY6eFGmnepK6=gID_(r-$#exs7p_O|{UsQMMFEvryL2
z?jGygkbBS=RxRE-J1JS;Hui=2t`fsK?x@-!TR){%jl?poc|{efG*W4QK0sma*m(h;
zt0blwJf34ck$10}@L{aaO3aJ7jnmP~6V_MK_IGA|Z#OB+iqVh#^k4YN&rhhMl%~7Z
z^MdW0A1YheJ5X<91VMH%=uttntVSOE&1i*X71Vku@MP4AYY5@zcy!_rFl<WUP!<%_
z(N_d#hbS|Y_()Z278KOc1dp4eCOt8ORoZd0prDQ}xFvka=mif3am*~JsH00d6B{V*
zIu-w-_5A0YRv<0s?>>TCuCpc+iY{4VC#)&;s@2iOxAtEy1JQ7v$L&F?Z!1Yb9bNJO
z-X{4)i1gZq1E`QV`fkZ&E3`ARmCYF8QSDq5vTDk6layUWU9`LFa{g5@pp5n*rxEqQ
zcbux6aYxjhpWeD%g0@bKLnwPzMOC5RNEK5<Q!PbFH-J0pTQG-8Pj9GX%5UTr&7som
zgi0^G=d<sB#~AKtRY<Uks5aK@UU>L9R2r-{u0o~FhLb(v3-rK=IaC5R#Ka=Qke%fV
z<Ip)&I&DyWL_2o``Bz)NoI|A}s5>ACGY1=52O)2MQx27ophWVGf}|6xq7`j3u@gZ8
zcT30<=V-1#Rcf4Z$CA`MZa3DD62GPjmC&N6%`knH-~uc8(ZL5s(iTRYJdGUsO*h+N
zT`f~sjgs)XBDJx(7bNz}QC5nX5Mk+FyS5sr-;8H-&(9Gb#iuDUwR9>N?un~r5|JD1
zkiTFLJTlias(JZ9IKc4!zh1b$Oy$g`I(bu%F5goMvR{038MW9}tbO_X!<TQSVEEtp
zM?d~sJ~QHby%`?gyEQnZo}^O?EoM_aIYl^V{IjVZH2#&TKB8inrh0!`p~N^_thy%&
zGdr&K60)gA3l01dhV4B`#|qUPawjTObI_ltO*ML!q$d*?t?`H1-2ebmnd%+bd(2T#
zB%pE>^4-BWDYQ4yn?Vm%dm9DFDmQ$Mx+69JU`MSk=BW8s%iK%_k$+6hL%JO_?z(+d
zy-?U)`835;>sj<g?s}*j92C-ktEdetrNwhY=*>5TqN5wBgWWx_<|GdsSb?;Q>bLtT
z?JBgOp1dEiK66LW^gwa$D7xZd>hiS`e_(T!ICDnbTC@l3{(Ha#Ylgn|0^~dI*s8zM
zZmzOlPdgXcWv4WKt(Hsxe8KO0<A3#2;|>DacD3SXCAf9ALD>X>G69q~4AD`tTgR2!
zQB*9@3K<|>@g$=J@`~9(K*96Xc0W4^+3p)@d05n>Nziz+WScF!FF}Y<8NWJ~Kkc^Q
zo}eHmfz?*Bj^!;=Am~6Rky<$j0S_R-)h<~(2uoD_s)=5A6QoQ4_@%@(CuKSlq(`gZ
zjvrn%EgKghB*7orNzZ1e<+R3H^3&1p)o~^2`?KDuB%F@+j!R{ZpXcF(T!H=~^mH}X
zU65Rt+@pY5@f#$6I_H^vxD%2%Sd+I%2PDN~bUjB#91wLbf>KDgZ7`;8OvE!<S4N$a
zb4#9eFSs;uc9;9AP}+u%>$x3t;zZ+BHJd!ML4>0%gF<3;BoW)RG_&rhN;L}$UaH%Y
z?MSr(>jw{BN(P+U(%cNGWJ~ig&zxLKb}ur|vYn2P^F_X`WS;48RGDiX+nDxmGS{6l
zFr>*!<`{WxOq2}g1`{pID}3*Q^DX_`eY-g!3q1Qe#gIOHZwjYheE8lJX;)uOF{HDv
z^SbhNe%t5%$QOMi=&{t?Tn&am6|^Qg`#Oc71ueZclR4~eHl_tNK|O)>@PuHtr9sfL
zYiT{|dg(w-FtMr4GGt$;5VUY2c02Sm8&VWt)8NB3IPE$HzXC%FLCXnTR;?A!d5ggk
zxXRZVtbwb*kijCj%GVjJf~&z0Cur#_gOg$h_e)BxICx~Nu|95O?dy20IO^ff&9A5t
zKcg8dyn?C|OV@c#60~@UL<yGILxeK4WSn8~D&yRim8rWDJ8+uFOwh82JH6h5%It0l
zS|)ffjFmm4xx(nY<N2?7_;nUbw{us&>Wd!yxqo_0wI}_$?r7|++6PBKXVo4ZE<Gcl
zE7iW-f!!SX73}VV)w@<12Yv|DiVfo2I(LI9+-{JRRXZ3t7{*d?*~wjJ)s9(TtT}@X
z026K}l*_7p4=OA9HK<POOunvEJ8%OJPM6k^n^a~FV-FbA1w?>hEa!w|fPXZUV=yCH
zkRLQ!dcCHkTh*9U<eZXz4X31UUq$|vH+=t}`PMNN8L2>+&epTcDsrcEI|!KJ{k8~E
zR*`3Tznnb>Eaw34w{^TT(l_1v^~7C_)ClF1Rb<KA!A&+^+7QetGU|X%n{|sFUV-$T
zR$XP9g8x(rg!rKS-Ieznl|V=yc6{%AcS<D?84ugrSb4vt5(u8E5h3_bA62_I<*A0&
zevK6b&AGsEu*pDJ&3zpiIo)<`GHfky8IphLjn_iqLmHU@XZ|{j0`_E&_?Mk*;O*<?
zpZuAB`(OU&KKWPuDr7xFHFS`P)s~e2Os*i=QF%yp^Vtb*nN~I|SA$uY(mQb2Y&(pw
zx9h$RI-6NH5Bi!}HxIj-*?qk+S2IQ44^aH5`tSjm)rv-Y{NU279v(z=j*pij(}41}
zz~fQ?`QUL|0*M8^2$COjHv<ov|7#X64)T~x>_<r?39j@xQK}M;>vD0}pg4yX9Mf#O
z)-gHzklgNsFArmJI|;>%<1EnpNAhxd1xp!e5g8sFJvkZ+P{woIEdmIHZ*>3x$@DZY
zP;yJ^U}gZ@Py>=l@hkuhS@F#l%*D+smvdH&61Raa$mS8d9mSgIfnMx3<Q4{@5a7j5
z$EUy;uBMJCh*VH+wQ7Q=QQOR0SMIoc(732Pr<c`piY<FiFXK7o$E%-HeCy9CKena1
z@tk7qyLnFEcIAV5(Y6)RS`EWNQjwa>8~O;(F>hL~lJ-Y-tm>nb>Xw`hqXDe5QF)9z
zvtt$DHIj|m;C%Ujv(1()1W0xv!<1MIAOM3K*(}*k$LEV3o167wlUW=#+XddAyd2%n
zyxe}lN4y-L8Lq0eXT$fb-k~}m&Nl;oo84klW%D+Cr}F;XjuYN47aORGIR0U=t$sfQ
zs{Lg<?T_;%65`iyb6RYxKGZEQP&&SB$Nsor`E-;Hx?}@4a<zQ(*=M#%Io`X#79LJ7
zb>b%VpVUPmIf$jUP_d;}`T~n>bMZ7KaB99fzfYT$GxLr|FT5ZH$EBdeK{i|+AaVs=
zD1u&<a)sRF)rt2_Sv||KUggu@`3?W-2cu12+4`L(uS~qyuYu3wqK%F?kv??@qE7@Y
zZ+yjkG=|oz_=?93N%-+ZAn9Sn3n56`;)1))MmsAoxdh6)UXhP{5!?ejGzPk6Du))d
zy5N2Qr9fK0jTuZvK-U>J&xhUx5t4I?J7>v}FRwl{J*6Uu02_7%g6Ldr1tp3N$u$SI
zaM0}05L5f=-lzrg=&)EJH9)Iyj<TZ!^E2R=<gfTymvTp_HZOYIzS7WeY~oY9a(ok?
z^l1HKLZAYuBA^W9FPa(=vd@G!m8yjCtyHCKhpH5eBW+gd>H^*%lwqj5O2b^GyO2w;
z=QNZ|HC#Q^*J_b-2~!G7B~>wPd5Y%cslmSN$NtDK|JLZ9QwlZLU`D9Mra8XL{NZbZ
zkL)WW8YzOiJ*Hn!aD(i!y!0VR_qYf;iN_9eGZZ`uL257;!~u5z_o+OW78Hh{7;WdK
zMA}<PuTj>fY9s_DoHs!%#DU&IwrQ#^1jUS-Aha-x-bB!9_(G5x%(m`>T}0<Y0TKi2
zH&tB-iUxDZ{c?*UKWH!r0$$9LqZ%wUjb&q<v@5>wpwFG>T8pXTxEj<d>pb3FU9&Ys
zLrswheMaeS?bocr!rNnh^wn2k_6(n^!sZFDcQ@g6+AV6$z&sA)X1d#c>HXjMyUv;L
z3S$5ZkZDiE*D%(TAf-XcIq}sjD5lf|(}Y)WLN|h9N=>i;O$UIJw2*m4F{OU!)7H6W
z)}48*VoFVLhjflWLU7!rPAjh{rqmCO`Sgmg9dvp`78FzJht}bZ2r$7k)BYGWrDaKp
zFMukbG`+n(0t7lI%?^Xe;Jpxk(0PY(@JU8jOckw^d{BqpEbTzd(6zSqFE3P~1=rOt
zybe2#a=CMsuRh+cu%PkjCM++)^%6YHd|hL}Tn(%USe$|fc~1-U0e~1N*!jW3G=7n5
zCyJ&m-&zd@4==po5B}(T1O8C+(+wV+{2we2wa=@GB6p4pr$2C#4i9|}9wH`KpU^p)
zz36&Mz;U%XNM#Uc`>Y4m4u-fT2M?$+)ww>0<r+~$y<!d?f>ocouMy0_1B#ZEQV{JU
zR+Qw2o}_eCCoB^@p-@F=2dA{i?|f*2Bxe+XvZA~8waT$AYvRZY@^iDOY)+Ah{V1I>
zH-=JVMYCLFcvL})gy*V04|o`F(kkQ0pv145J0um8;8594?`7L63q4oC!IE~#`}1K*
z`#1HU$mg6z>I;W{m76<|yBV$@l|)QBKGEW|DTqd>86ho<<_-lsG^hn&o4%dixoXeu
zxz7Itctr4vY0LMipwIcF-}C%$kB&jrWuB{c4`8MYoGAnCSs<Z7c8X`pKq_Z7028O1
zwIFly7hAg4c~psb8DY4jkSJ;HI?XDX`I0I#&H;aL$vFTkQ!;N5Mg(_f-sFtq+#!ym
zG46=9ZO!3~<J=66^Mfyb&foYQ5!L7*I(I329_DT<WPR)VoM^^eZJx179LELGJ-(OR
z3Hst?7-tO5)TFKpB6Y&dD(8D1CEbu$q{(u@Jz5haC9*q^3B5iv^^FSx=V5IR^2sZb
z4Ag?uJT7SGh(j+|$c<#dNx@1AHX7dC5-gMt9yvsG(D{lY&DXN25sYCWwxO8{wO{=`
z>3%@}+qZK38>PD0h!m=v7P$}xfyt^W<WP(%6h07v%GF2YP*ti3Vvr*ZK0C=kwDUrR
zP&`LQ;>@JuaXanbT4V%HRpF16jpgHaEK;35M&wi#zNpDc$7c{6$0xQITY7OkP(gJm
zzqW@^+Q4uv!@i+r2;Y{<k_oW{ArN10M0s8tEwR%bS-#cHL`%N)!~gBC``{;*vRYlJ
zt5?XYg$ybPJ8f{DUm!(GYzrAy*DJV0+L{%DL`z(7cfi{(dS$y6o{g*Y3OcjM0tR(~
z3Bv?xvS6iGaBe6+fgtMnYjvT;BIVFp`GU|KPplR!`Z+$edW8zWbc>;{m#$Yr30>~H
zC~oMGzE6!_ai7`$-n*Ff%1!B&3#b{uDR-thX3zNUJY!QIXKLTsGrk$m_<di$yZ`G0
zY}3kcw_sK$4g<br*$hfX$-f0Nl56DY-MC<B+C$H9)9j_5u?v=_y*?&s`a3Q-Nc2ey
z=7Q0**E2Mb9*_&dGrF3*o34%vmZrTv@@~30E*MRFJ>=cuAQj0W_U_#3kec@T=)0Ms
z@-?GruaCYv-<{I5*LTqcPxm!T)7~}cyVcS@IlV-&+Lu??H|eoDtpWXYn_Mveca=>a
zlZpK(IT%?W=}-*|f@?kOtGA?B05;(<r{G|0HlUDb)pbV0Z3uO?lLInx7KW*XDh)Hn
zu_bAfIR1?`?Ol*#V{hTaOyGI1Dmd-3LoyzE0bGqJwAYUyiY(5l5LcC=d~D66RuAa)
zz4FrKqqpOFk>nEp1A?x)1h{*d85dGeE;2u2$8woRZV~r=+6g@Wl`r_qAA3i%^{cz)
z9)gF3`vb~>B*sO<gZ4RiSXjrn;PU_y#$Xuzc7rkQ3RoB;($jh^Xj}%_D(uYZDNm=3
zv0ufZbBwz`?v<1pl%G~(5P}&y!<lVxj?jC@jZGE_L3#+bb^lZfl-cOogx3iOk?sbq
zWCm}O)@j9x;vx8wJ50IKh6ofjw}H5L2rgM_D}V+FK|+r0rQ|&%muT0J;C?5+r;^Ob
zCfXLYm>Fob<rn=tN27bU`(hqx8S4-F_0%$Ek-l_pDfR)nRW_6V!Z@7BJyaT@y)Jlz
zVZW!$>~De-SvrtAyI2Y^T#6t$wJ@42g{WHb1XRn2UX8(N{y*)1?np!FfQbD$(y&4_
zVa4nI3T_7m2%n(l&Vmzij?*C7+gMI3H3CwUK7W4<FR+EH8IU5{7Wa1t3`{^QsncCU
zTww^jIiwF#{K!v!-}`?sb#F-C$knY^KY&9IQw`BDd02z=Ruqrt6s-WuN-CpFVh5kT
z5zHm0r_B)o8{0e%M<JApipqLmV$`OBskuEg)onRPM1Z1oFfdUj73CGFZe4J<L`yT(
zEyk}3>s=O<0xbJ6Xq84A2=o}HF0I-h!&kyr#E7ILE)VN*bmnbdQPgdbTy9ZCCQEK)
zpll^6ML2fwBdT|CaIA@EPZhH*;sX_YP4vHj3K44_zyr`;Ax#eWn%*6VNx99a$n(^#
zsyR#46uoy=DXVB}Q?9CQZR6_35fylqlQ*-ry-96*0p*J}^z(sMd-z8bUMEE}1Fd%l
zv`)K4txcMN)|&xZfB*mSX}|cj5k@J}=62n2w?rV>CFrf8JPgjIH9`}3hoF~s-B%0(
zNr1RCTN%2ofY#LkkqLvI9wy%mv<@=)RzT|zlWzuE2Z?+upcQ4}&hX!5Hem&=|LkEN
zU)pt-9Om)OK<nT*d$H@j<}i<MzCDL0;PI_uEV0FDKvFX2SI!ks`|Uwi1+(gM{#9@M
zr6T<;E*`A;UpbrRfBZZD+kD+@QYt~M4zT!Nlp4%X>v;q+5@w~SOI&h~x(sSP-w&`?
z;9NgoYFssK`PPQ9RO4OGf5LbF(3t)Ot&~PVAeHS<JZ1fxxY<`7CaU~`@4;^YJO^RJ
zdX294tM%^zrDVXn`pMU_{v9M=%la1q9ht3!=%quVoAocc?7;*K)qe!9TT4P!>0bbB
z64{_!ts^LLRcOE!TlcXfP^=DDR@T1=`8X{Hk}{FLzCZ$Fl{Hr+{h}nYXxgY_Uois9
zcL)5!Ai88!cRE@jO_I#F2h1m26OyY{hp}032|qg6N?D>umSdFjRyT5Ttfnfn>GfAF
z9NmYyZA#mfBmXuUQRU9<1k%0kBxZAj%yqQ?g|2Q(*yf^gK~AFP?ixkq{)D$h<u_Dg
zZp%M?iv6qK_s@LbFGg*zo@eV=mnmP-4d~ZP0W;fzTj<k0d;I0BIbymez@}DdX2&|J
z19~WZvtp0gT|JDxS+SQqejoX6R_rB>-{sz|2aFxcw9v!0t1z=;9n(SqE!OsIR1Fp-
zR(%kS1gOgOo^DU#Imz0QpLN&%?7uD##b+OaPO#Cdve~ZOClmih>ExXDqy%Qlrl*1L
z<f+wRo1gfeZ~M@g4x8+p4*Hx~hr#3I#Jql=v(jN(jF-5|mCQP9j|`&tQ*f3#guhvb
zA<s#6I{KVlTq5f*l$@a>0v;NCPHVOZ&7GZ75N7EF47i-#iN{%o9kwW9mSZnBl1B(k
zWK@T#_GqRPizd)-*6OC|Mt`<5^hVmRFT*K|kc~I?uz#9Xs(dXaHsH0*N^DkQ10!<&
zhfjFh7p^07sc&iPh?T&Z6{2krmt(lW3eif5EgcH&Dg5kLVhH-`2(Foa=1g`RW7-C<
zl@eRQu@Xgsvu(lEti+h_Q*F0IIcF6-){YohEsTEW1d@qLa8xyg2XsY*nbggAcsWIR
zsFt%}vK;>LZIoMh@Yw!EFbT8=aBd;e1rmVvtW+{7AVRL5XzMeOm8zJRCGM|x$mI^5
z==IzNthU?($mLn(9ys4zqsgw}b81ATiYNhp#JF>ZazbZ>TLM=z$}NOB_|9O#IX!rH
z>A`8vaSN@^>A{;x4?goxebJYG(kMJV<gaJrIfxNw<2mTBXXCkBqZNRr4rAjwU~-NC
zcAGV74C&aP4ps>o{(5CRcSijT@EJ@^HSk**&!JGEiU5W}g$AA;iWQOq1W)euV+C47
zvAN}g8qC0Yi_P@m2r<ILn;sq`2^ao<GU2a<h@t6})>@rxn)xhdOWOj&2szH`ZGKYI
zDojermpHeQQhK>#e^ydfzKAmCC!Du#zmP0OGR<;%JSPY8ko1njG~?<b9U&}GpzTN1
zD5@^+%rxJA#_nf7?ed%cr-&z%5ZkHfj2;_w`NqV*1B1Rg_4XB#LcClqcmx$a^b&cj
zF@sIiO$hQjyWnYk)QlbGMFLQuUJ5~~L>EMkiPKZiGksvqb}dMiSOg1Y|K7*e#EMjj
zF38G4i6{1$TGMj-QzaHbAU7tsw;9s0B2}Ub24(*mCF;#Nib^b!g|mOXGGe`0Qd~xt
zL_MdnT7VH;DnRoE5@*{b!GD24d!*Z5<70dDe0O$k3?OHXgMReUxJQ+0G@Ny`ZBm+n
z$mm{M$AkOl&~T~zU!#cA!hOK99D=Rp_Tk)FO1fMBSb&~5s10l8vh&8eNbg$Gu6&u(
zCSTbS2VJ`m(jMD$^JH_Rb9a%>v|BVsIuGCZ{9<|U<;!=z?OkYVd-(FrL^|*O=*NA*
zUmEW?pIC@<My_L^z9vUHgJm;0(m4#(qvS|uupA{vI)l|HInsIZVw4Aus~pAypFE*v
zkwe^)aeFX<m^PcqVu2fb>5Et8Cl4O)6XO$R4tkT8Nnhe?S!c=-;((M!PWH-l21<rf
zPH1sJC8juY7~>%<<&hJDWQqtEdz1)BLTZohk{0yM1xq923X?CtFvkx}(ikn+S(9eW
z(HT@9u}lo%^>$^0Ng}+?1xt(LX|2N&F@&%?S};Ax3(P~dA%rM;Yk_f~opE$yuxAkz
zdLzZ5^P^95(=f;{KX=&7>|)r=&mA^z5U0j!`MKj}dhReouzK#e{Up!bz^Fh{1!uxB
zZQn~#ai_<>>CYqJdscs5J8BRhZUuOcOwxVgQG*gir>Gb`CKWT#no2Oi0J5DtGpfGW
z<m5rTodxjM(ShmuVH9AsoX!%A9WdFDKo&Akh_N=yd(S|i-Jv>QJ8E!qx1$Cz8W3@7
zod4#)(S!N?d4baP!w%K?Q_;os)5ptEg$un}WfR|Gj-jFoyL<c=V+nn;XOLhK1_XgY
zf<>5{JZ^Z@I?&J$RN)6@`d@J~yhRdApr6vCoqI*_)gBZ0S<n6l>o-sLVi0_{iZ$tf
zAPAVprG*$v>o|A4+VbXDIq~7N2b@uZrrC>$(hi`oZFyQ!{^)VHS<V=(D-Gw_@Fm?3
zgX1+I;F7C#|C`^Gy_hKNfOFQ)u+LshDeb_B-hC^Wtux^at?f7fRc^83Y@GwI#oLVz
znfd}{>x|af6-T1LlA^1mBU#;@)kcRDVlIhM5yai8cylmi<p_`o*}1+Nlze~CBFZ~X
zOWNM?X_gdrRPli;lD+z6l+|@ysBo3!lX_d{g37wron(No^yKDpVzTyB3<FX=oD9M_
z<-`|sFAhoNi#7HK{sLR&TMmn@HY=rG6Q_hiM|-@j7J9wnNy(ylxZz#p8fkHa#zl>f
zkh>HMRGn#;4Tk&9q&tQcWI`ReDCD})yI2f;mYGR+c$L_#bpJ~}{H6aeav%D>w6#=5
z?tt=)J-8SeTUQyd185G^z33KQrRWl@bEl0kTf=&GV#aK~qEU5=#6olE>|TJWcBLzV
z<GPJ(vwLyEw0ams7PgRsrZ(K!y*TZ*$lp*9bP!54<jSe8r8;hoYT%KC024*MV&z^$
z<vr|nyJY2FAlm{SU2z%?9Y?KlFN}kNr@84gepTH{n4d;=MY;lOcn{5aHn)c%JzSP0
z=bvTCYda0<C#<Y2-ooz!;HZ6Xvn0BYXQ(gnb=QT^J#Fl$?%<WIwsl5$eJ$w6Rd(w*
z%)O$|-3Be+on@ZqRLR|?N~Sq#bE@QaQYC-$uYSe1{OxE9Q34P50-SCLlO<RS0FVa2
zwT^_audD*X1%nIfu!2n{1{Z>YfEg`O8H9lqZEQsd(hKkv2M3zc3vj_8jaqjHn$in!
z!NGy1f)d&}o`wdR3LI8`%)mfX@dEtVK>oG6`G{WZ_Cksm;F87!0Zi`1Hs^dLN9{08
znHs<d+;v;00qW;<ni4qH3a5Jvay2xuzHCmhsZ(TPZAy3B-~h(X!$(O>xw-e;jn;!n
z#n<a<_~<wM@*BTp0;n2f<e-DgPH^4IJJ@Pcxz%8mu{Jo&6>e6{QO_X9c#e89sCsCD
z-yHQMP_^5WlB1rWso9Y&um06FsJa1`D&f&~*$P%sPhqLSpl2I=8i7<5^%RyGNOmrH
z74-mYW^$D2$!_aR74__fdS@z7b+CCRr#$aJN%xFs(O+?(s_SNpkur;ETZ%h#G>Au&
zYInIEX*Efdr%mxzJli#csxzoM8TX#Usap!C{>tvbK6-4FQrN>OC(AyA$(#eok(j?Z
zCiCr5364&u^->{Fr#;pNRX;}(ek)hs(G#a3NcX}8PjHo_R%r*?ab|%t^EVZg3tAZ+
zYuOKy+|7b?FI*7Vtah@3NxaKX&V;{9qLfJq+)*AcmHBx|aW7mFJh?=jIecu_8D}Q$
zg-h<Y;0mUD0j_uJ9=5OUjRszB;7jmRf6%X^K0Jp$7GZfI_c-1eF;RTd1LP8<x&Wyz
zKYF6#BS$=YtU7vC39+*snE{Ei=A}}SPVZ|w7adI}RqYP4g$UonD#~`4K}0-gZcPWo
z2oG4zsJ+o@l-OUk)BdPcTIKyu=XKPuNoJq+XR*~ewNv7W#_8zgajTAw9L5p;2wso~
zeV00NP<M45E?ryi-0bLt<SQ+UpYF)=tr22Y>f=89S3mqUpFB41a4B_%()MIUFMfeE
zKC`X+1!lr1K`T)S!E{}bL4l}fTCikm^+yOYKJyg^2Po28b3rUf1&TL8xVjll#fn*h
zVyp$?H!ArpszfC03c;*EcV%3~YGYNQA($1Y6>vh?;rmu4OMGVgu|UOUGD3+fUKdMN
z3KY=07Ly<$CX)H*R0{O4+7RPn^&9eS&F_);%#V%<l*R{pLPJn1nQsqDL62CI>KppG
zF*5SPlr_sd+$~0Iw37J-#XwwiS#_>bb%)AC+~u}A+#=tiG7=YKdo6dDu4leSyY&)<
zOSnpyR4Td1Y`R22`7g3@CcK+l*syG1M-37!j9Qj15~#+)s&{(GvGn_idAV%L;-LN6
zxa(Od-RqEf;}2K!#)nPh*>n-Z6osN+vQq!7bQxCP!e1WthWh@T(ip90N&7>GSN0A9
zmA#YgFc58VDr#ppmA!*NwZCkq{bk@*IzDPYSJ_^6id$Li8v9FwY1(8NK$Kv@8nOfO
zt_JYVnYz2n)R{&))2iQ9Nfo_`*Yl99PycP7|F?f%lmqL{XhX~0isXtCF>*pk?RD@)
zb*o}SFENRJxs-XE+5TkH3n&=Q23G_(n&Qm126BJ3XSCr+D+q!lNkQ`v%!aoNm_K3^
zRJ&qjch?^NZo$mf{FrQZlV`M+SvA=?$yOK6gN46GCtr?ptUaR={~k~o+#I{|jNoLU
zj*0#bFI6ka=KFHZm&~5h<}Wv$QQ4Jel)_c*B+qw8;sswb!d3WXT7;c`QNBO8Dd?x6
z54A-K6z5s3nQstUzm(e;$w(pK49Q#dII<HV=Dy;KFR^r2tl!Vba{R1I_Q$|a=w0wF
z!c$W6kdAqy4yXxkHCRo-X*+nUbw_~tp2hE=?n|=nV(rrbC7w*tv}`AH-g-Ca3_8oZ
zk?1=eAKp#HuK{<Z@=jzsj^70LdU;YboZfpW4Mym%ts`0~oHwyAqpsN%xqKic#ae6p
zx+ons9XGoo?|JEKUQsCf#YdO#S$NM9Hqx}!o9?gv_<#J<erR=LrNe_2!E{9|Wqg0I
z&^IU1gJBzpiW=`oJJZsY71hJgTymS1r=D^_qUF-Nd)yy8<H@O#ir~>0TR@DxReKzV
zrYqutXv!gO&XU~KuC7`hHL_~0a+hn><63pN-eiAk)!lk)(6SrP_V3KY_#BMmS<tpP
zs>!y}8;wvMupN+(XuOob-k;VBbwu2@PC$N{e)Y$DL@`rzZo8RAe1Ucr)!(k$Uw#C-
zjE8T3S15QH@O*E=EfR3v?(oPhYQgzAjK3Lfl3(|uf8+DMCv2xWGHn>YM;RE*4PxmX
zXb_s1vJT_73@IUa=LwD!ba&GcRT!^)68-6j<jxOgBXSrI1}~)tLyu4w<gYTs01h;9
z&<FAp*YrTTpc1=2kUxj<10etPEVl-xt8RaceqjmYino#RY+&5Ip>gxIY^vjMCkbM=
z-WD1sz#aI-oFE>~Fz^*mW}8lM+N7&ntoYNFAfDm)8IFJSA~?O^;Jv=#`1gOuFMZiR
z8Fn1w!qFn*)S<cs-x^F#QdR(_ee0&Que@<ac0={amSjh;<NFndq!YIaLB9UJA_7{p
zdIH7WV?Lf@;vp!C!B#}~3RC-_YXwBCF>nYn2KE*AXg5_s^qgo69D;(?*@_@?#zI_i
zw*o#~pCj$KuL#%}3z3s^gW`uuaMVB+!=|PxQ#ZZF!&=9Pdko@oQPnTW5R`=2N)(q6
z+tz@hY`Wqak_165U)hg#jH%Qw<>SfY5%p5-7|#aMM*YQE^;#sS%3MPnc2IE*wUD@M
zC;LYSFKyC`E9Q{18W19<pjN)tM_6VJly3<bC*l2+07_z9d(KA!s5!=+USfOWG48Zm
zG{?BpZ_#QJ458E#U-!(rKK#b0g4Ui!k8!=jF*TKL4qDS?U%728?Ufee9x%_VZJHF@
zXo9I%lmh~o;fxi<Hu{R|{aRAh2N}g!3yShHK{Q{23kufu0Ger<<-DTUMqg3$?m%<*
zD`9%df?^weMYst%y$p7_U6C=aU!H?P(>U}ImcBW3)l`alX<HIw6KHPpvDJ3i!HsvE
zKL;!vt)zg1Cb>rjT7Ee2Zc^CQYf8nB|MKmLW8z6du@hFyIQk@GuQBd2HKW!|s_F;y
zkTmL!9fpYhtnX8kA?~sMGpRPG3MBtyBttFrp2_~p3+ox;Ym;i*dv~r;p9;E8TfQ|&
ztcd<SU-5Y#eh?k{R{(~a9jbB#2gHzXysx<eCIg0;J`Vm>R)IiksQxho3|g1U)bTKM
zSAtJp1XnWaFp5Cxx*tsRMkk2~t|jA@1$l3L#XSm#g<3{KllmMpV7NyMD3lK?YEVPm
z{Fn+b+-$rk*_%fF$4t^a1vg9J*f>3nY9w!luWD3GC*9oa#8#X2fqL<8l<wBLmZ}sa
z(B??vrX!6zD=(R<Y3vOcQDl9Z<}3cq&v@_40cq$$?zZ_`46~0Z_S7`mU~{FWDHVoe
zsR@j8Wp5T=_qEM^^0&CwG=-w`bO6yvqZhKK0hR(KNR<T7u`PAWng%%xG#=p4J5XJ>
zJpftLV8{vkLnycvNFyt`oSFb<w)VzD;o~~daf%;PR~SZ1+7{fOjbu(upzt4A4jz1o
zX|k2%BsHg6DLMdZwO0_AtZS}tu2l7b!P7nrPq<bgWE<>5NXtJw!Pt^>R36Zc3T+T~
zD|s6ow507yp9e%`ctyG+?o8>;`Gh&2@GB#q@L9*7Iwd+z9U$ZR1l?Kz99RK{pkW&s
zMFwVSO+55X7^9o-D;~F~))(8d8yiKoB11I;hmlcayY)%(2`G%0eziQii^kUv4MfF9
zk##4G(fGQcB}b5W*npBt?7n@4n1#mI1y7qDxUyg<k;pLK&JYyiTO>iAtx1m!AfRSR
z!Ax9ozdkB;CX8@*Xc;jRm)t25!ck-!YmrPxXAo8-PaF32Q<B7LjD(V8zCD0c&=X42
zkD+DSRN<1NreCeDRS6a=um5^Z+n?_7w9SZA7ovZ1Sr5q4<~$^ak-Y#x^CIV=b^1}p
z4$$GO%DYY(|G7=&VrS#{%v78Ml3?COJplw|{O1hhXmdVtc>-iXk^lZ0mG$=Qj>IN&
z=43i7f8&{xX}72iALr`8o2d@`XaA$W{+)0Cv_gNNX)N~wN`8I0!st$zV&oc{{JPQ~
zxZnZSUr<40?2Vq<zA~_{2=0Z^jgw2ebqcwv0Qr37+D4s%%|eY81&H-x0WG|v;o2L~
zObCiHF+tW6h)ctQ1_k><P%<YbXf6$XAC6hA%MT=T;wyq+8=eZR>z*BnwV-BBe96^P
zNp5hCR)ZhM*35}XVh|THO7tvtE9+TIl9D;`qp#P<X7T9TjkMWCCC5aI&G}Vob01um
zPj!Jmhgi8t`GiV;|F@dYGos}Z`R7=_`C2w@Ar}0Xk4^ZlW7PbJ`*SCc#!-1LBV*Jg
z;iMOMQO1PB-!lI)-3rMEnq=ey0eWjQY?4fm_2RUWn}%l#WukS(3P!V~=XwEFAmkwF
z2JgT_*gjn8!Css;gu}&G2a9|wnV#&0y#fT64uS;5BZH%-M|)v>^Lz)uQQxd7tP%DD
zag~UO^D%@%SD!h4JDiD9t+OZ-0nuL5`9;za;A@@^%agtYAdzo>JNo|9noGD=a`ffi
zcvt-B(_R9MPP0cJQ_{+(>Lq}Pd$A9kz5@T|^hysf?|k4X&tLI#JdW{6o^1|svzLG$
zo0mXmx|!hRlfMK5Gu`0P!2?Vlq4;3Qh3!mafy-G9frCp~q2Cx%hFg|=UT#NX4Kxto
zl}HCXp2rih5hjS(A2bwr8`23wpgO*6r{m*%59#<E!dAJ!BQw=x<Xl>=9kGU=Q#Gby
z=2>+*m@S4GXzBcS99x#oUx$yy^^@&5ppGg_`=1uu0-JLt90ckEay#w6U2HRv7KWQ`
ztKYvBWtWc6?X>@HvB~#;yIE|j5MV1RFzwIn*ndO47n}Ncl@LI$R@ub2+kGj!y1=*F
zJm6=W^c|+Q9YdHy+_34ww&_dtd@I_x9Cup<CRL84400=jU}EYILkzD_pFHucyI|jN
z9xh!*`{)Lo^s=va@m#fQY0u@ZI?U$bd*2hoabJA+-e~-}CrzK__tjmWkW3As-QE05
z>b-cPg1W}4FI~R$%1f7z-j1r?rT#SXY{*Z#5}#e_h$8s`lkW<niYa%cU#^^R-=*a)
z#ty;@tG8&{U7<98hx~lqKrxhD-Ezp+-dz#0wQu@^KmR>ZTA+<#p71M;s(=WQ`oahx
zsInn4Y?M0d+*nXJn<wwhg!P2Mn1yrVd^}pE5Tp+BL+?S-0XKqH&nu2Ykb0m9?hgvm
zp%$StFqay_1rPA^1W!9+@PImcu_ASp3+|9()I%fHsGe2`(oVV{h6RF=42JE9z(BFQ
z5R_=cB@b)3uJUVSoI?KD)0`r>MB<zjf2IF}yEf{TbPA-@V}EVs@T4L-EU6YiCrd2k
zDan&j&dIa`UfYVqLVfx$eJ$MegeA@$^iW7K(g~}t9><sMWNUH0+1Eo5fKJ`Kzj*Lz
ze{RSALdcgk#nCD@6ITnABIoDu>_H9{T@9)W<J$E0R_b4NDQeUAp;q-bYGiqe=GI~A
zvETc-Klg(#M&W|iTDcyx$}7B|KEwnRk8SazTp+7xj3Flbm9fg&8jhNj(nl{$MV|vB
zyeNIt!UaF{X}dlND-+5pJ1dGmV=KlGlht1OKJ$v=>6jo29Ej|&l9Q=~`q1KQnIKB2
z(0D?@9qO+dLGl0n$7~QzQ#+xxQYO9SLn~_HCkfU@S@O7NtwVk6s@~M5uQL6vL*Zi0
zQH@6}rW(w`KLmfeUDt<(TpjOqwV(aV3x;U)%^{80FFv_C^}q2=eDd%7Z+z4DiBdy=
z#|2){xn`jXl@y0a`mPR@ME@s!CxKDuyKB}g*y}r@kmyckg>+X?xP}&dGx7iC+!p7#
z(#-w-a0xWbyDj?;dbU@`6jMlMZaiBt?H08*<F0NIkNbM2B>btryZKuWKec2l)IV^$
zTijmgez@F-A5PA>XJ5gb((bxonNWUQt_I@=W0HqiS+6^DFLV3=3T95-q48TwF<uTd
za{LgZ%uzb1$Yz{ljvos6d|IN}0=6%&Sj7(;wxBR{0WpI%OU8;hegKYalfO?0cbXNe
z_yLSUB#M?Ho}G|H?5<x)RCa5pCP{|c084zsA_+WO;vqOa=mM>Ok7!kwjFIc0Vpc#o
zevs7AxujWrKz+!rbR_fr0W_^M*L!D~S2t+8=jc{kPE<hFaoeaTA$I?90hE!qaF61F
z`xN*$r(YndEJmAFT0Z#xg$nt>V-KpZs@H*IiTGE}E4AG&L*Q}8<#_OT=kCaZOYc$1
z2ff7i?o{~St6U2IzMOcUp!^#ph8z%N9nwR;IAA!F<{LTxuYE|;Q@@DmRi_=s$Tp8t
zdh8dh4U$(AnYB*DF42%ZP7Cx&sk@1~qs}WQnGBaaYzR=`bw{&SM}de3;gU#7+6*b=
zGuszLG8siuspj$E9lF{}8dY5KgyDXY$ON>|T{AuQ$ha`c#D{qeP1jr{fmzF%I$Q&i
zEBvHZGT)<8rgTIY-1$ZM9>HnXrU{f)St`>G2YoCl0YCa-1xk8ePt4icw?`LJX_urK
z#xL68^;}Cxkkz8lNf*iO4uK7SI|{GrXKC7tj3kV`zD;IG-TU$)L$3El#=rZ^$&Ws8
zBVJ@I8~<8fWd5tYs@Sc4k@-*M+nyI0d*9}ZJdic&Bw>&gsFDbsBnsHX^E3-)T?W%6
zk_ZUjdjmG{oOM7J0a=s;T|l79ddPO{&nyRgf-*j2gXBO3eL$evU$)c!IA1)6Wq%}P
z&dRzpNhsu4>Ld*E9K%TzNoJ(uBkNMJyK5>WLK)eP^WWio@%F^|9~L`6J2A}!0@d%A
z?X>?I`Q*I+3Ax~E|0e6St3#<B&Ntux-D<I`vUU)tkI(Hmz9gk$Qy;GqifPKpH=liG
z$4Jf9&jq&da6082H*u}YCYIVEpIzu&FR<7ySD{@D9;||)N;;+kMQJouf}tYl*%F7W
z8o9}<GbWg_dX^)`^?4ur!2k9O(Nld@bB+&2!AueLW25_o_sO<UX|P5O4V>~Fs(R`7
z<u(=G6Bi8n?=2bz#RA2OG-@trtXO!|P??~nKLqK@xnLpM!YQJshCV_F(xer^LjR3=
zANBb{kQU7acYvX!1M!5~8?{p*NNwYSY`KO(gZ}H8ekH!POCEp~3RVwN@6Lr3U)v>j
zAk$XK6A;Gc=Tw7HP_2d5a2y>L8vTv+H5Xso*NifcC5ot9$$Wcur!7g>`HOI-?a}l7
zIf0i{@)W=U#e>dwT;Oj%`e}6}yBbSeP;XrwebhwLvs6RuK6apX>JWR)hL<gQy~Y@N
zR~*;u=IWu~INOJzA|w?7{kMI{vhq)lF<itxM3u*tK6E*s<Vg3_9XE*tO$;P$-g2FE
z>V}aysMPle5(bwP(@Vm9oLx6O(|_B05L&td(?b6@uwP{X2GShUmTw^|nt=B|_xO9i
z{Zk6uqS%+iErx_)iWC&E&T8Kx=}@EdV!92+k2D8{=e01t0H`Jy*$b3>4$*2v_5!7G
zZBinqIa2oG*vU1}1e70BvKNS2XjCGB@a8ws1i0WXvKJ`+YXrsN>?ALM-?TrbN^?Z=
z0vQxWRQcFdngg>W5bQEeLg#vCO>wvE=(`n*1~sCx?oj&$h`q!FlpjPhN=Xh&Y_FxZ
zEc$(Pdd<Ur-*A+Ss%uqujHpDN`KGaM6=e==BTb9PR*$m2KK{|CV*N~vsxqC)<9#3|
zKFcAW{3X86CW4>)ju8JwiKN8cIrG1~Gc=@jXBGz#fQ#$oZ9dg=ksfnk9D9<6v#R<4
zj+EvJO3(QM;XQ#cV5A$s2(4s#(1FFsAWKMMPG#p=OHcX&C8Aanfp#P{<MFI%{#l<O
z#0x7%@5CckHgGdZO1Nc`KuuT`!6%*lQ}r)W%jA+Le30iCMY`>qtF@vgS+3_1zH8?^
zlOkFGg-|~ao@j^YMymb%9&x6cygfjOyKClqgtJp@3n)}a!|13AJ5L*}gWrz)aed{d
zy=MRk@_UBO_B{hkklr(F^}J^UBHV|;M{`;DuLXd(0-lH`K0e8NhBIm3GyYRqkB!=$
zU9SC}4S*FupP*&KdC>~g<Slh3n>8h-Yt(dB!Ky^_sW7C8?l*#lqyq|gkPj$tegx~-
zAqTs_K5DQ80qj{|iImi);{z<IzyhEjRj@7F5nT&h7n^Oxs#c(;pVPrk8gv6#%y}iI
zf`-KTv&6Kjv)RhK7S~U<(+Rg=2}*3M-#-GDY^9_&9Uo<<71#uU>iWrcIzF+K*wn|%
z(TNMaTD}ReLXa0#2lp<ph4ScvPGA!_RoTQ+fMGIN0URY4-CPVFta2s`2JxB!D}MMh
ze*TX<8(@X@lzAJIb(q1RGPK6~iZUCN`4I@PuPia>g2ye|p2d!Cl~W@N(m=Ric&aNr
z6U~Zzb6wDqS;C?!4rpGHk8Ba#63k%C4l|{whJA%|qy=|D)I8GdMuQMg4FxMgkS}o&
zL=BJ_TcitwS1BKwPpb<W$+;k2h{x;8Bc5uJgxSMMQgYc@Qan|c#G%=fBAwJS88#nW
zzzUb#l@{gA+VYq7m3yj1a*OnUULp<{%jY@GE*3mrN#MlNsQ+gGzHS6ipxbWxh~f7*
zc~g8qUC7%zy3HN^V8P^-FYE4PLkHkb$*?de6a5QXrkMinyzb`Pp@6d|`nCf9Y0LKo
z{{PQ=zy5PR6!ndYAb8;KupRgt7=;on8XNuS*e^yu64K2`U<MZh`yCzq=<IU{fLj!j
z>a?6=L29A$>FZyG{RUFoGpLd3)K?6kw&zz<ow{J)w9L;ohpw>Sg443sPpyb8Le<A`
zsH}Nf8Px?yp=zWQk_`M^@`%nk37k;wA$W3J^HC*9eIuHx)Gc%|RZ*HD*cUgHr~!(z
zh-Y1<$LI%Buhx_i7{sT%DnG|JeV-_i;_n_1bsiWoHj=u|!3jPTZmq%>;m1nZf<Mw1
z*jlA58-zg=5squ+iT1;qEI;%o{aodFWdo6vCu;u_`j1loQ|d;NHY@2xs!y!H;ITAi
z{YovCv|B!Mi&_IT7fap@wZljM!S}xL?~EDo$%CH`Ya}Mc+HWxuqA_RLh(~gC)g9Bs
zn8;03(6b($xWIM9o8Od;c+j%~hig;9RRXurv!d&v70gB)P&cqQM1l-t6k3ZzXCn^O
zk;L5)gppQEJ_IWxzGFALL?zp8$H}RTIAC)|PdqrrNj2_JWyCRxiz784x!f8~nvPzj
z*HNt~aTfMy=68*ndo{IJwtDH;5e#Kps}?*PdixiL%-gypRxS0JWIad=3I-(D0|;Ih
zcK0Z#jgIE1=sD(st6D8F+zHK;?Z(chO~Kxh*-vjfMqeQ2OkLKf0Js*Tlf3{VHf8RS
zcoi9)rro01>`%W%=gh+RhA;T?7k}y#OVVCJfG!j|k=OAE{$UsvrW83LGJ|c}W9_IM
zrbv-i34+UwvCr672x2o7I>;26q0m95$P9&|^80G0$P9(v1i7IaxF|LfYo_L_TWKU;
zW`o(-zWfzGH(z&le-arz@fsl<u!S>mdzIH|%cf*InZ7!&qtzO232j8w`62E!0B^Q+
z(-rBB_XAG5MYFA&ev8hrb)Wu)pYg-r8fibR>vo*ls=SdR-4iq=p9nev#e{hQc-s#s
zocn0=!#KgVrG+7`ugGCWNF+iKX+K4kV@29J7esu=<`eb<`n-@3q^)y71dmE{ctCMg
z-EO97KNmcq;YDg?$z-C*uUL_`t_XUFdqN6RA@UGpi0gt@`^zrBjgi5HpoF+Z66rQc
zIpQ*+dWgP2k|a*;lAu>+BM%CEP<hvpBu?#;SP_#IaD|Si)4sjtRX^ZiNywXPA{cI)
zgQ|W&+#V(-APKZweHK5@$-!`I=5^b9_IewAN}G=-2z8$v9g9JifA*3Y+jvnjin@ch
z<=NJY{owg^U4__>&IDj^_W|0!h=*qm;~V5K#@yue#v>la)lDR1Xn+Ly-1$C&J=y2R
z!xK<;8ZCcMN0x8x8;gg(>xKKD`d@rni9<9fblq$rB8nH*tgu545lsTxoo8PmYN!CN
z(m#S6qL!zIV1^&h$RUC=QV)FsO;Vj2<el<!OmpXlbBITjHACwWEJQ5@R*amR77zQ1
z#xG9<@MHr9<Huz95!QvEs|d<C-@IZCKVnS*0HO8;0IVc)4)Jin#9v*rJCLh}AE9y$
zengjL^LwiP5&U4x&4R||0Ij+qW}?QeOBK=P?5ei(SK{B2+Eu;Df<^lj+BcdkwOcwg
zo_v}TbNQMbKr*TnQGVP7CHarHPz{`(?}c#@z@@;I?j(y7;<hMc1juMhop#QB=LQ@!
zwHX|aIcVlo#PcYf*|xdBxC0Ux!(4+BTK$wHnILwo$+rrUAjR!8Q%UsXYr>OJ#vl+A
zSk-c@DRsjxd4QI{V}{pZz3;qW(wSW(%dDfdc9G@RLF$HG67@;Sn}C)tNxoN-Nd+y?
z4sJu9HW<Fyybd|Vxj;#l(mbPtx4UM(N0=*xHf#AsirdH0r@c6RHNNUNlotmg?TaIo
zz9v>)9LN=4MY@x(`{J;c_i?F-_rtsz<-NjK8`YXx?wiU}QNCMMYjzlDQ65|M0!wRo
z0>ouIU;tG6GAby&v?#gc8xXy;w_P3#P(AnZqCXYo!uj|#Z2A1T9p^9g=dp?6UsM;z
z&(U(<yc~^HTCT%Di}Kj2ne4V1XrHt^80U-Fq})F={DLSp{a|H(P36VJA*&0PH}&x<
zFpxH)`{uLHNUgD}I+D`DVJP*y*hCw9*~C&PG!FOh0*j$dJN?7p!76v}#>;!V3-%R6
zC}|YEmc$@6jS-c*7WydN0FpDv8};ZibJ#w*%pA5?b4m%lt#@9}Jhjg+{95$gP<s8Z
zPoCNj{N#^+>@AT)(HP5P7l$q;<4S{YQO1=9QJ{<~ortT@Z9p}mC0iWf(9#J%N06S-
zw*vMBI+q#>c`f2f!x&J;l?E^%Ykc%eWOL7f79GH1C2?R}%L-Rw55L5BXcFxZ6HCpT
zuZh8;NS5LLpwF($NR?m!c=1bA?+dE62J29RsnNRlnqUypzW*a!#?E<G&>se)OVoG*
z+fRMdr#Y2X+n6_vX-u@X%24}@=?CH^>X&VWyt;nHsT{2XjioMk27Bqk=1$s12FpAK
z(?jj6z0h9IU{Cit=w6La+iW(FShqw(+v&qWV8uk`AnK%xa1am|RPFJIe9kJd07``F
z$aFwZ=~f<{fJ(^)lkM<G;1yIe4LH=HYIst&A)PRvq_jWIH+!`35aD4ak4QSg^6}{s
zrv2;0m|h-tfiAFFTAFtEo_$8#X@C1J)Eh4@P`z1sG<7v*?wI%2X9U3N<j^K8|AhG1
z*T3;Ux%VK-^YmA@HU<oRgkYe*Pw2I2)B}T4*A9_s02f#*m~9MLJG7#0f70ID4B}@S
zV@*&(j|1+qS~F!8hPpr&(?Jj!1jX?D7S=WfX&A-j(b{AUMj@CLn2nK=lthQGEaxr`
zC`wSIA0S<O=qh)?`aLPnBYR4n4jI*n)C!@R9GL=vU(qhsMJq!5NB>W?(sTu=^1Cim
z2o#{Iu`~~+(OsQ`m(%VOEYhhEz9$1P^PrFEcS5V7?gT$gn|wuguI`WlNG=L80Jyqv
z;beFe=8gbSg{g>$Zh{J;+Hw_eu7;Zn;qPwJv8lO{ThwMz=0f<JDTIIX7r*<RkH@M6
zc88SwZyoV$X~|{<@0!W~lXYu_6{{`nK#*2h+7zT&r&z35S=yn2CplIa9C(r~?cl(Z
zY-xeFTlT$Y;7Mg^2Pd9LVU+ziD8_-2C!$GRa(L!RzB^Ac^CZl9Q{ER}G+~e1`4H&X
zdNtdqgSQXEOkZZ?T{>BN`GzSc`;dKvd>Z_GrNPfuzqR(hJ=ekCY#ltyC=kM_nP-qA
z5t_f?ECVRt!U?E1Z`lQyu>2DSIUoI{|M~m=%TT>qE!P$!N9pi}RZxsHqI8%qw{6`o
zrNbcSvPdHYsd`;7N{9C=o3k2%RJ|@(N{7*s(>XL%uM6V#+3fg(vKi)c%*DttJ06~#
zhD~v3s$O3)X2%1oY6Yo!i(nZY5Awy9wn9{|OBPP%{eExZP#jxSuS>@0c<`8MxR@mA
zr54HHWmt7tA@bcpq7@t%hyy{(CglS85($u2*4$tWz3@i}X?=ae1xPEBWgI=wRxKp+
z^E^Ln6YZxO*7UfK@w^(wQgKinH9A%Qy|2AV^|Kdo#WDK`nN`*?tRy?Jia__DT+@AE
z2Tou~z@jj+Jz+U3KA#!O!3_AE4x=U;M!V{02(()@c(gpPt(agHYY0JG@z%xWp6KO@
z3t2h15_rXTJHjt0(^XD~5{x?sJd@IgSWYjGM|01}CenB83*0b%6R7R{_{|>Zk>KFk
z2-URZ+t`B@T)+L<AOHW}Fs8i0?#j+XGTWfiV?cSMlt~$Y!HJ8Sull90l(&|IO3O({
zCuikNAYALMP_@z$)@0><TBEv@E_<Kd$jTc$`HI{3nS-pnlR4<>&#b%w|MMJ4)6=yZ
zwekj|E+o+kzusEGjH^0hfv`<1wFQ@jNNz?*b$r$b%CoGZ+1DIZpQ_=^6CPuNP~n?M
z1~htBHmCTvQ)JFmmop2*dFpzQ1%jMIQ0A{A_4uK`|IJ_VzDN=)6{G|BMhrQf9XF;0
zVup|wkJ=ijgr$1)715B#k}(o;Y8`y01EqR&L6DQP&m0_aTQBsC6{#LwFv|ayYa!Ii
zD^fkW;OVd-n=GIY)YY?-A`6P|pj>tMUZ{ZpZelV@D@gU|D;mcokbdCvGzxh|QI9UU
z2OXN!{=wi1FMK6Qzau13oG8gx`ory-^le=d(_2nbeFxrDQKZ$H^le@8v;y0a{Bq<E
z+8-p(y-V)Es4C^P;L#Ocwrb6Me+ub3@+acgSCaV#?ROg`^TByay1$alcL?tCQm+y$
znARxTiIGYu-y$1+#apyAdiEq)-6LeEB}ENl;O6l-+lWw0s}^1vAd*TG!~ZQciBnrC
z)czoG;%1=FjHpmwDn(DJA6(JB`l#A<uY*G}hYZQY@p(om$znNWJcdrjh9qd^Wvkbm
z+;YhpWVgI^i{VzE<rcSPk#LKvDmSH}O>Q+GvG9Xi)>L8^=j4P@6<OuPk%C4RIQ?K{
zs*K~yb~=6&WymY-Zn-6q{aI!b88L{WFL2VEO<Y>Kg=NIY1Vlt~F1Gw_`Rvn{@AKK;
z@b~|{Kk$*rDr<G28zrkX#4rvsAz%xE^Uk|xUo~A9F=L~E86ilc<SQOfY_4_T`z{w8
zm0l4XqLHD_bHOs*3Q&d2f{My$%__SflFy{FX4l19!i6kIjpu^KZwYG6?GCeSX>05&
z{AwyZ7u;ixoUVv?fR`r=icxaO-FgWe3f?^)AT&TUl6*{E5_H=rE|Rl!di6MX)pdae
zKb1rgk#UM9Ng5?z)4(e-b|_DN+{RJGOs$mktr0`3uDb#pan)LO4IQWZ85&|6SJ&I9
zKwnKytQenEy04Z;zPW_{jURG}GN0I0KAHY?ecRQ)a7^pj*8-CybtJtKN&hNt60ij9
zKVXKugP70IU()VS>90!R6F9h2SOw>s%etWi&#Flhgf4!LULM!K26vyYQthceSEbHr
z?7K^2PrF5}S(?+>H<QMG_`z@glRq1#U3~;M?dCDuw^5G>`qro{6^mqFnQ3>yn8pIs
zzKxP{yos7F9jA*>PFS;Z@R8%0n8t#l*Y?mkoH=1WuU0Sb*V3AvSFEC$CAvAKvJAFe
z4RQRK9L!)y1cp(u-gbBF1T#67v37G-G_K66h!v}N6J6$*b1zG7q=+`3r1E=|V0X(Y
zpjeZrU~|dEwEH#36j02!!kQSWVS}#H(Q~|6piOj=T{q?mtLP=XG=*w3^VEk)+^uSb
zpWMRh;XUJFTW7SmhjH(>>+rceuA1%oP1<$jt<%enMD@+kx!LnSTmARE^fj+2Ns6>J
zdEX5-Qs>e<^8V$Am+yY`%A=PqA4ttNgYnn*$oqG^_3wV*uYG)>QP6x+si2VT(u%_(
zkne|K*)LH51rvnSyMAR>-?lhdnSuydVOK0=Rs<ypXDb>fIihM<R;`zg6{!e(MNECt
zSx!5#!THdmL8uy)KITz13<K3xVFyZ(M}y%1HDBMTjGkM6(%r3(|Gjr{Smc!ZX;CHI
z#fM38A|B?PZ%6vNiVM~4sN^#)hXUdiJsTK6NteT)L49$KOU1=V`*S-5a%~`t`s4~%
zl)x_s!h8_Y{`*DFwlgfQvhAHXnoeQtUW1HsX-ZHjQ!USrDeWOW*SbtV0jYNU9T-`@
zjfa?8|AqhVJN~6NeNuU=HL!AD-tirb*CW@HkfR{)ay3jhIEf*{bZ3yGOdPNf!*xLc
zqE$?G`p`VQqyA&8%?>{X3G?>HWSDMn5<`aR1}8DpFkKm$+c%0K!*r(hINr8r6a#@~
z|2-vpgD3!KVFUfuFrAOgl@wNe8zpz-YsSc2%y;ap$(OB2mXW#JB~n=V=##as>M@;x
zqn{%uGpc`8pi}MY`q%%eSpSFzm+`+Udu|e@Pt(W0aqYq63rc+Eny5-kBb$S~XBY|D
z4lO-c6NMllz4HhXaCH->L9<ER6VUqE$rpPGM$~SnU}{DRXQc4ai_e36;`JUgTzumX
zf9DqmQm7?gC1wzO1Tlk8!`mHEg{v`xe&sQP2_BCpj-*2<007W}iy|m7gA1ZM1V9+G
zVc>skR+N~*1VM(0v2hZGAjj1RQvG<P+YY5G$eOVxPC>X_QcO+bg6JnDjU?yHVbD{v
zBE1V2#LNW}&T++q_3+zQ_|^0-To45=+L#Y2r_Qey@4_W9VTtt9jHwPXc{WaRvMnwF
ziW<RgYpMQw4b_F2E4#Zb<=<j^<y+gT8sw*@;PR^c+#EQq-yaoO&Mjkeb7SdwIlsZi
zQfVE%P8owe!m}lPdeOIOx2TOV=bYQk<lMgMD?jsV6T!5i)gGrCb`9>*4a*2wXt@@;
zf}ASKS)Ita9kkmh3syNdRDdH<gjX6Zq*|(C2ZNQOCG|r`&TYMsj;Oq1&bc81*0JLV
z*agqag0!T*;t9rH>iG}*HTY)ep~s;Gl(Dqe4p}d$Y(D_`YtJ#~+^k$3$+-ij&NnMo
zIX5J`_At(@FI{!(92uwkS)$C5nL(@xxS&0FopS>xt4bab+_y*1cW1vmD!mWfoKF6-
zs_`s!qX!gw)>W40S$r|dPnMliyY92tomRTo|EFl+l4GL&cP5yAj-{Rz!aCB>BnWB~
zi)VU?^u|jo=}iz!+begjk1!QOowj^y--w~U^zdV!^6qF)(vDi!&E7eFKr5(dQc%y>
zm~3yoZOQ+4tg(jf0cn=vlOagm>?;;Z9)PnujdDVey4eMTl4rN>j1%CUa>2uDi|TV6
z8W|MK6(B$uD^fSR;BL8NsUy(IRRHZk62F%$jJnwc(TT-h4e%co?i$<0iqy?67$iR+
zH`CZI1VuL&$-?pj)`ND*s;z&&TC!H2je9$pnU{Z#=w@HjECZ?6*4vttry*%8)ImsY
z*!014p856^?jQ_tu<}WloUEHwrv?dtZU;JA!mWsWp1fjR4>AoZ?F+!B1ZlA!rH6sh
znf(6-b@K&a(`${pvuF3VwC}X#`?T+G{73h{@clQ3F=3{tkgAN283XN#c*Ynrrn=H1
zB=d|Nl^H~>GRAZQQ#l;OH8R~x8$l2&W{e4Sa-=UK4o0qh$#fSSEMrWleuMu=Flvi3
zvqrGOn6@ayS3xB18^H==g8oCf8euDF{uVK-I{Wt^e^kf}ji+r>tY}}qLN|e1P12Mi
zbajh;@l`KJ(@CMf;?oqpH$_H&${>K1ns%7nM)VQ6c2So(*DhgNq*0LUsEV$ctgmVT
zAW-F9WIKGlmU)s21=;hU78j=coVRJ17lo-Zov;u)=KZCOCQewoj?(dQzPXZtT}xGQ
z0eso&{AD}#mjEhl%H=8feN-0QWpn793c9;gkg1yy3)Y&L8Nj+30PElVlJEPjUmQ2n
zui7+FAU(8|{mG@uOs}_W$`Ui(NiJ1pdg?UL;BeStURh&(vzZP`pA9o%wc)SKba48B
z!=hcXG1H)ZRD-^;fF`_-%1rNf7=3i5>8H|lgAPVl%a#F|>l{62>TY8Ys@l&cNE$Ky
z`n7{smHpS{@YNLCeN!@e(0(7lVJrVT!&f&8UtK#ynr2|;a**jGIOi#;S9mMkDH!xy
zYzBsYggq!4LZ*paKL7A#vE}tRk%37gQ>y&WnUea)zWWD0k|%;oiFYXnv4ZbXLXHk9
z$&*}JLXKW<F~s1FutLtL3>PC~E1tG%V2^6rWq4g)k?y~jke~KQHHm3Qcw&LIu7Q|d
zd;nW9s@H+2wq@?(1DIe`ucPeLP=d0egz6?3)$98s#(;@wH^Iqhp@gDp$;|qJ8eF%}
z@1(vdOEzVlGp*e`5&WDcZ}AILyerwuh<Ana<NVUcYZkQ6@$MbQyQ)9J3P_`p_jCDd
ziaFk$?v9*e>;BH+2Y>$m{Djh2p}t|UbzXn?>TwnhQW55OcaVxO$Gb+9LTa^xG$KU#
zp-!Fk@DR8#yN`h(V;pE3#^!i;w?Bf(k%Aj0PY2>%bY&yZ6v>T@#LUO8;@tq7Z;pvD
z8D3+NQmbn;gxLfJT%305Bjr|`c)MA+Gwp8M)g@h83AokLlmKo!Ee)u(tmvJjgg+t0
zvYkwo(84C9SXfl^0r{}x{ka|cw|rIrvd^P8k+!oqK7eg7IHluv_^^mv$MIz{aoVI$
zT8bntaJ8;AW#gJr=F~EXY0I}(gJlpeeDu%#$V-9nX-2OtgBYCpLk!6hf%oy|^NmI=
z!VZ*9^6uML@WpeU9V4!_<@A8+=Qekr%OJom!5P*vM@WjLaybAsUO6<zuR-m|9HAz2
zU(XQ&nJXD7C<y<(ImcWEfs3m35*Ron6<ztzd}#g9hb=6EtO$y6O|QkT7Ei87u2;-I
zqGSOX%Z?<SJeS<9Hd+>r5;dEN?Q4#P7F1oLsHzXDH=-$4x9gg4{kNP*vw!IVY5GM!
zQMG*6nd18xwA76zEoV8PZJS*vIb3=$YrLmH<Jr?X;>gap+H^<u#&NZ2x2Uxmb8hWs
za%(^SmizB`IV`WXCA#JHPTk%1z`+s7TP>GXCT(BApwjZX;C{2&!2Ge>`?OYr8iKUE
zE;!h7P0L#Z*Q|}^iajmYw7f2eDhA~xLMaUBV{<og+r+)YsS(nH`R6%iW<*+EUlG3m
zv_%vI_pnw-+gJG2w7f1@N~sZ@NUV`p6w6yA0XxF-O3i__S;dkPYq%sE+7RfF7jcQn
zgp6NYa=PVJ3pj-rQ6<~ir#(h*%tYqz`MFs_HuYdn%!cS4S@t&<w#>%&X)wO_z|O|^
z>Fvy#c8g}?JKaAz2k85I-@f|3%P_vkS|NwzK%Z{0A?t+k+pvIpL~Dv<*;i(Kiy)YM
zHL0~(S&$ln0wBoxq*Vl8I&BNM{5G0`3PGu9GeMNTqpkzyYL9aHR*=Tm4{bFgFqI%$
zQoA2366kY5@-!OQ<}*h^P>Kir(3m6df>v7+f`Z+cAPJLU&e;ro!Ur145ESF<$3|y@
z&iDl<jqoN`l9Jo-gYTE<iogZhAK<7|Yfdu0V&Kxa5wUu-hWf>|qhB?geFK~N%<L3X
zJVhqfrZl2n&kfIpSH|B~qs^ZRoX?*4+3-HShIiU6nho#m7~Vhe8$R*h|7&4*6}Wc8
zYcMv@Q3=*ycrl^fDm6;4M6Owk*^lVwXd4@7c*}}Ig`!eTV#&QSBoh4`okGz%!?q$o
z%hJ<sKS$264Hk+@HHjU1uuznS*MH1#ohS?UU6S*2)RwP$>O^UHi{xOPC{rXwa<EP`
z-<>C^6Aha^(nzP4`O4X0`t@t0M%2S<xAOBW-CLQZdyTpoeM4uf{`6XP(@rC|XtwIN
zW7Yq$H~qyg{coafMqMno>K+9m=TFKH#IzeugRkR7+6@<sxO=a|+H$y9h0}Xfk!qBD
zvc5)J0|9ytr;jK~fgOZH0a|`7)C|EKPGdrvrkg=;W`v|6n8Rtba<E$h<{yK0+8>j{
zX>zq_UK;hTbwsOJcKOxtH~@MhFTEs`UtgXooIWCVELmhe^y>BaNsb1|Y7b*BXV}?p
z)oFaUv5M3TQy0q`QEG{{xu^fve0`%bu{Mu3oEDxr!_F(Dkv5`K2qSN3l%UFR=JQRd
zJ*Xpkx|0^3S_m_3`PK}u5awt9((m|NKk&(=^iLORRoI|rs#F*fT#}B1hLTj*6RWU6
z&6HIbradYV5n(y)<8tkw={VcEKd6~16*i=qG9oyjn83)vz{HQe!#rnPA666YIwvXV
zxFRX!9wNEz=y-WXU2?yqfJiQlF~z>RH>wtks(bvPpob4Yt)xos0ZQ_-gu{pr>bCR;
zLF0jsHrVkoKE6=aiGRH;y7a;29rvkSsWm<D@V~I4QVwUAae=}q+$@^W&02V)^HZ&b
zgo@)X&_zi`O~TTsD{YNgGR=#^#kD&@*|d`B!N$=~pm`CK3p$=wG8yLu&~sAa0A@e%
zG#XQy9_<A<!#FMvMt21&>{c>8+Y1{KL`l@3Hj>&sZ@(SxjS`t2Fio{RI?5Z%Td+V4
zoQ>i}-CXNLXq}?3x!i*bLZ5A`zV&DO&2Q4)d6PVXm{fi{G7QZxn*J7Cmbc)tyao8T
z^cG;t+f(Yd06X}T&5u6bG~NR28UI?|0{&~a$Sj`J_~d?t|BY|rlYi%b<D0%ul!EW@
zwl@AQuK~x^fM6gMl?oqAE-IA=HL7SkLrzgQTJ?WG0M9|SL#{%_pivApO$Wq~(`uLl
z1giaIJFN9)!^xzvKW3of#Yra&fqH*#r~PH9YuX=^PFWEFj(H4+Sl)YPBYt?Czih|;
z!bBFEoBaaMZdwjMrz$Gm$m<)+ZE?ORw=4u1o84lI^NZs{pgMoqjstGji|s1!e_Cv-
zDqslI``1;#+wEeT2|Bi`#kTtW5UBU(b~^rUu{oyWZ#Ro=Mb)+y9hiPFw_|@?u)L|P
z>c#oet5r7f?RI}3<@?}p<dE9KVta_qExyIP#seyd_-%I+zoRU*mHXhEmDlx-M=!h}
z%_yZM(YsM_E6~SS-j*WRcC9pWlUJv^Hf8lJN0;vB{`@PydjiE9=E>2fk>X9mE-$nl
zpm=L*cX{`1H?mEG;D@i@D8<``qp>m2ZIt2-T|s(|V-#-^VhhFFQ4LD`7}`BQ$I}+$
z=6GmQytTiYs>uZbO0&BXW)BHzHG8q5xO7DlHCrZ$Kwk`GlBBwFNrcR7?W98W0m4a=
zFQQBGFVew0O?Vvbnp9aXd03M3lWQ)~SlUX~w+Ex`MN+1+Q!o3`M-5~fJ?pl)iK%Z>
ztPIVHe6UFQu?NwY;Y@}pgwagGeWUVZnM<#@wyiUU-?=gLzkQ}K{>_Y{+eG_|n<H50
za^^31O85)x*$oBR+c0?TfO^{UeF63F|M(C7;&U;iNZr*=KwaWwafNG7JqOfAHAw_-
zW%Oy`0E;bF|Mxyhbyz_HlySYcK9CShfrrfK5j}uPtWE2tr?`V~rTX*x)5!?gV#O48
z+(&f_opcnhfL6FIh)Ge0Pf$nnyW3o+$|WfZy64qq)yq~~bARXgH{Nmil)vivx>~%V
z{D1IxuT#2Jzdg9D=5ivC#@CaN5GTcR=$5*`ewgB^P*Vh&n8d)_4aS@X3#}oC1;R^Q
zYf8f0w)Z<j4hztvq8$<UafcyM9Z7K<E!Z+i+(^(Bbi&;9{4RFj&6cnXlmZ=KxelGT
zJD55?McZ}v=;;Yw?6>5kW>APouRi*yB`bGY?MVF?A#lr&5jMx0A}2paSS>$B+)R%V
z;=t-L;`Wm~MsM@y#toTo!+_1ho5(7ff~xa|H?7f`nFqlm#vG1uHwYqgP=<j{@RHN<
zAy5TXvYmpWErZw;6e38jg0hjIv=fCQNUnarL|rK;Z=x^+R#i}rpmaEjl{hWV9~UeK
zb?9u(p_d{89(rBiTSSm0sKd7ih|A^%-@<S8psu@_SKbKL;44oKyPnRV?yJB5yFZ#z
zjq0Cx+l+ZDFt9)qsp}6I7SzTfb=ZIw6OF8PR>Nv*0Q<~QLurA9Nw7+z5TsJ}L&Ky4
zcH^Nz;?{;NbSqpCBg8pVfsqvlK;$??arz>t?6wrtspAo_Xp6oJ;%k%ubHyWO2{kL`
zpbkTflsT4v+sji0bv9Fh0azQ<O*b(#4Qgf_P<QC?oI&EcLc!9Xnp#{pDE=3QWll9d
z4XH+ZEuOx}=xe|7cYXNhV)TH{FmM&7TPU|Xg0)%3!eB0pcGcNe-h5z_RDfo%2!ibj
zV9du`pGU4pWaNU%sD%*fxE8jmEGT}Z3Bo<b6f{C&n~hL!WkHFIOc3K`Sh4_JhZu@_
zBnwJp<cB_<bd1VjzmlH1EGTBs553uvP8%wWAy%`Zm@z-}cEw>YJT#a~8bM7px+F+O
z^dxbz?S)jo-z8TVoXRzE>7@cApQWZ6{WMo=U6Y(*RPvRi`28jcax$`^$eMzRRg(Gk
zpf?g>C?#<t8Ff^W)&23=d$^i5JT@K;9h2<8NJ$ZB2bY2e#+uXBw}y9Bm>K$8zw8MQ
zOkI2ar{sisvOFs4z*YpDmpf0KTEI{Y$yHPWdN$VI7}5t@=kjowqF+5MzU#!E9xL>K
zUJVbo@@gj+b|nvK;QGkofxaYsRs<bq(UGi$a3uC8Jxb;CLZI@QWjpztd(I(9`=f57
z@_9#me)#Ah3QzkZ6INwzAW$El+i`qxa?@tzielhvdigPP23HqOt_0UeRp448ptwej
zi9Y?erTFb#8(F>&5_`*^_%koAqxfA7lq-Js;s81*Ln07&>r}R{O!2!Q`mdNiVCDi-
zs-Q5kUzmMYOrSI;7ro)FUzmMYBp0ZrN#EkoLmWE$uIOW<%>mlJ<t)zn(0l;=91H9L
z!V?S@s0DfNT@VZ_rYF(J-JWCiT@RQ`piV9To%+y|RGPl^QB)r4roudK67eA3p_*y`
z?ot+~=g~i}dJ2}(QCjYQ_9!hpi}@Yq@PI1rR@)Xz3w-*kobHA_T~*mj2vje<Y^S%p
zMOHBGpi&yQ%^`*J%|HQ^&{@+C#4AWYM_>t$pP{r;G7CxzR~K(gQCd*=aIwxFOKmU0
zh*s&~_%k=--ElKcyG5<ypZQX5hA-uR`K*8A<I*rqy&0|XoCmhe1KaF19WVM+n;f<9
zx@{QM4QKOp?OkjCZ<i{v<0A|NHuJ!?8ywgMA0fHRH#SUjngQzg2o>VrU&2MB<-Yic
z3)0#*R$6`V$KUW9{&e*J^y}sl*kHC|XQE{W<@c)-23aBuIj#UuH$g)c*sS2QY6P^y
z0Ju83=nrfTM^-Rap;Ee2Am|X%D>%OgKqrl04s0;+QmgENY}xQN*E<a;j{^echda6g
z{dTir71*4X7%|G2YT1tGe3}EBKv}9ZZk@z^q7<$NHtk;k1b7zbz$Rx<N5;6lh60=0
zS6cu12R`B3ekjlwg>~GaG>|93@R*(0qOuw24H$m21Jhg$-)5XQhOVzrP}B%!m^Y#8
zDD7<oGYpS%N<-IoD0*rH1)g)*I?(lX2d_^^9157WJ|LYid;Mbs!m|~LKnn(SJgy~w
z8UVAG-#8Rqz;&EtyJQ8!?+UmMhTaaeFR{4)p3_Ndy^w$CTuSX+@$)=kRcc|Ju#{%S
zc}`NU2_<TPaQUVv!OO{fy5<l*t^uK^VBos@h&}4Ig@pv>$>6s<l?g{`xxj$~Rb3D*
zS}xlGyb$cJ^!vG7;L@a+z-v^fR9z4wQzwC?S5r3$=AQ$;x3HL89><X`kf{MQe?C$)
z4S()<fiqHccSzB+Thw~eGg5RjNYNkqec$|suN`aUy#+RcQp?=a^DBm3t45i!zM8f`
zbc6{G(iVteH^IT?U@`1|=;KB!e^6Y|p$inlZi1+rLSl!B_$A7k;Q>^wp1GA5%nNMG
z<OC7U*lZ-FP0iO_t{K-|tL$0L<%7qbxG4>a=&$vvA~q<xoMos{g{(D3#Y}r{C9siX
z+aEmclojEhJPZAxG+*Rhn5Fhb{cp3lZ2EWpw@)VijZ%rvpks{TUDbui2XZj8WO;`=
z<K`xapQ<<E)$ZX1d6X16U|?KR`Ho+d9_f*8-}Fo`j-a8G-_FuJO&vykzIqt`+qYZd
z1@HplP`6j9>fyyx&pH%5s%v&N)z?$<KX!=4LEm~l{!5Is$daR;r97-CfOZJ7Jk<C%
zhat;Djeqm9i?beT?AJcj{3kDo=zFM9bbXr-^-!a2>#<4WZE`U?<qpz`n_N7&XjQ6l
zq&}h3s0*j8a#3%)Q5WZ%>oeG>Tjgd)YNDGt>8OAv)D(TQ(s-M$A8MAX%q=U2t0HdH
zL|235A<*uS>pMD)x@bPd_jlK*D>dBlgW0HCx%lY3t<GPzBgAO?mL*azF1lW=vWbTe
zUBH^^-J#JIP072m`Rp@jt;L~K*Jz`y3tQi<3v;tRkhTN+fhz2yw9~$3`fmT!{-^)I
z_e6bTKN=jFI^4Xvj-&3oEoCmp6^f8W!3NTr(cr<N?~DdVJq&P@w)~m*(AK{{SoEFI
z;Fx=apVflAe1Uw$!Rqjg2JbM~T)ZlFEwvbURibTtFTjmYJ2QWAeFRwXgCi;d?-mi}
z)6OOvBuTmxE_pzCqhir09BlD&0ajdc2O2D?Vm|@_>PS|%NBXyTbU;e&eUwPq*W6<$
zovw*V<Tb26&7{JhiYKMcpj%RR4&92ni@34kbo*QAD++l930%T6lTYr%`QPU2bo9Q`
zMYa15k%#alCM5Za{<m-C_%}**o8@pTtyykva)Qo2tKd<b(6A3HF@LU@*_8wL!YzQ+
zFZxTw`o;3?{$8cU`_pa&Y5b)dNxOCMoC(W6VOH-Se)8Y@iJyuNUj3O4ko(JOb?T^q
z+9Sc$sOR3S9()6H{r$q#tv2AFx2zuK$+XHe>+#bO5INoXJ=lF~J)x7I{Ty>$<8W3F
zrbuAoBWR1%nU8bKdK|bj8SPoD4gSC8S7$wb+`w!}91U`;_VQGE9E1$Up8)TzU9!^S
z49PX%LE&ddlV&}ROe!ndnNvJUC4Vjvt@L;#KDV$A%@30PRKJ*!`2_0FR%%vy99PCt
zpRhX`ZpGD<I(1(Y92A=SM2nO3FjVK6EiLCJh+V`Wfv#n$ZV_e>N~qaQ<zCN<gq|*e
zSeTIXrI)(Xeo#NFwlADVPW0dk-v6qYSHatswZ6($)izr<&AKVky*%5hd-YBG2?Nsi
zso$tX2lj<ly@%7SMefPz*9bWh0aU^{EMaB$IY2t9DXJ(5QO{XQT@`aWVT6@clmme(
zN|5ca0*n$fof50!&xsNRTS&_ZDprgNt`_=A!>9<ClfNk!N(EKwOY6-EM&L<o%$zg0
zyPSdD@DVp)&KcYegYhr@`ak~*KOMe~(n&j8iBsRd7UmKhw`lSZBzV^yU_eXiKV}Dx
zMrl;;8(=_n=z>r^oIyxJioH1|_7xgZ=<B%P-~a>hbzE|If&sN-kpuyhW*0EvQ2mlP
zxIo}838YrNqWyMh9VAJTo~TQrK7&aRg1ei&dN4_nF>8@LvGPJBmloY7N&2Eia=S7r
z$2GaSXdaRzK?RotU9|E~fuGfib(3ViL*SVt$22ZHTFTWMO_KQ*fkPE{M$VHAoz2nn
zJ-Y3g4C;Z5`bFxRbmMCM_ZF`XwA$UHaJPn=1od>>JxY5`jI?$CqlW+Eeo<4eKsTiV
zbCuIG`9&?SBnlL&MjPQ#OpFu_uOj`BeS&-GXWc3KEd>?HuL7D}p}&B$E5Ay%8%HQ@
zN=hsIBH;@;zd*uXk`YVV38-gh=kA=HX}4&0c5cVn`A>iOlmFK@1VFDT3wL%bfq^ox
z7}o%bE!q^%Z74wRf(NAsN7LKrxP}dT_9?iO!oIws<fW`o05k6u0U90G0A5H-dv{s2
zXiEJYW1eCUDZ0ddc4(XbBy$~Bbvm~q9%GdpX}aJ<00rrkJyZn}82q3v%n`b*xsY1<
zXa@&>oQ{ViYd}X*l%q+aKiBxvF}JDCc~1s6)V6s+MN`(OUxppm?Yg?L!44eA@W0L1
zVSMkVAJ*#_?Qh1!QPx+X5z_mT;0r;qlGO$3Th#%wfwqDV6eSM3F5Ahj!PWrLDp^^Q
z090mjq-?uGA|P-?I^m9A!Dl5MALm=S3Gh>@B<V;Uq~L$CoL-({rm+by=X^75@8-E?
zdIIDjxc9W>TNA@>mjCgu{;AC`L}9oF<}L1xLL2ftvHRY++a0oL@|UYECP0WFN<`%K
z55b%uE7?ZC*@L)uWE*!VxoTF-`AZ|slq|GST*jd@s(M68n&8ABDHM*BjK5Mn+HUvv
zD`L|Glo39*FmcAc_Xk8_BDn4L^H;d{0W<y-qu#BofF@3|!o88SWDz$HzU!nKBo_43
zJnc!TMR*?Lb34d$&PrgS2Tctw5txwcyECdtspxu@XnYY0RMelV#t`Vh!m=PMcI-oR
z3_d0L)N_me7i~CmUHEx$wkXh~Po-`o^r?W|0S9+l2@xU|I9QQ!-<+TuXE^xzhc8Q=
z@rw`N`{3yvXlJTy#5%U-Yc3(bnG*7E|EzEN&@YAypy8@VNEW<;Wm0fNau35UiXFDE
zjH$;3L4JewR0ckP{4}E-f*EfNK}?Nmal8yS9#3nfDuW@k@}O8Tqm8Gn)cmY5<6&*&
z)ghR{M$WsH+E}b;<dGqmvBtv*rQ@`i=n?Cnrx|KI?l%TsZdVq~#ELZ>yhY2GEQw0q
z4$zt5U<|Y+u_vOg)yC%Fq&4aF+9v$b70ym1*Otmpk{J%(qs(5{TppKNMvx@)?b&U4
zY4Fog^K0IZOO84VYR<jVH=-_eAXaAXGwrfd5b2&dt8D+`CA`^((B*na*804M?2hpB
zb!GIRHA?E?m%9o67w*DLHE<rvE2R?2T@YX++y%ja&kw)s$sI8;GfFxgf;%nmy?pu3
zx4moOgKu7bgw2O<e^<B}ix-}U)C4z8LfC1yX!Zf7-=fu|TeMmd26*ESeDilc2p>Ql
zvK9s?)yaJ%d^ymB?O+xay(e2`U(F$Q4Fe2RwdL|Rv~p=U3{DHPTM;-{FhHp+qwQ|+
zf(!$IAXPKHeLp6{0I-t)M<r_Zv3YUm3<C@j1y(S?08`+oZ7sG-4Wk*;YFynFU0`M|
z6H93)PLEi<pR7lZ*+=nrU2_`y@z~w+-c*SN)MHiAiwd-vkU{N&Psn6ze4#xmK2R|>
z8T;gul#EXcg(CL9Qz#VY3jipfR6wECV7yN08QsTp{xveHm&a`>>UsIKKgt)_0#NM1
z^#z0ho1SlJZN1sj&e1k=%&)w3`RMH!nRod-6yPQOfx0;Sm6so0zWdQDk6yZbAYaf0
zTZy2|+2cp-So~>`agU>aUpgJO?+ewwFFv|_50-O4<k4k#D);0&Ul$wcEA?{9em!mu
zPjQMfq?Y#hGw=JG9~z^Nsv3q`E0!&7G09^MLxX)lwWW1l+@AKHY-v&E%mf}AOuNG$
zvZVzJ39|>(WUJ2bhiqy0$ZIN&4#wTK7{0Q!Zo4s3wnIo&S=wT}(YezQ43An`HFiyV
zjo2&wriowGybfj!b-zBmTX*g^x-^XWzc88cDSyw;@lD?+N+eV3ub2Nt*gBiq8#J|I
zOz)5<cWTHr%~rP|7sG{*{ob#8<@6~rKwg-KJX~-(spE=ugfgsQBXi+{hscU5oZ4u+
zMPCWChTz2}5|{-UF8GQC;5%!o15<Z-MJh30v0#e_plwt+Sx~SCKS#iN(j|!2AE}kd
zf>dH<MQwtCTEwsh)=FeSDzPHCMOIbx=b@cf(9lU?vNs#_u_P`~%Xy_m6?T@^fg=a+
z5OYb^(2ri4Gmoh0APkvLQ$0oh<uYuJ`9&~!)Yo!Ug;LR|(qp@S-b&-Og}1__90#PR
z|K|%h^auIDz7l-zij*JUos^+3>3@A`6it?oS>DeIeI@1NaFR0AEsrt6YLTAp1z>d+
z|6;TWC`Q`nMyn9?hG336qG5SmHm5FUSbDm_7I9d+WakZ31`1mfQ&QNz1SPdPNmFn2
zIHc!$v0tKJOsqM|ayk!AdcYUxQN~iV`)d{j)sL7~f!NW3U-JQn<Z`!b6@Uggex5tL
z`g|2Ym)RL`qLm>g8ENsAP7KJuNNYgK(U00V1|&cT{wv9Rj}YOT%Y*i{R$FNKs-NeP
zlX-DH@!K1ky6G<ss43D*gRS(^V9WCDAh@`4BuP7dUY<^W`2DXu*`&d6WMIlm19^XG
z__6cS;H><m;m0#ynjzMVwhS0SaTS!W<!KERK%0mnibfV0Z&`v3qy;IV0J0rX^b?Pl
z$K<>m#d9)XRrlPXsh~TajxQ`7asH&es8EcNNq#~Kl9p>oFqG6HUk-VP<N9^R%Y*fz
zD)heX@$#7Io>@aUUcUPMN=1=QxJGd><{c~@as5C)ff@1X2kRX8v_I{Bb;0tcK3<NZ
zT-5Uh!Hbb}C73VJB#ps_QpiXm44GtDHnG$;&wSxxyJZ4h*klcd;fjM*Zr*E;IpYWZ
zwLkt{zxeURhg4_OeMoanN%5qWXJb6dgM=6va-JRtZ<nw|^h(D9;j5=0o}ufrroSRX
zs)~9r!gQVPf5RP#PyU_%jc?+Uf9HSWo4!w!O7Ucy4!w7ATx8xIMCesRX`^u3;1kT7
zmA+9wHr`j+j`tM>oOQ*kps4A6*9pXFe?A^*{}wftg3`RdxL9fbTHnC0s)?F`8dv&8
z9j~;1t#A0s$JxlWDGES1M(+%itrSd++r8uYuX*@&2D3Sad<9IGMSthJ-(92_M}F(C
z{geO1ACBVuejO033m_n9VWA2PyKye{!n)8m36;0q^;EDf^pLJ+Fzn>43#S!*UUnMT
z8mOb;t@jlR>jJ0lwGbem3KtC41u)5X>OWbWUnEOz43oq=JUh%CxFmWXbt*VnCpwZT
zZ-6~e5F`#c8vHs(&v&OVIS{nddLKI+etE^Z5KIoM6UcG$H5yf@8m0|_cB)0!7SNgN
zth?p96Y4Y-^|-S{ohodNd$y6sdc%<xEvdWj&KT+K>em1EDcktBezl9cQwVWdRVE3o
ztjYx92vyXQ9kART+6aLWZo_7I@0r^!-fy@Q5c{3Sogf*y@b%b~Sozu`J%&@NPt<+e
z-9rK`z1Wz0A%TfIcN28ylT@cpSf03@ztBbLYWl@99Yd=5FaPytzvbVGCUNaB@Tx#>
zH9BlTvn8-WPdgM}{vvolyiPT-UxGtbUTOOZFTaG?rP*htH0LNE#O%Ae6N`b7uUMEc
z!JuW00kNW3XkYQPSxHtQba)8L^KXJDu)s>50=S)J6+%!PaTCPoS&*|Kr~^R@Fq?di
zqxz|+6+lzT<-?W+_n|mI_SzHI$J<{?R>}yBmq{Hd9G0aoZ(Sv|9$-;PRbScSwB7NF
z19jTqZdVu5AyB>KK(cIHEoPk~Ajp>-k~Cd*s}|P%Mt0bZjF!!fcj`L&noDr6@iwCL
z$f(!iek%m(k{D78Nx-VxWv5Y2-AR)KlPadTuo4qos%;~oqIf20%>R(YX=){=AAR~m
z1n4C_MA&K{qJD6w?;(O@eu%i49wIDVTQzLowp|+lf#_rBCNFU83|D5*kifl(QVM`7
z6$ms^N}=8Du|MqKSzTqYJ1}Hvf1yInhE;T1vcxqA1kkGLs(V7FH{c5BosZK&tNJj<
z4?(M3djn&K*EXI12z6_Rs}(dr6)BQ%lV%XE4$6RW;iXC^EL5oZ_)SnIpjd=a8=P;x
z|G?Zp1)KM8JR$6m!!QA2Vmaw~3|vNeb4!$lZ^0|;e!>O5-T0(mx(z_win;<O1QJ{q
zCPaiy7sjSC(w3ELw5f6eUV8x0XMXXo`M*CBHHR3hj(nH{K)QwNq@{#-)=mf53O=Ux
zmGvFC;2xYQg1Ddd7`oC3@?mm8K=@>-V?GP1uSSq>T@hTPRgl&RX38dR#i9AQx!?&w
z5)vKgjX)k#Gh`vi=g0-o^rx-}5+jzL3qg7qMNsD=!-yf_ry0KxWbo&LU|`kJ@W|`g
zaP|<CN(h$>yNFAwIhG_z3+j@%fJ+%SkDnt`ypH}A12qPJE(uVM^NG=33nm_QgwmSy
z|6CHb7%mlGdCUt?|IZ~SS-a%Ej5KeHq{mPE+h^=<py#kzHl3j7J#yAVLqUvWw-4`b
zPph1E!qMDo^1pNU;d4mwofQyH={`IkPqRmNB&wR*38vSo-*`L0v|H5Hw#+SsH`7x1
zIp6q4|LkuX0}oC>SEV`u6*Wk!*D+lM9u$;ew=!N?50z^0pj1X6E;kC;5X|5Krc){$
z(HBl;@W5!^SQl}$`oUPS0uR<3oEm)qabxNqlfeTp)@js<C)C`JSW(b^4G;k?ST!Vi
z_SOn}hoG2t+XSYvv_?TNxOF5`aLkhfWg5fN&F0v`FgZA;MK>^H>!@-%dcHe>hU~og
zFiB=?=X63(q2eZ6W4BL|`Tmd+MkUd9sR*!3j=Bp9$WClNEN~2l+3AiGG2rZB#3FT!
z>=CjqY~<*+p|N$J6Va~RClmih>7gp4nH2pAL**va!zLo<^IZmed}o*8>FtY{c8g}0
zVY*j$PG7`-{PSP_*54XvfZmKY-+&yW)?}~>u}0n-p0@3YAvue#*9f_5bDV9G4Rs|4
z8uA2<U^Ypxhpc;~C$O_Y-ENYUfZw(-R$Gb$**M?Yt~bA_av3lgT;uFxcRpS1GJwfc
z?B;O;?tuEoWS8LpmIkTK#7vQnM^(8DEVR^I$HA!*{MVdqV8i^a%!XQW)yC0@#p|`N
zW^u1Iab${_oFdaPr5<hfaH-8*5$B=iZDo$QjRnkPiy>do9qGDO(`Sg-gF2hi*_6&L
zhBe=Uwiy2Emw)Y}kA7mQtW+qZ3#_l%ej>oSg;<7}bb$38$z>pjS5*_0A((;n9fsK;
zxD?P@#;Ro8xB}LFChQKJel1>}fpw$bfpJF35RG$Qu>#ijYmHWsC6M9hktG;4XEYLG
z1k@Te@UnbUM6y8%!%<`gzD`GYHKt?%1n{Du)8d#CX~><US}`TU)J=#)B(yZjFC+7Y
z5~7MuQxoNtd`_GJfUSGjVMD8m98HR+{rRM%Ok11jl`-Y{e8r_o`*S<(kMqrmOA_)_
z?E)I#rTyu7#r|}!VoNWMTd-%Jk%_DRHe4_Z4N@k-Z;-o#Fmlf4+c#Tpwr@|beVcZR
z=1}o=LdEa@&A<D%yfH#Wts-^%<|VArLJ#OxL&YdJr6cNsrG>ta2!{5}1*3BS7;am-
zOReRCiL-Vs&0o33m-fvCOA{vA^elgBU%>`bkGbFuNV!CSn37?Hbs<QF<${1qH6MIL
zsZx86)MZ6*iMf|F)*!(hZlON_hL%u~bh-6~9JQ@#QirN>lU+0f7LnqB9n}@BQi*c*
z56%zg2yCo-tK*&BthRsW7W(Hn_w1Y!tJ?_{?RlS#>C<aWr`@92nBI;t{l9+VnK%9B
zNY(1i=o(X`HyHySV6rp@w7X`(qHRUL9w^2QfegWHOfim%IZIN?cbVd|F+Hp}V~T<>
zSZ#wzHl~A=^4XXok&ApPSL~sb&&Cw+EQVIWMxr<0CSt{p$;OobicB_2%5ajJt_(qm
z8Eg}!#w%jJWU1Fa`|KK21n{hX7XyuU8JoG0*32z9$Yrn1Iaqfkv#W#7eWQxUX)2JM
z*37r(grOT)6Tb+#<(euUHDLMvpstH-1pGxi>_Twb*PQGghz&<2v)b||0@p8_ex0cv
zf_VqIlG!~3U3WZ3t8zY8%eKy_eHKqd{)Z=>a<x4Ga~tf=WzABI5z)Eu!>4$>6YQg6
z)WdTdV10f7ZqM)RGt5523^4Zr%wP5we)K<lX)FNG_P8^#ys}EA(H=&pbuO||8Vr{!
zo3sM=lG-GWj><Nv8J4bO^)y~Um>a?DGn`g?EnPamY0xyWTe-Sz_b?P!XP;ppthU}K
zI{*^O7~Lsu2*#DSCt3LnyA|@fA_-8VKFd*COyg9nRQaIhE{v~k*Acq@Tkevze|ez_
z<V&z#I*H^cD!a4z{c#^SE^^KPWCx&}dLt8?lt_iuJ(jmYxfQm~Ff$*-)SuGnKmx8^
zHI$5W`D@2g!0N=MD^di3mh%B?B)Zekgu0}2qHWT>=7t(JG$F;4I(_M4rsE5_QnI{!
zgVUy5p0K>q$2(izpfzPNxbTrGIjOLeI%sqc(^)b#={&3D@*NLm%iCvp|M36*{{Q+d
zk=j)g(T&zIhfD%o=$9I?ZN{}>REu;t-57i9wFonWa4y2e2KojStac8q)(Em|Tb`qJ
zOr}(7I3N!gb5;vx%Ntzsr%e;FHkKC^+DMHHNDj7+X35I(7B=}_M%o;$4=~c==-Dp{
zK6$j+w|-GYm=S#P;7Vz)Q5CJ9NU<q#{R&V{`-?K1LWDrByV7{pms5<J`zJ^b*ql7f
zt7#uMNw0<^ev|ko2TcF0jy~$2h@bFyD3zd|MEELV{&lw}E$TKWC3kJ<!hKZuDqPs{
zy4-k$883H;g|pK4sc~T3a`<1cPr$(76)FwRY?K=I2O6pk!u3^0F6yRh2Y}mQ@pTwm
zIv}dKDq1!P)w2V^rz`E>v>>xXx=PHi`Euv|xt;dM`R2L=(r;7_ls4ri=%8_+*oB*x
zlQxOsz`(sizp%IPKKqPt0@`DYuH5u3n{=zqsw=mjHR<VQ{`iuQJ^Jd;4@6iQW8KC$
zf2@%*fvyzTC|~7Fnn^9@f<Q4*x<g*m4XRTcL3zZKG80|4HoXgxR%b;<cfR6oy<bb^
z(qRo!?`B28i)_V2?u-0tBgp8ktXRl>QE1z)D3779805aNM$L+RzI;V+xEi@HoZwba
zt4MswC46qt$TsLRUr4brz9xo{QqSU{hi>kyDek(hSpsDY4+Vl<-yAL2G09ya_eGy|
z`^%Nt!X-gIEa!<L;|^1@7V)^`l0D0`n&85y@A#;eFQJ^O*K?s@RlPYB6=lj*^M5J^
zAlN=k)9ZbDSx@tcJAN%7Emc~f*E@0M3<<v)kg&ajGbH@<Lc-H-(VRxUoiy?b@A>Te
z-!TRW56%UvLLZD7NBWWZ=5DDKod%C6f_Je8JI;_W97zo$kps21TX*MbNVtTNAe*#O
z;t<S`aD<Vtp>;4*L&6b7_KYOUfoTj%>6`hTnH)=R@Nv5l1D~Vk{=l@ax=l)M%B8$|
z&e?RcO)INLHF|->ZmOZ&<Vx#_L7DD}iZA;RRN{J|elwoUJwNvu?<q2|AEgt9>?Yd(
zqT;J1o)z}R1gWZ*6cGtxV_?P+Lb24k3V}vyliQdNzQWzIH3~CsoIf$?sy19{_TvZ3
zcEnubU#3lQ@=FMYtA&7c#*k+W*;^L~372`J86Os4U8aqSNEz0ZW#$QFyh<cp-u=IS
z>~lXD7_!!~dwg$|?I50-+k@Wm@Rf%WHzo_#M5OXfu`k)6gyASM17D{j^kqQR(s>P1
z2w(2IIo9UD&1Pf8Ebs()Ft-OSHus*p$@U;N00EOd--1o+E+L4R`PA=<yNJgXWvZD>
z4t2aDEbb0y<i4+qEa<dbGzXiv6KsC!fB(K8{b0<{)Y0M26=8$KLBDWB4Gc<H0Z<zS
zgneZ-%Px34lC@E&n~vyoWf~y_8Eh6ouoO!=5abHh2@`^JUR|(EzS^P8SL<*>knmLz
z-0c~al8<RE^o{w@3^rZxwA*Z@%65;kY0cZkibSYg5WTwKs^p4TTBFhsWU%RiNdJL0
z8z}95zsIPm`d14=?UD$^QFa8$9VVgF*Jm^^)R@h(cC<Ze$?_m8omQ>?UKbYin67L>
zb(eF?d7DLLGq1|eeKLBqW7J3uSMttO5o7sBCfri+$NWXwloV3Lg4d*qut)d``{eZZ
z9ZNbPg-6xy@6yQftregT{u|%-;UD|YLW3y=<36|}GOyy`lfcz_=9y4~m2+H^eILpJ
zw0EXP?5n1Q@w8r&KL;HJr(LHgg&NFPJV6_);0iQ-qL^aE440wB1X(>Edbw_zBG1!H
z@@hZs^X&B-L#?uwXf#CrYEXfAeWNbC&wUB*-K(O(SCds!oD7ehFse4K1dIv~BQA9*
z6CmVNmHjCRU_drNVe0;UyexOxzc2Z&bi(J>N#cC*%*78z*#Q(ys&gc+n#&C8x&Q%F
zlU|ZEYv?7ZvWPMPDU$T3^+IbfoDX1vQlTR9_h3Y+Tw7<^*^MmUr?dXWKmF{Fyd`uN
zUQW18#p{x-6?_EX>*95x5ZW9S{mQ*A6NJ8?M*{+&HCnvX|0sgub(!D^M3i{V$*g&j
z#4~)PA}C&$3-0&y<H*ppwf^i76tBw!;m#<Z7MvxNjEU=0Rur$x1VK!SaSuE+irL$9
z6tBw!acZ1{LKg{=)r!|sMXCe>L)Y!5wkd0!&;gUxM&A7@r8PxH&#m^198c)cKpg&-
z-bZni()*|-4@m(vs5<mPRi>mx3YC22NC*g@jwhIPK3<bfZbtyM7`;pw%KJ-wNIE{Z
zlfuCH<}xMb^Q$tYkvebj#M5$hnG!D6wJ1jHy%<pf*VZx9mhaOs|H7C5>p!!OfpAw~
zlgl*+_QGy~pkD(Li^omJ*j9j;yVXW26ElAhb&LywRDrP~R@_2=$@?2CQpdO;82yY4
z3G><1ISD~NNiK+q0U+3g>OlRI(Cr5!k=D1m;C{cwRBfn^6FsEn(0rC$5aWxK6SwbI
z(=T$t9jbn`Vix*RBRl&Fmq(r_mo)tbwS}=ZtQ*bOM_xpi+?_CrO%xmoinO9Atx2Qk
zl1KE5%6NqxB2Zq+SaVbrQroCDiC)lF6BhNRU(^w!{@Wea|4h-3p_(b3!bVq;{&!9x
zK6j%jL`-N)FF9&tVM=yZ)Jc9OBp8X4oXbCGPx@^s&uPo|D$n<BzU@7qT6o`-C(#|W
zLQlG7utYBjy>D96?#!PJh3amP-Z=_xR@QbFg1oL}#r;+zJ5Z=L<IvvxCdug<qj_m$
z12d{M(Zz~lhD{J>W<%hXORG{3K`GpHj`SnEN2;YghPf!(6@p@zT@X#`;-Kx({jUyM
z2vT|47P-_pw4R6@n<(fThM*`<mxRy9E(?(~HS3bo6`f3h6Rm}DZ|?5-7%%&GAM_pr
z;e3ZuLGj=*bY9V(SkzS@KR%uwNlsB`Q)D_S&sAK<AB05`mqzc?;<QE&fjo5UZD*>V
z#-=X0VrwUTbNruLIluhYM`4?b<9daP9}OT3jZKp2Nya=nG*GJK(WcZS$@C~Mb~rt;
z+5k~)>}irr&+;Pi{bP<$`#`0Kc>#Ep6}EVo(WTM(Md@i?SbZ-ay`{w^>FDWkUhKDP
z&_KyUy0n<cC8s~6GG$IpYk5e^tU3F4e@J8CobTWdDZa@MDHiaDlpmjlhxBb%KBX6J
zyQR*9OJRUS{uxGS2fm@dvcgS9CXwni(TH2fVqf)_LZHSixE+B4x*y<qrTsDRu8KQG
z+9Nm-F5@QX#y~?v`oR#WjxXEk_%*!S&X3c1aCtcad?SqN417b6Mb%y+1K!dFZwJ2N
zd~<CT2fkIcRXSNM9k7nubPiiwKg@&MR=;1TxW)dm9lw8z^UVYQFu848_2WRGx_+`9
z`^!AN*wn|X;7*$w9>=#^)JkId$MLP~N7*d4m{sYUTYQUKiH3wFzwK_~Jj%-dKYQ;2
zv|E~$g|!69ps1KQk%@#lB?^x1AHMsAsF|rADO6G^PfrXK$sD?8rlFnbzYpEbIN&HD
z7DNKEz(^%PG*N;Eq$p4>K@3hJRUjECl41hJ7)>T}u?Q%k$`TdxJnQnV^}c)m`*P0z
z|9$$*MtlBmpS`|!?{8n$^;yr_RV!CHt81Sy*Ilr$V$3MC)SGn!(}K!(eU|N2UoGWk
zepN9-L*2H|U*=BS=P&O~0zALKulbo^BYle}dNnF(r9X{DbooglSr!7`>6I5RpMN_B
zt*^9hE<a%MU7?fcI<0%fa`~fAuE41JE-jaq(mzj4H^BBk>8?;(`k(!)yhX!3(652J
z!m7?E{M1i=`gmP>&!h$15sX4MGanUNzI|SIJqbmW`Yo8+Q&(u|wiLnbW~;$DW)rmK
zX33BWxChBuG~|effixv*EcJ?XT71R*aYsi;d|Ml&6z51o<AUIc;`n#?EW3^Q{vk+>
z>Vk06m=D2<`>jng3PE}-E{HOcdFrT%57(vl;1H9X1adYS$@r3^zKwd&k854p-}#QW
zeHSitO@}Pm)ntdYJ1oo^0a2MJ0^T|%@8&Ovvs-;3`ym~S^UVC|Lt~tAzS$15&9$<_
z@a!r(EZbptCFV$*m3h32tMx!54D2}#<t%-ouJ^NIt`J_8;_q)QrE>LmX=Hhd=H;ou
zKJAbG+5hr$#`CX7CK!<|l|l;DNF)P!fjk#jK%|`8R_6lWDpTKGuoQ#N7+|7te5`my
z{uR7{qQOFtPol4w@~;?R)(BFAx!_@g{06m%PA98>3^iC0)Y0A$JoyOwICRdx9=BW7
zvX~2N#h?(Z@~`{D?jXlz{#83AlO!L^a_}v95=GaowxIaQSye>4IsY0g{Cm{JTgiNP
zj)jJw6Xq((QT<tR4T-f+13ph;Y$EHRJH|4#Dz)nZT6Krxd?dAC|MY5*2H~zaRJKza
z7y7;--Pjhhp?#~MY@`^}Mxjuyvy|CGPcg^&=AeoN?y49!!Wn=IR@nwI9UgihTbtf=
zWNTq54k=rU0QT@WWo!SyJ3sg1Ux_w*t;=^IKu+Z{!eYKhcqfT7ARFKeQjIwdK~=47
zq1<kqFMSPRya6r<5F=A@2qbr#4pj*6oC^-}qEpqn;C_9CkEmhsQ5`nhg~Ak7Z4ulG
zRtR<*sj!+;^sP@*)fU0Ma0ZB=bol3AEvnWfPeYV&l7cLfL!5A;YF%=W6iyr|mmK78
zmkPE^4idP_`%@%`nC7I4T_mr8!Cf`(gtA=d=lh=dW8P1`e;?Xi7xI5$;?MrYmHBId
z+r0q=sFRu%zvN)UDW;;VrjVdhuYz4^ey93E)|AZtRoOVy{pTp&hbC*0&ceusk*sA-
zHWG#^{~R@QuJ}1O1-Z(EqDrqg>_FN(eMp!+`y(zeQy19<UV135p8tI9Bf6*EqBcso
zty{$7T7pYI!kiS>uL{??=`nu6GF<vGe^+vaCAU^53kULuFI|4_v+u$gJ@eAlw`k71
z&DVd=KmPcC5e@qqXt?{~VMhw!x&WFSOAO*-QV|}R<^do{^8WzpMbs^|xDJj)8}|Ji
z5oDo`%{18xEgw}FQzLEm=iL@bEk=3{(z7-0Op14sWn&qv+Dy@o{Ch5v%0I;a<X!w6
zd*YK?%X>r%myb=~J1V<QF~*OX!e+`5;#ia(0;Q;HW>@Nh^-ct$Fh;wzk>~kERM)Hs
zBKn212f+>L>Fc;UN5QCOuFnD?2YszDE(Bu8s>5Z?<BFJ3)_ggg{OTwebx%+_NxTfc
zeP$7>HPe%O0n|FFCiZxIw2~W=GoK0+IP+71&6{{Cu&h57{MbAdD5d&S!H*C9R6N_J
z5Q_>Ve5TH<Ezz%F36c}X?UXyLqu-8CCWLs&iEJypEXii*ES#`eCE2zH3&)B%ynw<>
z%`ul$>z*8~*@hEH+PlgLg+P@`mF@WbD8W`GX_P7P+U4W(0_Ed(E?9LrtL(vDy;|;5
zg0U}U15px18apKuunGUwk@<HKEumN(NH8vjYH|7piKn7US|6-R%a#&YG1ZaIrMp>P
zpd#2!`&M$3SEs8nW%Vq_obNaNrH?(lKXSgxqvW1|u`1zOAru!HKcoZH%Gxf&+`3@!
z{Q(um3~lDKlLy3T;)3t*w2@IUSx}w?=aVaZf7`88t+Jpz1SXjH{%~uXLo*TK=ZF#$
zvdnmRL0$-ee|?S&Ra~(67RZ$XkyZ;zjOT|ge1FH4A!+0Ch_x$A7QR1NHML<$Qi>6N
zfePOr0KM(ckwk>A8GL^S^hh+PsTCc+mWA){umbG3{y_?*cge!{2T4Wzrt_@sPvQFm
z{(!0WxIZ&3Xk|ZPJvB2h(Dh)c11Ivk3Pq%1{X{|PU%5%m1~puy_@vU;#`kB|6?(Sh
zl$Q}O^LS*1ia3mnWEzU1Mou}s_sAvS>UySu2w<4ptmZ3K+h1R!YIgMy+O~=ZL*F;a
zg$c`3H&0xiqB(a#RsQW?{^no$K&Wz!uw9jxbl}Nz%CO~%E3jRr%3ZMJluxUz71%?N
zs@w%jPPvaqoT|bFBUOxs_BKnMbIJ(N$nlEgGkQHVdWb{koN~<C#K2E22!>#lQ!XPk
zF-o&NbV@kECfEqg^J-;K;aE{rdHfoip$XFO&S<hKr(A}8U_J+;+B!R5<&+CXns_aR
zXr!YvaPgzZun*xcXx7ZPhZ<c1UnIdL7^<@5`TiWv2h?eqp<f*v%JETEuBy>8#HlPO
z%2Rhv(CDFR)A#14go{r1NZ9_tv(MgY8;7_qBAr|vTr~MLYH}Oqm6F8i<%VLH;HfzD
z_2vY<D{9@|teaBn)0Xd3>p%C;zUR$9JFeD!M&o(inPpTd4)yHNmALX0PN<lyH_D90
z(`F;yZ`K=GZIq<Yaql!Hv0_&1XqP3p3s_ZThZL0&f?2I&_P|;APR=XV3~S|<?mQrE
z3?8Vl&Q!*=U{>pUM~k6t!{}h*(3M)pga*v3Vsvl@xk0mJrPdMPsA44*!Jt}4VoOmb
zh@*g=sZX;h70QGL{2&;t)}S?|!ZqhYp~Y~u?GPn2rNY%lt|r>x0ZnO+UfrPG!KY4=
zM<z=?umKR|d%3nuW}6dJ)$C=!*J!EGvt&K~{8B1>OiP6mtcrN^re#K~N}t;Qmh(4#
z-@Pc&=t8YGUzBv;Al*vsd*=SQ^M1ZifLZMq-}`W0V{}8-0ou=JUqcDtOu_|D&s%qt
zbk-`F0U62mdc~~v2gl=PwLdr=H>>^O;kfK!DZl3G;kcu!uhel=o0+bI&f^Z%e@b&D
z9;bVyu`|OVIwB$F7&u*Vd~~fW)%;IBI6pVLn@vij;!ux%TL8uLFnAK}rF;cn(VVYf
z&fVWjGRT0vrnt3)8>4SvcE_@<H==^*1LuUoZB^QN+|uA<MfZ{rt1>IyN;|(>I()3?
zUcS4G)ni-H)y+o>B{Cl!=FQ&xPd@muUp*dRrMy||!&jD8%hU|8w$?r4Z}b&d%(1*#
z3{F4`PwT*EOFNig%a#^pHZo75e)lMk)r*M;xI*pkuJUFv5dl6#>$Fq>*6^sl><A5v
z>KpY4)Dh@r!_;(74~D|{cYFjr#A{(NLfb<mF;Ob~N)A62emhM+s2rp88<lDZRFz`c
z4x`$ETLMA=6hZ60SXH`j8g3~~PEjc*?!KyY3(`IRU}jU|{H5BQHu>UaA0fT*NPXez
z=2|wOnz@!eAxwF+lV9(9!w-Dz+e0^N5z?a|uYjU&h}688GPm0yag=M2#%f|^&8m*w
z7C`y($BI6VZlpYY*sV|yXHHAzbk~RGo$?hy1$-3r((wR~t~p1!#a?=yTAl_$i&14F
zrfXNM%F{}L0gH4-DWW-aU7p@-$z%uE7NWoQLQ3*0ehz*)e>d7xg}5rpzSffDl7$lx
ziO25I#R0ZoZuB2;c@M;d<!d=v<4Odhy3ycRp+m3c8dkl0wT>-o+(+2OjV4e^M>PU}
zJ%yX1`1FjEJG=f@XpI(JR)tHthOQ)gl%Z{}rz^?Un;Vf$Q09_znznpj&gm0=`Dczl
z9XTgOUb?n59OjeOTuGA(zzJT{@dnV<%HC&N1EpqaTVF8<_>jsTZU9{ohlE3eSVx`k
zSdrS+SKP1n;N4?(yg0ZaNNwwa&@^Nd#1nI30j2&i%sCZ7C!2x}wQ_i@NNwwasN5RR
z__#5zH3UW5x+I$7fdP_Z<4QKi7H#X20O6o&P06#)GR>D<JYkpIBP}Ou?stbSoR-?w
zB|*~&ybdlAPQw63wrd(7T6L!RxXxbHm*`i)*J=Z(tJ<FA*8+i^*N5~PY5={GiAB;)
zN+iR1R0ZbAFF0Gv^vueV`~u*~w9be%Y|Yhe<tKUE$GH>eFMr)n|H6ba(?HvBmHfgW
zX+&b$Hmv+D{mHNM$r?luXh>9W6}n(>&}IsSL2-53YCWoF&}L44l@w544|Fawl?)Kj
z2p!y3Rb~Pip*Rr$mvt-bxy-bO_aNpOoD0TV=+|d7#3^xy#uhhNW>Sm2&;28M%&9<G
zo@)NDFI<X$qhxn(L59UHWu~Rf<h_rJrF~Zr%t@o^ovDH0v`XM&X>Vq3*`IjB-}xgS
z8L3RY86I5QtwFWd%S=eX)etOAWx8Mxbo8L^avh@pI$)!Wtk%{*6rOQ>rH|wY^5YhK
zoGmRTh5<Q_XcW`5jYK+D%$D{5CR;_G9i1O81hb_*g4Re4C=!%yr6*fjj5S-^q1QGJ
zBv!0&d}qu`lMDdnz;(dp6^`$?JFle!6^KYs%4K}Ys7+S0cs)#Vx>jFBCro90y4oPi
zo@fMp_%=`vfj!IUf01pJ0YXvg(5}!_s_D$<XkpQ?>=l(nTMTL&JX#JVls|5jLkZ`b
z3Gq;RnpInpT4bv2NX^097CA#42oD8(?C+p`CB4T;KBm1lPK9x^CWTe^U;f1J{Toll
zAYvuObG~}#gFNlf=)i;@stpaxqpHIqh+#fbj{t9^DKFb)xyB+Gyqev4k5q>)Xp@IH
zPzVpWsD)UO>d*y^VH|TbdIm#M9l9WLbF7(=`*Z69g`_!yMu6(j1&`;gj+z7%*^EFO
zn(D9!9$3(UCWfZ9z#{}j9l9jw>lA_v+P4nxsHj7i1ipx6C31CgP!iQ)T9W{!BB@9R
z@`RF&y^&;C=#qyO$1HHo?Z&4#m0vD8!X+_w0Bm$}bYQmIHS_%;@jGmWoM-p9=NnY|
zZ(tIPc`E&)>JFVjn{4N4c=9BfZ_&ey#bmsrO8V;TsqSx@A64gPv^19rn;@T5sLzb(
znsXZ=^;?BkV758Y@7DvO+@f@os%`OKi}}f-bQn7uawO6T&8h!%8u3xBuP9C-P@@gF
z9V%R#O~M3{9I2ujhd}*(Zl~`HIw5@@75vJgjZn3>;0Ng;E*1q>3oHTiF@yHhkL2W-
zzN|2VQ?16d<=a4nR^tc%?eG8P&krYB7iz5r8m6ITMA43rEMp2C(lInIEQZt?jK<ea
z6qrnm5f;HMoWw>jYo$`=$AFLyZF5#iDCBJ68`HeNbTup1I*DGX{1|w$jbK(u@EdfN
z)Zqx0zeX@y4U~vj`GM8IgV72$Rs*x|RB{al()#*TR)e4h)!Uejp-!}2JJ>d<Q2C`q
zD?M@J(A%3Gzy{5lmDM=QKn_UuSm4@f>|um?o&XzI(~ci~vJ0<z>S~Rgl&g&dm2b@E
zxyyO69`!AUxh|PL-Rs`F>tNr8H@_gYt`Vtq7op1oahBSX`{?Q3FaFd2+7E039iV}C
zo50vR{1w^tPTZYK1;qG33$Yt;)5%Muz~{*$`cPExa31bR0Yiuig2b~eK2Y~sssLCm
zA6ty!=53}UCop1cF^~?bdn4UI-RmpH*ka(u>KsDNSz5*xAJ5L#?<<aKDr$vROC_yj
zy>+UUOhsiyBBJp>c^5y&p7^9|-3p}1)liRGf**h@9YcJVsl~$pSTW4uVT$$508+Y$
z{?Ku@5k{11&Vi&D;3|brvNV}o-jn7NT<N9B<i(h7lVA?l3x%Mw|2Ws#-~P?t`T6%F
zaMAbgO5@qrJ5m`>=kf0A9m&SC)Y<!}RC4wo9r<QFd#F^h@r;5z0-T|C&M3&A*PSv!
zHlBlh9y$9z+~$$%?8j1(Ka%W=L56EQW0kZ`AnUy%UqXi@nfmbnceM1~35bQ(Yp9z{
zHefJdID!ehHU{0-d~hQl*1yRLWkas+!PP)!HR!19`<KGj$ERZT?L$cG@(-R1ievEj
z!!`c}T{kb0HHfZbY=<V4R9Z-{v~-b_y>J3FC+0rEgrv@+-9z%dzvG|%wQr4C3yL>$
z)$4HB*8-{;C}HM|*q(tgVxrX?vRjiKquh!B2(cA9V=w`f>MsPTdgE6Q;H0T~U2qU5
zU7?yWj8~OkA5dCcQ(to!DNWVulEX-88b+5KMoQB%y5#W)rWamJbf0W^F@yRSCW5BX
zB{9hyb10zBIr~Rz61I!EExt%D3ADM5rA19y`)86QBTJWr-6jbo(bp@Ph!#hGAQPdQ
zIXVk<J1m86-v|iXO^N?4Mk2Jm{gV%Q0E1#BeE;}dZ}=Gtn7Q!a(EetKSW_6*VL7>O
z(8f$MyembO;E+PQK<YB&W0kw~xE)ay^ZZ0WkX-Fzd*?G)sF>5`2Qorrhtl^^$EYgd
z%3YZFg!%ZS<KukuPzmbnIdun_!jP7e%j0zel%CVe4}*Xr1(M-1e3|y4*<3wXk-^@D
zxrwd?oBhtqciemFd5i>j=It2^#_;KmP6}{V;U1~(5Yc|FO9o`Fu10PVMXNf{E#0EW
zJ!bssulSd~^NC2f>g;YWcG)Cv^t>KfA7avGV_}vL`L^a)otYlgmYD2hggqfh%jhfa
zF*O|ajyQ#t1v()}!{~x1<vnI034`>+%?Lr-MHf6{_!ImQ2*Q>ssagn9uN6U{6SOq7
z1Fc-MA{`eO1UP`5V5kl4V81KDS@x5LMXi(4^@z!lf4D!MLRGoBrFJ)c{x_^#eDZz%
zH}>>>qErf|JeBHyk=0vWAOJ453Q0c90Zq0}+_GfrP+SA^Ond>xwW_I0@vWB6wy9G{
zLdLeLsf*K;zt4vyjM2KGO(U$VvTYE+qY$=Hw$t}<zUWMj-wV`PRa4gyMCtpqcIo>x
zhH0~|spDc5V<;#s*{v@bY-8Fx9i?TD^@yJB)Z1@K&+@n*_8dLaZqZ^2Zw5U5#DDXt
zKmJstPqjwsrqH1UXsQA5fu?W_Em#DBTvNm4cmeH9E-RilY!615b{azqI$;E`3Yr{@
z6{+2P#r=7;fraY_0n!w@AiJ0(iFi0}5vbNU3F<!=#EB95NGl8|b0bK_=7MJo?Gf<w
z0Rx*l*gEPwmjs%FUBtv_VDxOWq!^1LiM$DZj!2qQVY@oGkJBDyUP_{uS$%*c$>7S@
z1mDq7oPhliJzdq&XW4c&&lVH6oynDr*NqIUvwv~U=$uiNU6(zhYOgZQZp8WbL1pxy
z{U|!O^Zpn1eo3BQfAVyGQPdvjxmJBb!c~H^Y0I}3i72|?^*w*@r(Pd{g%&3~BkGlr
z(m2xBqRIEw1%riuzp*gD%N;f2+29D+T#VWejew;#^+O|j2D}$5Er)ZbU547!1qUa<
zQkxb*H4UUSb)a<o7-~}&9GL$)NlS?)C@O&;^c|0!ok*A>y03euG9+h}Q;yN-p6il{
zWw~OCLp)oT^b#IMT)}Y67br)Ymh1^VQY6Bpc!6>VSYy3_HJ*QQ0c%J%h0%R_@-KJF
zE?|v0<5551?LYtdZ;gtfs{GbIMyuwnPiGIJPw*hMxWsH92W@y|9}zJjHUJW=$A)M7
zI5^Ba+s86V2j0HbAj6PK#n3J3ad4J-LaRMlI(g6`)fwX>IJdH<(DBhR0K~J!f>vOS
zn52V2Mjh_ZjHMw>odjB#<I^4Eko}i3Ne8s-sMu!s$*6Cj2H$Y#%OoAbsF6HOK7&;H
za`LiC25JT_eF2rRD%%NxDtjo~VPwhInX`xN@x0Z_p!BrH`Lpk{%ASsNB(*KGa(TS6
z_XtnYS(2HzSJyK&ITD$+q2t22bUoA8fA`n@)Yt!>NM<Tuqq`Tnh5AD#wN-qI?LuZv
zun9(+T9MikkeR7irLJd!lV`5ZAhosh==G7>qJd6ok0;O*GLM>n4BZRAJO?O9$;<+7
zbZRe;xEC&oX?Dg00l)#1nR!XAeE9{MJacuAnyX}SL24^`hic7yck&Qf$<Bu4WLF?1
z0<MwNRvnE;2MgM!3sTx(NoPI^=}dc}MvO+MNI5l~Ic@pYCNZ7)mCt^}<qt<XQy1#!
z(>?mkgd}`}d2Njv$Zu@u(>)mA8o^4RDyIU<O~@6tibZe|rdJNT%|W_{k89|QW<`c;
zuBs5saV`THunBLdRh8f*Y{mTwoVm<<Vo;|H9}TUdR1&`80kigG#S`k6?T^X&6y$kO
zqX+;`kYjNuuh{6*9Wfff2VneOtD-A?N^Wo*9BTqP)-sf9Tay2t1M;`>!$GdwULgtB
z{0Ct$9@~d`iDu86_R#8P^eMBSrc)mYl5p3b?cb$5Bw)=7(DE=O;fAfBUmmhYdagcQ
z;-uS)lcwFG)+Q}+()GkiKe&16`~UloeBudEVFkv@K_89;4E!TfZJNKcT^=Vn{&9E4
zpj4tmVAnM%7lIl8Sf+3Te*i1X>|F?E{9^zmH$GZB1jVk~q0gA0E>R7jm&PO;f@0TA
z5bX=#TvAvgfG72#C6Qx-fRPwa(hgR*5fr;_f`QQl<46sZMDv1FpZp{XNE0(p6!hUI
zIU1=H+t(&6V@K3A#YkR`^&%uA-G{J@s$+cO!Sa8xCqCu<{2Y7wK2f4u(ae1Z3pMS$
z?5enZk!)4pF0zx7dwl>?s?YFTQ#v^`nY4Us1{j+B!nc3SyWSD09&LH>(8Qa8Y?2S!
zwJ`KqHIwZ!73PA+&53+(9KFHm&r}Z~NEPOS*3E{rHE4!Zg@qthm<s~8t-Nr=gbz*B
zIH2MpNDDSWY1?nCNEPNQ9=BW2u^}`8$&l4(LXaxV1<x2!q1ZZ{g66G4kSfdtfeGKB
z?g9|r5rGtbb@WP^3UkRlxI*OEXc)tXMv^wfC6D02vx5UQ*h=Q!GsEGrPwZ@gQJkhc
zzP=_xF~nl{<@?nRW6AT;M-^xlniRcMKIrbPK}5z>rz%(=)bwjmpInIl*+2BRTj@??
z`v~cSgW%Bj8#+>tfqlNT{|%A8Pn1dub%{+#>j?_fRPI3|*|o(cXyi(7JJ9LKq#dG>
zi(SEo2LO=R6Ms|ccG~iN>UQ(T|D*T3DKbG?=5TfEwPAFY9H2!xq@X(sI|JK}YfjsN
zx;?@rRFBBkfL^A$^%ZlOeUPGv{V(DO9yj2kgo?<#1i5gJ%#$*EB6BiGQ3MKRElPvN
zz-UV29I0;o9D(RnO^^9SHnu4Qscv1+XiABx+ZZ!l2-b!B1FaA<K`6}ER1l*IkBUGP
z1V5-UF{JK&^As=V%xlvXW~)3`TK@McmF4^Sw@)VijS^6Bp3Jtti^muWjtrf1>hU+@
zG1?<NSA{Lfm)lFeOuI#`8Ca4p*OPqtN5A?ze()be@<na3o6_R)uA~6W$OZX$v1hic
ziCjqf2_Jrg>49qavJamlmjkF+WsDC--N|6l9O5Kj2HheXfUqRa=pLG;)Xx!ZG_ZFJ
z9}Zg?@s|&sBNrgS*vkU{eUJ1?<-coD#aG;)*K9te;2EAocJ!6)&jnEyLb^u|4a46E
ziYYCUTiAJ#T<yh_=9847ic6wCV)F&hEbZhNaFWqgOBp<;#RzvlxYaY`dTDkVeKH~|
z|9pRHaz>^5CJ5>B*T^d5#<wTBSOykc|G<Lz=^A^M=NjLs>6&TFw}yl1n!o)~pSb^5
zB7VS(OcWbE<E&e7U3MFgA@i+1q68{$pzSiHR|L@(4_yYO2k%GYhY+OFb3s5<85U5X
zY(T!xcRB>A^jt8;dzOBe5Tw#`K}=U;as^F+!Few~h8mno&jmqQgVL-BZf*2RtjPGG
z2m<peN)H8RAH`5sWc=WQh%Pup8b1b9K$>y(6(=dEqK8y33`Yg3ReC%3WS#gQS7!b<
z_QWUO=YL~QeDZz%H}=FQJ@dL-&y`WDoKTL%lel!nWIh5RwNL&14avRO!<0k5o~{^s
zNarf0B_g=Jh+x_+Y8Cqu5nNA1@N-}BLx1Y`gmKjH%p(E|){t8~&^JcT>;SNnm@?aC
z#?b{07|BM<4M0zIDl8}0f=3j6gIem06=@uO#lvc|lhEM=c04saAy_5X6zjyi)z;`}
zLXgJMR~#e?&&jnxqVSwt8zc&^lWRb!i5YzWrf{{2X)KwTCR(iS2e)HtvvQ-m$m&v7
zLa>cT3f{D2*o4D7bI~msw$+`Q)a;d)p1FMa`B$1w(GPo08`E<mF3)05Z-&$6KYr=&
zz4W7DPc>?Fds@;xC2_V|$q0&^7-F%?1qV4_bA}Bgs?k|tNhuoMykgF<*_<H6xg@P=
z1apS%1dx#i#QU=Z#CgS>VH;$T&DelJ64{Im80L^AyveUmV6f2P+QJR$3>(6FMQZ_3
z*2&ssY{0ef(oPSG)%>OwkYu4BY9>)-<mnLDKX~OIGvnk?alFg@w93DG7ydVv>H9>f
z;o{lS3383-fCok{+dDO4H#(glOQ?K%q4Km_w3zmrG3|f*+wQ#bmVo^!Q&Y(!3y;7W
zW|f627~;cfcuXOv!r5&I<TA+CEa9wcAf}c)vaNX9a^{w#UVXZhkwigI^2mN@<f8@t
z1{7RpsG#JLT@a{0MbsV7o|!I(mOQcvqOl3BP0S;Mgw9gfMNsm{F1Xw6B-f2Gg`F9z
zl1Dbd^KQqvUnGq^0skjS@v@?X%J$nZ&r9g;$$+O#qt(b-!v0(m2tsn@frJj0y}gnG
zG;qoDo^7Q(`p##U$E6h5pGzWVPXb=#yWuxjmfy~E)U>OeZ3A`v*MPz3cA)=sJ14zq
zI2(hiLVba|?74KCZd)%zYQSxE&!TdSy#Fd0U3GE>d@<{ff?2mGdahZYT9%u(d~1?e
zmitRjy!-F|r!gyByK+2I^3K4jVZQAl%nhD8m1nyK{%<RDt8=R()v2$D>98jy#lhqs
z4S;NysZ$p`Z#F~|b4BpYNTd{kRHrUz5Mki5R~w<W%!j5rbwMD(*|&+-NsJw$Qpti;
zr!H6q&i1w7Q=Pite$7cpP*X_E8r<4;;m3$NbxDJxGd;TNwrxr$j<s#t=yN$s;Ze<J
zSv7c`Hd_`2u_o9A+nZB2c%DH&puc=40FY|U>h^e?M%&Z&w#oz#|3&AG@R_n{6jLXg
ztEptv-l_|9q%@+Q4(v0nue&g-_?r9OnRbj<31MI>nQsvqYuT)eqmw+TmCW}D@PB|m
zL~>(OLHr&~HYKWgR{{5KnNN$#7RhRUEqCenUzI$to{&uku?@{N>q#9g47DvYSz-5h
z`YC+$imU&1a_)d4D%DTo62cS@yfHxx-kI_NE}&bT@&T;mDTBiS*shq&N2Y^sg}W&I
z;1fr6q#q1{>IchqlvZ16q^i>TmZ!E!8Qi&xEf6P_DIv`!E-gLS*^?#h1-L1rG;R4l
zqx5%w$FKc_>uc>v0J-(CO*&QSJ18h<D4FeKqwOr#Go$1QFOWPlynx_&jmAelI3bwR
zcZ1DBIek}@I6YnM=Z_V0`VPj+W-A@n2p{ScV@}_lPDki?C_IdzGBXz|Rsgxjq_s!2
z+7+DCp?=NRuavWX6%hAOc=O!x`?uQK(^HpQy!>nQ@;}^Kdp_=^Km0Y{9Wj8a36B9x
zX*>z)c%p}axEraKAdf;6EtDe|G;0*Crc}y`;C6u^qWj$eocOgW%hi$t$XyUD5N3?F
zp++#PTX>ipd=5d3*J-tAR=4nj83VAB(i^f}IgWaRjgx0J163h5D7=X9ga7xix<!w0
z$X=Vb4a%-d?3%2#;$(PwgPz^_BD+b7s7r2+#y8>DA>ybe#9-h|Me(Q+NhM!RglRPy
zy1*;9P@|9ZeLgASR&_Wnr3Wyduf&4s``k|7$NA>rZydNYXFv{3a9T}#!05q7-X5;P
zY)8$W&AE=+QWRK<0?)tjPMNd*c#i=8>Yx0}pZxBRE_5dPzO)uBxB&L(Ja4@AT<_nn
zj_iMd1p^uK5ElUQ6BaOlFpGvM#{rcED**#u{&vO6f`M{Gss|^b?W$MI7A*J*b{MPB
z9J&e^JUztuaGIcTQ`dCVl*nQa#<IrBRslnV7U-C1W00!e9~=l+QcD+caLHoX=958!
zfB>i?neR@pAOJ(yk<9Vj{vb36u<~a%G}RYV>_|B}X7NY{4_pl=ZMRM5nQu@8OFJ#b
zWI%>wv3$+oLD=uRSciOz5)Z;odYr2@b5K`!5HP{0^9T!++mF6SAC;C)_MYpDUnIE)
zmjochK`Z!4)qNlJh14erMr}G1>Wp-I3sm-@z!dIE`CmPhms`ZAv43)chPiQ>p5y~h
z(e-?oMQF(3NV#f-{8&qUEkkaTU((@8Yp2+PykOQ8+lS3>t(niN^IKJKv1X{kk&^9j
zeYP^SH@=Vbmughzez&VTPeGv0k8wM`j|*09N_yHoDdfkJN<e-LSC?>S_7q`?ZnKF?
z3s-+}yB@<~9;U=bJmWUUxU?-?Pus$q)<63vf8Chdg(>U=MD%-8=`BVYz-odpZpH}`
zCM(<Zn{c~OuVmuj+T5=F8hKZ)cwTR9_C@@dY|;V#SX*+#IkZEta=VUb=8%)5U(<SW
zwc7=c18Zt}L$MfXP3l?Od=g|=Anc<gk}-7UvIi@nx=X@u#S}tHo;MvPEty*Dl4zbL
zpB1w(tT)zqoyzUnA21VzYr;X2K_->slijYvc?AcXYl3UIc2ZDctsLV6E~p;8rHX=N
zcDpQ#&tJ5*rj~S-@;x#(LY(J*D-2!vJS(@$@G?wQDLYt5jyhA}JVgt+x-(ZhQ@Xz|
zryZ+x3XbkR`Cs#ysuJMdl1b_inHyyr<0&W=>?=1$;;HWw&j`sVcbnS3aHHT=<n#<o
z`^W?ll=b%6jX@=C&d86=iEdZ8F)UkF`5*{XZj5Y)8^bbT9uU1b&MOnL)R!AjP?ZE2
zBKeIYZkUu^<8;KUiZN%{gkoMiqs24wK;@QlhV;lT-+4xQ6d!B^w!iJ#VC19Ly8T7`
z!gKLmnZH)P`@-{=@4?E?yd)kDw(h;>#dp7=P*mCH>(;woy8PT{-xc3`>3M8F^Y(Ye
zmt{)#9ToE>dZc(VgjIdcPkia$oG|8WVngTtxY9fm#%;h)5sk9N9edjfg=u<5-c?Bq
zjufULXhgHoe#wNC3xds&2vGKto;$Q^G`ubdTdtl_->6dBT^BrUw}5?eMdYK}7E$U*
z7aZ&*rPXyo<IN`wsM|{_o{>u)SKzjxAAz6UVQLf4$R$gg%xUEW6Sj*`EqX>SIoO~o
zo{>uqcBqPHR3rg>lKvoY&`GK#bJU4v<dVm0Xi-(O9==56M-mU5T58M6xI@6djd@nN
zxtLe|)?Du)pGMEAG-pPM<TG?rFP)OmGUkkLc*bIJJDddZr_-i*IG%c0#+=b)E&Z)(
zf0o3{tKweV``BOcz5hI#V3bVWn_!Gu9%1c42ah7qH5>1&^ET$p=;;B2Hu`>GH?%qx
zf|7T2K?K6Ev%>RlHa-ODUihH_23Gpg!+K@SAR#Eq$5ynCS*!@cSgpy0Al(aJF?vkF
zlHCf5g0dA6{wv|r5ly}7E5(X*FMLJR?$Av`r(w00(Ls3@fub7xBr%j!YAFXCTPsen
zq_`KpCdV2fp@AkCRGu`Qk|ZVJZEIQ`iIQ5DtB%gJn6HW1sr0}oxw0mhv}V3N@YVDe
zfeTlFj@6p^{=h{e78`5snRBB<nbyoVC|ZT!g{fPYB=a4@ELu)tK%yP6AFZLe<Y?+c
z{e-9^>Gs26`DBgDocyqV>9*JEj=6QD#t)Ir>vmnlRY}<gWCLKS;pSQqr9<b`l$nt5
z8p)3|Wfmz*r2|S8Cm&EAqp11_33m7jNN*s$lD?1p%&e*v&bP|%Abm3@-2#4&?8)fm
zDXt4Pnc$p_zp>|d#MpJ3a;J9hOk2J!Vld47qW|w#>|PgkK%)o8J(O5tg_m3+Gf)V$
z(Iaoa3l^?z5P;%U9tbn{TP5E_VAdhL&S56zo><a&#VKU<ic~XxXe`JgG%{Uaz|)Mi
z?Lu6Z!%Tq6HPnNkK>TtDmBY-KrY-$G^`Uc^iB3`N3^}i02%2+j!c6p4%1L5ug4&=s
z$tuh&)3h<q+UN;ga#R<Vh^Kr|Z5U09+@UI~FY&9=T`;r$yG3wiiThw1(6##29|iM&
zx1sbJ1R(<BH479)=}AnT!k12f2h3olbR?juzmT;Alm;+<p|ptkt6D)stK{!DT1K>T
z`o1W!bi!j}u?6E8-=~_Aa#_*x;yEOx%k5CQl8s24;uE;i1DH9z;{rs$)hwlQTubDa
z=c`0}oNr1cPFucDCH~@X`-We7PpCw#=(tMs5*6^l^yqMrk!R6&K1x5lTt%>;p4Y@6
z*R?EOXBQ0AGg?Aggcnt!3tDCe6{6ExbZ1nE-ug6EViDY8ysi|oY*tnlq)K!_OoO5O
z&YII&df2ldRiX=`CW*=&lq>;BJjX1^N4f~^IV^{QD|XG*f>litE!-&FiR8wnOWGpL
zr?H2rtf@In9$ZI%<%3sHu+plBCYufibXAiKyf-E_bSjPc_MjZ+=ZOMh^@}DcWL1$@
z<kE`gCm&4z-dDAH9}Xz>XQMZZGPVyd7bUAlf-<%bFQ>1nFw$xEq5al15<PoDy(gUp
z?U*ZSa50CqEz}v*X{a~o^ARVOsOivWZOxAyY;)A3)(GSH(8jaIz;LolHV@FJvpN-}
z3pRd^8Y5TFcd_|+4T||c-uP8-`A~F|sB_!NO(3cjG{I@RCPn~IGxLI>H>iN!Cq@Sy
zH8mc%?SZ71N$}~X-u<3;ynOG)FL>os{KLI>JoCykpZfeI{{OQ~3iH2b(+kwRh2_P~
z9*%#mcE%U6`_A3{MXt#nFRF^NgwJ8D>;8$|Mdevg>n6vd_A8)2EB0b(O-OEqrXJ!*
zvYj5Z){nw_II9(7cabaK(9t7q7!__Do<=XW=u<6PBYUwDIj4KyMAD7ckcTQO4Lmnx
zQ=@M6>5g~qB4$1&m#(8?AdtUZE2JuDeaG|9Jy+8`zT=(me&!2Nwbjb4TZubG&}*Y(
za-~Gut?%m6CBv@i_VcQHjH|vX6=6R`R*LVu@XUL2Rrs0rMq&5PV8xi<^Phx8+OL&e
zRh^j^L+a?|M{?_x7cZZGyIeQ<X{>(BPr9<=zCx87&X)Xu$#;b=@szvLFIP^u@6z%s
zR<q|l(IUvSyFzIz+3Q#N%U<($g&CM1`;pK3zP}Z-Tr_U+aKoY)pzNTeEdwK?;&aj>
zMQ3P7v}*fk24;tspFaOs1_qPMn6n@bQTaLYp{WP_9Lvl|3{2?EPs<sYsNdsU+ni3$
zz(oBXm54T7LQCg|F6Da+5AM)VR~eWn-=n=jGx4^_ok?zdvFY+bz38cVl_4*l`*bzn
z=E}wm6G2rz$w&ztwmYX)5%rI_0<F2m8(cX#VxE%qYRr`e1>tiuhg0QoAy9cfvK{V1
zCuV?`40j@OKoF?DFWc$+IN$7|F=nV>b0aAk2}ol(i8_nDMM@<hMFf<Hs~IU3#0OxW
zo1JC0Cppx&SY9_VVNll>pZD_1Ayt`%eq&Ab>u+0pv3Gfj=H;p5-u^Xz<IlekY`V(p
z;X2M(KAud}PpgJt$2@xD0WunUFgmeXCZV{k0oPwrS0-5S;KwZps2V{jLzo~a{+JU+
z5s~+x5fop?1d*-a=oVm<fTU*HCleq^Ez1Paf`-;Is#nw{+jEpB*AIP0-BJWWB-jdy
zb8mv^!h*s=q6F|LnJS(SEjrFGBv}4fWCiRA>_*z{9@j@*ep}Nr*Wif47%pvhcgacG
zP&8H=E3Vt?q`+Rwb0XafvJ3{^SpFX%b9eDJS1syGf?4!g(&i0nSkzzAhVqt{=z}Tb
z2hn%-td1xo`iog1-B$08h8=t}@&C;6Ess#8I{SU)5`>uNTfRFOi(DOVOhKq3qL_eC
zh167=G3^$$HsiK#5s&*ggNT3g@BjL*`A;H~rkQlNyPj7+AU~#bD<c!`VKlC;dE{Jq
zv{wNj)3%o9QpRA-gLc=?5i?`dO2SZenxS$jBf8qrnA9FRmof&cD73rf&_h)evAZq_
zE?i=#*$%X|aK(1Dr3|obf`*2Yn8()X7N=|IYogFHP)Nyzrr|<LzC8v8zoL+m@6T`{
zrMf|dIH#|WlJC$!A*E@rLI*mj3~-)E+^YMQu4leSz^NHk83r5G<)%?TMx(Ak4n^>_
zwumg^JC(h%13kW)K|>{E<Zk~@J*Dd`(&)LNW6C~6X(z(GzE3=(gno7_<9`vHG{tFF
zFNUipEd&VF=!$`7BysuB5TJ)`T*vvsc?P!>>k4;10}WZ%PR!^J@(mF)+VXQfqp4PX
z+VZVcXC(Ne$A9=qFOEfmLD{?IG|xK9lG=_3PeXBAjsyqewj2o##%(zg1kcCSaa)cA
z2jjLJ37$45Fo#IU2>zJnSLaCZyxD0hD+od)(RO7d2yS*|w?(Up;BqU;L=gHU7}eQ)
zBds#lNINSMEJ{v3s0s?b8H#aRG+_K&R+g$4fjx3X>3?-cKg386*T>XxmBRB?`L|Cd
z{*4kzJ@!<ymsoJj9?Sq6-CbhAzG-MnEa?51sSXy!t*dKgQ7Nkvo86H6agPOm<YWHV
zkKd2M+A#NnHVE$B>0|>y+*8pUtZgMS&-GS18ZsCw2O9wWbA~lm+<})1RxR^G<X9uc
zg23`Nf;rR(VvNm35OsOQ9BIIT1ELHz4Kqrl*(9H16$@_m;2EVLQ_Es`<5%Z61N-3<
zN<;#vZzEX8f`xJPh?H?FnPF`KK|^%S3zW~Yg0%(bD0*~j%;DrHCz)ppZ~7?c)!6o7
zgL@2SwxvD0(-Ci_jkC4T5y)uGD*Spyl%$dn(zsPg2qh%|)6y4U>Tk6M)o@kJ#;xIe
zt6mC3aut3@fW0|uOXs>t)22<iJf5{h4J*Cp2oq5e+@~5Af<Sf$?df-}4^t9`%#wQI
z<I#&;{`#-^)c^NC8>`c&28ea1Vx8;sC$wUrsxFlLh9CAibls2-%|s=JRMCo^5Oo&<
zZ;02x!TZR9J{us|WKauIe-=TXiF7(2jE5%<P5tSDD6VmIq%_4#9Vu7-sXvS09!Vb+
zTy?tAsXvP#GGmg_fu1!!ML+bY1}mzi`k<Q1B8~f3W@a=(Kv<#jlOm3Y0pimXT{lIh
zUJ>zyYptGI6C4v<!IF*8+EdnAbg$D(ti`DG1-w^P`lX}h_!y<v{8(!Zs?A$%hQRse
z^b54`tjc{0VwB6{TI((>D(d+2^^!fOH>H=RE#H?>`P}dRO~3!2Mn**kIXPO^#ySBq
zzdqr`qbme8A<Dsa8Cuo_Ew=!Yc1%H1Y)%ML-@D)ml<U&Ugfu}%kPnm#?!jLs1#ZlD
zv%cF{k$R~J?l+uH&vY;I=u}`KNWJ8OECEO*96hpz_6b4iB^R_Ix#&(o{a=d#AxORC
zg4P>MLHLTzp~bH&k}I}*;3Vm;syiD?%9H1k2h6Aw#SOPjXmj(=5x>qQZCVkm<z_3i
zY?UOfMv**ku@t**H!HUGRF??vX_BPRs~7WVzM`M!sHRnA`S649iqZ{jC!h4+U#&he
zwE+Fo@7!1Pzo_)LFs7wfLA=1gj1QxZYiMu>NIW6oEMB2KyK}YgmB`rZO&#zQ3)=X@
zwbDQB7PS^^sq|kDk?Jpg;3xms2gfS?1rOK9k4ih^*_{|q1xHEUg-D2`sE?J|tNAyn
zD9VaM^qV=m13Iy***9}`XMlWj)WVezLQT<QGJ(adR{QtP-MWJaZVDob96>Zt*cRRf
zn^&a`1kIHrjwWsJ7*Ak%%Tv<I?Qg?fY~fl4au=mzJoLAf^;qoNGN0wcV?N9O^;`e9
zFMc_y7wT+uqFf*Q3hE@wY<bY5)eGAKFnR+}HnMNQh!lc3j0#}*`efCM5Uiuz)kf;)
zht*bq;W>t@qTC&3mP=q}kn1d1MY%B28fhNUxZ1>eRg`-GLQO(aj(2Yat0;H3R`!tt
zZ0BH<yWe0=h_X8!ESDHRyNYs8yS?TJ0U|UC>?Fz5i){k7E`w<#81EjvigMTIJ$?|Q
zTqod4Yv$X7k#fX0AZ2ho%E;!pn4>;`n*JL1mBLdS#HLbK3Aya6h^R!DEoDj?P`}#;
zT#!ANKAtVk>+;ZrMl|7d60W0M&oOrWgC)v+Bs%i#DW4nVP6(nIcKMq)vV0q%5w-Qr
zultmr|4lKbL6en^Bev8fvscLPL8+p03~so(0Kxa$1wmH_Rt_X15jz+ko$a#z9Tyy|
zrcnF3AQF8@hiRn(sloctx%L70FtT<c*rjU9wGUAGDHk2`?!#on8=%#=;%SXUXmjXX
z`#7!v@Df3sLtDs^9Ao)4Co+uS`kc-ui@yB&jB2$evblHPL9F*s=jBdSIrlELF-LSo
z)>OXRD<t>tUGDBHL@JBrl^m1hU#*G%@A+g~+kM~WPxdLT_6U74@o$t)m6MKEGx`|2
zbQer2%TsqaIfUG=DkN#Cilh#|!1KBD>1|0eJ;@h_HmBsttbUTDrSdfmv;YDFfSNmZ
zKRwGAXV6Y+9dC`c*5-kdCdsnqb_4z&GFu=S+*va{%@@ZlUP*mO(E!=5nM!#VHcSMM
zGjQkaODv&}AN_<e8d6d~$8l5YocWYqmZ$WxdP=c1^ps+^Kc)OQ^ps+M^^|fukEg&H
zWNZRAwF@_%w)pfwPwBH)8{Y+A{c!kdi~++yi>P;QF|4afSIDHVl&;twF8I=viYf+R
zY^&B}2vikS*^UC~7U!E`A=~}Mwt|H~p#DC$<NG@dGRt&E+vCNq%Ev&U`o3(Z@8f)P
zYx4Gdv8!5>cY^GV6uoT6_hoKcY-;OXDUj;b^3AtAwM(k;&RuK){9p1N*rXj7N?MFf
z!&1B4$j3QqJ9zvhA3_QIG+&+j(`Mz!6he?fDG?3KOt`zg@A5}nAvbw-dO%ZF&vNvV
ze)=cg_qI2UR$>pdKDcP;%{9j&f}0!#A+lNv6c16*sV&*&APCbJcN)xMh(TNBtYTCU
z1zSzf!F_S)9HYYd;W;7zTW=6w)n&#UqhhYM)gv)CuZ<{kjC#g+Q#mvv&vwNeqaIf$
zR*M-Wx<!q1tSYgfBvm_!9JKj-E;(v{)E9}^Pq!@%O#Lp}o*!fn;=Zi(_1}u^am%BN
z^bI%&+Yz7gg5`*@yPQClYj(?~p3LMxZ=rFxMj8k9fkPXHdaG1S4LA;^G&vHZmRlN^
zy(77pfu5l`LWOj_jmxG>!Vpv)+kQ9OV0kHLUQapmPk#GTf8^JPDeb2KMEbyn32c!u
z6f@OJ0f<-z-A$72UGFhikoGJDX-aMDN$WU;3$t3;Watp2DRsdhwLh$lk0}Jjdo-5*
z;Iuy=>)ITerqowNE0?05K&UUnp7S}1KWQtTfo0OXFdPKM$@`&4?Ori7YODI{p1Nmz
zoKLn(W!c6+=~_3D-i!nB*r`9u{Wkv#1kVbDiQ()c(g%@IE`B!7a*Gm2fp3^Qnh#KT
z)16eRV6gM?n&3i0`t<!4b3T*zhy_Wc;7V`<0M0BbYVons>B!Y7{yK91sBfyjzrO<r
zxa`&^(>sMD8c4#^0pRv9k0{>7HtIyWSBKO?Z}`Y9T5RL=TLdn{3GRzd2K;Nk@3Wpr
zVW#He+GITVxtWY-W^!Ye%o2A7__Zw*1$?#x@VsiELNH}8(GhmsATJ5qSoq09FeNbI
z4*P6}&CUv!AxP!thd!-AJ;GS;c-kD*l7(POUwXNgg5dWPBN~FVjlLqr@M_HzO;tub
z5`sAyAG00yXi#fbtdj9%xWfsiyq279ZfT@6Q1f*BL-j_hUF`$VhFy$LQ!MoqnR>-!
zb9;IgmN4^C2s7>3AJNl`DV?sTubm%j+AUg4>GWGP$CSSBhkkkeNw0h233#$}Wc6lr
zOz9CeR9f}UD}%U%QAyRnD1aZyN7NXVt4wKUc5XJM(ItqIWNmzO)Q6o2DuCXqhx%e$
z0IyA{x9S~1?Ax4UHl>)6XRUf**;G_f{Oa12?qHKykN^Ono%z(5Qk&_A%&rUt$^C?a
zD)OIm+<<FX)&x+ivt}wtAY$KYzW8)N?|Sol1gGk2q63I9DOA<b!qbt=w+Dl!c*EKE
z*xv9-CSNVRWtUNa7sDAYK+~+4O|O14XO`_>ta0)FRGY6J!mUK!UfAsM0+!yHdBj(3
zDnRl>5qry=j}&{S_ZqQx+RI8@wK+8}ZSTtBFr=BRj~Jomv|F?|3^(I2e8C_8D<Ar-
zXtU9q(K-xA)MEkhWtp4<xN0<7?Jyt$7R1~dqXQekY>^^J?-{_D9fm>r|0?!I_bQOH
zI7ghV7LD!6LVh4A7HD<MEu%3!ubFMHe@u25(3*<YS=d(?P0T8&1+&9&+5(5H<`n>@
zMzC@i5?YV`K)qz`Fs!!P63hfad&x7ni=Gn8>Tp*uSGfJ*mBVmEQbKChi2NHE9spzc
z_F&ur_$j$2cn#WXB;EFQZIO2tX9-RSX1uk2S_XGLc~njsthx49-?%~2Rq1OUc4*a>
zqr+$G{Pui{4m<oNJ5PfY_?n}Rjd~Q;6s#Lf`9NKj#=B8Tl*sLa&N5Tc?B^68sp}3s
zf+U$;U#A^60G!LnxB%h2PI-EeR#tTeVOL40CE1R|{01|6GKh(+oE3-(0;nzp2uQZm
z_ra==K}=}loi!(SBQw<E{ONF1gQ*};AD`Rl_{2D+&AQHjx&kOS!`A^s%fmC!uM@E4
zd*SQUn>Xz1Ojw@A*He-su2gHM2_yPDU-|k!clwwTlxjW1TV1?d7!%j`)X%*3UInbi
zp8;ciKyH<-E?ZiqT98Vttat#&GW9nS$2M^`R?M}}0|;hdwaC+OF!JIMl!wkLl{S)>
z)l^sXAt=7B2_DyLFn(c0AeoF*Aq1(wYzsdIZKNW2JQ|Hf2+H$jD}wxh&{(V}vk>ZE
zEjGrNL_wI>2Uw?`>mxR%NFFyVyozK~cr^gAOCG=x0UIL?EHYLhZqBHlEpZ}{*B(L^
zd@TO0MRBWMy;oD;+`C^iE<V~M$ou%{ab!Se72s{FT=o!eM%p(%WhKqeu_r!Fk*TFe
zz}x~Aw8|556PSU)r+TwBuOuD>vCX!50rnA?IzH9_)hmoHNv7xeqL8H`-(gKpNisdy
z7gmBNhVNuGfh3up><a)2)H6V*67m$ybC@3O3)C6#P{})RMDJB2nV#*712Q)X0N`(H
zBz3BdU8Td8+#g)?yz3l2mu@dWT}~(kpKX8$+cgEV>1(b4U^Gdq7sYQ^J~5L#9as9>
zQ4o@OE)Vh+0IBUTz48{|-_l!vEib0jZvjx;{uc0~&qIy30N;#%C4gMr68!759;+!&
z_!ODWTQCF`Z@IN4&QI`yj@fU?u;F*ivD0c?lbr|nxx!C~X-<BIY=>b7!WXY;e18k@
z^DsJ_?|J$@&NurhM0;0$65!sIpCQ}v{f3^TgZ&mPce;K6V^_;{z}lGHiSvs&Qe$9k
zK%y&jI>6c$d%EDO)Af_>IRD*_km|U8z;XjoosZxAetaQczny^7)AxbMuGqjJQ2$_V
z$MGBNyFOkeC#6@bY+~>3fQfQD=p{O40Ja}vbBDdSl}C_wb8mMO=TVm0$$jw6%Imsz
zWO#SME<LOdaiQ~&Cc1Rd=U08TQ24Umw#<}#;hkkHrh_SYVfv8w1^L-`Y5A39revD*
z$q?c{c>f2#<hvtHrEC?RrgBP_g$?E%I_<f5u<8XjX$`T?MI_tvu-ipuV7JKV5pu7Y
zcbcj1;SJxmWj$(n)p91y(PNVm37z3cNdLRA!OSD^t<x47JGxmSxD@Eh`3(T}4vu68
z7G%csEdI44Gp605Hi5G=09;Q4z*qg1Kl}dA4hyEUNZf+iWD-k_BOF13UT8b>coRt%
z*qoSR>L4hon=B~)b7!Codh}Vu$b#Z1`-<C@wiFx@wzG7T1tnMDf@d4ZjI3E_Sc~|$
zE_m|NzzG~^&5DvKD1v)*dn1U#$j(hGD2W0SOtWlAB~zcHDl(IdVKxWtB+tJ_lVE=E
zm_m9yw--nXE#>BvaW-gUXPTl~GvA$<XM>_gM>5}@lA;0HL+~)wn$`U&^K8&&$udtR
znQstsn7othVg<u?E1B;Q_A4&~NN0?x-+nA=W?(5s_+nQHWfmQ-jkpWBn&#;F9$B|P
zBEnUB^y#TWq)2G=)oIA7k<ns|`XinejC4pzhV-OD^f2B}A8yU%-m{ByaVL5f7+vO$
zj{U=Py@|ycp3c%*|8TAKO}92Sp={@SJN8=4^>(VDzrUN3=GWi4k>y)U%{b}%{`Yr(
z!;c25m=;6b%6NSh=yW3CP%1yKJLq%jF&6|0l>!A%t97TaO*Q6%gG@QpVlFtyltU%v
zg2y$m_Ii%aoo&0?a{kab0je-xad4afHCPcG8YduD#w7!!h)jH^Or9!y0Q@^j@!TCK
zP?z%yOtCXj06Mw4J8EB1P(O7M4^=t;2>gPS3{Y%3b|3=z{-8-z$=rG|h1Ct(kw^`h
zOB4*OVbE{TsGe7C=xJ~-3Rx#X-}si$$t43`^53Nf)UWLISbZ=<*;8m#;t*8(uDAVf
zsOb3Q`}}Y0>H9>fp?c6=*xPD0ix%KhUR20xQeIUn@{tGyhGJxlCCeU>2u1z9o(hTX
zwki>O(*=8+FW$P+*vEo>HI@Mt_$rYr+j0KlprlRlLL!irGQoV+ZV&N@?(GD!Q&s!4
z<@;3or~HBU{mu8rxE8HZb*kuEPevILprD}+;v;-m@wpGHvkaJxT9%G$u^L$FAO?3~
zTgDRyRjXqlNdsy~Yja>hgNv9~6#vu&F{Fi4m!&w~Ikenz7eqK9$R>0U8)!NzbE(vb
zb0nCY#lC%e=uut7_fj=M*XNY?SQW^4|8P+>_94dA>hk@lA6Fj!_bS!l`}wy|CjN~Q
z!-{6<AFk?!tzMPwq*rQOj|PvSW>QP=SpV<@9YMX~;E<bALG})fEZ?Vs-taNM{U`pb
zATdxz30FbJF9GKjm4Cq}j7(+;&f4v2uL{G~fQv`((pNlf(E}pbh}Bj?^dLoj>(f+0
zMexL_D1=5J^lew93Ua~o{(yzx0YhDyW{E>n1-T$l1j-55H|vEe$OYjkBi5!;+kuTw
zv|p@9%Txq+yPYaE%z9CrQwWN8>5>?QYn-(pEmBxSlB9Q8B+(qIke?pbBJnO=68cfQ
zO8}xWu&A#&swq{kP4wDS_{#BBR8p!I3t#~ex+t-%>8{dt*CnH;P;(7=S)u(4;swl&
z%9U$nM#vtT*uy|5wk{pq_hsB(s7^tC%=ggjfgRCb9S?1xmcKn}`DwSPH8D%6;d)99
z|Md6%;m`Z>@kqhBhTsoqt4282lFW9$zHkj;K75P#lU%k52G`K$V4aw;VvZDoYY0>Y
z?V)p|5L`o`m~DZ9IZ_C&p#uzC6MN)HA-IP2hbEdCwSUDJscq}4s#jJo8>w*5C)+q(
zYi{N_AGDlsbM)Ax#9(C|4#~nblr6j@8IpzP_D#eJcQw=R)I+cN(Bb_*{cAt?)sdLi
z1iB}ty~=dPJVjKlSpM9d3EIsyu3TW_RBPlKAczEYTVTuewexO+g$S!YahPnqqUa<m
za2>!ZqdD=-MrJkULyJx_K@>lU*hh5@_4Kw9COXLk&nL9Dso+{zO!JDOlT2{G1uHj0
z2lS<*kE}jNdEWdS(e5NGqJK;1W%7zu`O^}<fT`jf+KOsb{yeRBMtY5isJ%c{`E!q1
z8+!CJ=6W}$DT!&njp(-kT|O_-ao4$)ie<N7WaQkum;_<xpFXONRX_IiZ&9nsUSr$V
z&tsIQD&>{Kr7<0fEhn4nEJ!E!EX`9%QEH(r6s2s3qI~GwvyjN8-0^jey8sJZdgIZ0
zj<$cGe#OlJ%$Irg<Kt8`+`Rdp{NGPRT1TBWPwSWq2bFwA>4=(itUqh0?W*z@6>-wv
zO_lvcjF+&K$#HGmR^eD?e{sJBF{WmgSDn_d>@Ona?Ua+-4lQQ(7cl_?8jP9c-PVXr
z{LrHcD%8+*WtO>OS@pi76CR-w*5WNbmYL-xb#i;D6H_lE*{vmYG95@ehd=AfzWyt}
z>n#zcXdcT=p=GtA!hvZ5)>v%2P`F^2;(`%<VA!4}Izq6jaCmp`9^k#UC_q!_E26oV
z+*D#SZ9Y$|NK;q@%V>nrseFX~v2bz3^m(}8xwukQIKV`SP>O<Nld1)Cg(F5Ipl!JQ
z)m4Q9Q#4Tck-F_laFY4h5~h@&b6jC~kF;|-vu6D6s={#q3kFV;jh~xNAx6TKvSyjL
z@ZhudN;XG6Xh`DCn}JpI07UmY6p;uPTJuQ9q(uN&QR)5d){*s8>xzhxL!jcs|9cxE
z0sRYCxE+iOZsv-!K^Q<%7(p`UQ^I_(q%y~3w)jU>SVmYWSSL$yhs-xfaRbKNR?VB*
z@SEZmzR&6VIN#j7$v9{ZW=6PEVmZA$Q4tXej9aUSOh_ux&bUsQX_5#>{PExWTYl`N
zh%B@N!LvTrkMJZq$w_sCV(e;V2yEMB>eK}f+bvlY04)ZyuWHE<q&juMGIE;`I@M_*
zNOf8S0o#Lnj}<{VqZB_ONOkIh04bd%rGdh+P(+-3m%$NT&{%Fzg0@U_7Nk0LL1wfi
zodULEseWfcs#6y%AZ?^qB&f-PqE3q>x&lxqm*?4vyS57_DeBZE_bAOvwHjz`o3P`O
zlfs&$sG2mm>W@%;NpeK*d2r)@8?`8#zR&;m$*9^i?6qy^>@z*L<E3g`+p><dScz*7
z<7ZIC%?;qH1XJ!qQ(U1#$XI{KN)Keh70^xCkFu6zteUh$t2B|1xK*Wz^R1Y9pfszF
z?~!DWSl#q<Bnc2oPhg1im7YDYBU))G15bC9myWY59;R-aHtix?-qAR(AEAhgTlB*7
zm+wIf_cJd^ITu^^68j?eJ=eQy%H)GNvCPl^*XzH28OB!wOOItN%*<&$pD^wTu9kMm
z>%~2@X>wZ6T7`s7sl$Dg(|S=a?wL)K(|YIao}+8YPII)NC(bda^?=qg#1u*@8hM0Z
zmDW3ggHD5lUBl$^)~BW9Vm0l<W=+BwL=$ClcdV!-7n1~s4GTyJj}G7smhdP^(hIds
zcm|kIpn;V3#RX7ylJQl;6{z`Q8Ffe4UfsT-x`&%!{~HE0KKVZX8+-abQEIpty%^p{
z!SLF19Qv+zkl12)Uq!<^?G`Ob#Ob$aj^W*W)*IgUKZM~`N41T5F)4=AKH*32j|Qvq
z5KIlPZAEwtE>!7`20a5Qhu*eARF|V({3CN@SaEym2<E6a#nF2+Rk31@dNHpRFlq*r
zpfhaaXc}HWNASwCTaQt%qMvh&nxo$1mIT5gxRb(L7R*uaUK4)sB6d6BZ_9#p)Vo`w
z8=Fh+9hVTlriywoLx;sYNUoGUu3D4LQT}`O2ad*pG@WC3BwZJ-W822W$;7s8+qP}n
zwvCBx+jb_lolH)@-#O=3SM93mAKi6z_1gPc_su9SCG_h&0grXT$n}=J9gKCvdg|O3
z<ot?FtIrjlxRDNQJ;T>xDV)e;!I(f#E>pa1{$JZ1-&h}O+U7%WdjJ`IJ5lNBF*=!P
zf{@95GOq{(0ddc1Kgs^Z4JrXJhn1zT&@OId7&q#o7t8FwdU`h=QS*2#_TKa2MD6DF
z`|O58|0m|Y%SUpSB?>#A*w1c6t?PE%LJG@xBjRahoHB6!^zf0pZ#Ti=9QEWgWyW@c
zm|@U6(Z>Tvi%myNJd>?*eD{HGoN=K|UWHwfy%`+9Gn!iu481G(=Js({t5GPyts6>e
z5r;--o@s`d(ruf}*n>b|^EwobTaT1cSe!a>pPu1|tX7iyCW(>nHpH*|<W)jzk<8d{
z*Anm;D9Y7soSp+6h(uN`FFySQ?bNVOgoJD1^>PhX@J>zBc+*x>d|{>d#I;%>p)J_r
zr3+tod`4*OGyE}1q4*PN=H<?@*+(fuH}fRT{LQ`hJ&maq#3r9>Zun5$d%xzhh#4~@
z37dz8#Ap3>Xm47(3Hv><p3`i=8fa$0Dx`+*$!2L@ptXt@47x(Sa>GLfHP4m2X0;+$
z!o$j@an*88?&n&&S(}d5y*?<B8Y^1686MWbTD9Y;<W<(8JCMA{JX@=HE&RCMcudPf
z)$_Rh@C_U$0$U-l1~sPky!8mr#U&3@(HdYhL+;vWC6DttFj@NtKrI(M?xbkfaru0{
ze94gcWi0m<+c#B7S&m{Y2Tyx_N#Zz_^ec~`O~>2T4KQ^jGzGZT<)Yqv`@a78U%HD&
z*9oEYuxSHD8LXr5AaZzd2~5T?g9Bq>xo52NaEiy*8d4eQBi9q=<_Bs_k4A<QU1!7o
zQmhglNyxczaGvi*7nEraY!hfNl|PI`Klg7Zf~oMP>9BLBWrzGm#1uJ6*R`9ru&qgF
zS1b8Z1VetN_HuCt^S6MPK>r~j&3*ps(Kx@Ao?{-I`S#RK&1YXkb=X+fDmJOY=jpcc
zbD{J-EMV36`OrR7)I;++akgM(VUElB&i1Rq^zS>5MWshMRVdAORo-9^Y&=><<vS&X
zx7?}LB6L_*)y`fip!%Tchq~DE+dAPVEGO9_{vOI%<G|n0T1Y5%F~N24Ra_Cw$AVxn
zz*shjYvcfKFQklaV!DKw6rzyrB6?7_M&_ww3&>Q69gbQypz{!O&Lp)I5I36!G|0lA
z1chGR1C>@pO@NE9$XcXc+TbXgHqJ3fly|Y>vjk`CwWR-CFR=m^7;wUr>12(a`*V!e
zld_Oa6e}_jDYzOD{kH#j-`Yo*;rotlM?R$A$1FVGaTf%&E{i^2(sFGA>oCpBxS*eO
zumw${yhT-_rZJCRpwpl?Yi|^KXDFYEq@piq(nH%K-MHcCG{J1zt6_<dZvK&ONK#c0
zIPo$gT#S4WE*@ARavo1;>(k0(P3Hk6q-4Dxns|I{omx1igZ`FqPf_5Qr)I&Fm@bhH
zlj1Fy*OQF1l3KA$yM4*D;>*!<*V~Ca?2PsoR-Y*Et~LkM*$=+6nN>^KG>z%xcpetg
ziQhQUS68M&G;=<PGkM3zm{rM_85f1J+P!napJ|A^ukn7b;^__5ICtD|6NCOHZrwi6
zgX^tf63=HrrPPr;WsFmsbkU5u3{kOXi<Z`QoZ(r{+pDFhG$&|QlaBT_e@&s(IPK{5
ziw0nmaVj%~>t`LO>S2%C2LPT>Q*;0{RLt8h15TW`nHG4N(+<s6^t{lD=;-(Rx@_Fm
zRC><!PL65nVcY{56oD$|*lnfLcIk2iHpY(Qfoj~AbZo-awu7bo<6ay}s1})u2)t}=
zT%LWx!LUav+m4igYd9G3@Fn!*+>4{|0v)gY>5$@|Q*1pK?^GvzkPtMa1n=en^@}dL
zL*Z}CgUxyeI0SK`fs0~!Hg?IMJsrB<7C|f3ZPR#Vj};0Pm!`+7i0MP()N?XdaaXS2
zTOE52hSda0WX6$h)Efwh9*+smeT;p{UcW5H<8wxG<*-2R(*M3S;*X7aD6GdmeLr@4
z7SS@x<+As$1WDs;c*+pc-a?%ymi6GtYud`yGX#M)wHem|YfBK@#iJPk`h9W(LggR5
z-dOZ~zRSNy+2ex2Ly{0~FAQyEr3`78q+#(g6tEpWV3O}!IwsRT>iJOXeg`q}(|Dt8
zBnmMvX@M`~Y<EI$$mPqkbzVB?x%1T7lrcJmZo6`@6sXlkD`{G$42($S&s*%kn34Ey
z-nd$EQt~JESNppjOB9k|+s-nay0C1K(TKxfMr^yfkjruGn9an1a$DuIlO9Yq(+M3*
z<g)+89u(y$NL#GSw4cLf#r$%SD5C9hWwRESTDbob)pNZUH*VZ2BoHX*8iZ`$i*+(J
ze<401{Oix+(~?Qq%9w|%B1l5o68lxFjs3iFUYfRhW>Py6DYM!+gazzgjPnW~IN3er
zmf?<NKzftxnLmX^Y-swy_f>4A(=z=yOEIm^jjx~8v-Ar1cE@;=b{{n4=;e=KM?A9#
zpX8V&atqw=u`%)wJmDr!f)&ejF2&aalzeJ%VDz(R)_zXkpO}kh);un;4~sHd$d9ri
zrWbj~D<$yuJI<L_%fo#dCS?g*ZNiLNz0JuP)usWXKaeK1VH^kpIoYEIQm%9fgpEhi
zP$%0gK8Wx`uS5YhmlmAt_`yL5L{`eP|J#~>+ace)+;+)CYZg9rcP1V&uXmO!4szp`
z7I>TUG|>Lt$`DJtWGf0T!!}~2V4rzSr32yxTPd>^dwD=cTSM`O?=q6NM*VEk9qY)G
zv3to-do8X8;8jR7@cp383a<HlJnj4GYy)qU<38)YIQZ^a@QIzsw9>Rm$KL&=K{+Nh
zj28q5HG6CujI+YiYb0@RYXf$%VrM8{nEGQ@jYdk_iDsuivJ98b@k|79^e|&u%?wVl
z8O;CNmeHN@KCg!vm}vd8&iq~=Hno}QYV4z+hamIL8KdB{*rIn0av3DkVP`V=C9y=X
z+W}!O^pK6Sz84Gl)i#v{+Wy1G^SWUV^%R+BUTqN(f|LfiTwycV`CSlKG=L|!K$x*!
zp!o->1`U26QzE`0%oS({)Hn=jI_ji-gV>b<XCjcESORgcH38LF5R=BpD(?ten6~@*
zyv9PVt4R@qU;^B=rD%Z1h+4RA98Z%La4I5v8-9xtOk?*pR8;tFQU*}_8ri_*W|i#y
zoi2)o!3>L0LL)qIvu^v5lAf#N^qz1F%DZq$Jly?ZQt?MUCEbb&q9zR72t|IG#W)p{
zmz}Tindw}qGV!F~b^b$y7lAPcr3MjYJP6oG-X=2HDm09pp19u<>d#HzeG9PQ!sFH1
zIQqe7QN`@4+4&#CsSx8yG#QT3-<Uy~;%4M{LkJ-TtBL(!PHI%$Y*^&7{r}sAB~lfv
zjaD?SU7g`#`s&A*qKNgX%VG3z2RR09i!&tuJFh98$9A-fj6Xp&*1}ZldW3+*mk8Ep
zUF}AL?<q7@=6DiN+^x&Ze1OLW+1|9AsG&qd;h3ew*)T)F$bUxaic4hG3DBzJwQ1uo
z0Sl32_W}$OuaE@QNf)_uxKpd76F$KR+E2Tao_UM`Egd&Wps$bHG_Uy#u&y$VC1biM
z!jTGchpBtPR)sxM?*xGZ(5(DYAPYlXd9oD(3O=$}T;Pd^xcHkCRJ>>ttfX(z4tEI0
z^#Kq%C?UaS{RhYlpXlQGCSxj(;<l1)YoRp~ZC%|#54W$UPEgHZ((3*$4YbgX8<|?9
z^QkI67t2msHZW0=<uSCt9;I}Aj@(tOh_SL8NYP1A;{9bRoHew2&niAi*DgLe_GWYt
ztKf*99|Iub6MN>XT)p(&qL#_GFT2t5_MTP%<-|Nqg$<cju^DQ*n%7_ns=pzp5OnCv
zSBLu|$h!FaLK?~>tO*kg!lwCdHm3z<8i@{$PP;V2+is$fa);9Q3OUTAsM!LH{_pg6
z#tIeQZ~d=uLNDJpi>w}-F3Px4piriSPGCJYOKR0pAn-J8(Y6pGefO<F8-WC&d6O4c
zpU6B1m|Z1xE+dghjTa3@^{OCwES(<PEkWH%E-e3fFY8{R8cLWAL|U6LhJgrF#=zdX
zxnrpn!xqCPY%jHiyRrrE8cM#b9~&KU88YOFjb{oixt5MsslFjz7oNAi+G51#f4tLt
z&HjD*vW9(_5V(Y{Ad&R@P3!lz8qe17qT1DaBHc4)s2(4eC;r&uuvWsiOW>Ww(AY*R
zsJekOzLW42n28QR29gK7=VGNvm!jA)8|p-=VgCGFW>gZ{F9dn^p8+sP;|Pw1@{~GU
zcLr{xOHDq3*u^$MC&}(i66#!YPYn7*BZE4yq>Qc|z6WU6hNqEj;d1=iEsBq}f0Vp(
z=oKEwx*{d`JV1>-CFrMwy*v?37ay>Ury8VRtHROr9sVQ{E(u5dc@v(Dj~^8Ns9BMU
z&ezCO%09^6rXN5dd#z;VC<KQEwqb$&9kP(Jp<g_tC7ZQAUW}??wjqa*RqtDZ8WyZw
z8W4&{`tIF`zgONDg~eIFT<)oH0pSg9-|VD+fD+f956Knj2vFIhYgp0HYkv7{$ejYL
zHiy2g4qP<;zFTjXfGhWE=~}U+dwKP)sDE>UQTuEE4?^<ai2fCI{bn6-^@N*GpX1*1
zy_0|T-QAJj-pDRKQeF}M3kboUA<`e)-s4K$##Q$lqiQHn%UM}W0G$!}SfJ}dKsD<e
ze~$lE=h{(pCJVvV(=)07QMz5o?%`tkKwgt~igE6zl>guL+>cE?=VgNNoZ&f!onxj>
z>^W4HLW6GFsrx{N4m^JKMh;R6ja_l#P#J#VMq%w^z4?8-4AO6G+=)jLDtcKVO$7pX
zRGz{R=-OGsDcp0@$)F-kpONRd4!4XHUKGih!eelT0Z^|VG!SnM*a*r$ln^6`wU5^k
z#oN$H6tGqPDrsQRtnw(Fr0WGA=G6<YP20pvBuU}1M>kch$AK=A#QsN!W8Ivz38Sp(
zcxijNO3vWc=~zn|&Me7Aaw<cgIzE&emnXBax^7V_1z7Ctww+dlDs7ILlZg*SzD%8e
zWoN~;!UlB@6*v<3%iHje=Gr?Um6!0u;9-3|66#ca*@Z~d5^c^lpGC{$x@+T5v_PPn
zSufJ=y3TGcWV-G@jFT}KOIe(vHy595cYYDPI?6@+{QSJx_ue4Jv46{4<<T9@6$3DY
z!9y=nOY-G2SyBB7uLd^*`vS;UmQ7_F?gImC)>*!ocsBpr*_VQ#QxCS-0yhsg+XIJH
zW!mo&yG05zdWkMkCVPFMUpl8v3jUsA`4n}k_s^uOl16x?U!tM;Zwx@@th>?AyOOGq
zM+(JeJhkfMb$Izb$8lBlKq>{t$0n-RXDZ8oUmLt6;=LrFvq|=1N*I#=`IP|Bg2N&D
zSwT|L$@0tMZ9Rz~vVHd#OZ?&GfzjD1c(Y+o6f)vPF3v&@nXc$s$7MF^v8cg>G<G$g
zyy~-tq?YdzjShBX7C7GfK>S(Ze^w{?Q!wH)N8@4X|EG|{x>a`@TK2zHl_D9~lc4%_
zupYyaYegb=^#jPI6iV~WCRT&vN<RSD28YuE;;%#<E}<Hf(o#E77`5$wBa(C@+uvlQ
zlHh}&49HrRQUO&BPBO4_gLa}(S>Ogrn!o0fQUK1y0A&&uLwxd)2t_F&d`t*BYSa<(
zxpd+-K3Jim<l=yXB$C-VB4l>eG4<D3F?nysqS8bIm)r#j3M_UIYfHrkM6M6;2*7A^
zat5=-)Yv!Kx)sLu6lNPI@2Tn+$LpI<nOG1^(EYv_+H=92aGA&I<%f;@JbgSh*Z1%h
zo&HJ+x?c(0d!m&6b2p8WF^YZPghArREcT`TZ<_EUnjd3FOsn`hfWi$I!+9cT%QP)T
zDN@ZM5s=H&1!J7hP%Kk?mOaKYxpR+t6W-ma5EN2a4DBr%>-U{bLILE``>STF|GVgK
z0JKvlqXTY@3^%Vho>mknod+06J5*hNJxwbom~sm?Py+h=D@Y`fxI}E@{NI55BWRso
z@(m&$g30|wjY><KK}*&sz34ich2pYT>ZT0%^We$h*Xj9AL)+*D*u+=!8pY+hs0t<1
zSy7vl^r8srfW&4^bmrJ`?#U7<^D;zABg@LW%iZ&2tm_`Eg@as;4r<>QOx4O)d?(gM
zAM0NlpI?c$Z<RmC#bOPH!owY@UR#BnPFY^47@!Zobh5p0iDqk;aTS;p7*UtC2f|{j
z+Me|c*}HhpqQaK9G~a^2MPK?a;MZy8u`t%*|IrTE5g^*Er^5Uh2{T+TI+`%_<}Q1n
zw!xAp*u+gGIEr2p6H`IzY99>gJ4quKz8+^`fyl{~qmD6%h2IKD5E_)bn|H4<U|PY1
zlsQUZz%gX<g2*l|5650-Xtp08ih0M(eUusf_zTqOlRFz&HZW^1zxpw_2;w854!<%A
zhpR)dIEIJocC6fKFvJGSc9l35X6s&%t!|_^*yDrhKyTv&^&REim5puoq7gXIdw9tA
z`H(5GX$7&9+^R>4_j_hBu6>_~(3p-p$RL3k+YvTk+lrIKc?DUI)@*Ummot|ER>~$A
ze>$o4czz52v9LSZ{8AWJa1#lWhe(x%N)4!yt2?cO+Q(t;ym_k#J>HB;t)^<Q`daI^
zE@85VDmp|{kzApW|I2nmdrUN`6g44BM)V++yTx)FYlRQ)nP>`Gz6-EH!`09f*;3UM
z(UcXTp4^5a0r}6`Z>?EJ8S-B7i36{5rmk}wVZLQ))EXd0f;zfg5$N}bexY$lc?YTl
zHP4N)BF34gwdsCOK?7aH>j!rKpZqu4J-iOp9_-p$+Wx8~m_R*a*T!1fN1A?8Bi0cH
z`Hj`EX9(1(gj)D+v@i<`140@mgC<%mTf!2cc**QjA%?BZe&Pv%vCR-OZRxwRWlDJi
z<axC`4aTj_AfZ74I1&zr0qlh=3N)OwWD~Tp1M!XSd*_U%w-UE!fR~K-9l&gIxRpYT
zMj<RK!<WSoL4+QBbG6$HfNiSFa$}kO)2ncdtL=g+p;}djFZfvEV;X&f%%Z|&Xb3k;
z@%!EzAP*rKfc67fZ+h}2@~bD@#m-x~zL-@OGDrZ(7VdookIQ8PcfIMMV$6kZ2R!~>
zcr8K4QmiK^Vs_9Ta<Up*-HywQ%*CA3*#8@p_fiIf%DS?I6=F=b0Vj_m4^Bprl`m5?
z&gfl%D&s+wJmsto^(?b%Aj1raxq@E9)0}V{Nihvt{8h*ej9Q`9g5O6q0frYzy6#{h
zBWv$3Gszf8H2k1uP=8@EbA;T&gvcczFdZmt3=)$#Szdx2)swf7M#Y4ZgV*1sbgCx4
zl1+`PY&)K*L{<5DgWsYo46bXLo|!CCF01IdMusYtLPNJ8Sh`=}ObzY}3SRh5eFYrN
z2OIivtyls0$+kVPx&Qey_VW{H?|Ufk@A>xgUB;q0PG|B9fMcm8^a^t{Q|96@X3kz5
zSjgN+L6DoBE^~2kFk>r4WM)r(5v&Q#s?~lxe|89GvvhMVW;zI$%4iPC?qKLpv;7JX
zQL#O1%+>Mu(6Cg_n%ybxgY_r87Zeg0zX=ME@IKf_Jw6+Z2PXQ9$$y8*=Qc=L0nhn}
zbb?o6T{IbTnj<2qhc{O}`&5#X%u(P6%b%`m2zhQW+wq+91NqA{wwVts(Zynkn3E#0
zYmke%*h%hhvu^S`5=~Heo$X7N6H0}Hbi+Fsi%a(L{-c>->emW}eU1r@#_>;lHLLY2
zka12<!AcXR+VLwZC%oSN4g<*OTL!7}M_LFqj1d1dyDmT_pdci;pd&?!JMCE4#27UC
zT%7-kTNg>>)p8*>38+&nu^L|=Ft6`ZyHBnHO4a?R_z|8n#S0Q*1oZto>R2#2>-HY%
z7`Cz)b@=4w{${WVCZ~91vukz^4qrxy%;nV8ciB8~?dnmRMH<`D$1wsx<GC%vjBBW4
z-Jc}A$I^R9xksC;x2|yJ1eH)GTDz!`#xc_+zY+{Hc`2oKKbnUb$)Sw&H;qj`#Gp(N
zy5J?<3=h_J?@Y{1Gl2QU;o?Ow*{AV7I%6X_z{uk6g^kCHV1jO1dBr1*WgOxyWu=^3
z_atr@ez5KpWsyjTp$o%9!CeWj%`njN2jmx-3QMvU?z2)$+N)a43_Z9Hesfz(VQk4e
z$HK&Ufs|C)#pT^1EdGHh<~Q;o{r+=t2B_lZyuGZtGpr9ZU?(Q>1RD1-Mi(qPVUhC3
zxW=AvB@8CRKTqIOZkxn;uAF?7&b6u5+A$6Moh`zx#LK>duyO11>bDa)I~8&>Rc{2|
z`*0j&Jv>J$X)FSD=njg}7F5DTu#l5th$xRSJY|y5Pu)KFCS}W2>WGiJso7m6BF+Ow
zUk9+1Z6~8I2k<9Z{|cW4$KS!Lzh=}U?5BGp5f13hB7-gix6|_tUcW;DIDAQ$-I;ui
z_HVuXkB9b=hD>K(V!nG*VVx}y$UJcLvJjNcwf>uK0}4wp9YjXbDBFWix2r<?ZZEn>
zC2Wp@(<+7ErmRTqb{W;bC0Y)G&cqKZObNVV9TQrJSjCWsk$|9wUl|;5wzuf;vZ_YG
z<HeZ<BopITdc8zHphO+oNEYC$1953^N28vQb&!64=Trchbi!FzsG|`Mki}b6r>lTV
zW$({glb(~HNvcq{pi%9rkVuZ)B!#a4OM)E8h$^<}GVkFdZP}JuL<+J(Xf}x6$h3Z0
zHMCe{b_bZDq)W+)urF?({tV8Jl~4ZN8hwrlSXJh&%pX{iAd_MLNA+Y+jF|)@kpRx<
zGyyRrp)Q7cj>`f34m*UcC~Lfm70JnKipVRf#X#)n!$s_z0})uj!Y4rd7ZZiEm(7_|
z@2Vl!dbPZW0nlWUMOwt5_f_fs{r0U;Znd?UaM`SPzoQ3g+JLU$>ajm+d2_>$hNNc;
zCc(|1vA;4Kv{4?P09)*&T_jnhjHndU<lL3Y*yA~J6hN%5B}7+1lO_BbuA^`)B6NiQ
z2dX2X(H_is99<_PBW<0a6Q%>{+R_8872yeXd903;TiPSUT8=qsPRUW#ZARH6WVb|(
zDO?A#J`;wGK!vEkm;JwH1uSJjrUH^=u|tlJiodi23c+ZR{`OkoP1LH#X}Dq6`kgYG
zokLA^g`4!q!N+8W?RnO+jkB|^efCf47LUF1I_?>5#*uAxjJut%zxQk(+~rhz5Ha8t
zp8fs;K40-}ZmqD?yg^lahEpg<hC#FTNUbub6Fk)(x^}`W_)+&QaD7;kJ_rg=#pg6a
zW{&abk&R!RfS0@)X#~WFA_{F%NJzl3&Lc;M1od9tq2O){9N(D(LA~Z!I!>PP=+OnT
za7fPuE{usnK|Lih_X)tEcLKwUp?;!27X-Y+MD&b!ujK>Ss;dlJor&7{SNb`B?+dTf
z>e$)kEB3q$U{3~w*p1ikM@-LzqQFh8RAC4N5;Wvh10HpOn?vOr{t3x77)pTP<sNm<
z<yHk$0%0f4&Tde@^=Aab%o1-MTpLpZF@-q#$XEt2XZzL1z)PI&#Ubx=6Hc8UN5&M!
zpx3VnjY1JIQSB(MXR_bxiaj)uz-#;2l{And+0zGd0QzheHkC}e^L`z&|Jy$G{edjH
z6%am^15pf@N2%&#jf0-!VTB&X=Sn4|kJu=VszwytblviL=r+uR-2`*44FS2I1pCz~
ziDYv)FJMzOhDoyuJzV?p6|}X0!~|ePA<0|Yl0aatIx+-8Nu(U#F_gms8}W@%2YR4s
zA({v=q&3SrsX>dJ(LdNLI@uOfa7adae1ilA23rcAb<>vHFGHqB04C$UM}C8@4Uefc
z@&|f2tV;8LSm0HwwYZ`Fa_aWCh8B5*u0w>UhSj5k79lrq!&SNA62lGcz5~`<f*u~J
z*~`;ppJDpzNo)3Pb`do9b(h`yy@cu}p|EPVTFVP=c}@Z=Rq;3WUi!j8PUYUqV}(@N
z3g08yn}}gHG7e;VD^pV=i=CTh3}GWmpetb$B}gK+`WsPD(xhu27)lRW<ghU787W1i
zHUH2L^o54%b)dEqf!7LL`bA}Z@geP@ge+Qv993!Z$C|0!YWfD5Lz#~)4Ia|T3(2or
zH7G&uTc+G)-L$73LXL;e7tXSB$0_7hCP<-&6EkCnwcl*iCYkWNdC2e?n#*xm;2G@P
zfleE>7voetDeHC_8%$gFsJYI)U1`fTTwJxQ!B@7Fw49Wp<EU8PKY}5WsV$ysLyt#<
zh!fX7K^>Bu;Fk|{d?dePYa5RU$H_I1$Q)ZF+V=6HY7<a>CYDqr8n`^!83sxAcn(YK
z=lCH}JQ`o7ocG)mtElCXX_aTjIQNXy(b?CuivTuzCIVC-SK{zBhri5JNU!YP-Ynjq
zNPzVBcidVHie*N+CSm@TqmNE~H*^JI2`{p%x_NS%?A6HC?4V{?57vw(8)JKNGFR?k
zO;@J+DAsJV=8D2(#w3#<k6AhLoZ4>MuQ#i?rmt52TjstS$Y06@UhS?_b^(PzeBe(!
zwbPq-e1>bKY<6znAJ{NP3~w+*xl$8-&*xW4a7x(Y%m$|(yVn6hMeNQRTS&2Qj9R$t
zl5o9?7-gXU<egz8nKEU5hi(RQ@ipgepcQUVWy&zn<pg4#EVzHVUc1EN&_2um`Ueo>
zL94{dqBXmAi6OJoC2UdsY+WH}d-&Vo_X8T*d}+q|6sutI2UlpsU?sAmu0<|3Bq80_
zwZIhcq~d)TR%8rRg$tidxv6R~>|?Azn%7OdQo~1a4}GdL=lgMu)ug%jn8B$&_e>BV
zPUW`Ayf&A<Ke+=C%hj<ZBbw7^3RcWY#XrxhD+Qy=HEs-9ftZ%BnItcAG5;)*QYl*+
zUVGUuB$2jfk`A!@ohA3ZAA($$?dTzN+!3tsu8$>>3iLrct!3%8>Ov5>4IJWB#e`)c
z)ufFj|0{sa1M3nKB&}O97>QB=RH=N4WVqpcf7SwUml{Kfc#nRy?=_%82ho_fA_#T{
z(RpkJjmQcOJYrPFdx>g20W#l#-4To=Vak?1m^6z;T}0HNIQ4lz9oFC@MO~yAU><N3
zk(1%3Oh%Fu-(5$Jp{-;Dc(M~}9ui5-XLOz#`OpAkY(2<xDPGH2vxm{on;`A;<|2|T
z8$b4e&Q1L7v6Meb-WL8e05V~id#JoSH`h>pi%Qn1#v5J~t=i{T&DSSmKld1cfA;h)
za|dR@{pE_S*~`i-Qu;l0`?HJaD_0>=tJ)RSJjv=q<o3!~6!uRMst-V|)C6<KrH#KC
zXq{eTjgKgfav2aPEgTbcwXD%7&U>-B-Y*>3CxW2`qnStDBn-6zdRMz#hZ3xk;CI>Y
zLHb?Mizrw%{!3$Dul%v$dqpLY4$FeRV$V%fF_BJlUhj@NG?Yu9@c-^$O;Idoq>`$Z
zNJDJG_E1J?x9YZYCQ-Od2zcYqLn-g%N=~Y{R)k8t%BJ(ZY4GVH%%s*%F5!PG=x?my
zQtOryJYM|Qq4qt=5-uU_KEmpzt|4=TNE1vnYz$5mDwhfg0<`5t(s>uCdi`HW^!nE4
z^|mvAb7zILy~;HlGN!{NcAtCj*-}?%w3x1)zs`-?gS=Ai`rOpM`R?<jVba{*<xjHG
z0aWp=ZWDzY1C8c;VK7v?`JmT}SmALU`Oe(#nP-FxzjrakbR_CB6wjsVW$@arg`)#-
ziQUe}BrZPbL;6?J&z&H-zhVnrq+dKR^^cD?LD-~zsv*01DNM7YTjh?(+IiavSLa8q
z+`)*odz*^Oy^8oJri_{N=Ll=7zD8G9-_P30joszU$_#AH@rwcNlAWUU9!B%UbAhV=
zssHN-|4SzH>MvRU8xoJ?Bci3)qncWEq-FbpdSjAPjOm5HcUw61XDmX!Mo;E(3V%iX
zPt02EyAZMC-lHn{A6uy$mHba|uG3}riu>8FK1BS<$3Zi<qI$)P7ijp+dzRRKtV@O?
z|H;Hit{HIN#0|1t@f7o;8vApdQiX!2yO4t=pul9ce~7NY3x&#b3deKfwR~JB2Mrua
z#h^`yFo_#p<Q?T?eNV}T1zq$#xz3yo#HUlOnEj+|`2OZ_8Bh4088B2vQ0B^2kxQbh
z)RD7#cT)JN>Xf(3qK5=lgP^VdHb<}s-r<iBpX7nUXrP`Slz5d_Mp&Lgr362R&&s!K
zQnzqq+<pAprN7WP2|L&Q%8MCS*FV~`{=9g6%J*3p4YJ_z|7b>`U@y5nZYR=D61?J-
zl^c_mZGcPd$|zqh`yO5Ue7lK9ug5inWdI9O`LLVH8DV#6(OHU+v8-4)AyNo8{~Uy4
zm@i`3hdGL80cRO5Z{*rwiRF+iA+?I)z#1=a;HzaZLkX4<>o6G56-+F!h(Jl<0Q?B)
zND^pYHq$T-U#>}{(<5Z}&WsHbn>wv(tTIW{Z=IwXWEvKSS(kLui1-5OaALWT_7PMk
z+?qbZ{iIyFEg7iTuFDvGS}V-FD+6H}Hmm75x2z{V_SuT&6L{NtC;ch25vMH~&)JNZ
zdv~LIuaSo$p1Y@9QYq>mI)W+eCaco<G?5Qlf?{POXrB3(9Ey3b9dXFVseI}#vsOKW
z2w_)Zrhs!U6QBHB;*(~$tf)zsM3+Dx@M^#ROnW_b{1tK~ZPJYATiaPZfgaY>TR83H
z%|W+JCjFfGuui9x_YJVl@nRaNG1r+kTGbyi^R+6myq%Lt7SWk*(tkxKd~eNYC{fjy
zAJ&4$8h=8)_m<cUP}Xh<xDJB&3#$#*20qf*7Fj`@;m9~P6DaE^1A0wc4>b@Iy^#+b
zvE*>!Qy@3>mJkyy$TGrsypPGT|HB%DjcGhD2$(Nqn1?dcnf?bSJ1T6<=LPDHJ%e|l
z-3Ozihpti*d*520qxic;R+ISOSW1jLp<Z!oq%lk6K;GmLld&a2amD(^8A^hZ?-P?D
zs^r+arJ#4T7|TF)0L=So{!a`4!-@X$FscP|!B#;BqdH3(l{Zru(Usd@oTFyHx;GI^
zD5AL5G6i)XwJ2u8Eyvt{{+COtqK3`_ae)CTjAC*?Pe2mH_WTSyMf_J!g>aP?Ut<Br
zSPA@RhypsKrYZh#=&`T_KrF~sX!A;STj=L)9s8ETEcmm?0=$+1Gc=QrM@d-k1;$VU
zl&c+l9~GWt;Fwx>Apz7dCk<N3=UDov73TN@4q!7*<y^_Paw=sEn3ewoQCUxUkuu3>
zJrOB+HcC*GOx^#GntFL#>yB5`pLIZ>lxQ_hP8F=FdBzAIjFg{KzvVI8ZQkD!qH;6M
z4ph_od9CAzC(0XJVSsl%`RE%kV8o(zUN9JSD}%`(zKsZW9IyL_!|6P3Q|mVJp<tG?
zHhO+g=Wutob472G4I~FsU|QF?@~J=Hm6(5l0JKiFKn`OXjk80^1P`#&I%7*@NkEva
zr<KVS*JpQL?c}?|k5U2E?`KS|n*=+sEC-3dFz9D7Omp03o)Yv8h_HF^>O2R1j?|cV
zk1$`nis6Cm-OXow;wR~a3Mi6OsviMxNcK^d0hsQ-m4)&ijx+2zdIYvDOi16uyp`^c
zk-&sLj;{=TJh#$4Ov-AWq3!N4OvovXiAD7pdW6|RFUNM@mFUMBb-FGD7+6nLlAM%X
zrDLVdXys!R7BAH<rp_*aLZXm2%h_VyD?;$XoiDiCTlF-T;q(~v0T?zv;CjK+j&ZB6
zT9Obcz%OoActdUvGjG7fC*f8#RsP9&ZSU00M;|7;VS?a%yRLU=u9AnqHaTu-!<NqN
z^6N9+fP6AH{C{Rt$NrrgqjYUn_seKqQ7?qRkN*&e{5n@o$L?)C>#BYJYyYjN{Rc&y
zytVUhsHX1YZg@S1bpDUUm&X2lPo28gS@}gPf1T9Z?To1%;R+*#m3^g`US`Cmlt<@w
zdPJ~0V)S`MuLP2Q5*4iKXlf}#f4pE(SyFsPznJ^$41AI1i2Tni-g(MOQ;q`M&phP6
zCtQB3;q;v88@>0W+DUn@uZeFYcps%h2V>s{wfH5f_#AG1VL9^`GSuk`Y0&&UT#++A
z&+2dpzRV4Zr6XD%mDI|>XvM;Cx14q|1bak8AC5;4WeCsx<jl|+mmK7i_~o$KEY7Gk
zO)>ihihABTA8!!z<uTCwTlxJME}lCMKpL@|Z@SV5zpb=W7~H&BpLO@X;+#&8*1}|&
zIi@Umy{*BQmkN|MYs7^6<o!V`Tkc8b54`13RR0gi#rE{oJT~5j-jp%UMH|@*_=A~R
zc~@(Bc#p}cuc<w;!UpaJg72OqgWDruAsL_<WqiY}2Y!c~s?PIcXghoZp^cJtP1^wj
zy2#n}&p`6vr_@G^UbGo(HTPCJe=5}TeVm!ny|M-K-~S5cej1u;YhmAvk^lF%?@rX8
zA0@X_A=_Vq%{YF(2ttbdlra6eznK)NTpT|<Mfam7C22;XD(-iND+&acXOU4fLl>Wm
znj~YbW79R<Rs|~xYGF}ZBAta=jSYkm`!yWoqXkVy9yXQ$i-eRIXwCOEryAQ~e?;^c
zpCOT}H*xhBD7n@`Cqwyw=G?oxe)cXLzLs(ZT!pNv9maXetv)MMBFK9mc^Q4+cZQ5l
zd{X7XM!x$G>@k#DJf3zyxUug0Xcjq?ZeV{;5wM`Forj!}u-b~1F<X(!ZXXEl67c&O
zsVyn?j6$8tEP7;z*wwx7|87GwbAnA<j2M-VwEyztb$GW%sAWcey{=h8Ug%Fd%D3P9
zbKO|K<+X^N(kQAiiCfylU{L>@V|`tN@sekbfp)rN+V|l6Gh6zLSY6vatC{dIi#YRQ
zD#`SWEUvuQK}0P;+IB?|SJvx10MPu^NNAThWILDiIt#*it7?UTrl758@QL#^(?ytp
zoQO?~*G#nhru?XrC~A_Yxxk%G0SNDX)kzICLe7El=73<#CKP?kr7E`|DXfIuUl{q|
zEOM(?a;}KC49-YD%jvv$MgA)DQT|U!11l$1L5p*%IPdX7B)-o#Go+1V1yH)bV+tsQ
z=FDl$zZ{dWSl(M#g`fX_3Ui+S{X^bYmtQ)T&*zQv?ew1S!TZ!J*eIbk@NFN-Cy%}A
zZPp@gecm!|y&mJ@TR+7=`O6u~l?V(-=*oQ=^{hZ30fxnT+P7_SHx1){km&+7F5!g4
zFxb2}Z8St;s7}Ob@(T5mV^={quazWZvwO)KGQzC1HSpI<ULSW2y{ENE)O>JDPhBK8
zv}BxwD!zPngR@#h*{RE*H!7I8(?53qJ2(!fKr<1w^KM||8BLm@RZ<_)3|sb_(XU>Y
zaxChYHc5Qdum0p;JxYic*~C?fGy$oFV*1eN+GkcVTUM*wwHA9i$<GZ5hLFQ=O61^;
zO=ad$vwYjBs9#=qhnmf?;WUdOGbf&KbC7_^trOE+QWVL+NE2`#2EkSGo}=+iKyI4E
zTKGkDkmD4=u4U{UrU%#aJ50$@2qR*1N53TT_;ZovXVv_>_Rss1;xVU4UrOq!qi~VK
z#qi-~`^dNGY8}4+p)nJoOF2faFayn;a^|$Vj`6H(tzF{-PwIdVWm%h7rBWVlb6Z7K
zmup^G)8jR8t2t8Y+eYdG7#05kBT6VMHL?{O&79#)xsN+Udp>!krlM5Uu2L%ZceUxH
z>TvSkS6*2^!VSj_^cK5x!@oLxE9t<C^gA{d%Zlwc#Lp~8bVz&Rz<;gjXCr34_hsh)
zD<v*YzY5oWg8eC&_Bs>Hdu=xi*_-?<A-l&+su)hK`*$X(UHiAZE|)Y*L6#s!xi5%R
zK(y7{l`6U4f~u6-(u^DSJWqkqqp3lq>*m3eUYS2l?g!o6(BH+xFt44LREFLR%(s|M
z=?I^yQV#`EByP0S0WEP4CQ@f#tVyi{@U*>dLK)4L<_W+m5f~GVs}G#eHJTSGU}+_G
ze0d)HiD09cRkv-DHtoXbzl0Ag&p&<WlAJ>yXClzZ8>(TlSuRf>|IpaDN@>%}wOb_t
zzf#CfrjL_(1;P);V-~&A+v&ds^P|*dp<J}<F?!*D`J8$V$*#GSUpKA-WB%r`FJD<T
zyA36Jj)KL=V^=taNuy7OezbHPD6kjK*&@jD8UbHe>90V`=vx_eT6MJG<EP7jj<4+@
z2751PH&CcOAU!8LaXw;J0Yem*6&=z>JF~|~8Ji-5UBc-I+0rMe%aX<@Ygw||Gn}sW
z*p0)*OZ7tOH#Vkd5yj$GzWmHGFkVF-rBLB(F{hC{*>V<h?%;?LNZhOmCDq&^4An|{
zTz5X9<E$!Gb&WFtOlczYlMBgCQs|-)<ojBL-#$6_S28rW`&#sY)6#j<Eu<nt`Vi6X
zz6Es5RIfyX<c@o##+xr%N0mxMVJQS_u5ct&96gX!JEjsR5QDr2_~Zy7^Rp}qRmD60
zi-<N)>}(zZ5St1oz~9g04|Qz@q|jt{Z5k6IRTi(Xw$u8k!W{wj1BAn$uRXsF++OZ|
zS7U@jD^m@iHXmF04DJ|%1(gx>pl^gjgk*&*KqLQ9?~4gX4CJxPTx2&5o>;C?Huxw0
zC{xbtoTCalI-$(oPZ+7x?%S`Vy^neD|F!m_sR|2jNqmE+M-M^i3|o&GcEf@qLXG&$
z7|xyO%rvCz+x-D!2h3k?x)-K*6L?Krzxpjo|Hx}F<jNiHV`i%c8=;>eX5jJF$eomo
znb3LB0P>7L{|ZBkgGs|5W0bWRFsBcPYK%G5O7y6MOh?b{?4eSSO2C~3k#LdCBhY>j
z`lX;rg%U7opz46gFb9SvBXEQia&RMs5B^T{JH37NJ8fwIVdAm6S~=AWR4`dJLdJ@Y
zFzBv|)*>61>*rtUvq#IZ72osb*$HiEx{-daNXtLs#pH|B92`k&;P6te`xL-M@a9K%
z!14`=$6YA2OaVw4(>pT`zj_{E`!2eA85*djW-MlOfwc&|ev7cVQ%pCb*~JaTfnmw$
zb%ZjonKF|xsyRFFTBXr|HFX4^ZvxE#(}dZX&Jcteu(_YIe&|_R0{<{%SVEaOhhuY`
zos{nf8h2edPoE&bpW$)O;=IdABpr^$WOW%GEZ?N+Y3<e2r}fM<8(Na%Qs{S+rrhk<
zpYzO%-$;`A`J6Q?S-<eigpd+Br@BGXF$lz_Cm9^{xKIw4inJ<dIm*E1EG&`r6E6pF
zHSMlYW|Z&>^&jE2?R(YS79UZ6pMAocBixJSAujgn;q&tLVOj{0;6R^m(~4EKT9f-<
z|Mda0jNuX0jtRFWTSD~#&K~`ui0kDuSQh7-%ZyiSHmP26p1T+5wG_4H^ZB<I%qPZ?
z#xM4@Zbp6xP|H6L@r!}xuI26qlkrkn(O;-AaqxJ`skk*vjMi^^_Q1jP1qvP%CA}<S
zscY48E@0T+h(Z_9<HkBtVb<{8k+Jf0tA7j(5!T`M)7Yq5w)o9Fnfy%Jf0ojJwBHG`
zd~Vl5IEA4K*`<WR#UD$$$>MBb;L>r_panrKu0TQ$=-7cMB?l)FMNsOd`U1@9pHTui
z)B(^l1Eca-K|a{JcVLZ%ykk9MC&c4xi{Oge^L89>zl4C2tlggkb&zC93n&5wL1>z=
zI{;xnP<ieI@kN3IPazuES{W#{6@lLt#<KA?ik74s$=8s3#UwgOm=vT_E6P&VDDB5d
zU)H?d27;DrC84g8D)6ploa^RXt_p$7okxbCR}B8hRcR;h8+DGPVO~O+v%?cIF7fCu
zIkQK-*m67P5_Cm97Gf)%Tu;?yER|5QIkO^t`$Y6xS-=AhTi5=$xVtt=R!C)n1wuD|
z!V8vIZvjDgPE(3RBuZ%HWC{42_&L9kR&<y+ua_a7Al0|=*?C)lD_Ub@4DDZY^lU5-
z<MWH#k?8MsM#v{at6EL1JiQtWffsj&&^rB#I=`;5A_KXB>d9#Qy)`|TcuCqUB$c;i
zvi>6e>o5QQq=z)9=mY=pS^tGSH~X<uHYEMaFwe1E#%_S{swSOiP7Uv>2Gf5BOmNZF
z)x4*KO6HDdS(Dxp1{E-#PP9GeSl^(>qniBtJ<ra#hjmormWgsB1OewC^k}O4<_EJa
zECdr!Hd!7V2mHY=6E@J$fA5}kauz~HNC@ld;T)nt4#~rQyABcrJp&VRSB5$v_3!GK
zWSxA0-&06$U5wj}RQi|rtJSbxx_lw@h)bOohZZNq9(HB0M>;$qxOYe=YbS)7LILXk
zMn2d4Sq=8BV7h>{f~;HnNAN)4iWsVaY+K9`c}Y6xV3;8-%6Fuu8pI96Sg}tFg9iI;
zVKcXDnRtyi^WiY(6qOzh6Us%};=l;sw!)M-1(;Q#zi@CswFmGD0@?dQm~$<fVCMVh
zqz1tt_`Gv$5$45E!>Z)MK=KtxBfM0`;8wL=N7jVsVej_Q`Lwg3m6sO>(%T%7bK0W*
z%Kr@r5w<xDGRwjTzHkOQ$YqcY8!1I4fNgT<^^kxOB_%p)a>z&`kvEL<kU&0xl5*}v
z$v&ZQ7s{j#CBxs!eM0xWj7(Iz3~OrpVE>nC@3VwE)+AFmtnpO2+ru^04Ef$|npsea
zGf*=ea0-EZgMMC7Gdzzr&L!y2MQxr5v81RO8LD+9DQ-&U)}JFS+Y-Q7D!XSwX0|Qk
zap92J{LF)9TRPnDIAU2aEnZl$sFM%l><1PNizh6Rm&j*L=A3p81%f@2Q{2;?+%ZWG
zu_TnaIplc*tZKP7-8N<f!BJnGs_L^yUv-0M0eQ`%E>w@(YTT%$=8L<tBZ;k_nDl^$
z^b;nY-unt=Jln&ue&|4#@n}7qvqu*5^#)`x54pS|y=f`Q?dx&Z(EZBn|HQr*-7lWl
zLGXqpmu^fWI0Q1}JL&-$%V(4RT-mWtqzn|Rgp6kdQy@znBrF5`gspSOFpMUUUkH`g
zCRG@}8IN>m{uko|jxItI(;>mYXpU%99o4#P)R}%HBNY+Hv{|<C<4*^H@ek9wZ@#P<
zr+_i{A8GQm<0xpviwTUM=$jyL#E*+Z!D~RK`!BzP3zO}8@7WRi*IoMebUN6T4sDY)
zPScVA7jaW<!>|<jVhHS}2nF|kIw>QJ*XjYzn;FG#3S-v00gYec6nKTDNYu**)@$C&
zvM1a}bWT2c+I>o)nb|2_?R9NFlU(?+`@4UvfquMCZ_a;#I*HJiROl<cC~AwQ=#{eW
zbE>zfh<2(zbYMg$adloPCkZ4$oI5Bv&q*TS6s4eBB$FB_<)o4hycc~CO2Uvzp1ABR
z9Sv`cw@^{R2nOwFg&Ey@Vg7j?8oKm-KllGS?L8`<iiz>l2D?hpMBoUprKUVJlInz+
z&zB1$D>oW+vT@IS`N@eKfP<J0EV_*RFt9bcaQX3@8nBfC&CT?g%XG<YQ-h-+8q9Ly
zC(&PnI+5A<M~Vh6)8$43&#63Gh-eg;xZPOLk09m;hN1nvP9%Cf{`)sHoT_({Yne^B
zq6T$G3vo~H3F#6wc~q+mUj>aUT!Zf<uLe+fc4&|Pt)FB6{Q?&B+n>2-ijv8Ma-#v_
z?$^$<9s)fBJh;zttPLurC3r?V5h;2-ATb0tIF)3^97M{y56g)Zj07+gg&mqZ|K_Cg
z%-;I@@(Kmihc&4(007D#D7$A{=Sg8%l`zNrp`M|}ytq;o=_r`Km~$=#sJ9=AEoDf<
zd2DYs>%5~Eh)uVcb05l-$Kd66X!dAcb2Q0li=^v67rjE;dcIDT-#SUhGGD(0=H?C7
z_2dmL=##p5iL*Vx`{}i$`Obpj=R3_+I9qCXq64bCF0l~VLcRx1#{NO#dT&x={Y(fi
z^g`l7c1=Kf1F`PKF)Pl-9KArZnmB!;wPE<-oTjVz;eY{|BJeA>4ly4}B)~XRJPtho
z<MyeMM-9JnKQ1yfSN%c{6mazcl+x**G>-pr($(H4umaTl^8u-?1H8x?+R<FoHteO@
zJEHRZCG7lFIF2C?HiMo=%(u?c%eGoxZSdgo$Mko@^UwcLhaCrVJuOc&9~T{ZJ^S%b
zOI1sL1K#cbFDX{WtKTm_TYdjr^?29YS!xlo6gq$qX9XEVfR)Qp1EX+dztdfIX`&%@
z5kHEPIGGJA1oFMGED3K-=Lx@>V6j9^k^v)ACJR;WoBW0*GJOb#V?ZI-7^WNy7>+7b
zG6koHrX<dQKKdnb_v%e|``CS}<z4%H-Sm31G!t~OvB$O7wA<3_>RH!!`uaxha4#ti
zf{6%%0Za$V6$5O#KHNgr?(sWR(&pe~Z_Eg5B<-K!lYy$?{YrSO|99W_DB*{J36ZLC
zt7qVbjOLhaxhv!HrWZNg4k{KLWMuv-?^NP6MphQrs^B@&^LbU$ics4Ql&&h1mV6A8
zG+A~iv@6N5(gr5(X=;^E1MYrmRnKpnynlV|gvAqaM&A1tWNS7RjhM5tK1#1MT`eCy
zTDy1dpuSppLl!^3x;9FvSZ))Z)`EHqfMG+a>dZIMo-c5cNW6eD5%JY68w@>$i-9K0
zfB!_BMelu+$m8!4zRSv{bP_Z*Q!$%mEK#JQ%^DZlTk5l>rVQKMG8YLYculJhn_S3=
zjTrE>-`j^6)RmB$c_+-VhR>#^3=!b}zEC#yvM0%)p+XOIp^@M{IgJqHqPeeNTpLYs
z${~5qfHhKM6t;6)aLRC(C8A|CO?*-a6Tyd>iX|f}bCD#qmW+}5=L^O<GnZ(-OeUQZ
zHK`C^slRKN4z>v<$g&#JMH1(KGMnU6EdA?D;R@0iC-h@eJtpb#n=wwjCNh8dQ}i7o
z75Z<*Br)`^PU!^#x{}7eK9+>)spihF7^;M7kq-?B-c*xb@rBeF&Wmf_q`Pr{?ye<L
zMTGjO*n*cmKAJPdEo2Rpoj8*YJ8zd;!Fcg!va;~|$o&fQ$ZdspecdOWR`ea~b%Y7`
zoP8U@q9u;s+w&B83l=@G$TFj#gVH$#*dpUepC#kY8l|133v3;CvWM4Y=gp?ms-Zwg
z6*?9h2&e_Q9mpU=gM)Y@CPKst*YsPK{yFsD420ORP?t4GQk{)PoTi2@(ND|CC<5=O
zUWD51StFA6Yz_2}l;)2(n-B5&o;M^v`{w^55kqk6f@5;XhemM>IiP4k{5duSSfefU
zGvA#Cj3IO7xglGO_6Lwk-l>DUfv!c6GG)L|LWx$2VQ&N=$mTib$+7SSp_I5gi8_pk
zBLb{~H3Xgj|7tlqi84@pe{W#y{AnH`9*5ijbPCTCgN<uL_xNL!Sh)-gT~tV_HAfk^
z==2n2&;y=F+7svnm$ZE+V|gmERbn!<WrgiU!WAr&kSkKGZ<;@~<q)ol(m(5?#j>J~
zp%?z)Dmi&FyoWJ-C^jpb|9(XhZ&i<g`hVQ_^KS)vX;a(mJ_thv_r2}1^FC@OE8{B~
zBHg+<RUO`s`#B>#7rbiqO{c<a*`=fdf{4qURs&L@ww&T2dWXA9xBnKcRmWQ2crA-W
zL$Of>pwy^sgwGnF>`X)?S7kIq2RcIJse;asEN2<38L(Jd%kJlw<DTOyRWkr4qv{4V
zw<cAM;>y(t#VPD-tzg!u;pgZd)m*1KP(V;uZd(0#k2sSU{sd==HPfCR=W3;P0K;c^
z=YXWn92_HRJ-aaCdo2ISmoSTwFmni-OcQjkhY?-orGu0EhK=%s87m_vJ_fA|%81X&
z+NY6>_xk0HfTpOa%>31fp`N?0Ij)D>MJPKD-lVmpyl!bXo!2PvWz0l6D$vxrSab{f
zirB{4R1y(C!hSgd*BDSQq@l6lO|##@GMLw3Mo7?2{UOD&XYKa@%dW<tX{0t<IU=NK
zWHpb$W(??DQkX6nYuEL|;uXW^ZZE};z)yQ0KUG|o=w)^ygDHB_nP{OH+zR%wyQ<gN
z9eMb7B0&CcknrDd{?}E6VR-dHfnFERm)w+feK7^dAcp`@di4SLAcqK$_|zp0L`Vaq
z-=D-ttmfhKq9CsXPZw-^QNXxS3FRU$8c&W`=HEEVeF+Gp;v27Ji01BIBLS>LkeUIt
ziVl?(hcN~MK}iK^xzT#EUq_IvV&97*KI&uj)cH*gFZ6N0Yyvex4B<!eH+a&St-wyl
zhv`z}Y^?2+zHd>6`N#!pc(0?We0?JKP61@E)DE!!1I0i(zp*zH#J=HwdhhS}<Y_vL
z*AVIIC`BrRShtV?ej8v&&8Bpm%ru+Q5i(O4-AuY#D9BOA?X~V@ygFdj2k@eb4}lO_
zG7R<$2%gA}4j9sz5I}JlL3s~_o;@ARi~$NnC7U%TO}^OZhhyABYQ+PRAZ9h&-@f7R
ziBMd{<^|9y>ojV%Wn`?fMeIRY^x@&^vfh<Wz(73RcFir6t8|kyc7od}fM!}MZPEn}
zw(D~!R~H7>%a>nx*9-5wd>}AEC?U`$J^wa3_V)3#3a?0p!4#$?Y&}@~1*TKp_0G!|
zp2PLJ<b}I@+i7*L23@}ErDvPVOh1K!a*_EFJC>+Gc7FcZm&9}r@B4i0D|f5x`;Heb
z-;L#LXKdwL#P59F`m2{N-~QGYW51VP!1lAxy%;;oDc(~tUt&OtCqw$IAN!s^`@)-o
zfmM+@JpgBS0DlxS&+9%elNQMUKFH<zWKVErv~WurBo`coTT+J<!Of8oHG4kRR&cei
zU=1@q5bcV{OfxZ$@U}$~Qt$YRAek~4BhWQ1kdXdD5j?C2G9AVy(qC}FacCkQogz63
zBdh?NBQQdE0c>(9M_+9*L=`{$bV6Z3`~~~U{RNk_PB?%<(d|`BPI>_}rD7@7xHdCQ
z)&7on0AXa)B9D%QZ<bAK4<QfrEDt_?`H;ut#7g|734u6J&ql9%2IT1|lLYAN5e!O4
zdm#7-qCbZ-qc@ouh{URiO1kc{6|OPqy{@ryCo|)GGqnLBn0$yhngCeFdrxYoPHH0K
ztDemMfK!mX0KsRzhCqd1^ujZr@k^mn)p>W7>cI$lQJL2x32MLFvv%^09OSrKH?F(R
z-tra8fQ;j2)1xlr9dN-&r0zDr<rD2<UztjE!APVI^n&MX=4j`34#7q{w{r+qYJM11
z(d*o<vYC-`J#G4P=yM1bIadJg+uvP>U?u5_p<}(ks=7O4jpI^2Di_nUu7jQ9`Tn3>
zG}1Xvn^)F+gwAo*<9$G-D#=LdiehZEe@2<>I%uxd9nZ|}>P{Z=h5o+P23uF@0|8#c
z{i<B9Nz+Nsbk?*D8l53c)t@Tp>w1T*8Wp`Brh%&d9MuY}dJS$zgV9;wb|I%t#|OCm
zoc`c7-|nR8`3@J={?wBKg?Ht0A6u|{1zb*G=XGXX+j>{H;a~c;FMsx{EGYW2mtPL4
z+i!;Y*{^&0Th>!$tZ3@ouX_~KS+x{CZP;0$6Kge{lRJmPr@cSfKtsReZ+UZ8EQ#!C
zW7w4ttn`YHHwH^~FIiP7e(iCBH-xiQIAp9?DSm-7GXb?ir%&@^vf{@qKOLcjF;6!?
z9w-#d45SB@Uj8p!#Q3Dz4^5gbX#e2q&=XW~;G5$4hh-}eaRjL_3Z+8?Nx}&-sn&x<
zGNEdNmmaO8wPZWJ<}J^wIEYzIN}?wmLa4<?O;nS7;4q)(5aWDv8$YzrS(A(;(n>!^
zI0ORbAv!%x0^-;HSl`$FBUfTGl**$ta4ry#yMut(9S(z0Wp~`HEOo2fnFREgf9d!A
z?8isYq>!n8CziD_>{l4!BUZ$)Rft?@J8cU*<{;)_bhYo+N3EfRAZ=$6#J~tgX#xsa
zBmZeTi(qMtz`$bV$B7kbJ6#aQTIUpkd&h7XAxJgog6KHKKx5=>(0SZDG;OB~Lf0_+
z2Bak5ob9is?JR-_*i4Yv)B49q(BzW)6Ou&=)9iY{&kB5L)bP@kA&svYODaK=uUSGi
zw2oL<X<tn<$Ewww8H}j#b!Pjyk@mk~$K#WK=YQjy_~hUD-}ojz$yr_wBQ@Q0`(MCI
zT0TdqG-Zp*hcR2^CQ_lzuewsjGp~2ZCRihz<TIQ*rJ@ad&K(9Qgp+Z620j%rjrOUA
z3nAOdW)Gy8O5kxH{tCjV^K(k}z)sizTJ&p$7W0;GO$^iY-}uo_{;^*U?miuX?ds76
zV)OB!?+lHyB`FKvfBVYRqYFZhFjo%sh!{jeixA|6ErNhts~+70+19KmPoxcgKeD?^
z6>fh*Jk_JGh<WddklP&ym8;KDyhd9QBYkvS7;5ajQSwxez9I&y5ps^i|LJI*Xz^nt
z9<>#bQs>AHJQo=1(_S7?kG|w#O{*c2d*s&ZlN9ynlAymK85-6^hn?DwIJl@sm!!5A
z#NU1gFnzV=Wb$1#-UAfj?Ne!h9hgAxBA$)s7<&J=8jlysN<O(Z^S{m4vgv2ii+C_h
z+);coL_Bu(V_$c^nP+QUS^8hEZrBCBC`m}na4}1jZbf>kFMz1T6UE1Wi($0Ytw3!h
zJ=Pd-zomOXYL0;c_vyL507<+_4h^_Z4>pc|0tt#duLC3I)02IHY7s}rpa6x+P5Z8B
zCQ2Xe3uYm;_=Wj`?Jp8~invPn&0_iSI%pC!u9DUfY)vp1Djg@7o2->1NlM6Ml0dNX
z4a7^ZMJ}Sbo~p-960yDhB9LSl6O6Ap?<D{=pL+=p{3XD@<#H4@?a>EMU-{%Ofe;1y
z6VAW!pvtHG)xg*B65ufHOTd5fz<=l^z_G9U5{z*IimgkQ6qbBE1=w=>fkC4xM+t{t
zavg4((+?~Xo0P5~r(b3IL9$o*I<g(6ADnc^QN|AjNd=z0<SPkjT{{1k#Ex%xT243s
z({e)jmzR^bH$0IZryq#xuwazKZky8&%y~KcK~BHw_X`bQ`u(yUKe*eG!!Uj@C;-94
zm(RcPHL*i;!Sbfkr<Cl;R<2gr#J6De^JL0>eA^w$CKv;gz5_+zF@(t&h)ox^O<!u@
zThYelxLtJ`Rhs72#}@dP-t@-L`)`7#L^Bm_MezjATCpn!kS?{j!JJqIGZaU*av~X<
z{rU2HcB`o<cDS6hR6}DG`Apbu_$w`pGV2Sidi9FDFGVo%51OwQhvpq~L3ma0VDVaG
zN<3zm)hqIuaY2yk8fz|$W&2}tV)=mTpn@e2=)GuGtSXA9jd`9JaR%n#eC$ahRZK?p
zL0llWMa2@;&X~&N^4Xw0QZX->*;a*nG^-?EJ=|Z7dz@qG!Z=bop(5M~^S?`j#H+hO
zqEe7GCN5__{k5A2kcT4JFZ_+4{@0$IO7I;)>!-cY2<qB&T$SKM)38RcTNq|}M?Kl3
zI?T2}5x>T;Y4PAaj0{2QFc$<%A7R%B3I_6a)L|}&S&D2&9?siQhq++N+x63N)L||N
z1Ur5#{byt>+Pqy(@SV1ZaaC}AY6a^AA1$sW_zde{M`PGTRD>U#12L~o1=7tgk_d5N
z4v-2&blm141(@!VyWNp@hk?t{5)pp%SsGN;nn$~aMYy!TgALoN?asv|%u#_iC8NJ-
zI3L)CxX`9;g-$AN$wyl-W&;Vp@NxC>6mJllfJwZD@_~Q-b<e!`b<yUdLG;!6!1dbZ
zN_n)c<r3R^BJzO*_=+|S!IU|{xC74zVwOjnLX)UL1N|osdsH<v$$^{FteEm9XkGEd
z;reKpggCVL@U~*4`9S{KCK*x`f%f0^R<jYuZrcjxd|;VS3rf%4p{sl#v>AGDsh|&C
zKXXWJ>Q@q7xJ;PhSsS=ORX%VBP7S#0B5D3;{BY5xzUCgO5@>x$Zs_aMA5D_^_F%Lh
z*Ti|ESGxV_Ik5$Wvmrk$)<n9tw`RUUku?RYp=$kf^n8aRYl;Y@&V^2DebwKd+L_S&
zRLQDNJ+t|!ieFcAuR0}pd=5k}*TYOtc*pT+j=89%$J>q@=rGG9Rjxskdy$-IvA%>x
zRLPZzx{6Meh~xm_4pnjh0#$NGwnOpu22Mz_CK;}&ffIslPREDluIgF<k=4iNb{t>Q
zqOqx$r`Q2(;_W%#4%ibv;r90GLJNH3?%*5qZc!VVEN#a(({}vfFZ-E4{?h?{)Q%I+
zP<j6eBMlfwv=N~PmIflzZ8N%aL8F@jkQRUkwIucxq8A!G7d(PlT`HcKPAT=tydo7<
z2ug^BLJoRlm`}=rG<QW17E~)fyOVH6W<gpz7c7Y<R2_SbNz_S25ZFjD%9v|m6&L#o
zKZgE@3j(sDjti!mSy?Is#UF7=iv#&<HqsN2Pg0^gmn0Sgz5^uTkkBKjBpKZm$=y*)
zGhjtAR*-b`e0Sj40k{e;<Aj)5qfnQewDUBNVP3s%)BNj|&<^zyUX@sLPn7xJCB%FB
zRozCknD)Cf?WSEOysyQy-wrbK&wb$sE?<tstrlL~w0jvQx*FiR*EN%7gta!+qW-&L
z6)G23n&K03rh?2wY02VFI~dF+O}ii3hQ@Ff;}#gCdPSOc7mPj$SoZcDY1&;7rBh@<
zXyCw1sacLVG)=n;p0*uPPl&Hp=<aF`or_PS1G=i>Q;?JlOz0BR?gt+o(N(pxjn3$j
zRD-X1SZx(*%CcK~iK=!swB0GKHyS$3{qbuw=>(h|>?e;QNBWTm=#|a%5JL^dCDgYM
zFQ+hmPVhcQrWfG5)we`1{I1fwu~3Sfmtc_0ZUp$!S(1RC0)9C^z_(|2!o>6f{M#>^
zo&M<$e#sw>z)H`v)xM?l4<bVYRr<?VYu_mSBXCgHJp>t8*%s1*UiycN-ztKveM7Sy
zokBQP%-S~;+u?L-SIpYC=%As#x2%1O3Id&z<(T8pS^LK5!43gqqoynE8?y(|8eM|~
zCbe1#VyX|SWgLqc<C?M>QJJ0&%Od<drn%%hCMoU1%cUVLbWwb2SB`InK2bWA{s(l}
zG9>UkLI$zUNry4IPCD#vhXgK>%-uyYrk^Iv%o54mP9*aW-}@>5$wy5_GNqe(AWGni
z>L#+V2M^)xDqD(H1_6vr!RU5?s%YG?BJ}#|NXB|2fQ|#@PaAh+TZ)zggVAAlz-WK=
z5v|?~dHA=r&Xq02^hHT1A}H%~4wCE0uMdXrY!Dm?gb$GO`qxyE3}}dn`k~~mG33{<
zx@rL(_+3xb(eH8$VgJ%A=IOr=5faNcm&3KfIfiwPOqk(m<GXPDpGUbN0dF$CQb6|#
zAt)=-JAUszw!rnI@MU0*5dvSUuWX*)e+9yeuX)qcpZkr0EIvpFspCj=3rtfT=tHH5
za6I{hKM`ac!pl_z(FKKa3^8<_Apq<vtJ}CBSS47N;7~Bn*@Pg&3l{{Qg59(bBrU2a
zkq~5f;ex<EL%~517&=plAxM?zg2?G`QX&N5>>Gxz{1}E8MUW$DRnU8XVnwP%7X&Z6
z_JE<c#dN0&o~OQ4vjogdx38<g=IEK5lF>6A1-Ip#2OJ#OiG{Bs2-IjeQ^$tD7Ltx4
z#3*gDZkOT(X~jK1=V1@&gfn_=r8IB(*4JgT>lggc_x|^v7fMOf|DN-(V!#toOa>gc
zt!z>$xgh2*A>RO{gqC;ISLuh?SEiI)Fm%lxz6}I(tuz;t@ym$UMNt*Bm{dwxFc*^#
zXk);kA-LKJ051ztDV0NmW=!=VxW9OgAvj-!$V=!YRo&QVa+9yQxD@$)4<DoVRgrIG
zbkVl$Et=kLknP5@3<CpEPZzm)363OmNx~N?a4!H+F!vzC31x%6`3euEP`gULde>SY
zE^-|e9!g<#X@5Q`>0NzPsvMke9(RrO=UILJG*szqM9+k?BWNjq8}4HZ*D{<PfTi$=
zzILWPf8fV{>JR<k>tFY}drv({g{r^1uUDj|Lv;ZdMx!ojy#nV&^a?If4TGpbDnO=i
zUMYN-4hWCx<<?4;YXS77vju^$j*}cZv_Q>ud)S|LimqLCqk3h(m2)Ii!x6xKw;x-*
zVoF9Emt^D8^@?p-yHi$XS81$JV3ZimVlH~6!dU`1TDc^$9hziJuiS?Y2A|iRX^tg>
zd3PC1Qy&vfW9!u|Fw2{PS$^b?{gLnaKzPQQR&WdEiMLUH-;~`*gVF~0eRBpgr8?J`
zBT}dGay2jprJ?;n8xZWQ;A>aaz%nRpfVDSgFh@yyt7_mFXK&76#-KFhI@_FRRSiT&
z0fWc|-a&5p{Ilm<Pud-|TjPO!wq`1y3xf79PO&nSmkd)EpYo#o9N)yJIWo0$>R4Ec
zf%nmpQPaVLUgI2g_Q$Fic>92cU;p@j@_`Rdsjz^PfgQcYDP$FP>~;!60$9MPXF}m*
z6$VhV<_8ZbT(m1zDhz`ewQK>^)9Sjc!i*UYRSW6l%G%*AZ_X<0fC12wapb(k7JiUb
z7)ms3+GP=DZF$!CF<FIy8W#X@C?+ri_K<9~3hU6VEK4Fnt$)p=3KN~<gP)4_82;c&
zq_4R|b?8z<aIDZQ@kynJzzn)P!U6kmE_f@B!4qEeSk4VBdTjNK%>(keIhpz(6aFzt
z`3Yb3C*S%vC-vAGuN`v)2L$Y`*2#LTAh;%r$px4N=F<9wu3@nt<zo32xvurPS0m1P
z?6m8s83|%VMWpg_YCX2cSOQdcsGhdqRHzkR)?-H{RfT#waqL_znDy8w%S)xlO1BNr
zzzxmIq#o;QELAXbOT=aW&>p&gd11DZ6Bb?_RyDOdBVpl+)c?jYhdxniG^qjSqD~(Q
z&uC7U@+nM}2j&-dDP79^YQ-id_{(~StVNI}sAk2G$l#p30xBO!9Yng80~N4-kZWm2
zXXIO(Qpv4qF;s_HdU2ynE^s81BVh{Q7HC1vPMF$y6EXF*3o-MSZ$ozKxF7w2H-5s5
zNj9VcppL^`Yu%fy<G{;E9E?K$TeXmVwKDl&8v4Iuby%VQt?J4;Za(yX41$w&+$aPm
z>$p(}PNn0HXm}9)dH_Xadm$^p%yCCT8E9e<NcKF+3i^-lwTKNO7OS88)7uUc9YX+B
z(Er0I1StE`;}D?g20a1<NcHa-+ySCVb+17vkZSES!*5gdmnp`!e|o-PamSRpf9HRP
z)P4NhwBm2{u<F&MN0mP`>Cu+Z8H#tAWV1(5x#>_a650WObzbw6Z0>)}4}Imgd`zj7
z>F;jyjE8l{j$!f$l9s=A^RnVE=|i+97}<zl$)@M4ASE~3o-3La3+m}MA`5D*#_892
zMsx<yIFT-M-P5T#NZ*&RAN*cz<}xe(2>W67TI^+3{Cm7?680Z}((I}83Mq-6bP3X-
z_>lm}7xLQ9Z6U#ZND^GC3srazE}b*w!Na2b@bOY)Lct^*`QTv*-0?9w3w%L1yXE7#
z0l8~C|J$5EvuRq-u>syi<$sZ5&SvuBu*Cz%o4-ersLtO)GI?^4?6gfDM9aN_N4Z1p
zg0);(6HGuo2Fq+F!AI>gx4~)GJ}813u$SQCi2AXx7?5PTCz;IT1uU;N>0`zpqPzU0
z;1{Lm`63?t4nMAyOb_(MZo6Z8Y6oXx(+lgwN|f`2YpVeu%tn2l*L_YKvgY!f3Qe=x
z&v;HDJo234TYpaZv3X9hpg*Vlcqh;47-_Tc_(Yhav^~i@OQ4a$tR629z!?<|<+gcw
zTGD9n@|;B)Ei*^c4+hI}71x0Ux(Y;PJL=7d>vEV$&gTq^0mU;j7!fA+IHX&a=k>+5
zifgxg&(Z~#?Ku8cSfS(mw?d+v56E{a@6YYnzegGkBIN4#PmxCBe3^Mc-za9T-g|m`
zy6CDZ16ZJ|<I8p&9~Ug2jy_%v1iG(R%Qr#GoRlMYnBf{SyyJ8k5-;Q0r>GZ8fsQ(&
zUM>bc>huqz2dgR$H%`smU$8%>8xNig`DQR?g9BwWc-hy}fmiKX#;|i84*b41I)8m^
z@b|OtNrS)ZvF%sS1m}qg1|r6Zr&;HD+If-mpTymKDEdn0$;%IzeOH(^DE7B^#XNz^
z3o|5FPI&0jau*xDuKRQN`n}#UuG-Zmuj{V7MX&n1!j#NM|5tzFQ{EB%z{oB@A-HF*
zTS!o?SH$73LF~AKw?a6neFYau5`MB9v3~GUMG*LU=BKluG)9;pczJcc%K_6X=<8=e
zdggv;^E=Q2Kz-2&ii))r_uYnRXXusn0V#@lMS3#6V)2fUB9I(<KC~!ZTM?r#P_UzF
z+F^=hvm!lnUvUR+15LE-HZoc!uP7-Qmo#S|;RD8m(Tl1iMdSLF)T9WKTX6U5OFqjt
zQeUS}d>9^4^5WscyTcscpP8>{Tx;3Pi}LezWnw?Bk`mOeDf}-wY8rSh^TpLL1M#Vo
zU4@s6gmf4}X1J?~waN$(i4EBfLr9Qo1t3PkyK-dO#E5Li{%}N)kWR-xcNn_>h+}^w
zX3om9NHh|sqnF1mw=^haV_fO+VP0=4NPjg#l@AU-q-d^#-4(2h$EEU5*7=Gv9X1BV
zK&yF<eMjx|)wcMh%eTMvMV!&IFI^4mf)}7-Zfak80ee0B+=~z1{mw6cmo&JluQ1~l
z(Gt$QMHGD{Zc#GU`Vn`lyyexy)W1dC!K}4@%=^A$%w4+LCz^AM;1bNZMe7yq?q~d&
z&C8z~y~AoCTDu$O^Z;VQ#$05(d)jPBk4ys%lu09)?QU2}j2C0boefa7yC}&rhXmsX
zgl20_v)w%*8)brP7~p!vY<G8CrY%@xAhl*AnC&hmb)d9>Lm$z;tj#NCKjCd;yK8tl
z*hrj0>qJy`_qYabMV8#3q-Q7pY{~Jsh2E_>cZ~;U`MNnv$?+6P^#4dI@NnpQmr}bx
z+?JzQFQs!}h9qwkN1tWO#r$bXEDxq~;`%Vzw`9ik(64ZtjvDf?b85F!z}zb&w}u&|
z>N_>eLc0+sOv7BI6sDMyWe9fKA5nAV$!z4s4#z~clO^ZtoHpg94a0s-277z@uh7Lg
zKC7(8?HVO2)c1bo+y2xHVxt4v;qZ13RSt5AA&s^N{26NC%9%!UOALW5JQ4+5ugH62
zSy5;`M;0P|-_Bi1DOvo6k%9mfs;^jx>HGBnRj5U<APaYE<ge><6ou-N0WFlS<}5j1
zrInB%G}vo_*vQKX_7|zILe93JXI{lv=A|v@?%IM(&rFyZdiRx?xuaX85y?pty%tKA
zxBN8_oV@tUpZGt2drXJc9xV?}tYZt>4Z~NNaDy2#gJxe@Qm_a*O*=YZZGcJ$(uZ+D
z3}Wd7`31XYHO3I6H{*iVhKfU@DxkJB1ZhiM5EQOjT|LV1S(>R3q%AFi8<<`BF&*o%
zwIFS&3j%h_QO<<HZo&Ie3o<xyL9n=!#e+=g>Oth@Td}1@vIFQqBzHi%V#!J}I*XYl
zI;`a2Xy_H<!Ag=f7GHC}*@HzD!4^8PY`Tqo#iBMnog#^gjf+mt5}DEF2T3-_B~eSp
zK}B+7%~)y8e1CR(fdFGoFj6RvE=lGagbBZ-f}v!G>snt<$+Y>=!HuAO6ddW+yGr>M
z#jKzmI;7j{neP#qBaKgi^N#B)FF??8bd2LhE|}+uotpEMT4IqbLza;i)|fqhyHu`R
za(~?WumMh~%SWH(LtT~P)o?A)$hsX=k-A;L8lLBUIL?DlA1(i<M|WUygs7LJBW`pr
z@4rra`Jm&6hlk}bdZLFOmsX^M<rB{;<%Iig7Xl+hUMZ52*e8XKy;?VNuz4adtLYW!
zSkPN3i7eX@YoXT6fT0vuF{9?J;&fY$#=vCNv#7#grF&0G^)(&8sm7vWijmE6{4I*T
zRp_bp*$5qFJI=p}B=z!m==ju=GXK~g>igINa-dXmn@#M?sZeKQm~Ht92VhAc&u2Eg
z@dWa`TeSFS^Ka2P3FM#s;`e^*cSPY<=V2D6HHkO@XwgB+sGhF2&SIutnUCfZHbLje
z<Sa(%oG^_=?3+*6R7j-@9=97#g$DTuM!qQyEClHTxFE>$)mz{|zIH{r04|6$1~BJT
z66*~}i>NtcMfzw(5Oex;79M~j3RVk2Ish)XLl#^GQI1uYCIo5!T@X0Rqj(>1mV_oL
zA6k4gmjqCaZXajn0fgS1q$H4C64Rj3VTua`*Ty<X<KU7&c1aA|;ow)2)*X!U-X&+*
z|FE7dNt0UHa|*o0kX~)#&EVhKY-nA7l=BU~a7m>|<58G6?K4U?T@aDUMD`kqP$;f!
zx)74oJLLSS0^ZXB&ut`X@$dk^orQ<T`DUBWx^ZRGC(_B{%7=;9%i}hk^I@+=L}?G~
zVx~(GR#8D-q(wnE>a$iIyyH6Wyj!%G>Dw{WuiySJ@BXzZGY!Br>VnJ_z`SZc!!3ak
zqPjgV^URT{Z!H^BDYn}aW%@YB(~X*GpFR$wX@PAVI-6-&D?+<ytuXbWv4Gi3BNEV=
zFGvDd|6Z(^&2)?@2L?=2DIr*y=`yAqjliwpug!F~#(*6))7JSAOIBw3xapKlnnQ5g
zOJ132PP~IRL4&@+wDj6PkyMHu;t3?1n4+MQuofIx<xb?=69dg*`fGP$()4Ro9PMee
z*vS<zibj>174*x_@juxEC~L>p=}@;(5uV`%<bq1uT`XN{*$d#4nT&eRio@<zuxZKq
z)H_sOz@u96HWdLY&eU5lw~iNe5l&ZVGiD~vf$d9?Jb3|fdBO|0=Cm7o0TwS{@d7dc
zTp)G=eXo&%!-y?|W@~K)TD|!CuYrQfSAO#k|M34CXfMs2^a?6kT0l^MLP(o6&nf#+
zs^Yq!!WsorhnV=T?t-W78m7=OPUD=f2O3N%MNuw6t)|Y`Q<>QMPsGSwbm_Mxnw+f%
z(%>NAC}7y|p<Xd3>kDNEh}mp_U;LP=pn}A@O~^eS@u6N)ynu3+Wkl|AE5xU{J|td%
zOTuE?I9pUydTZvpQ^wiCipXuOiZuE5jM6zvfL4xvHJ>xeIr#>GjvkmfDLb*JKNl6O
zqtDkw11oB0B8i+%B{}JX&@WI+-A9<K=W%uUA^R7)hF2giy(V#qQbZPu!wuIPhGlQ1
z%3#%n0UqfV2(G~HY}!#Tu6&UOAch3QSrI*a0r(D)kw9_jEMEXsiK<G#GD(Gehd_P8
z+z#gfMGp|urQ@TlQe{*osw6ECUM^4ZMc|0BT6<T8gSh}TdwZ_1PL6+TqiF)vp8c0!
z|I9B0!ILi3vjM9OfvV8hGSQ+|U0KVN2AUmaiz=GdP7RsetYmNnt!j%xZ>xxN(e|+3
zGIoMDdPMZtXw6E+po>MLRaBYlx}_34xrPCb4GU4jpvk#rp?}MpD;rQ4tpN>g1uGj+
z7_AQ*!5mb&l@E{~J1DJDHg2y^WdjPQHT1QFp|R$*^q>St)JXjguBZBHFGW>DEKu@w
z^FOL*Ee5lV%o*kCPY<>~gEJ})#1rn9R&yG5X}38qje9%oHuls{Xr*4Y^!AnBw>|SS
z&wgv9zZBNxO3%Th7?;D6$&C_!?2Uh6iuhxLVV_ib;PdF=e5v&O9Fg0BgGj-{+6rX$
z74$9bViEMJ4zM}~5DGymy&`z*I$d$U>EV2-^jxse29xho6Hu|DIKD*^RHO21&@<Sl
zULcaFNOl0U@aG`&fI)4vGrs05r6($)C^^+W;qvhdRXdh|3ThDd^@uhJJtLoV6;pp@
ziHD9Sx$6;W?&*0P>VKCW!>8$+om0CSReF!th_pLGkS-mF=s+}$Ghww_U;3_^L^Toh
z+6DPO^~C@E?eG4mDNe4VlQHhsy*ZwYN8F$kGDaAb9)&dH5f?0Ej1aV(lv|#fa2D82
zBV$Bozb3CjFq`HeW8CA|l`U&bvy(9*W<@e58$FFj{2YUf5#W^CI@S#{0HoMFR18?v
z;403sGR;B8h?EpVoG`e^%Vg6WWQ@lZ9E3(P5vc%z>|~5U#%KX89lg3cg^Uqtto9NK
zGQfX&A!9`Ir3@FU&Xe(Ck@R`2fPWh#CLO)HK~BcVh9=FOx#Xm?p-w?myL5YP?uGr$
zosh|u@N^Pco^-!)i0fnKfVjiaIwEjD7Ba^3QdPKMIdi3y44!IwyvP_A2W06odf^>!
z6Ar1uSM-`Jihc55{Mz?TQXqgG2;CmSY|zOJ^XQ$wih2lK33L~-ARQ3vTt+QSY&I~l
zM~3vZAPt-g?lyZi3nMMD-P%}A`wAgH?VAgNpa;=5@|*{f6g4YK4&8_V01aiy1yMI9
zbI`BI=SUUhD}scS%!opPAW4KQ$lQPn9ye>S_TwB8@XPe!EGQ0$OTtx9x&m~a^sbLM
zATGJXg_bTOlp&=HxLT7ANRbp0X#Q}ZW0^9pB<X;-Bqk&2n0I7?8wRP_E<m#EMuSk2
z1fV2U<GqcnE58f@cL7*qN(;?Rw)$fJSC(l0N6*0eS8O9EWDmyWEMHw7EFl|<aBU=8
z-Q3&XjCN^fhYEF3sxU1S2z=3_LM1iSv?(vGoBjK^TBw(uUtzLmdLnGScCvNe@@-Vi
z3e$i3N&o6keP={;x{xPZ%bTcWU*U4=>7e||mI@Oo+O(2vYgmQJS7f)Q)U2TWK*(Qf
zfm)#+Nfjd$ZNiG1)kRjQR%~a_7FtG|6)T0hT9bK=f@n@`1hYa#V7Qh}KlB<16Ldaw
zR;arb10<^G9VD9-D}{Q5idK3!@YQIADXveYP%+h+HVkT#V_VuavqDA0hb%OI5Aw~e
z5tA&MU-To)7=DoO!}TnLl6bk%k=9o^I=V*Nqv!i`1YAPTbB|lvO6D7ci=!w57ytpR
z=F6#sX?FC}VTD`G^8__u{S-|q{?Oj5q{-LmbEEcE>E^I6mgpG(SLOa!)v^N&Y-_UH
zLFI;PZF)LG1|gLG&?lbJc-5_;wppMCj{-G7{FgMqz5Cb#CbTp(BH4}R%{wy_OZ<0t
z@t-Nv2}|CZ+9d&fHA~?+9gP3aPkiNn@V)>=k`)faG2mQ?MU*WtQe%=CVk<;zTVxSo
zlTK)opdkGkaaT<6fMMuTL<Z`_^2#A7@t+GSgb^!Z7>8yfLr~123m(?6f-s!>m1luN
zP^_T|S|bBig!R@;bqI>PVuHmB*_|+vvH3CLu9zSa*`$Pk7lJ8^ny-x&MOnGvo>xT#
zc~R=iqwb1Ju2xK^!{Nn+(zJ3csqTtNGDWOy3|-f|KuUpUk|(ryN(Un-E-VF{*35UO
zjNRSuw_SVD)m`y5fmM~Cj_LKlBQ?J$-=96k$LU2{v5_)MPP!Iqn$JiptDPSzXWzPu
zag~eUjtl<|$;7{zL*bF7Czky$63Q(Jt~eMuvXrMY2m{pP2<#tYsfs=x0*L;r9dcyG
zz6JV(=xY-Cc~+Fq>1KRn?4z*srsD(qSkd=y<ONUX&+QaS^0iKza(N=M6#j^7xD5IZ
zOxUCAN0zgeZ_OPe%ir<0e)RwQ>?s(}Xu*!kASy>YCYTTh4ixNituPPzk?dA3Mo|^`
ziu+*U>!3KP3cSKp&+i#6!||T_ilbFH-fb5gEy7V%yC73!_|-rV4b|X?U3AHj8r-B}
zE6RW$RB1(#Ot;VJ3)eloY{r2oT(_)Ea89V1_~b(VH@=Ba{+<7gZ-zcmD#b*uy8JIx
z*ut%Mo(dLAo>Z8a>ri2$pwCxf_6(n^!j`D#?xLP~x2QD(OVo2SQP1D~5C6<>e`cx;
z09iHCjj$CAlexs61-hRvs>9sblPqaKRLO+cIyW%Ul)B((KNd}?3+@ojh)=)UuX>zl
zbb?&);NcON*{nQfUm-H1DGkAu@!PGiCVor+?Atbg9ndzgkO)wket}85Cx)swTwedR
zX^9r|;#P-$8+((#8u(hIb>Z7+fR2;lvB&E{s|)x!#fMf4n4R{<2S=QR@xrkKocyZy
zSmUtpd1X6Uh_=~U7)kQ+8lPFpQEC4MwSwx*Sqv;}u*xw5gO+m$y(Jt@rz2M<44%y4
zIN#veQ+}?&yM5^W7yZe9=`Z|Lq*qkydo`%InxLta+6}0rdtkHdv+uxikE8&u|7vY?
zPPT?sgM3AR*{MGuxY?lKT)X1gXAjgrIx1UC8lNOzu|xp?)c3}}S3Y}(F`g1v0L<S;
z0oiAVjx*>zeDW4JmwomEy+_~Cp%s+p$xjk3@Cv=h>xc_g|Lh9icW~(aakZ5W%^a(U
zQ&!g8tT}*)JqM`sw`*2+2lEqE5;GTjIK(QX>eghlfErk@rPZoBdcHqEG-~5m|Blbr
z#u`3Ek7&}J(Ji35bf9t{sxa+06oTksymS-7*XN>~V*}#!8cpp%iT_>lD^Ihx^v3cl
zDA=Ue9t9g1f@3P!)SJNm(c?I~k<{M8+fwZFmT$dqCS!i=J^%P0K0B3+0bA}`YwgIR
zwz?y$4tSU(^plYYJ=Kvl$G(HDq##_$UXCRzj?<AHmsByBKii_GE5*JOnqUYXPR8qF
zSH(O?SA^gZ0+FwzP7dNhW~G%CJIIbn=*JbeJ>yd;_7$ega7CifH5(p>t`vKr9XPIt
zUdW1lQVkaGv3yWn7rKvMsP?)lwH#U|^qS{kbhTXu8MzWPm3T(iCr>5+*BrRnq-4s+
zw5jDKAM-Ta)w5FKqxl%9JXXd08NH7!xbme&4QL3`=Xa*#mTb+Et@+J?QU18^`@|pk
z!Pl22DGl~Ki1Gx-u0sYyvNflJV8(J1rq0%Ek)&Z-XAg9l*b-aAf~d0M0YEUxgmm3r
zQBxt9vo!@*3n+t)D+|FoTeCxu1<SZwbv7$41ar0q-2EK<CP^4!rHyROe0!&c>8fF6
zVoF9#P5z3X8`C43dITh3>_a=A9f&M7f%|huv&YdI8|Uu4|GjtrbWu(IgtLaCAUp~b
z;>psk_4kxdX~+_g52<RN3h=Ast0H3y|CPy~`FMOXQq8r6zqUnQ88DXj=W~$v=XOe%
z;CwUR3(`Ah<!|VJrPXS>7hEeObI!M5_7I=zr7srj?kw26ThvB6OTFP{>J2ac$hZBG
z&x~0<>Or~%^8o8Gx6OC7dpAdmqfNPWv=}4#dhutD7Sl++jgjQKJG`{{5=TS!--EMy
z47B7{x994XT(l$S`-2t1w8YrE!${OB{x#}3e~3V048nU#;wfoU4gv}<l0s)pNnq&P
zM6L8{x+E~;Hd_4z;8=U~9MSK0Ycdnc(XmU8(}5_=@CvjmBkw9nf?d<9KI!48x3N-}
zL^m2QN4<_oV7l(>z>3R^PS+LiRqF@o7ark<8+83Nr=G=4jod*&MQ-d0DY=FxS4Z$9
zU!%uXFU>enn<BvMoP;3&;PuEJkmH?0M)$}gdxXe0oj*qQRDd|l;HjJ|*$#I|!uzzz
zuwhq<Q@C1)8Z_MB-NOW)l)<}qA6w<yeY-iM&_qC)rxq4R>hb09HKjOlixx-fc9{IX
z>%;5c^Zv<Hz>V`a#!y6zz0=ZO1nxN%5JM5sj;!W41glg4<`GK9A7w==K!#vW1ptHs
zkBsRGz|h-6Gwp7>J&`zkbrgC|fY3<0Ap75>Gys^mkWof*0nS9l-?&-ZXubnza$(LZ
zN$OHx6EWk}1ecOjb;(hNO9{SR5>q8nK!!7cXjmxMs-x49a!Kn?KwbqCgm~2}$$Wp%
zGRNvP*2ItR{i1w>P_a>xWiTW6SuU$Pv}Z#hvpPd8m-!Zruw3HG=X(UIPSDZuJTa}l
z%{_@D<-gr9MdbGcSxoiYCmkvJHtNqrby&Cia8>nEtUz*kRiQ-p&W@CLNaIeF?t}_T
zcvBD0rPS2Zd*n7hcb@$3;zT{oxraCAM8Ruf3B?{>xJhtPQwgQnq{nrgii#~iVWY1N
z;h(pB>jJQ9{KA)g^}qk7fCK45o(HgHpC~=wL#)DeCVRJ+^73iG*kt_((uu2$O;(Si
z#wKe=M1+q&{qU#~6*!Pz@)0Ep#hjj41j`%P4Lp^Ym1~1_Y!OrsYV)N-eMsfJwZghf
z&Qi=t8P17rvx=2n<9}2)&Slw9=^_0aE5@g*^{#w#Ig@Y0^(|~Y{_mL-#1f#0d_n*$
zC4ruTSOGZZgn84KO~B<j2*qAkl`yQQ1KxI5l~e@c2Q!MV5TY^|HvM4Pj;OUQIPkTN
zAeKF1*Wuf+NB8klsXzVUi)a4)q)NT<sUD-4@2pbMooNH64<KKt{4?!}avfw1taRiy
z(sP-e*z9itF3E^M1ZDnM7R>JENk?`;kgZwGp(}5b<F#}}y4;QboFjtq2^>{KfDxwd
zy-RvApJk;|N5SruN*zVJ3sBlG=8a7Cn5Cvw&4vFgs!_F~LEfpE)4yhB43$HcF%)W&
zd=;Q9O<%)8deU*}V!cU}C0QnsQoXa1?2JSu{aSb6C(OZ+3;e;q{`_}c25?ea)my>=
zSU05<+OLjARvJC+l9jbab>QL26ojt--3Bmi792v5F0gHpNr+@Qq%c@p1tkQjuZ!Tm
zGkpyYPs<`9D7MrNee6(ckrmg_V9lYaugi*Fyg@8)46(5y^|cFv_(!KZ?%`dxD^g#(
z;C_WsQp^s}3m+vMI34$#>kP>bWJb+NR)hmba2!bCh^ZXST=`i}>OoawrUNfS7piXT
z=MsuWyq43dt9k{-wq8MuWdkTthc)?&y^fw10s;t0HS$NwbjiV!?d0IK4z9G(=L5>K
zk{~SHj{P6m!4=3$a!_xQi2gpV*0oTS_OMQ9q+ZOwU{LN3gEH?HwMJvXpxg`w<zN5g
zzw(BUk3dPsg}UMO>A8m8r<r8y0_~}Nh4cmuuL}aPuN`LlHF)Y8K^k5c#4u&%o|wsA
zoArwoX?R@_&`8=-2%<otMlA$scwKOR5;j^+_yvn>b7&ggB8Y@I&<GUV8r6KPNW<%b
zn5N8DGzf0Vv|s;fDo+;#8zIXF6x^a2q860WiA(MP1A$`#Nif)|8xhAA!&@YGTRI$&
z?0n*6l4R~FBuTL&SLi4>)3`a4Cc2nhwbfT-lqjVJbz1=+^xx*E_01?})TbVI2rJse
zKC+n|gL3MPpdRF?u@i;HTOpdhTLLGmp}mqf$yT@Rl!cwIzzl+ssduQ%tbi%QOu~xa
z?UZBb436W|>{m$F9{Pjtcen}SwxmsQk_#9DR|{FG^WzeGmd`cQbK{bE%eN+msrf(p
z`Cqm9lT(#tIPglO3DT{#Dhki(s(SSN1Jan}B}LWt1YcbgMF{59d{tR)3&uH*1dU^`
zbtL=SI&e<SqdAKWt8BkQduem%oJXpX{jFfmBOUM?G~tATaht-Tdh}m?*kY!K=8+CY
z85_U4sw`tN7?JSEYo4~&PMjoj9tn3;dwMYuaixYPN#@i%;}*s157mpS!byknswh$*
z1$Y~)+*H0jP~+AEfbuHsVI`UGPc#ASx4muw$x)Z9bnq^KHFMp~n)wc;Hh|rU(OY%&
ze2aGL6PlC7%7WjvmCW}DT@Sd7%pUI7(ij+PP8t!_;SuMBx#-7uDr*hJx{)(qvFj~a
z6}1k-9SC|lC>Gm?=v!z^OtU#@WZKXQDt2N<S35oyu~cQ1z_Et7KVmuA4z2vi<73eu
zm)j@6xCWOm#gfX(nvP$`l0@vW$5bBV?Y*ag00d8K+8+Yd`O9`Xf4=HzlkamWR-jm%
zGy#gmuwV|rBgC%WM4d$PKs%x}*86)iOom|Y?6tfti!^WfA&c~XeCzw(Kk2Xoy2~1{
z@M9WxgxSExVK*){@?(-wvvyr;haE$yHB+`SKFL^-Por(&uAi(1d^}lhDFm~_4#*Vv
zGkJ3mE3}8s4*L$&<$|Pv3F}24*<lCNg~J{s0R~p@`p0C49eFh6djpw|arneJRt`Ic
zOfgdiH+&$fs2p}ch;|w+41^U@+3+j0^D&fY!9LevSJf1{R<}*hUWUFE6{TO^gtBck
zo@`R><^QfLBWHQJIP8p<yNy7LD&@C0?9Z(3y^B4q&p-Q;Bt6DPd4J@`{jt}jLV~_?
zZ9b!nQ2`}^F|EY=pYs`^9ydVKG#aH+k0>+<9&-;uEzPLMidj7Z#-olavI{-clhq?8
zHW(@JdTS1QteDj!st45dP*LlRaXN%xR*(B5G_DA)j=c;?R*%r&nCeG83ZJ#j;?(L<
zi6>Y{*c$so9J^AFXvAgag9#sY<YwJ`wu9+Puc)E&L3PPgmO`bx!NVCFitl;b%$Y+9
z&k-&ImD8n=Fy$@NJq&MAumNe4uW4}}uU$y6$NF4<a496*T_IuKEoy!0rI2tlg@k|l
z{$KqU-xn2p4RCrO)`GagxO6SdTO?$0iERPxx}i6{p*|Fr{m4hW<;^)2Q#gP?QzV=S
zxxXQ2VgQ)mNW}ru*v#vWLsyxy-HItSg6lStUdb!wJWK$oFgT57GM{6WhABWQR1#?W
zvS7}_1m>wjIinG*GG&2z+JV2nl}zz51{)QM&y~#sir-x$uJ*?9iJY4GFfKW1qKolN
zb^c<N`yV`RFiY%&5S|LUJli(QLf#%wPUhkMd8eAN0dI0DdQ^Ppr{RC)+bjQvQsbnb
zOEKYbC??oLyO`?7w_S4HEm}<V?X*k&^S|<)FZ_6zYW17i7&$`UfrvK8$Yp3NiV-qm
zHR{i5s?9G$8#C(U5&|M~t;XNJg7JT~#mMNfVXl#hK>+z+fb*}eVq^r}oGi=u{AB(_
z{OmeL-jI5Vl7LzC;_h7Y2g;COZ${`_>pV?s=DYJKaW@TK7|e%{j1Dks-?zK^g=6>P
zkmKwLLx$Qdx&IxK(WBJ~$C3DZ=`?v9I!)~HUX1$VYt-l6qQ$7+j#2;Szw~im|HU!9
zLQ$1&)D2?^Tm^t<TE*i?K3XmN%HnSqEYv~(I0(Wa3ra@K@a_==1Jj~LJyxVq_Z5K=
z;{05gECSw}Lo@z%L6paccZU^(HEhA@jK7N@;#oD97<H@3`8YJ=Zx;jz!VV2Md%fah
zU@OL=H@t%8Ma{_76Pp^-;}*&P7Mo`uZWk37C&S~9L*kav6&2P&7#I@PLbg+WnNWtb
z$=pjyHv^3TB-Ggq=l1BHYwl*C8>oN%&6rreRn-K<J@<$H)Thp1IPU;ALiX+eJ)%m%
z2y#r#IEPr7AF)4y6kU`;2vW_sV45Pgl_BAoW}Irq1;Mpy!ycd%2&2k^R5LDUVGCg@
zKuI-%R5LD!3L#p$p}0`2x5|}$g&#vTQv?-Q3_-w!H6#c@rum8>kT3$vLWvLRxIRaT
z9b6KbN`<5yPFt|EWXVa*#TQ*(`|?3`*-bE<>q@&oNR4ST*LeOnbWwcr@BD9kGxUj4
zDHG>yhW>Y9IA0jf-+6KQHiHYW2KTOaUcT_$#R~t3_v`X)CqonznRmVP?B&ZZyz7N`
zUOo`00hBuQq)7Y6)9MO!IlS-lJd*DFJdPLpzT?^VygQxTv+sHE_`39_hvMXC;V_nx
z+Rc>I{<mNGXMXVKrd)b>Ce{?Y#YZs_;RQ1j<X&(z#i9z;3TBr+nqq;xYa33pOAn$_
zZHk47G`MygI=l4I6x$&O)|_K@=|{tk?9xY5ECLWsmBpcHYyDT3rdUL$=8A-%*jks2
zrdSXov_D(&bS@c9v3tzSu71v>RaVP64d7FQs?mj*K(~zi+t@_2#ySAjHXhHxBMX-0
zalo?Jb3CEddrh&oA6&fnjz9m=-xAG;YJD6-P<&z#rXW8+$T!{S>=SFZjSE_r2It~|
z@}v>0f{XQuZ6^?1gGHqgtb&VfM@$~5$<Upr{X2FrgaSFZh!Ia90n|K82<G6T3}iZC
zo?II<<ly4u1DQ@}A!-kugA33~5va}aNgO;^U!E$sKqrv)_Tw@lW@;oE$NH6wAx{`c
z)stjl!X;zM6H-mRqthI@Bwz_T<_R{&D6`@cNtc;R7KjIaSVJFuW9qi3Soxqj)X@kJ
z(ThT@t17wInDTgQU)-F+!O{*1l~%^omC-T`fKd`0S<%M9?mpx?Vv2@PPNvj|Y)3An
zWt!&LB{G(lDICJAocFJhe9BB6$7dhSIh<GMia~E?+8=#4=d{sCe^&e)y*$zDh|bM@
zB7W`-zAN9(?>ezZ{<bvpyyb_|J|FQ@f8}GpI7-H9LE7vx+Ar9NjE;^0+LhWB1#PVe
z4$!Vtt|$=5+LkszyHdHLV{9tf6*cxhcE+rFY3S^Vf^9|h6M$pVxtBBG*%bvu8f9-L
zwvXGjYGyOAFbcIR3Q8C)?*iT>`ZfeBR}@(vZz%5!pYD>A8ZR`JIhm;2s^(fJEjCGl
zAItO<9>2=}HQs1Zr8glHYjd@fw|2GWf0vxk&E|aW14doi>d0%aec@X5L6b`QcD{PE
zhxlCewt%Sb4n#fg7PTf~$@tt%#^+P-uRbDmFX_!_&1__R*6W^Ss?4lb4``3qJ<Bv;
zW+4cCa-GmnWR^V@2~0dt?agKuHdANZ?$<|~2xM!(C{|`x3Dt$t+#2~qFq_%P`0Ux#
z&S)hBvza}CQAPqFunAbO5`vYPMN1cO+qB%>w(A*WDdbs|8!Y;)AfqPT0eMv&e9|Cm
zd~iz{9}7Mn-$Kli@i{N%xt;DMdjS^1`}mex=G~&j@ZJu3>Ysb=>%Q-cqSS)+8cYgx
z!>e0sP>>lR@*cz7tcO(unWCiOb-_a4gO(#Ry!I6YX?R`m)NM}2p>uNVbXk${Z4m@v
zC$Q5bOXwVKTm)%&T@Z9Q3^Hj?onJl<O~dPg`}LZ{YG8Z;2t!R;2-5Jn;0{X))))+I
zmh^PN*|slb)gl^I+ZAvG-8Q;{k>D|Z#m^BV#;2Q-k#o9&oWMg*|BDcGflj^h&?$R3
z&$V@Ps~hu{Z}l{xQ!l;YhmUUz9iu%kUfr;cnAG^V-U^3F?iHktaluildFmJ!420$Y
z-#c}T3l{Z;-hv+AJ9SJEgkvopHYP0Dlt%l?oO>4pVaru)?~|&dyxFQ2n&ty}(~C%c
z+d~J)C*Av8&h)%sIZCtg9GTdK(h0KE@AF><R-Z$o$bc_p=7F=xpi8M+oDaIR$M&`~
z$Gqi-G{^Hl@@t>;d1q)2B0}me<63jf91yfa8B>stnC~DZx~z+`<~Z!}rYn0K+Qyng
zXU%aqq5E0{S0`Y%>vN=c;+lidZ&5KjkPOyrcz2~a=DgpkU2G~2cd&oBg|=h&GQ3mC
zx0g}(=Mk`uX^y9!%;Wap&w*1Nj<6(wKrILN?pk2(c`yF8@fP!zAJQw|^n<_gd;f>2
zs$TSrOio?!svgRJEr6;@H=+oK-btn#*T&Np8M<86vne@@diui@a=P)b0l%q6J(#9g
z<>%^jqcLI*m;Z9QF}x;3M|H-puIin-j+ss*5fM?fEj3lT5%BPnrW<>(F$@XI>Bc?S
zAB3v{Kr|zijteB54Yv8jY0GgGlETEC$?Ats>PgjA{lsMQoBF|-?1Vv&Sv!W8BcJkD
z{M>w<mVbp6Cr~EqOjEg#Ki>fPb4<cklG0K!6i(BkOYtSJ9KJat_@a9BjQ_bF+EPGV
z3Wy{S<TFH6Kj8TO5gNL9QWdzpkLu^X0_E3z1>j%yea8!z8P)&7Wk&V$J0~xMVEXE%
z%eTMv#pnij=>=>*``n8mAw3B9RLqwckmAWO$8h|Z-~W3*G>xF-JOkKY0RKSYT?79Z
zDLC?Oci5q)6N1Op+Om%JmE|#A5Lro#GoavRW7z2sq(yhZ!`eH;kUD7bj<o15SUSTF
zpzUsfgp3qi5HlLIr4_owIMw6OwCFAveOzEnYFDIJSOiNS7Y2Z;>5mn~q8CYT<O0*N
z)g+T-Mo^YUE?`lQZCjdHbYJtZU2$$Sy2uWso~>_=REJy=RNb7|%`up3OCG1A)8TT-
z)1G~=$n}Ey-4ZrQvbsMV=99{rAa|}W((U*YJ&&RB7Su^W{>DDct8}XH&{zreUxo?5
z=Qu8LdX!EX9oGc@7vVxX(McvWCHUi79L~g|EzP2VSA;*Zsb(w#0Es+DwL?yWC@3fP
z2ORUP*$!%x)Bcz-dJbL^G~pFql=#hkh4&PnJ)J+dQyPcwb=s866L?FRd6(Ra^l}4`
zl)go%R&UOAFYKkb!Xh~BEY<&)z5H@W%~+nc!(YePu`)Qm{7|6wKmPGI{2QM$rPfE4
za8~Q1Dl_Yi=(@!O91W{NZ)CM$4L$^&Z&s~fR_jNkibT6%dV~=W#EMz1k8105=Q-?-
z!6fx%Ut4FjKCW1qL9{dB41^KIn$`NaV$Hz#!y_ox5=5z5vs8HVuUkWT)}fiIyB>s7
z7aG#4q2J<@O5dqA?dQjrU=7yc#wPUu&zW9y=t}@6LD;^8!n+cVwVMR~laJ%vAqtPJ
zK7INB{0Hy;&wktMUI$!jyv|;Vb2V^aAO9RTc~tSH%lyQs_nY<UsP|jx(=qQi>(j!E
z0L+^ax`g7*`n2#OoK^>56Kel6>(f!4U%APnN?#IRe#u9*e&r^Qs{P7MMz@%<Eety8
z)$MV%g}#$M)A@biOfjl5AJm*_4<1@R?csyV^66nUpW(s7(shK7sqYB8xEANfhniLM
z!xT;a;9*P30BI1bncyY94ZS|c-<=~<uQ=utBhQ&0^9y8FNi>ga?4h$R-gqdb`^Gje
z&|854E|f&D(>DD{V~$H6FgZ$UQ6SJ4R^ChiA|7{0@}OAr&^ZDo9X&nj7jQbk{2}ML
zw$|y8l%^+N6Wm3JR>d1x+u)TX8M<b5aonNJm}?%<vDZd~(ir7y;`xP7#Wm3)*hYks
zu?tCzRa8lUgPM0YEBp)e)o2O-*y;TFe3c||SJuQdMQA~sC+2vyR~{aJahoo7+Z`j<
z9kK-^W~wzu?vA=R!j#xAS~qd?ycg}Ve$lX*@d4Ph*Xy#pX!y7MqG8itG=5Ak8g}s)
zjUV%i21$R>_^}N|<3+=cck`mXwXFt5^obEo)eI-hMVgqwwB@9v6#ZdnQ{``L8AGJ#
zQ??^q+wLwT7vV#nwwSzBMUN1u_vd!n|8TM0r{izCi)}Rkc}shik6(w2Tb%DY@4vs;
zR*(V+)cbQgjxRH<VzXOaY;*Htx4G!5V7zOB@vgh*ay4Oly6CEIMF>>Km+f@G{Y8g&
zrwq;NwijI$J$HQB((jk;wEyv<JLLU$7hU!HyXN=HcG@53o9};jxacZ0S4WkYkI(J2
zKSQY4)Dd4Lde^H}Hu3GwE33PE_wns+oq8#EDRjDbA6rEju{}3kn42~DV<)%84^)Nb
zU;4uH&r8T%XkR?$)_ibzd5WNCT(6Luy*gw1Ijd(mrgMM)mpu3OpPL>($w@MJcLAxe
zEUlG<4VLPl3Y11UDTacl9&U>drwbl7B(S1jNB${l@>r2?tqXz?OAD3gPKTAK@f>_y
zT@WL@m`MiO6oYTMVuq6NJuZUUz7E0FYTK^J2iOI7r_N~lk0&F`wXg2Yvmx%PHF5MN
zdsa6CMtue1XMfoI?}AN5GPcrYg7iq*OtwRPNdS{JE3I-LLCaktL6-XE-PJEmiG;eI
zm84x7AaAAt@@s#~_x{ZH2GUW3kTx-s%94Fzmz?O{V<;P<MtC)Aq??<Fq>B7kI}U_o
zMReCIkfn$f)Q(<lM0Ti+hEg!}L}9W*FGw#VUL|JMM~m)`XmM=Lkp|BKF&aet1_=ZA
zy?`-At557tm_;dqD^Ph=D^6NQ8bdKx?Hm`iVYg`<J=0*;^7bK=nHmL-7p`>iy^%m#
zS4<@ex{Asb(im$NUOm`>xtN$6Uk5wA+92|;Rj{LEBk6#3utQ{GrZypT=^XQhq(u#V
zLir5dd!l9$uR4z3P`gOhE}2LA>xK0e$>o&kKyn#Zmvl|+sUnOLWko>xUOU<3&;GPu
zT>pC?RkF!yL0oASe--p|$d2%-+RJqD>{C-|6~R3EiV7jS%GpatLI$ZYuDCrI^3c|R
z-=DqoQNKTX={PfHa1g~}6qIU?STTF)qX9zYrR%^i<Pp}yeAbZ!m6rc%gbz%yAz%iK
zLsfMgTAVSLJghco!KUQEg_P&gB{3SyB+&@2$~vvdN7f~+84hcnwq_`jWWGB_uQeQ>
z2yK<GITD~)8q_7hhs0rLSQACUHs+BuXpvkKUoVm?AuWz)<65d+RlT+3m86;Hrq3!V
zy88CNy0oXB$Maz-I}FNDb8zjc>}EF6yeZ~0>wKZV3KdS3II2Q>bXFjMs*J>K(^&xy
zk`qLPdsGP`$;PITMz%vqb1Hl`a)f(SHb-)_dH=@d@WqbhG=TC%3v_;Z?*)K?t66kD
zzRa80mpO&AO@O@z6V7=Lps~bHOZ@bk1ONH+KmN_X@M+<Sz^=fYxhtYuOuGt$CUU44
z8Li1U`^plAE_m8(*w+QYEfRd<iiDtKvt@l~1uYW6s{`YID@a$wS48%215*cY5I7;4
z<fDIuLrZ?!Rzy;d^R-Z%+i!Y@rd}#5t~-v`0`v%7YVD7qN^(KEpIYuim6@>4d}v8+
z+o8?5!Jz@#X$8d<DNAm)Y>kJ%1jrC8UU^AzMT+EU53dHl8|6#EJynw8J=@W71%aTI
zH95(kSyM_dCRwJKW3s8ldDWWv_F&98hi?mSDLjp4&3u0l)GFcLp@XiiBxgAoQVLd+
zohxz!u7yatv%tUV1eDsFSKhKni21BA-D&rZoV2yB5<QE(5+O&4IzFiYJd3jI!$YP`
zKG@7qJqEstUCYU*V)uZDu>e5N^H3z{O&*54zV2b%NAVv1%K1)%y@QL>@EDWds7jl-
zMXZihz}q|W>v-JPa?{B7y#G_b{2Qm+K*@~_3&u7_4bY<}QOuHddI3$t(P9*wWSu*r
z!bibL*10t-JIoi@w|g_j#A&cYA3JoF=sALm71k?r#EH{jf*3xo^D{AvY@Brt{Sr7w
zbax(B)(;WCTAT)3afbmtCg|y%5LBnZBv0sS6^IaOti2`GY4D$emQKm|f=~BQ4>_1L
z#%kR9&7Eq@`Vl{EV6kd$a~p%FYXSlj1{0RIY!GKAd+0ITDEngmw^_7zP|6L-#J^Eu
z-0&`}bLXWd1TdKluQ-okc+tlJ-%*}Qp@NmK^&u7LaQSwjf>k8RYhw71>955oC%@_L
zIywKrM?C!>{qjebqJrKGXQZ=RgLJhU<t^FDYlAmzltIX<RmLz+>2*f9?M8XOYM4%I
zD%h~KUDu;r$VNGA?E#eP%{gYH4AuyZeD|BvM*A+~93>QR3%ViEy7&X=d~8<CMwz7X
z%8r2YZ+m$vql_ejmI_c^7&pq8N@_-Vzd<WgeaW><Xs3y|gYz{=8VfdwN^++8CdyTf
z?t@Z~t)Z?D3)Hp2l>2UVJ5bor*=E-kdRRr(4<1@v?T10`UREsI7A?Ec_rJ{vG@FfK
zckq(`MT$ral|2;~@QP4LgL4Kz0MN|Mtw>Mx#i;`@TU|{&TdkxdK=h_vusuR+F~?nR
z@U7Hh{OBwdX)T8Nw06z(U|#^W4SHdDULo2_rY9S?Msgd=FWUAc)1!T1GpNKT?%H1@
z#achl-Db<*j>iz?T?O;SYo#5f_S*}ZkF9dOH6=;qS801Zst*h7dQUPbo(uTbgka$4
z$o$o>%e<EWNNe|E%S(WN%h@Gt4&%M$X#^PiW?ljuCcgyS%--*V%jzY-Ve$3s*DT%y
zdkMy%-NK!bDpxXzBp2^R|EA)7g9T?}ZdK|M>~pUC@KFnYP_g6bfC9Bn2ZR6;t#Lrv
zPWv~|a-e_lHst-~kxBb=JMEA2&Cq5-%PVL#u;TUcxgGmULqlwCfeg=uG$O~VLRgD5
zZ?WJ&vUgZN&=L@+jxXDBK*f&7^&>RAsvJU~-k;lP{{~tPxb%6@azOJlT7=N@3Yy=E
z3XJP7+i`qcuzWgNXDs1?Uahi;Z-HC)XyHD-1uWe+pL$YJ=&DBm{03;<g#iuWrVHEV
z$hY#8m*WDjj%(<fv!U5678V2Z*Z{wO?VCSg{gWvrBl)qmj&s_bP;6m&W^)qvCKmwY
zjj~EX6nsGB*Oq7G)iJ@-mU$DVWDY=V!&2oHbDdz{(fNTO_>F~RKMTrz@fF=yLmj7A
zOp!Zgg1Z&)ELz8bWOL|T$3f!~Nkv(7ISTA0A6iVLt!T5zq~>B|gO&=WBO!0BORnI<
z>XKW*;N>NoI!=e$5q>v@r%4}LC7J6u$Sl!0VHF8TkmmQO8{<b8;2$MH*<4q!=9x%G
zva9oz+QZfUT|J^+zweb?be*9*Pgtq=q|(_k)^@SBijQOy{XKP1hi?N@*5dJ`^pZ5R
zx^AK2dH^~A@G6t2@P=xKN(Z)F9Vkvz?LoKA)ggevFewD5R3=f{{gO$R?NI5`hnY5O
zU5cx9t%mQV)N=Wy4=~_4_?zy@xM%Mps=t~;{)9=@GsvGHRT;eDE+^J8yc`}jZ^5?<
zcUQSZx604;2_O3UpZ=V%>%;hVG%l+ZMt3y2p6RO_2;A@)4hcsNhEoBAN3X|JMY~=E
z%cxI8%$hNY6=~O95W@gCkO0tT02*2}I(38#@~@aTv`+T~Y1duwfB`_ldI{vBG<4-3
zL%Z&R$0OVsF~V3-V@mr9znXU41y8#TSA+wwLRVRHdBm=}<Z*Rig^AZ^*Ytv)XIUAO
zl~At#!Lu8$Hhb!JbO5@rxmf3BT4i6!_OyxEU@-uI4|@c!X<dO6dj^<KQ!+pe8j}XS
z>Ry%B{bbK%JN8%fW;(ur9n%SGP9*HPY^VKkz7?P!A@e!*Trz*LoH}?OjMy-}^Pvm&
zAWtak1@Ab2D9nx9(|NaurgwoZxGz)suLqbcFTrFv$K_GJ%U}O%fB%g$CWbqa5A=f;
z7VNGy_OzN9uBp-x%=UD&v8=ME-9ec{I5E66YT2G1#yZflJ%tKn@dLho>8OZv%=YxO
z?QlexNsR9Sr(^9!WP6H&kJ6nXJ=|DHi<9jD40>77Ak7=bl{ZUDoa~aIL}I@;H7Zit
ztt8{*BI$E>k6`-L1abTxQKK#i1ctVVaAJ6S8>RKaC6VOdY$F_fwKE)uuQ}W9t1b8X
zivcDs12|E80U885rASREa&>&Id-aG!<~2=31RkH<5%ItA&Cn-G0Y~9c^ne%88uevj
zc#|k?GRxxRBrl*!Ns<t>%mf!4MS8D+qe$P*$5GgGeXfTvH(H#xd~4lEUGXhnaQXRP
z7)W$o$TOI>Ec|lU%wW22)dW3E7c4Em<U-O)u6+d+UTJ1$A_jSxK%H~Aku`I0v7uGB
z)n!>T7cMsNN&yXD>(O)vQ*ZE&(?V;(teJ!53>CLF$jX{INX~GX+7+uvr;wZ-jtGyM
zV^^BFFcCxB_c)@&i}h<BgqawB+6Il4W)8wkG~A79<{-=j{bzgCB_~ym?u41R<EY5y
zt9fl5E=o|9t``jLuaiT$;^f~(Wty^nXchUHT3h!*cGNz!q*237Z}~I^PNg|BN{r*-
z79jc!0@2axmR@^N+z3^*!iAz0zRa75Q&B}(Lce!jK9Ee~YX~4`s%paaw#IRx3b`4o
zknjJ$-t?vG;KWu;OAC-=2bUH!_au;{6$$W*q_DISjqWj@NrdlPc)OHHT@b+(GNfRl
zTqC$>Ruu2h1<On#Q~+Bz8yyTgktbp%(Z-2A;vB_;v=z~v&ki<(Un}SD3PCB6`k~Pp
zrg7SeU3B%YmJ+E6B0jQN>B#%m5H&B6nj{Oyh$abU(^j{9A+<#6k~%exlI{k@Syli!
zMA0Byku_I1jeMGuCZ0A=OlkqfMTi#PHP&5iaE{XKUz}_thibZd_k1<#=qh8(f6xCl
z7Fss*_gAeG`%z*%I70;xIq;w$kzg%lJjI==Gp67eNjm@~f2S!{lqjp5GE%G+3TCQ*
z9_O10B2i(zIYMk!kjp?K3C_~H45OaDogbXpb3b7qdg<G_!P&g!Tl>S{?0dfH$Nt<m
z1kI8z)YF|~P~faPN1?!3ca9=_)Aa_fc?7tdb>}E<x6++su-&XX9|g5r-fC4V8KVe<
zqP*Ms%?<Mk^0>Nig-E<X<i$v(XqkC^4sJxE_LLzS;uQ?AT!!aD2?^#T0*z{OhSH#-
zaj)a?(@8(@>A&suzY(QzrTXxMkrUwTG@rhK(=;_6drNvy*cULmsXu3HSi-2R2&9`d
z*-=3-y%Ur=4T}<>Zie>;_^lD7PVyDu?qReQJVX$`^+tG8C%GUf0dzbzpyUl7>n#5#
zDU=!zEnNY{=O`bjCW?xZf9-uW@U_UOcBui-I7(JGXh5hG4!0i#0PQNr)6@PJ_mBG8
zF5(69hDx3U>H@1?*jEswuUiCVSUF(>8{jL%+YCW@EnO0cL+cLRpc|yQ)8gy8BpwNt
zPRQKU=f4$S*CmkvK!Ov#JG^}W;_Jsul4&k^KoLfOkZ7Mh(JPJz^311V2qs_dc=_<C
zPsL*cizj5DD&a2}Kykhj=7HPE_|WBwO#ruRektKwNC8F54+R)Mp-CkIfs;HzF;CEK
z9FQ>n91x%jfeJfd^$@6F@v@!v2Sa?0fY_!`VIU{k0s!O3%N6GjLVE<G`S{|K#`O~h
z`#65XucZi=g89&^<(p4EDIj`#$?x6A7J`{#%dv?Lhq8&K(2)>HLnal0;7|WBda%kD
zD46zAb-#kmya+lJ?h3itt26Q_T6<*mEXU~NrSJHHH@;=MbTktrmrF-RTyRC{2%UeZ
zS(5~Is&ph;AA&blIzlN%;q()wqfvZbE*<eFUWw1EN=GQW>sV@(j(U`~Rq2R*nPS6G
zfbT*1>eA5|=&vdrjiUalqW(DSk8i4%j&1_>Ck{`_EhR7L5lTn2wdzXEAWpD|F4HY!
zf2;PkZpPOdfB-C|qZ=$88HZ5{@KRkE0iIagVg>5WO6?8<yd}V!SC!}`o$iQBhsPzv
z3j>(-BW5ud8&N^g`vaJ{!)t<BVcsoj6L(AD=w=E>fAyum_r<?^+P#>GOew?vhP(#)
z+isOc0VmmfjRH<GG9}392fT(<qGlojRD#6Afe5h1QfM&k=U9gS4Z-#*_oCY=!Vb!+
z*P>9l7cu+~fRi4IB=Ll^pvH!JoaF#4rgAUFaWNS;G>(g@ZjTTD>*Hc3!)~?ItDNC&
za=+?MOqQdHe-JasoCnd|1_zSNgr9hD?|;ROY5vE*^S{m4%_gPB!6pl6ka2VhBLyUw
z3URMi(BR@C+#QYb;v%q<vg*aV!#2IY@#Sy%l;3rRi-5tLl2Eq0hRkzignSGQGFwKz
z6oT0XS#<-Q;HWUPb~?KV(Jin)SuQ(P%q{}>p$M@6S_OQ{Z7X4R5inmBXci_v&|TLG
zrXYo6MmROBh;i%t7VDW^gwm*h5FcbQk}5<}V)e`lm#1<ON~gl{aFUF7#_U!Zhy%!Z
zkSBqQ(1As<T{E{8>`n(8aJplCpgDTJJEucOYG$?*4uEX)?O|V{v|ivejrGQ_`t@7{
z^$Mb=p!E`T+r}`Ifu-JEFkqP7g!6CnwQQ;raVKb059$U|3X6lVI0!FEEJu&xUGKbn
z;W;D&Br8Cg-FtO%V>l16<PcPsUXeWU)cuk}nBN+DPT%2o|H|ur_1OR`s2Omuf*A#J
z<FGnBTqmeZ&=KPh%u4rKOtJ;SSSF5kqw(Den@+Zr*+GEuwHa_n$CSFM(m4x$j-Ueo
zwJ@z8s1#efpB;qJ%0qS#xbl^ihwLDX7amwD^phMZJWLwiVhYO#HG+}F=ND&LT3uj>
zA4ivYg?NzN;`(2iw6=8d-&hwv`aY6}iEKSM67VJp?3rWjFpdd+_SrLy>>-~}<V(JO
zz9L_G{I7VJ`mPlHgXiIMNioK%D@A`uz+F%SuUIht3%~T;|HX%*(L>*to~<pMIT)eW
z#(UY;7C69h<#;iB0}+;1w$|YQn6BPyInA~<%sdcgZ62Tw#?8#b^7Wb@v#kXQpTPkz
z46%)|v#kxI3=g7>v9qn^PZXn!dG0;IDi|+T8L%E}go*1@1><3r*SjVhMu4AGB^)8=
z00MzP`+Kf{1Dr5ZsSVrn?Fl%*dTq@k={)oO2{-@<6YDi6y$3b*#saXw0ocM`^<XHC
zUdnZ&-LZmfDP}n^R=_Qt#jC?1hh%W{LA#*sIjiYI8#mJaXhJzBIS-5%cmdP?prWmK
zjRXXilly$*10yzPq|xID2bh62pzUxM{R)F~RTxT?*IRz{8$R-nMvhgf16{2fks!RQ
zG^&p8ZsyyhT6e)}RGp$`?JHC3MG(_h(7#8D)0-Mqw*@na1Z5axqj2FkG}U@JG<xh*
za0fQ*=Eul;<6Nj)Fvc^@iDazw)8}7JweBm%xVC{wexlZkB=n`7<PMggzL28Ui{u)e
z_Yx7}ELpJ42N$*OlDqXr*8~TgbU0R$`R?q|r=vMmPzA8hu#%)&FKccN+Fl6)I?Nkt
zB&pVmBt{h5)x=z&R+4X_OCEKO4%6!Rr%H0t05nnKoU$%=@&7a+oYhuX$BvP%z1&%M
zqO*^ec=h4_`H?KI4YmvEgLgUlV7qh-d>8p*?vhHp?hpE3ggOmbo06|(D<BVP0B6Zg
znvuR_LaC6xQ>}k8fhK8Tw7yhG-wC$U9<=#!8l8Ke({MAmcZTj|IOxy!gzjA;$5$|N
zG!-;)i<T_y{9ANRmiA-6|D!(bLy@ID=m>B)1Po4e3xmPkj$nMORX*)22-1kT;Ayv~
zRYvdGX=P()LXbAh1+fl`uITkaT%_&yAxIPEf@rE&Q@aCCfrf7(NDEd3w=8#Z#XTb_
zRx?A8E`|$&jNRtdbx7zof^;#8VAp{N7AvBk-^kQrMQU#sJarw|<0!b@2zPuww73{9
ziGnZ!Mv>fsbfG>;aWPyHKlcbp4h2!Z^=i$0bKtcgD=lk+?yg-k-<=)&8)2N=Vb*PZ
z^hqP{QJ$DUTEDQ2WwJ<Mx71M2tjg-ruT-vBPxYw3$IQB$@%HaRqW(19lylq)VOU79
zo;aP}@pGS{E*|l-6T<eEOxTRYtk1U@H=YolcZ(LYKK~Y-W7favL+|^cb(nQc`+4TT
zl7Pq@ykY?EQCv24L^(&y*-|*u0K;BR2#*r%<%IAkzg|uV!{Jb~{s{DX$x1eHar)+l
zsV>x>?(cv`Z@@m{g~rV*xkzNL*Hsj&*^vl)dmYJ8+EwyV3`j~Iwg#omw#v|t9A`^<
zDIJj8$snD`@RLqhl9_q`CQg^3C$T@{`rKfPxPOE7qd`|l)YJLPcI+=sU)rQ+S0*&w
z$JIhoASFLj$v~>B<=gp;JN9NRwlmEvefB-?zVMdb$6x@-yj!%`&fBq_ANPqL{FcuS
z+o_I>Ge4F1EJ9*Tfo-C$9CRJE4G78f%?Xig9r9HnF-BV<;)5W@LAHWbNDR_AF^P<H
z72#`Vg=jp7#Hb6fq6r5Z6Far)8iF|_-Ydbl2pTnR2<DL3hMzIg?W{@}f;l9HN52CK
zi{UHIw)xdnNWANgm{5Z76+x*n{rZx#gHny*tOV(EFH4Zh4=Pa)xzvBliRWaK5ss=I
zO<hFtb@M-5Jpb2xEt~m!ejbw1lc~c{r*|2^2QRZn&a07%+mXzyR9+$sl?v(-hQ0;~
zXib+)csq+Jy&Y5fsc-ngfA?FbaY-JA!VPbsFMLrw791TZl7TPL`-5t7yJE(@l-?iA
zGzY^+-2#)t(CGa^(5%MU&I&fS3PYFKBX=@;L~N*9=q9jMOB%W8B$;V#X^2(y3=cqe
zc!h?K3`E;Yo6vbsC82~$Zh+(l!VO5{MPBp6NB-CSU;W|Bh;pvrw=LY@VA@kfYK*#8
z__HmbaLAg#;AKl?PDL7m0$wrd>;3U$#DN%luNpT51-s%L+1nKwLD6-w2OP5%lq!M=
zf{}@F69@*62gDrpq2&hqipP%Uh?r#H90gnCf+*7|={CkbX+<s0QPM#sxL<Yb3}KYx
zv0Vr%w#Xy_>a<!Sps*T>B}rj8^@DpI6HO`2m7I)+LZ=PHLakoZ?WvH+RHWl;tV&l7
z^bp9Kp>T!*`M>MR#C}{YA#Mg@B1tu-OrCHZrc9hrWu;7952%Tts+w^xz{Glo8%-Sw
zIc+*&qz=#N#9(tpo+pXS;#r6xK@}%uD6eTKL%|cB9~0SQJ>hUKrMdYI_l=k4=G~$t
zCYpbX&MD2k_v1eA4Zj$MSLrUjG-u?OK;B~P1nuc*hfaDiYR-$Ph#MC)ZeIwV4j4R0
z>lcE2qg@cju|qNtf?L?4Mv#Wr1^3$>L8B1F%dfovu_6tx3+~qYqx40hOlD&VLy(5o
z1<`i`SPU&RMwlu3Hw0;Ti(m)xB@qPBNHMS>NW)tMF$qx)joBPRau5W-Mx5Z1fY~Tx
z5`KM;X<CXeE_r}MBB0(KsG{0~Oa7=x;#%uzV#*?e!RqLYSX~nEV6>7TxrsfR+c;^=
z#qvIE7?ac-2OWag$6}2yhY&Zq4u*7uEiHRZnP}Y?WC$*3|KKoLXS0?C_1plsbz%S8
z9Jtw}RDX&GTq1#@trpD9&0=oQqM6=y6cOPjC6-+xa`x9i<k%CxnEl7MsyOc!EoT3A
z%>MuW6Z?Pibn4}iaL=oX=8=t$*pixsMTx+=GCD@Q-6;e9Rq^)d<g6TT2Z;5Esg~j&
zM1-2-?E}UZD=hZ3LG*idyuIJU>IpD)kL*ArnB(o!7PD+b5T1t?H2u(%R+82utPkcb
zRN24>^-P%uGiF%2(el5IwW^NQGmIGb;E{5SddRz6-ne<x2@dYkW9YQ@PwkGIUV0^G
zBrd?uga%tWW$2(%H_tCRT&R?hxBxLG{2^9oktOd*3SdQ_N=SnGO7bCK@#<wc((`+<
z^N}K8>9G26I(kYNU2r6YRJ-w{TU&0DOi%E|9&1S@8D1tF)BIqb`50X}6+&H{8-0vo
zHUytIKY%|*mqU*c|M8k$)?3}J^4hX*!_8`uRDz7k`4f3(14*^?t-{lf{K-a`sUw}E
zqaV`s!|VoNyW;xwW;<Yj0iv#ej@|Zb2TV}N`6JGDsQPwg978@~nt}MiTbysk`eF0~
zCNkvnm+Wi$!Q77hal!JYwndlxlU}W|iElC8;Q-jl@fl8##T*QDMEK?w-=YQifaXws
z+uzKfx~<#?U%BceD-#$BrGvNUD*Z!wTZ*8g#;%Z?y*k~lIjd(mwg~^NZ~ghd_FqSZ
zRm<J(R#@>H1ymzT*l%$+L^C?Zrs?0=aB2bFiZ#$kjUcshS+N7FqSyp9tB!(|kQ@**
zgW$S%Xg*KAB0!FS|FDha04~Vp(0p)Q&_?M&u;eG=$MBJI!P6Gp53=GO1BIFu`3w|6
z5I>mzaf1D#;fNJ0uoAj`k(1|1;^6J|srz?2&_-FY0r;k7&D_7U1GgjcEi6l{d*Ca{
z(fWBFz!Iqju5$v)be{S4?9hmW+ru@TB{NCVX!@EMmr5QD8h8G*e4b|-&D!&_`s?Ln
z9ab|6{q%(X`%t|>ZKN|ug?Gg+VE5YmugYFOO`-eOuq=N~+rNtmeHuN+H%I;Oq*w$*
zt!uoKThd2~g+0F{Vb6N#*J_ADXzumJm%aRQNL9MKCS3G=sEz$qiX8`+Z{unz?+^Xr
zNB#OwMk-hlC7uc{sFyWKWTBIX*z+?yX0)e*+M%q7aetzdrzr9ay9$zp0SbF6Z(nhg
z!Jf+71xE?&sl1CI!c5V$>`_sZWnZE4Oy%u@2v)Cd5E13=lA|3WqP$&lv_(Wx!7lkI
zJtEXmp}kyvHveiFU1WA$rSY$-r89sla-8OWWDznZ*oQl47#XPAE+d-HW9yMBb~w@6
z9^=j|lDp|ehntM6yR-fgdd>AF_Ptj3r9J!;3U4X#&QB!Xc!_u3EoyDjGCt>q#^*fv
z@vnVn*md<k+MsNQ@i1C%oFG*=vUDjy8BCp)c*B{`UVnsntF56~Lohr2ClB*L)6ipI
z$UzyV%xLsB#J-S&vQr76wqVJx!U!FoV<!Tnlt)mo?`<fWgR)%-pf+37qjO+lC0<`L
z0w@GM?Xl~itOPqCK+ue|U!cigM~$T6s;;8BOXhsKsZ&>c)Ci|B>av+#h2l>%f^ziB
z*^$ixu6i86Rra(lmiO_kvd+6ji{+i~9GwHM`d7aDOWyGjuYcX^?mhLSl(2*?&?9Om
zy9385>R6boj2SXupRWn@q)KHZgF&r$(Bx(2<fG*+D*{smTaLV`RIBP0rAFnng(%w!
zxC&vbnCQGBEw8VLyc~zKY>_YDAn8}HNXuIUmBt=D=qFUj8bPVp*r7262M}(oc-SM4
z*a%8W+|O}^3NTZG2jorLLu(DuB=-jl;^UIY(byDtJ1qq1T0=BRz`00L1e#KmdV6b1
z4bdf69n+y$bFanVe1#+(>c1RIp<PJUTnpJ$C7ExJq0^;LU+9M`$$Wp%G0SdLlwp8A
zVmh>vtZopXYI2^qO1KD(WWGb@zv05~I-!S(HD`Mr$+55kcccL(Q>-C+b;h8`JhZX9
zjk}Oez^dMsHF$?4Ca#t?jzyI|sI>YX&ar4)?-&B8^?_k{Rthkz;cn1^WGX=QxEC6x
zTqYFphDF5<RJwSxqGBc65o|v)Q?4|aM2V2;(yC(Cj*pk^bbLXGr~LueI#%Z|FMK+G
zZpZ$8?h5=`&mG(+ejMo0v&oq3eLUAGnVVjnw|r|*nO=SUfBQH8?62Ke7&aO-Rbg1E
zjZJaejYU^g7`EFek_H|BMxX9+i*_H{7Bf9oL<41AOAWwh!3Cp;2JB5+zsq4*6w#o;
z+ZC(Y*k}k?g<+#Hprlv*G{=KLzN|&ERMCclz<hh6;&uHXP}E(CtW>x4D_*MD&cWpB
zN{?emIDi*fnh$Tj`S5)0YcIgL+IJaLdUu0L=iQ>#f-T9|n@Pt0>96?vU+}U2>{Lhy
za#^5H5E5?Jr`GQu4hcc3Lr_UL^Ew;FqZ9O{ymm<R_xnzR9Z=5i+t{%R2@wsEoSyS2
z$naZVpDH9AC%n%gAsGHg2=DXVDMZES1nv{w=aBGG2=CQOKd5_i3i3E2sRsr9GKd(p
zWy&1$hYv0bK><D%Ao0VVKk(pD+HU>qd*t^I9y<L!&cuVW6!+mDa}yDNXKKjxu+0B5
z1CS!?i^K6mbS|M~=$dLDj1*a4>@kl|vF)2q^7;7{)1F+-6<i$G=+x$#00K%^btRdS
z9~Z|BEUO%Sg>bz+ddhxWU=XiDyfEyqm#NTz(vFUnc(%1+((YRMq4UuZi<TVC#eR!S
ziR3iUTgF;OC7B-ei~R|UOOgf@itX#8(WxE1T+btB`?Pr<sRDc8WW$#v@guX9tE1<8
z#5us4(>R@^I8#aHdt|+T$Pt~^g5RzrQ}*Mcl({gcskxi;vL66Q=O(zoW|tQYcxZRN
zuYS=WRI(rOqVZq7nGJ7M)98j{JwkuGyl7aWebM+&<(LC68qR6xMSE-Of|oaqXjnW_
zkr&vmiOfxT4PcS0yau7Z)yN>=XRAaA1lk>PB7sm}Jokm>lThZ0wG#sM{@jj41fj$G
zynlBdbeI6&JS+o9WuSxe4{j16*dZTZz{PPo-AdrY<>z#pi>~U#@8oTcyh_(ybdpWP
z%X+cB_cS2IUB!wGf$I0mb~@nxqRY_hZhO&H(CbcKwsb&lr~Qu?-637SZg<gDzrSmK
zzig-dalZNfcZZAal&@bWDlr|O+i8D3PqC?wS4kx4)$+}!p4`dPr+Vw&eQe?3R8CW1
zQm~0@T{f}QZk>`)_p#UxMx$h<?vEa<N-7nQ_D!L=`wRBR1u9Uy%w8r6MkY3weH}4=
z)vnLKjSiLkzYZR}2S(C&W07ZVAoR2Ed63FqFI?vDUbxKPT}^8$a|``U>am49QHc)Y
zh-n0M9ztCt%R-f){18q~yf^!<Fa|1Wt#`#7b;)ZwBv(#&=+bf*-Uz#}+(2QecZ{oc
zwF!`VX#TQS{aumnfe(N6SO1oenTU;26%1X6jrWqh?-(%F!M$z-v-6F@4Sg(@%M_lT
zy@%wGJb{KXPJO0>M?TToaZ3IO%1Rp@6LQ!?l{A9M9eHB6?m%jw#jTzrm^?<{OOL=E
zOSugFWX+1nVSECtLYSCEL2weZf>mq;b~`pru(ZYEUj1vT*a%J)@%vQK@Sj@A<S;@p
z8kQGp_6mwsxlB6(<>;%40b>}EBIkKP(?%QPW~Uw=Nw?RliN2iLv7L18n)GRjpFBSC
zvQ}~K(A+rysu_-=N`jV0@&8=Z`P&fYfZa7pSAOIIiA6hSfMP=~KKUMS@yf^8!;gnp
zs(j4Hi*<O06R}i!+EawI#h94(@ko#R#Q}9@jk-3<uAAR9!Pk3~kYcHmbn8}<>48tN
z6jCMa!&ya0VEAGr2TyUMt-^WDDnbI|29^@ZRWEIzlg}*f*`uRbs?$e+;o9PT6(M0d
zDk>Iy;MaJWo2yhsNbqEIxI8*+kZGu2OrcANt3-$yU(7A)L9JxIM~4mG9#IRZefO?X
zzDIDQITQ$MqIA(adeTYojE<ycVbs-6Z)n4uT_qHZK*>T$gx!zyVn)0r&oDMitaY8J
zOP+auA^oqdeCaPHBB1_@i6i@q$&c}x#cLFw{9OIT#2$y(!;j}LCL^xV7jq=`(pW2n
zLK0f#P(;*qxYE7Y3I6b%#!hIRtb#?vPL=Pk{gLT}d%i#4sS+$9wCWHHL=hd)R2}<p
zI|U1jrD9WKtyoS&tGrwjiXt?sW2g1q#!d`a^0-^XPP^*&Yq%5FPqyO+?{L03c1Ea$
zh$sDE2vpZkwqt)>uzdZrN!Wv}`-mj5vu`5yO3ng;EyU??rleh;u@~Z8_$|JPrFP(3
zj8F@U?a=EO|1f&6*<L=K=s8|~B{zHZ;n=`Kl;IeHzVLti`R_V@R&>%T$$*2ljdB1y
zPJ0*~Ttl#A3H4Lv-H=Of1RZD$B5eT#_ggV3Sx|go7u;>w=)@5B*dv=1U)TgkDL=&W
z_=;+I*wU#pXY--O@R;BzH;C9B6EqM2xFSwRqp=DO25}8suuQ@mAQ4et*iUkdMMQmJ
zlN_ZH5wt+LIgh|2A|?TeMl}ug*L$+`OSgOOm|9`KY0U~7G-&FYuVqu0H&_*IqWyy>
z39sM28b>n^(_g#xFPbZtMy&I|V55y#_t{%T?`sWrQMiQDt1P5j<y-b;CE@vleC)9g
zRXW&rN+o)&w$^#eQ`_d{sY$={O`GrjnU5@41?@$05UzJlVy-1r3G^Of17m=(uZ&vQ
z1wk3e7?beH%}%@XLy(%(1%X91FCI~AE6B_5f&f3!iKiRAv5_OOA~k6d1Z}Sg0%Z$t
zA9f${)ascP&XJnb1rMvWQVqZ>G9?~@)TAy5qF{_{VVoloe!U_!sS6&~oMK8roI`tP
z(WEYk5X-U(Cqz8eNuC>nuTA}}C1h4rxCwlxyX!?oMMVXL%RzOgYk04|Z5h(jw4Byl
zY~yM)X+AhVhiV)8M2QqoTj>P8P{EEa6I9M)8x~6&UZ|#)!q1c#-JkjUq$W;|C4_;q
z4L`E-<%T=!skZ!OxGfE5r#rFykcNBl4L|WYe=x}0bfH$m%^t4^1}^sFv%`PYQ-xW>
z?Z>D9vW7dXI~JU=;(-LDwVKHquISADX>I<j-^5zOjgkvg8g9K(!d0lHo^s6^Za>!H
zUuihZv0`#pk{QO35+^CXqHV%NoLIo7W(Va>^SkTD{ZZNgdh)*JIBh__J>#?i)&04F
z(UPi6XL_nV<=iMuRllfz+lMw+QZh6ByiCc;<eZG292{5skh3d~q{&@_``?9h<z`7&
zu1&IHugioo?RldM4)yMEsPk@7Yws2u>doL#Km8wl@Zb7S<d^hjT<tR;Big$Cl~uxM
zM@btUS6hLU<<KM>rO}~Tl}&>0frx`%NPlQn?K3bUVL&!8G%H6%qeHW5p8?q*33gC(
zZOwM&Gw7g7Mn#~LYwbJp%x<+0E3m1_l0X}_7qaphFlGgXU`AT29hx|6U#a#PHaMzC
z9#}+fB&)jvf_VKwg;mW?jIhXjdrpTAXh<ni9rj9IkR+@7vjGlPjt)lqT5>YZQ>%Vv
zcI#E|Gq{h?0_PT=;Z}Txl<Z0`H?mto+g7R!_2#QS6#V*M{XJjtYoXS)Q0!{m%Erj{
zNZFVj=YRr3bL2v}lnu0Qi-aM99qB^=1f#84Q*Zc+;Dlju8`>KBqb-9|ZMfhl)<(((
zcIZ*8O{!OqV{Lfn{m`RW8z~#up+~VcHH2mqY?Cn8(B@-cn^YBhVjOIf@6I^brn)^s
zzfH9c2u%xIsv$I^V4La&JtEjfL$L@Lb-T{>siD~RlX)s!5Y&_TK9!#tEjGcZZ0ebK
z{k~tlFSYye%DwCUfehf0<G!Ns4CKnXs)I|nbZ!RUFk==wpcUb)d0XuE&V_Tft5=|5
z#iT^xShgeOwn4Xd1`Px3au(Spn#nhCkV@g6RfluB&jl_Nr=yoAWdPHwFG?R*Gb{bP
ziG5#Nt>)kS_W$nh{6O%I%y8~oH#fVFqdt4~9?uwbTWTW{gKoQ(7Pt>ngKpOcB~9iW
z0V1Jt0Fvzg696b02n?VlCGrXnH#_$*8`gPqLe8yOu}TP{;Re34rulmDLhan|NG2_k
z>wSC4E9d^S-gBrElZ+(xsDF=SyX~wI_8_S$j`#{aeywD6dk!)WK|%`zIL(^1a}Sm?
zSrfV7+PO!-kfSePz#zbI1s!%h$sB&sxFWQGBzpGPah30oyv+WK_CWkuumq}<Rx;ls
zpzlZ!E=M<7>$sVM{qr@^?Zqok0vl%tcgfk|N-_l1SdV@sK1r4yIyY7iONWpDOX;&?
z^G}<OG|P3FDoplI-jR21rM{RlKa~AL?xq_ufmX!2I*dV?rLstBVG@)`@sP=)v?c^5
zsOmd`je-AG?U2Dn>but_r0P3?K)pY=!)Bm&gHK_YAQ>T$PFR~e;`p+i_7{_x_6L@&
z!cGB=R~=urV}GeqrA=|}+=Si7)uKaPYWC6u<qz$B?U^bTt=@dqhcRFC{B!H~r7%V=
zLW_RFgF!ukQr;x`2`#&fPJn(gdXWGOiJxrXo|qd9YJ0UJAt+&t3+~ZVAcscNrK-{p
zl!w*?jk6ku9+-?IVT=hTP!paAQF^hWJjN!7epF4ofaJ>bXb4Ie<AP{IRb#V4`Keh^
z!x)zYxfC)<;OFnii^Z2BmaNcE){ZKY&1@tX1_@*Q_kb`<%o3(8Srsv@DNnjd0=ulR
z223$9YRx2>Z_f!@T-OAit9PFH{_MA8)P!Nc&?jlYh+i~Y-K(1I2Pmp8HxZPmpy96`
zW`$3cso!Q@FUr-Gum4rCR}7kPwN_sS@!aGTfom7E?3FmTpf$r1u)^Z+`^55XF^2G}
z-}(1G<BfkHzz2Gst*Q>7>I%J4jfTm}0A4&Peu+QbaL_`fin1;UL@OvV)SV2ikyXI~
z?e0jZ6D`WQZuN?_s<v1IGi0Q5Ds+Z|4{Uvmtd&VFSP^KbcEw6ndwl>p8=$fJ(3Psj
z=vqnTgHWqC*uGKKI5`{S(lb1@ss?%k%92A@`eWm3RgJ;%0#ktcHxlHyl!~k|RgD%G
z<^x6jv)8z~J5osSYlMa|s((oCSG)EaX~xM7#b#|s2SB)%eB4#F>FkUqkbfG)AYA2A
zy8+<eA({9$N{llQE;G~43m}BPBOGw;Kr0S7Hj$A!A17w-z=SScum|%wcW-<k!Mt14
zn!5#ia5LD0U;4Wr{P-CI31GR!Zch#*kfa)1hRJ~h(nAeF3?$H;nsK3_3{IE9*&C7Y
zV^ik_F2hQ?vhxHsx(qIeC{g>oCkGOgP85tnQ%@icO_#w}1cMN}FA=zGF)LmyYzWe2
za6ufA)7M~NH(N`*g`l_$E_vEv$bl}o1|pqCJ4rG_;gT@#biUB4gPdc1@N1i5F<)vG
zEo9P-fuc@Wy0nv#;OI_91}qzF&kVUsd?j1ioL*&8=g8<WmVpFpRqi&ZpzuKnQ%Oj5
zRsBIKt(q1pxviYKV{#z&7q>EPifbR1S87w|TV8vR7t5O%S*~VXdFk@)Z+$VCTwZzs
z+s{7tVo<gSL&-fA^Nz&<sXnR7Fo<3P&3U(IvApx0qjLrly!~hX^xM86dZE+}YJ>IB
zENBkaN0Xa5SVt_693V5b8?XJC2WFPnw$RWzlHtq2`bdJW4%YW2v?gn06SC%D-5@M5
zs32XaGY^x-uNWFNQ%!X!tke)=h<qFWGut*X$fU3ya-XT}y+c!N7`~cq8SC@t2b(Yg
zrDbV#k!vT&D6Fg6hbAMUcG7lqf-S#-8)*8VlCKO#%kPX4Kvk_s%96?8$ab<Y4ZZ?7
zh;>yf(%>&0pASdcALmN~92POjvBtFMC_bZMw-=WzEhm+sFnFjW!4H#^i1cU5*P0;_
z+grY6e`XSX4fJRJ?eG8UZ=BXin#F_fK9EnUbkZJ_uaZE-;Epy$Rp}&Pdy#XaPCE5U
z899N7!ZqsZ^t3@<(iVlXPD0O;6&;RnT^d!BYdug&BxB*+=1tcMRyqkpHR|N=I+<t^
zDaprHCz(EKTXt@t`DOq_wdkZpCq4UBAk`CJ^Lkkx7B#-@mwxBJ`L_c=HS8xv{do-p
zQ1iZLowP?Apukji&|ZYm#%pXW-6a<;1Mj7>02a4eFzck#3B_O0AlRXHR^IaFtdn+d
zyr2%Dyt=NJhtE1Gv>X^h+CyiZ6j~0NxGpPC>Lk?-#-Cf9rsBnb!6`m(D=17jCWF3=
zdOGK}1vZNgt~?|Y|HjiDZ|q()(oJim^V0(J^uUskpC5BB3Hd8>Ont&uS|X!s)sKh-
zN)#o;w}mLSX1*OE#hsJ6IVUafkG|-I-~Mw`X#pT<P!?xtehVOJW1O<NLpvIo=;^Dk
zh2i}wbBED?w2Q+|eKvOo@Q+9>V81#YS_7KRoeh%0itzqh&pMks`0@uyitj+t+S=}H
z?v87aT8gCw5x*7<Or0Qgk!$!s6R$-u`Vd2~s^Oo&oh4~@0HdrKFiED!?+F_V!9W2c
z1*%Iv+t#XSyc))&-(SJ25|&Orsr`PXQu1*!(`I)W5d1jg<F9FWZy&Vu5%2$;FZe(x
zJWWb_9yH2fR)K`pkr^Sma7Kmaf=7k*Q|nMq)fG189EzZ_I71M;P5M6DS4{<Sw}p<7
zN*vmsRgZ-r6`rq%{yf9kY;>AuK1V9NB8V9@UN023=PXEt=YpqFsw72o^J8)aGD?+2
z&Br-b709S7g3(r^6_>oW8WUweJy1TVBCEp&{kI<Y@@hR6Iwn5xDEYtmCO+lw%UQ+O
z@<~r-xTH9c%UCF(Zjch{K1rYO-WBrD89Igmfxi~vvfV7LoxKMW%eUn)rYQdNpa1Y*
z_+5d!)W!hs^!2tEYv4s2!G3MvI`1HO%bTgfToALBLCg$S3~j1CwmPaX7X&pniSj_V
z&|!#?sE1gQKAQ_3z~H1SBK@Ixf)Jz%b3wS3O5gy}Cv(L@kSfdtgTMj$Ry9)yQiZu7
z+MJB+decdNb8a=F3Uk5JamC&#wn6U9uZ$H%g%!zNhbAyeV#su_bBjKkOCC1#6Or6K
zc1H|xqcKQpvI_2!VENW20+QvA_ITltoEL?oqbE%jXj(Jh9&mOU=pTK=^;Ms(YC~Cp
zaa5I-{VinM*7AgUmVSQ~_`T79v$f<aQryD5DaEa}+9cJZ)EOkm!BlP1cHLASLN_bQ
zM?piaO!QG+lI^GmwY^hpNj(Qo@yOl0QVxsrXE$#}1FpRD>HPVw$N9I_Hi@;Oyd{&S
zQ+X@B)~IKtZ|B#u>;;(6oJ&&Y6-?^PyG5;WTTqragR=at5B!&Z`Eyebov>TDgW#kX
ziQ!`nbA2@xNSdaDc7aAv>Z-E-3Ng@zmJ*)CX4{){AxRw*#4H)MO~aEw5W`?7vyezq
z#{|*0;j?cxQp3oCqG(Kz=@hJprs*9R7n>C&spIFUWRJAwnAz9}N>axJt$756{}U;7
z>vPnkj!7a`@|mQXC(0+OSO`DM4aRz?)3F!Us7g|L==?NwU<s*9S4cuNN0*eFAKmFi
zFkwZUj#zWj?3ZvwqoN`33Ps$|XB)2nmcuLi7w=7OM;Kl;z)%l;ZYOg-mGUbZmm@YQ
zF^*_~4P+~MhIouaOn?c=vnvR3Ij7p8K#p`kG#peI8UbBqFN2O|7+o6aj7fn{0ZNbT
zbjUN3j5fE^{y5)?a|8|C6((nb0z~?FVmU?NgwdDo?(-uqQ%&a@_89>kEsfIwO)TFU
zB}QCd_30n8{jA?wh{QE?>nDxYY~^AJcPry_a-M~k-y%M06egA-h_|~<@l;8pZcj#S
zpt8|arFnPHp46~)>&_y48=(@^MLu*+8tu9hx%C7)ZXLI=A{DBiBXZhSJ;p4c_8fE4
z2;-7CHi9dnEx9>#P8uCCL|1+cP&XJzU7ur<G&&NWqhRzpS2n5ARGp+cv#O<<T!{KW
z|Gmx`g~pIit&-7Q9DFV!_D`<#hSXTI=-|pjGP?9=&Imf8ge^<X=sXQ7eUM3miM|XC
zcDFgBg?#qz$Y)K%ooNM@(Bx)9lfUupAAZxnli*e2#kQe|@t2dOa3eM)d|J(2&Wd4j
zXp+bW!4J{+(>XLTk|@M5Tkyv>f;lunBe>=+QBFo@bnT&6p~=ySRgVaF8o?Zz><|{A
z#TuarAYQFt4oybGrz$iVji2h!WGsNvo^cON#v&-~88^xC5GvoE@er!IKcgX3zCmT~
zA-rtq)z1%+Ch_b%PlpwF1Q55LP@3xnTh%SvTP_$d2vq6no31=`wkGH^S)D@whn@mv
zW8>)O`7q)J`244ETp|q{en>??qWg_APN~eJM`~BPFTIiTF>oHViLsmdIzz+e-NUge
zS@L+=5K2R$90Ex|okAcPZWJ*WW2SQwotmsn`=eFu9NI$6#l%yP_D7Ud#f&;QGo3JB
z`fyRmSq0@#f>cmkVSah~!4sTS5>m!;+NS3o&r?q-W0gL>_wHj00cIhYf-u24XV=gk
z+lcPdzVEmGf$x}(=w7i#<l~VeZLf$Cb3`}Vv0O!TV;##mq5}`C;hs8jlhlD)j_APn
z%U}{1rEcHDG*l5?U=`Lne>cacVuw8L1pl9b6)>=@4JXA8xnw{KZmwln^-z?&l5VxG
zWmBsUHGX`E1X$?goDGvb+W;~YUVOSK89nvc;o>r6@4SSW^r(gMR2~~wo;GCfeDydl
z7FjA;uV5u>-YsgAhD*43GvVSde8unkJ1<8iOUsHLE?SWhnMXF^z&`=WhjCNcSHRXW
zuj7I-J8XbcnDK}U7Jl`^7QBaI0%JvnBQ7{f08BGo1QjUAfna_9Uz%wb9HsxInRdY)
zFxg^#`B$@~_LaetUC_cX65#fSM~ay)lAAH|-wbsbC;!b*7dn*E@i<8SoA1sD`R`=N
zL@Sz%wN<j3e+|e=Ho9s!v+cHj@ucpf$49!L_h!d=Uhey>zu_4Sed3AtD_I%7vQ)Be
zu#)xElLCJ6_lomz(Rv<HQN4*O7)XrI_X2GBiHu~=3z%E>nzwvw!C3bC#qanRKL1NT
zrj)&Op;r4IjvFurvFd+X8&=w{SFL?P@<(Jd)bxrijEpNnu+qL`6M3`t9i7OVwJ$1D
z#K!R)y*?I)&e|7~jldy~kmiI;P^(lc?TZqhs<jhI*y|s|Aj#H8>CTvyjwejbYy>Oq
z3xuCGrVxnPlg!$;G=~uL*P15@lKkkHlFpkWWb)Po8b4f;buJ0wOU{21LUm(GPLlcd
z08mPbc-oV#5mhD0e1G6Op=`-DK{MQ5B&iwu(GT6;sqD94YtNT+Qum8?efYp<rayde
z=`Al09L0!#^Puhlkc^^P8{_aGvS;{c^CGdTXV9=j{A=ND=fAq0c<``iDezC_+rnBE
z`X77a`)rKLNj1uUj!f)A>C~I*IW+$Z|3LDecJVJjzNv5=OhN_4XukN6Oi%qq9`gYD
zvqmyK_7|)}%R@pEmR3>_kbZQMvj`{#yso`hPI6W*dDwCk9Ui<rbWVGolFo8TbX(gL
z12m$wF9;Ha<@LNkVO>YM0uR*FUIK#fH8Gk%Gcrf~?X8&}`wNVhK>eSO{pSB??_Gdx
zNw4xS*@`d_uoHu+5EFb=u3+HSvG=R@!zKur!3D*D?W@6xajeOeG$UO`bLM95AS4oA
zcEFet3AP~t7j_&T=9N?mO0g-RNZFW_C=tI1hB!%Ku#i-;UB-^99AX>i`_|)M>;JpY
z?%wB~bLMK)P@}W^_UgZT@9tiYZ>{w$Xu9TC5@P$Z<_6G-NG|b}TFIoC&bDB3(^m;a
zA-%Kbd$e7m4olYDu+go)M*=bW*>}qWI4JOY?lC%}J-dL3E=d><*9qD$kh`|Oya0zq
zQj5g#-Pc+J7D|5Fi+o;Q<n!_(<KF<=KqSBH^~JxHPs1-VWSxhbAARs^yvSH0{<XZw
z{8xKbaaj8z^PkGzffpG^U+0Vbh8F!UZ?X*o2vB(4o#DaDps?gw0#sgO(!n;N$ZH_G
z6^adkdU64`1Nm5E(m_VC*W0sog<?aXKAzig{APK!!RuF0?DhU^Q&m1epgLZ*)A6|8
zOh30-fTS*e;Y|bk%XS=(aS7GsY|b_rRNrjRHdRt!!#6t>Vr_7}xpHc=KHF4mLJ(*V
z$S3S@y?7_e_uuTGgYxx5pgLZ*<NCYRS(k@DZ4PH$_5C4GAJ6S{Jgzt2|89BKRh45M
zf1vb*Wjl`F@Og^OwLD%02-9DyY+`Rm-ve0g>$_Wbu(#{V=Hrib$w#|&2U|rLTu0n=
zVQ$tZ>g2Zgi7Hq4P4}L8W|)Q!zbxv&%F9y(o#F0HPrp?tL>jrttJ8;`vU--IpZ)EB
z>kGc^N24cEb!p$vmWg~!%5VyKt=E%DyImT3_Di;H*Mj^NO;_KJKAl6?I9*y^=7P}W
ztXsvYVWrx}mUIu12*4eoMH#|Pk~sqpE9sBK+hmh<eT~VQ;aWMDLTuEb*PWCc4iv7z
zk&qQJXSFqEm7k5@$4vh6fTH1i?rA0po@VmlhN@=OB^|~a!c9wyn8DHGZjkFVF2;OD
ze|%eugHfe(h1sJ}VdjpO->6$x+m$$N`6002+2w!q5q~8rd$xNF5PQ8VG2Mb)+3YwC
z83qesv%0ai%b;2p1Qijuh$x6DGWt4)AeER4b~=9=#iE;yP%-U&7gnT^aKZfs)5oYu
z;LJ)Zau%c#bHN=-HY6bFFdTu`m<6fCT(H}277)a#7Z~zf363g~66onGKcqy82tW9L
z@xsa{|7ZW(?3PVE14>iv!!`1=`9o>iBjJ*Vv+Oh?<-4q$9#PQA=>RlQaW0|>uX0kf
z&M3TE*$%IS6putQ2t5mBBXn2g)oK1N9nU8v9p8>qkaGFtRkuxmvYn2{^=2mxSjS0}
zleNpNKaTr4cd&_GgVSL~du+o)nWj1B+SHq?O`Ud&TJ1Y08?PqW_))*>??3q^VZk)>
z+je>EVQ0_<Kg{?+H{24&@LZSdVi3j;>95N*FSKAqu(X4q7hFx9?J^7If`^rG1Y*VI
zR{HDminL%ZxG#Mp7-*!SLaay&=7QZ}N!ouPY76ZF%q!9jcR_@3wA(24*>U6oedbt^
z+R6ouhKamIX!2_WMUlCr%~GP|vfmFv5THl`J=T$K-NE4QIC)hQR8TSuT{0vyN#?r~
zjWA$QY`IRP*u&2roiOWVzaoitqezxkn6BRdByhJ&MlTEo%(gd3Y>7*PHig3;SYx&7
zH5Caq>ykJpN93UqM^Y2@Et1;QA_;mINeZC<$Px{<>((T{Mf`{YvO6AUe+P&Hk{9C8
zTmj~YuQyJMx+yIL7XaL;4LEwam(RZH@kcAGlA|-1rCw!O>KzuIE-&Hi*aLm4vr|Dm
z!ypo^ufOsm%eRJ_SkI3=`%AC-q%bmCAnRe0ZULcLqO9}v_~J;&2xU`LkJk+lMqLkN
z2F!bH7lI&WTF{Hhb+AWbjnKBBv5K9{jEtWeBV!EfSuc8h&onYc5Hs+^b{rNujWk~)
zjZ6{rVXer3N@g(&3Rvpbh(xmFcfbxP+B*x<$i#}60!pQbRHP{HEU4bHEs6e0#Cimz
zb_;2YtRw|#Hc7;#XrHDeva7Vhm84**Wlb;)QWB&6#9mjDf}Xl0+D`C=q=#Il300E$
z_8?)!yfe?f6xOdwQm{^6Q>JZG5=Rg@t0eOcvSAK_Zuh@?zC*bIqUrEI$sjNaRc%@K
zTdGFCiV9j?-7SD^*^~o4%c-*w^r&5)sIb$C3XNH6Hu+uql{k2!q~2)(ysT*vSHqBE
zNXdexn78fehIK!!r@QTMKu>oS^o|g@Jam79^sq<JjZ7yV&isX&%!thBPC;`W_3F>#
zQ7<ZSk_G^C44Nt6c}Zr0gAo?&g*ZJXHjOf($1h!aGi~`HGx0nB*>C@^zcKm~luprO
z5hGV*md3_lkI<0sFwP7riya)x-jq?%Lq<bhTDHJC3Nt__G6+gV4Y?rjcG1<~1nUj0
z7A<6gP?9#4VYxR4HdYiRWP(Sj(&fqV6;~Zckuq@L9EbWE<smUa6x>kxLlCA)lMHcc
zH4`p*j8t9w<V^AitNN&_R8?ceDHHr>su<Baj_#Fz2wkLW!dbQtEx0#KjGh-9ShRQ2
zi)7+DC~>Uhge-g&qNfmD(hj{TFf9fL46jO5k700){v`%iC91VwFJXX<{*hTLq0Xp|
zt3<Wbreyt1cOujoJlAWVljfOKXvg#xLmkQ#HP?<4oLXu)f74G@hBH8Ta{!^~uaR5S
z8k89zyc&S;7r*-Nf9m@pc2l(0V>izV0eP}+VuWs2lH%H}&^b=)jdCpm-|S>fitA`D
zj7Hh7@n|lLM%e|A=E7){UGQOYVQv?T*^v+WuP06ponqK@;g=#GmZO7tI>;%;SiH5c
zt?H6_Y;Xsg2nJ5~{_Wu%(WX7`e>QkGXYi)oqS@e0?~puYI>=x7)sOF<iYQczL)_qb
zby%r48zPX-g=t3#r0Ku<ibr{&8HKvwURm{7Vz{!n)^@=%(%==r9SX?=F+@#3TOCG&
z=Ypk-3||I`7Y3KHA`PAkMim*ToHbGoK}HfTc$^iQ#hxyCgcW);wk#2vTDM5baEJVx
zjo2zi)=j1EEG5y%(DX!NjZ={~S*rOTKe7L9b~l@pI*zl=b!n#srjjvDwp0*nx21?A
ziFNy<%=`-~Z#<F|E`4N+Pv!x!^=3=^=#J-}c8g|9dp(x+HOu|;uZx)u8t1ooZ@{=^
z?n(ff@){dTiENjmvqMv}5>j-#n>q>?b>9ZM9y%)lk5gy7H$d0@rZ=!F<GnG+5)`7^
zQxq#^yf+|M^ipcQwhK-&i)s<sOjPWc^aFBBjExCQ7}4#L1#d-PP=mO#r>}Gmo?~y=
zGdFntH}=FQ-{*g0&(J4I9YbBKIb~--g126QSGESuGai1nUv5|;k6PIQbU)+a=tYrP
z%BX=wcb?Y!_{~A@prlRpnLG<$4U;$8)PmM?nk1NHmDvEbM#9mZlMo6Mn<Q#~*>6Dd
zS#L~8XHWTxGnCm7Lt%By+Uk;Aa{5DrHm~#$VN27Ta%a?ydTQ!Jq)ldiQ2P+EpR9DQ
z-OxjHq{$3OJKEk86fV$u#_r{P4Jf@Wf&un^8g~A$^wtlX3YA<zd|~406(6{Cac1nF
zDlqv}RCKY4L?@WyVmUIoRp>{{{KlrdTtAG|EaAVHDFOkML&Og*+i^nlU9mo))IXy|
z6KSw~ycmIWJh#*FXzI&Nc4(SI(_lVcRDL?X{{Cz-gcBL(M^|E%yBTRRY%|01c)5$p
z+%LX-*+gOn9f_U_;a)T(`sU-0p+OMRsxZ)khD5OoBX7)27se)<5%bF0%IGJm(kP{O
ztc>uypn0qazSK=-Pd)zS-~8EQvHW4b!{j$SJDAAS8{L#+`JmG2IA*$zlXEN|R65{6
zXc-l%SRUZE@Y&$jp?SMrk&l;$$pFJSW&%M!Mm@C6$T^lrznnID?J$n14f=VfeMR%D
zup-zJTEQxoUvuy^PK_F&ey4nn<yqIMUDzOXt-tmpdm}c5=DmDSTd}f4?{IIK%1n>t
zRlagk<exZ%$v%X8bCCn$u1dMuA;I!Bj=3vyj~Q~(CmG74vHYbc-%SezXIy-GD0t;l
zv!~snIa;57i%!ACU-@}|@Q?n?7%d$*=Gc);(xD|-K5B!OZE1&2Nz^GRG15_6igmP}
zbhYZ*uIX$`!DYhQT%Nkmca&{uvG=>hve#anZE4th#D=v!d-Ao}mTp0tu7(dbvL!0X
zwiI+K92^2$igB{-iV}^wc`kOG*u55f*)E{`5{<fKG35INxMG^0Ty$lTES4PPH?7H+
zXw)UclB1u#clPY+7;QZqZ#2{NzEO355ME0GGpMkn#Wb=Equznq<$e{JSXkX@P67~r
z-L}fxBZgY#3artKM@uw1(N~c5&e3yWA5Miq!iC^PC!e9;Svm)&4;P#we9AEo%iMM;
ze3DSiU$;BhDtlRWTWwYW(s1*H)S208rzQg?EKhsqUj4}Ot!ZR$#uxs+&-|)?86lGP
zkT?h%-BVM?Je5vC=$dwf67`0!So-=<ICOl>leg=2;L1|nYHL`!(^ot?4~lBt1rcjm
zUmt)pRfDl29}E|azP>ey$!W|&kZRopqpc6j9qo#u)?M=G<S0?=E*b4|L!+Yzg}7w2
z%USnIzD!Z;E*b4|t7Wh2vTgt@?Q$R*SG8`7jJM0FUJYekVkF(sR5z)zw9u~5SRLz?
z%PPIB9m+G=1nY~Ske(adqk6vU>5TJ62>*+Qh<UQ^X$=|DG8&+q);l{IbqAZ5VjHz*
zcSJqT!QJ%8;mU)%X}74gU~`r4)l~WZ!}oplPrPa@xSPy59z{>xx<}JpMGp?W?m$V|
z!kRgFcnLYjcMFo;GG+sx>#^VtK^0jND9G5hj(w}(4htGpC!*k*jjIXnj&P2T22I5_
zs_lEI@+h^M=v!}%ukP>?sj$7|hSc*88R5oS%VxXskWBm=rH_|L&Am6AW|Z<*Qsk8K
zXR@74E#aB8$@jD*oKPZ_&>I9n_;p!<NRmUuMDQIkO83S}q^>_Idee9P)Bp0*qBKRL
zx3&n>rilY_+Af=XN6P}fK-;oFQ>z|GlJ()yveK*%gT@doge^c*gIzYV56P__Gj0WT
z6~04EZD?Vn3hXL0hTRT@2(_BaU{}x>f*G+z?rMGLJcdIBs1?|Cv;wsTyTB)|aMK2v
zu;wx=u<J+(DztIFJqHwANWVO2%ljPU)%^iUIZ7{>U2`@X#}h{NwyMpDpLDxnOH;jj
z39yTbQ&*foxu}As!EHku#r6CiM%k7%rC+rspDQsQsuE)m?7A^sJvWZrT;s?zka3Qo
zriZzwB*^~Lzxwun_IrZSSi5-KXDB^`7$1meVudPHt@F!7n{=^UaEp>Xp)Wwqs7;)(
zUBEqQlw7dG_&|wIIu!iS9_JNllU&fq22kL*WB5@E(r0i%u&l7+1A<GGEjEJm8C=k=
zkz}AtW4W<i<0v7Ggt+r+RvPnGB7*Y4jY@<7y<KI=M#c<RraRf*xD}hpKr3DpHA+!T
zC)*Ky(2tBQaTZG~a?@m>Q=<)g^hZF(R{Jss(>?S2ul&Y9U$k(~b1+uMmxxc+c-_RI
z^$I`Z$I^N~4iG0(6o^kiXwnKwROf<F9l|#Zt`MO>$~DK-9DZs58+-A4k?6LJd7PS>
z!v*(R&xTWDT!=y-AxO>Pf&~FVp_t4j&!?8;oLyt8!AEYT`PO_&e8nyJ619{L3G?>U
z`T*II2hg*K!UW5*#U*i(xzHO~HDq?^#e-TA%9x>!OJ3TGS6A7<m_!^{l$R^I@(-cS
zRFN4=plxJD<}i$&1OEMF6`4;uaN@XxvSI>XJl)}cfd|as%N2qz0<U;OT6gOuB|e#|
z4DCI+gfjeu|9JhkmywWuNa*D#qLO3#qliil?PD;+08o;lm!nOv8G1PiKxF9UDE^S4
z7tTm~SolHSbX77#&YA8Rud<n<S#+(J8fJiH&h`7M!xDa~oju8AX$jYnKdiXtuA6ZE
zVac9wy}4lmE*Kak(iiTL^|9DHd1W>Clm0xBss$4txID$?iq2|VY|Gy5tqDryVTx#G
z2x5jH?mhRk3_@C-oj-lRbBv-XeuQIKyq0P-z>6>3J%4cT#e2`6KPCUJ2RswUK2sh0
z+`aR+VY$06NIDi<Po<`3?(<HVde;l*Z+^qGaoh{{uzmN9&&I)WiMLeDml%-Z#W0Zg
z&aeGb|JmaaNN7&o0}0Ep-^OeaILPdGS)e;oyjt4@WPuQb3xW*-PCNsN0q%9$P8Zy-
znbv@xjJnYt_d0E-3t~v10_a2B>$IIkaJ|;X81PB;>d0t2T`-EdP#)HPnOw}Z!#q^=
z<ww}uZRR1<S-Z8SE$n2hyrl~CbA#!U1h(j}-1xl5BuVX9B)6y~)=o^;N7t2PqBvc0
zyJFjp_H1HWR3n-1P8qTaMsu0%5@lbLgOlRTCu46pg;YqZ;lxmh)<CGBw*1tp+9_Vb
z6dAqLqrByrkgB!dl4(d5P^ytq%CzOTMRw?B`4u0wM^t9IM2{j;z{uOCFRYY`;p515
zGWmR&)277!Ve--OikIPJq6~ZXFVMu%W4Jtf%Yz3YHNk73lJ}P|4y+WtFF%CK{Fz&y
z@TzYf4Mi3mJGYqyK3I>pr~2wFaOpc3O2y_-B()E+_o2?P=1`<ta$qwnPB0|4tvJb{
zNVf)Ij|if#pslmXp$Jr(O_T%EcH6&^Ly-<+_5^MLYq<e9@vW;+1XF1t2uBmW4{f7|
zs8c%*_sI%v(2*#-?$IF7RK=7^8#Y7MKhUV^D=MpeP>mI8k2@|_c`AKX6C9@Kv?(&8
zzl%1Xv6-x;NavFqyAjsBTnl67NN0|8K0G3w?|bKO_{a~ACR-PrdI-}DMi*<h^ZcZm
zW=~|65sgDxS(;`SM5csu65%r))|Ls06=|AX5IzmsS}2G?<7#n3kfzxM(X5E{E|aa`
zjHi9f>06p+7ex2{QdR`{fUvn_L7L_wSf)cFxzesk)9ix5hzlFtCWOT_yJS$}qSLX>
zF-ywCB@-tug1zeNOft=Cc&+#e6VQuI>wj>&sE70j0Mt+q1tMWA<C97Qg0v4o0ierY
zVOyUA4%XI}<B>USa)qYZ(k7?JBlawh1PnxkE)|bVTYe}W`I7g%=Z8Kg;2oW`;T0w}
zcLR;M3j!USqqLUjArH$TfZ&2@j*?D~&Vp2WE*KnIm}a4GzwH7dLZ#<|-D*oF6@)@4
zCM9^0SCm-M2l^aV%C)uI0>Ehmsr1T<OX@nPQIy#BrU_B$xu7v^aYT?Nb@QnuPwc1O
za7HimE%@SOQg{{=rRPfm{y9L`Qxao#n~T&uv9GC=ta5Ux3$4}^+9H!Iq1}GF?@gML
zs?>7!{R(!2aTBnz`nNnukw!FgWImCr(h%;c(<~BV!#&c&vcoD=^(|%**@sZPp`3?4
z@f^o{q-SvFQ2=-BdA=@XI&JwOW%|0$`Qz{Uf$$)Q^UOzSDyU2yyx1P2smS2YQJRVj
z?i^*G$l%WI7_CDE?i7Be0ak|$?i?j`sKA|v;B*Kj(s+BS2%0uwQ2|-6G&&*g;^$_!
zZ0f={;^Vcxo%?(!jlr`xr<p6vnK_jNvP;@Ify~F!%&3LoZigQ4U<(tMqAv;fHV1%p
ztan{rd!l(NB5jvDq7#Rd))#-}@{|8jAkwP+S`QEdgE`g_9^e{GrH$i~JwR(<g5Y*3
z&~^4(hCRR?s#=;Ahdsa*S>uj*fWWTBx!SIJ&egC7i27!YW=-eA`OF?5Y8kCL2UUs$
z!}FJ^Jiyg*FQ@<@Y#EW31uGA5i?~-Va*t#i({`04A3VF1+csL6-rCB7^SDZ_j4%(d
z+k;U-zIcZ^&UVdwcS?A^+aP49Bu70!RdtJj3hPk2N1BJ^@bjJzsH+au9}cP8=_+|>
z5~W_k?mT^ka}23$PQ-J}USNfGUuTLB>NdUYXaj|hy-n&C3Bc_o)UCZLBl@rxaL;J>
z&7s}XZc%HpW_pIJp=bF1kA44Fefvkh;uWa<4Xe|d{p$dJRtKOj@Ssisep(}40Y7WZ
zq*k-PmzL>lQM1_xY|fF~gjAMbzO7AfHv8RrLzD&x5lfC6!OHA!mYRqrLR6bmtLt%N
zPUc)aH!hageZI&F_yJYCItYM>`s1cE@PjfYa^ng_)I+=~;0MhKtQDdwfzGe??Aa^p
z(C2~MBdK-ap^xhvbr6ah0Pg<8?_P!ksHuOdQ~?c=yt|M)3OE|0bjrV8z!ss?0>Y5H
zJQyQdm%(>=pK%J~o;r6jr~6OcHwPkpsr$QUT3;c~D4!bF!6P*P%VMu&>(2HaUIKBd
zhrGu0jGtkWJL<*+1wHg1wDgc;<N#<OO|l2oYKN41jc0iO=-jdtPpQb_R^vL;V}90g
z;ymAnJ(~PlpH(Y*ZB6957J{u}j+8VM<u!HCt4Sg~q+{=aMM@s6l1z{JnK|LYfzpGD
zg+js&3CIHwN$}y_EQB+yS~ET7XNUEAi|-CeOnh!tLH0*5m_g8DNUjlLHLs4+*V{MR
zp{G(`N5HIYumI-y;@O{J{Dx{QXctS8*;km><0y~t1w#~kpVyvT{E}e-f64gKUNU~X
z;HAMX?*@vOhW~i6eE%hbyuW0a-O#>d{HKX8*^xO59BRJLwE3Xzhd-NW{~LIKy!@bz
zEj;@xP~oqu10;xw8a5n|9pp85`K4ltiOlt_CpdSYD%*!Zg-6JC;1T5c&x0X2ci<GB
z|23{R&pB9Q?m*QV34!|j+>Z0hB!JjdHvjlJHmkEuHL`gl9z*;bo6Xq<Z%Fz5K={9@
zY5*ZnonN-o3AbmPTu!rDpKYo+2^&#{>4e-)$M4TJc`(GLJKI#>f75(_*-ppfdh`9?
z?9MjTV2O>W!*qUbr{noN#U|!b;M<lOM*X#X^YO<z*{xdR)*Wo&;VkeHHt}1RO)S+d
zQ}}ZSi**@(x^who6?#-gD3qddFI2<@A@f+wR1x&@@C)Q7uTCF+%IaB;wdb#X*AKn=
zeSxQI1DMyITRRwXk_T2h;71vo=2Uu9w;bz~TQ9Z!-B&~&jYDoYjAv^EH@3@@lh*cW
zHIOKKUmcA6G6dz3H9;6y@F+v4bm+IzV(kzV)8H$@6M!J=zrn28T%$b1E+}lzBG{h~
zB~PmfS`#Z*WaUcztreOwNz8WC`b!ja8uw6Kr243S^7VcLQwIkbEIV}v?Q|~@KBD?!
zsUkzISD;9`y{?=%{kLMeis6FU()A2X3eOD&s&Ukcx0b!b%gV%YWB%XV#CoNLl9#T=
zu*W)d(qQ>^4;-rWogC8Bq|{*E&^@wz3v5u~{q;}#ckd-EUduW>D7PYy+gl7Wm+J5X
z*c012FghkK2o(YL4roT8_f{uuyP(HtkzEjVU>FcamuI=MuKf_C>*9iiD+_9DO~3iz
zyRae^UJ(RnEoJ0TC8l*^MJhZOMCAnBYN(RK&WEXmAQheq8X+=NXt!Ev1Ra7@crM7^
z6{&In*@?8f<f68!1g!%bGf;cz`OQ|S1u9AUIKC!2eUK=i{_a4>kMCVcGFk~q8yN`u
zZfT9UObN<e5=;TgE&yD@#<RKPs1j90XIMP7PEly`L3dks&G|K{Pd$ls*oLw#-u-~@
zk9}F;mJ1IJ+I*r!!s6YB@V`iX&J`I~Sdn2^yg!Clg~i)?Bl@tXZf7k1=CJr_x2QE)
zGZud}Sp3ia{HOlb|9v#h!B_*>pb2q~wntHLAz)!p%+ztt(ebu|Sa=M9GYbGX6cFV&
z=ja^V9OrB?-&R7b-Es|QrjAN-oP+oR*fv9r<<jQhL{L)4IT&|pk(1Pu=WkubIrts9
zBqO3t^ZSe%-eTuc^dg4PW|->d2w;*q_CSSm2x6HW$A~a@<^LLsc%?G2HqUt6(9YxG
zuYRPQ!aPD{ApoPkZbF5O8Y2gRCWIZdofE^3o|&Dn{6j`HKeGM#fBh3tVWhUEAJs^$
z4T2T)=POR(en{O|gXo9o45J#b<uozK1yRj<*$P%s4ccD>&OlUSoLq709MwQs>8veu
zyxN$T5X@1HHT)x9*dR!2;)NX50JCDc27-v?jy2W<CF6$4gC+tYqG(rwk)xul8LOZq
zzLl(^nnKDb^PihFb5v7U8NnQB1kL`%M^&cA30D-Wh{n<tr`8Oq^h?bIgc6#Jkn0ea
zl#=KX`|Qt_8PZ1RxU3*;&E*9oE!%-X184z_KtS>wa{`Pyav++wi{oWG9WPuv>3HN+
z@XDp*B}bQz=XN?C*PBxs9A#alR5Wv!j;~WHLXsWJ>CY1wx{wI?BX|c}XvcMZ$)NC3
zqye_x9H%6rnY(XKL^F5a9yBt>AX5;q0SXz?;!Gi$fz(tZH0>76amw}35&wlRebdi8
z6^2}Ej@^)31v1MC*v|_8<*@3_!sJWtf-%x%gHi-B<hIM;Nf$iqj|?23A$LLR*g~*?
z`UB1I#ELZJMG(0T<o_uMn65cB4Y><4!6A_kzD#ctCJng@7G!h}S`6Cf%rwC`#RZR2
z_(`1Nl1DiFMvX50`D81Xni^?>lp>Vl4UJ$%!x9zqdH~z9TH1>(ZUh|hDWAMtQS5F$
zQ38RABY@Tv0o%j>d;J24;2fx2VW0v;kapc3Nkk_2by098feMGTfIJA<&zow7m=VF7
zLj>)Xk2piKrM(_YyZFst{OjKlmR9Mkyz;?`-B3P=xR$}=9{nj|b8Ht3C@rlE28T9A
zqOsQ|c-<5cEP^1Flh_&+5>gkJ1!-xE;2td6a_Zd<gmv|bw6reBAYD3R5R6KZYF?3+
z)&;>AuKn9!n6T;$+l4PfOY4Fdf8$)+3$wH#NK0D;J0>$><iVwfxjpqYilr@*3xJD)
z2rkKU-bgZJcF8SzE#ce%I|TKgIu3F2iggbRULX%-auLVWtb3!@TMekyM+_j1x__vh
zQTIH8P@`PfdCj8d9gPD<d=8hdFkF^^_%gH9?9m>v0X;-<Hq$p}rl;Ma*-T%LnSRsX
z{PM3mA2rjP1MBK0m}%hIYJD-ETLJ4ZE=0C%>-N3~qS&OhLfK4%kA?6ujnH1k?qutm
z%``YuSPDbC=2&^0I-BWj2jX5gm72H<!EC0t=x)#z7Z`2V*wk#M_h5yTSe%Se?TVF|
z#(+G4&tlNmhC;`=r9jbtAdqjdBlb#-uLPncK;;LuY1dR<!xQBHnmD*z&6vzF!|PGA
z|CN9a&F%^ImHL_l`wA|bI_rTeS2!=j@2YfN$887BE87X!eOShM;L3<kOX)daaInCq
z3)ZfaIKOPi`LC79@zMo~_8iZK_t8b7r`@92@LrGM{q=YKfB(T>48yBw0Y{=ONq|{%
zC?1haG5Y6@rIeBWC4m<v=q(oL{Hzn9Ii*}s-l6)}Dy4j^{<TUeAFF?@Qp#)e4u}^q
zQ2&}!%17&8X?V-ebF}<5r<9MEzg8(_0OqLsg|9PI{z@pZoc$rnU&Htg^bi!wSU$Lk
zR8yFtZTpu~I|t;j`!99r>l`CL3Nf-h&$Fq1bWQcNTQr;M>oL_2-t(oe`1gWgRPzdM
zsy){kx!|s)-&ZKx1!JZf*bg+Uv|UZoIA*FL8Qf-<bJF;LQZ8$LLFQTl)mSknjls(R
zOdPiDfJVADyPT88k%mSlT>Xw%F(-}pB@K<n8%5DWFei<-V1AYunVIlbuu2*uuMLDB
z7m0YjmwlHQ+0Fnk!BX28)Y;2{3zY4W#p~HG54-xO9EGxJdy64fb8E?H462kJYD{hF
zn{G_RuT^-c4opupoSk-W!2ilcH2>rK{BN_n*`!p;NqaQye-TyBrutDZ)%HA}YO1H!
zCQVzuH8X7cyZ=MC{={$mh!HNH12SlMvq{3d0&6>i6laZ473o|vLBWTb`IcFa(Tocw
z5@r&{*DF#*x?s0rO$$qU)*x$d1gRp6Ah_Da{XZ;LJ!U<sNEbx>q~s%{7SSSA+hy54
z7X&(p!7b2d2;pQ{Z~oR)kuHd2ABc4T`GMU>rgmjPQIRgW#e^5Bxdj`JmD0w!D=r?e
zt{58*=9+2GVUlDt<7=XIntj_)yJ))52r@}Zakn=7qr6$H2tl@$V7JwE=G%kOHJk;;
zveBjF8Y{_sf3^z@3KGd(*QW}sZjfhLciVNJhbZ46P`M~9yW3zKPj$~nmA)$Glc)kO
zr})hR94B~Ip&^deMvu#;N)M4o%bs)42ik`RYQLIA8e=e!+iVa|R>mH$%xxxg5#l_h
zloot8n8+0nDIiaj$7YCBo}~nlayvn!C>^d!c{gXP3gT6An{+&%qjbDLvg!Pw^s7pl
zLAQc8DIL%4I9|AjVp9v3y%hKkHra)IatV^Xp4VjzrY%2Y4Bqoi|M;){N@@lZz2W|H
z(Kk~W`I9l&Sle_crmSs_Quk+NbJ!eVaLc-8i?UAm%di@&RgcLms~Y&U>}(Sq-(zr-
zXTx>pF$TBF7#w48tBnB+mYf^2#Gt`z8(0|w*cBQBC~nZm)h26HAyO%*)(F5#w`&Id
zkbA_lN}=>j-<h6U4(Pji0?BEu6T%V@`c5-$Mc-lbQYDwu6jHC2W2*BzZTTU6_cfpT
zo!|ANqfmYsyQCc`74Zb!s{$);SZ#&3^gu!hyw91TB18Fm@G!%>g5Y}J6QsWL72zn6
zp#p+iG-)*}Qs22?2aX@9i94W8OrZ(e1)rAs&IM!Q6UcxRNC-jdI~PQbNz?aW(XhI`
z5Uim5Zli;o4hM}5^0yX!=SxB<Q`d6Z)JC}HD#;AxcV*@iMwMt75hoXXz}GCS(s&4j
z>?7DQ9!fKchIE)<x@r{NMDhHeYTM!2B6^;w4q{%FpF<T6eWFCd23$?f*g(nH&z)g0
zt(%o|FFt>M?~Nd2lS$&zLRC`@^~Z=)X^XV-xXCo~@_<#;M#IO-+;KftrjeIppJVq|
z>F~l<YX6dbP7qh`nlgfX?hLyi^61|p8qG(2O8mciPxnP>jF=WjwDs!y^%4!+u%z2H
zf<3XMRz(2=nd{XLtBum#j!uaOag%gzk4}k46}s?Ip}D+Q*iIvE7XorE^tMQS+iD}g
zRIZq#5if!)8Zg0LeH)E<5j+U@A4}^H12^BAM%)E$-~$8^y|jX2#Eax%ZB^)NMTYW4
zHid9Y*1%&;&<UzfVvFD>uj<v|iZBhrGGWa0X)aS-(sK3=-E~r22dAX2{hws+#Z0Qr
zHR?Y%^lGG6i5_Ee7}Q#2UCJ{6aZ0k)>gk5k_ZM04y!w!go~^EKcP%<#@@LYjD<rMD
zgI@YewR_w1e1RSg&eKcIWSqAAP(<{a&;P!6d~Re+HMD9GpqDTmkboTwWrV88z;s4{
zj)M0Y0XhoaX9VadcApWT<Ip{en=E2QZ{soOo)M8t9*6F8I>2Hl>6GN0sOlFIW$J6f
z908Ui_O(Njw~`!HrmDxrV0P**)lXx}G_>S>Bvp0w?y}gro^p6VV^*j9Jfu?N-zd=!
zq40B;mfu;QJ_`EO9_j1Sr_+`n(x-p-SAODef8s}$^s44*U7vc9!w#K~f(J2w*p`9N
zIdwsMw}s!pI8bdDTq+_=E(k^+;3jZ)$sJIaP3VkU7qn5~&;dZq)$xlJsZU)H<H*=a
zf)(NRw%15~>Vin7fd2r_+#X5OV-+ZHBsqctIYy8!wSv^Az9O_F{bHe3Fn2euQJm8v
zS&CY)WLqXvRiI$NB?cw%DmyfNip!bSq(1dEx8UK%&4J`*jqx!=^^#=1JIEvu|HE|-
z{OAIu`kLrU0eh}U@-p(8lQpj@xjv?^y3-0=iiXpFJ+-(+k4k!2PdW@`P0_4VWKPk(
zTaxcYdJpNz;~gN#*wxfT$WI<mfYMwCAkO?{o_2uz;AzxEf}qC*6M(9w6$rUd&PVdC
zPUnZY$>Sx!WOPzK75-{oP8(z#-v{ABdgDR3fM0i)2p5ox-CZKvX%GFWx_R#8ytz)!
zX}74gZ!-n!)ljg$`44{lcRU_3mj-VwfQ9@I$qc}FvOrmqm<)^Z8UPDZ9JHZii@^_V
zPb0O79d;W=ihY-W#L!mR3Nj1jf++sdQKT5%-owtQF^b@Nt!52K7mlD=i)+jP7Jx3b
zlv_;DXunJbu+aTMqzlN<j{T|iiWPvhTQHYSuxZt!aHs(+RQW1+h962Te_Uh*U}2;a
zYM*%W4M4-@<Q0Ggo&~xxgwM7;N{R-+S|NdtHQ6eomfz2QvgL1#dsEY@rrL<u@3)pe
zjI4Z`Vwcq!7)n*?sV*OH>G8u5mWNvY7Zt5@$aR|2AOwctLx>L$BZ6Lb5Z2dy_s9O_
z-xf)IwQX(4b=dD#QZ$LFg!XQm5j1sbTb$=cC4jgGOs#F)lS3|yFJ#Fi;~w&~WS_nX
zxqyb_)DT3Ctm@r3b#lOf{g|%U@1S^_6?4dia6*R$L9b#|c71B9)AD6H*3jbAV9x1L
z%2grP;ehy31lu5PR81ANRDIBQe3YG9m5k5Ri79cezlJ8nHM(rFLYt!WVr@zT3UWw&
zpx6lh5q|2DT!!99KYOZm6qAvB`<^00a8eaV%8Oec(0K24|2s<ktK!J(?tjPivO<{b
zcN{&(;!s?lR>`avw;t1S$y8if2UB8flU@=O(}NL`=^@lQy|~hz^*P*lberO)-J&_%
zxSpoCKk`pL|FeERihT8EwDz$8h_EQqc9Bu940;8C2)|lO)a>>)kYr&}-7UZb*BB{=
z8+I7}I^2iBcCBExkGX}-<}<{pvwbYU9NW!Wu&=U@j?1B%u7|H?`?y=dB&!YQjMm!X
zR*)px^*C&n*4{JLev#8Hsai#AUb_w^Rkh9l`oiAnIfvZ+kcm&1vZe0Rw}0W|&rGVP
zamk2pk!&en(c<GjT1=`_%X;lK88PIom0(ZXi$DIwKmCQF;j~uNHJn$Dn&JZS#&RuA
zb5xFMMUV?d5iW}F6|Asbrr}%=A-#In-F9IUs6((S>&3ig#1vqvVAciNBcGZY&R4_$
zJMvkR(xU5KBQ=~0V!$RErJ!Ztx35*h`KeFWGV)gI>))mk@@pP1zkJHO__^7g7PaaZ
zQ!vk^k{h4frs`;GoX%5Lj<ZoZ`RRS#ayZKfI-F2=?a65s?VX+OriTD2hN=TfQ|jU5
z%657aTeJe2?M9t^TyK@J0H|MeK*4LTI-q1buAf#fw)DqwAN}#iq(91^v^&_sZy5~D
zXph1pdUAV|tvB-Gv-0#U4<3ZnRJ}57`60dX?(cftm%J-_Wp$yRUV(cfm4*lO>Z|+W
zKTxe#O4k$G9jzNP1c@xz7CZ-U7uX!k*$BZ(udFe5l2`>O{G_Qi7uZ*N1yF``w!$ef
zST$D6dL;(lVE&ByIU&dg$FDKQc%pt%(T@=1GvtCmhILduMrlc3LDqfpcoj+T=*vYS
zL2K<%v82R~E{R9XhUlQ7qP<G7j4l~dboMCp6u&L4Nu%kKXl7+QF@V|ysGJ%dEy-M$
zJixOB@B<}{38vc0oYu_uCkE-@%hQrn-#y=;7^JgXSrJcKv${iNkPh1Nw1_83=37(-
z>EIh}de@onQ7MV)z>3(~Ckg8PyW5yoTC$yFCE^=RvOB8iFHU0X{y>d%`yx<V_hyo%
zLCd6KUY}`Oqw@n)ZrDP}zWZq0rSY*1wQFIQhCZ3CavSB?l5Y#=eU)!UdU&M&RtgdW
zlVO6n8fPyl-elfnI}|+nVUgrb$0I>rnTwHzS&W2dfkeTC(4UJD^U1vG%=j>uvK{AN
zOF}#ye>el}Kt5io;?nWlj^mM_uQnS$c+K7Ze%!$pe$8U9noZ=^nQnXen6FRzmcRT1
zzvl$ExNnuRf=q7l(k9jW58F(5F3ns}5;P5=BNP~SWfHwyq+@Lavvv%(7;tv0N3(K-
z4%dz|`p>m;oTQ%Qoz?fbDHkt_rF?KNwRz9|pZ#yMTQ>C!L!q|pIlp{nEzUNqYVbOE
zCFATCS9<Ot+~PZMV^C%EGSVEs_&tB(dq+n??2ip_pyu#0funO7a)rWvy+YJW9}EVo
zPL`|Y@D)Mf1&@Y;BV5x=q(Kmg`hjT+)EvIz(P0bps$39$BFi1I;%eC=&Zbl4f&dTL
z^owc&44d!O9WXQEl5pbTluI=M>>Tu9E~98F6qu9bnwv$h5l9^0vgSjKgrMq3daBWc
zs-jM5oRPI$ANpTi=2WLF^jm!L75#7QiBEdAy5hjSFZ-KRBi#Ku(IsVv$tme2>gEZG
z*$dO3$5DfMB*Y7M&mY`-@!s?2Pf3R?-hFAaWb|tv4~xJxK9o9PP2I%BS1rKa*VJMw
zb0Oi?6cT>QTYu&&J~f&RbXd6;658l;;u#=PWMs403%OPvRxaU^O<o5!&5}CQ2V&%d
zT3=hkaBp962V6r~Dqy#)nlA*2e-uGXLy}W>V1Q5)7=m>0T`-15EjwT<w16Q<Gwp(h
z!b}Y$t6fomP5UyyF<^9QDZ!Ga5K*j1GwmyGcWaKJqTt%*IEJ9YpT6W`L8pU7e{A2V
zFH+33uZa>Gwh)M<4a-VvG9-0LjL5P9QyVnRwrl3QvtQ%8%XI?$(&C`BCcUV#=3$NQ
zE`B38Jw3^Mf41u#VL?dl)>cI6YmSCXVo~oKk~20pil$jj@Jj;agdY%}+*|O!v1jNL
zrH=Q7wX-RNc`dsP@^l7{p;T-Ee6TF90zM#sds-ckZ7e$h^7IUHlT~?&k)g*A&C~{;
zr~-a~RGk7mwX-?o=LwfVV5!q1DtmlK>`^b3F!vtZT<^iOTQs{2*HaAi-S2+SuYX?j
z9w-#oOKFuE706U+({(_7H70l@0hLo)$ZM0wfxUnI1XNC$98ExFOt3IyGkR|GH5n7!
zE<i&i>6(G$JGG9(ksbKH#cp<(4_SkUPrf#%OLpL0l`jMI!N^kM=c!U!9Z0OT`rigK
zW+=2yX#q`9R|#Mz9h*urq1Y(p-H|L6y8f`Ua^iIMe0NYnpsvjUr4{N3xa6pLr@<3L
zsCNDW3_y1ppSD}la%dP*l{5Bi?zew<X1r=3q_@YUEf*8gJLBFUiE48p=hzqj#6$X~
z9mdyz(q7rFnEGtm#gz?9&9rz`asW8tkaHT6MNg((wo^_+SXz^7Af%@0gxBpFNL_K9
zpG}abG%ZT_A7AH+`VZ{UJ=L^df0e+4zw^;w@Iv$-=ymo~YN-;~9eRoN>ZRRCmo#(w
z$4Lbv3BF&U3V`8=zuk43C34`XSJD_G1S^$_?kv@77=G6(z)Gbeu+R)RK+rbBQ>oPL
zPCKdq*cw%JoI0!2$bTbm!`QP@e*{bIIJTpAdXbBbG_Y5a^qF0<<iAlJVXf|d@=5AX
zbX1CLL}gH0^gr-li|VPG7(}*Gf3|UPx>yBnq-e^OT>Ez(&i4f4sj8bpGR;Y8H<{<Q
z3``>Oh-WUzq-qcBsY-8-XKpT@nRbijc;<TInLq!IkNb098xW}8jMn&~nb?N&!CoCL
z(K|N9FUK=`Os9Yqf`Y&_i?#wS$1|WGBpDzCL8GF8r5m9qUz?3DW=@cY5D^lF)wL^T
z<7*{YSP`w%t=-SYw~W#m8X#C1U&l((x?nxZag9|xgHChu6Vn+)QnT?ns(1!5ltw;i
zytGNXY0VtZAeQn{<`u$~+K&;fY6l|nIX3Vw-=6N`fq$SP%=ZVwkaU;^7!hsKNxIH_
zgV<^bpctiZ-9lj1a6Crc2{q{fmWHojJsw~l7Mb%XeJftZ#m<Eug62sV@xQvmldIu_
zkde@3{IAM-om-Mcye0d*&W#7yKjipHj%faeqDO18QEh?N#S~&l1w{&R+7O8`NLAJh
za*|Wbvlh~&6P`-M($?7lemw>5L|q(eqtp3OXIJ%ZjtsVFs<idzarXlK9_htL6(N3I
z)DTGCV%yuqv5XaNs?KfpMP^^*-g8e&qMO{&NOco`HyUY=JZ@Eq;}1<@;{W`YAN-s5
zkF^U}R7!_H=O^W`Jp%#z6`B2MZ?;NA5ca07x7=^`HV3!EM7}0=&bAKe--Yl$b{z(*
zG=kaGZ2>62yMdX<g32!tMlt&$Mv+N>0K96A?M^BQ!@Sm3vA<gZz05{_)OgaEh<zy^
z)C#q|O8T!(9B|*u!tX!A<O2Tm50oG+&T@85`y;b`Y_fxG$r2#Q3bK<9h7`TZc7@T^
z#A;}Qs?3{$cnRa=^OBBl>^U*hQ_3r0lfa!2<`LP!lOR*^Qq$$gujA(N4m{JFY)qOh
z-fZzcJS^Vd`__;Ds2_^xTWM20`Zf~P+h~0vrx%7vt})|K%#Q6c>Kzx1`C-7983|^t
zXTj5KHdpDS!;03c6)V!>`HC?=YzMp3tVj*!f*=uNk`Syvs|DcY`qZ>|E|}(rkr%%f
zq{VZ=Lzy22Cb#y~Qh?-NCgz8&dK1aC0LdgvzXWJwg_1S@K3ag}k_S);(RV<q5S;w=
zRZ5+(UnaPV*u4nY0hg(1RNIA{Bhj}@qT5Z^+?NbxlFYXUB~9oJ(=|c+)|@@xA8;rk
z8A3_$qxP<|x<LR%^c%r>(F8#{dv%AD^N0+$kf_c+$<+`yBEkN;UC&NaS7RXWslx7h
zoVznJ#A2kP!!f$9Zz+I?yRSC`YEDSWe7bXjWI&V9)Y_%wjr53rn&KoxuQywq^eB&!
zM6{mXe}w0V$I%Q>qV;BT!G^liqjh^b=FGvPON%t^7R{N1>&YDa;(Pzk&;Q0q+iO``
zTRe@y_R0o`;%NiCy+T%-`)v!xW=EdS3B}VJl<kP%Xz}zKz71^Sc=5C`7H&t1r#Tx{
zN}bW>Ely6ZvKHUPDxHl|mKDV6yJSJpT_%WBJkfIq5~P5w&4TK?xFpK3taHg}72}6g
z-^C=sg2;>sil<RCp!6#)IcmSvZU)Y-+lH}&M@hE>YcGfbKlpzQmaWGO4XKbl=dj@R
z;XzYX<O`OwG%M%*7s<pSlt^_-Zk_$_oU*@`l)ake?iP%;xVZX|!e~oE-;MT!A|qm+
zUZOu6<ww{k>m44sMYB=99;5sX-~PR?{E0BiiaI->;CWzlACaFN5IT6rwNWYH=?tMq
zG4Td1O=6xxu*w%NJ0<ACI9+4j4Z#edA1%7e5c<)gy9}WpjuqWy2%X(L7Z%;+bbheT
z3>4i}>HOnWce$4Cc-38%&R0$%fX}B_-KnXyAydU7mJhnyI*86sn=r*i^!V<Ps=OOO
z{^#c7)4+l@l_3C=WB+Ei{cWb*Mxw**wzMY0)0}hRFTd7gyXCVXPrlZ@^S7OqIm-9W
z(}?9MbCj2hTPo&Dym0UQ&2PB-#%E(43=du|NXhx*KXv=Z{zmi}DYvH^a%1|$Yv^;(
zP<y4NU$)CK11{L1@JyoX{X&uN%uY;0?t&Qe#auX2iK~?bq_H9ugA4AK8`h_BV45Z+
z@`^O%E?5Q!fJ$Vm&PJ?AL+*kxH~^TsR{Dh?4Y><$L1H9*Mi`jZqcNr-FM^<0gT*J6
z@T#|>81f<sELIZs;NdbyC(bR=wM(MFPZ^T-i*;`zfMf=W<eHffIXecUi1U=zq?_fE
z9O7$VXt`H6D@o?tbJ(sqLm7BK2FtX+k<{h+nwS%V&H|ntIp_LkzcdOUv9vk?0RgyE
zAv@_M_<s?3r{hkA0d(~nVR~}-e!!!cdy`Kq);rpp438qc_CNyg>+Zv&Xf~PoK;h2G
z4u-u4Bi5_e$UFgvVCd?v?#S|OluN~a=XZVg&;H5LBBv6eA!n($pnG5qCu(Vn8X!;2
z5!#0WC+bnE(;T5;w$%}))7<S8@#YZIX%(R@*J><~c<wWu<_PUL(`k;Mk29U-+Y>P-
zu-cZPuPV5Xm~)8fv<jh1r`HhEX^x>wrxyyGgc~E5%Ha)+p0J}#r&3gF&nXH4Huxfh
z-%n>cRjnT|bO}BOAn3~e=!R#FS;7{F=A?4ZScRA;lx3-N1iQ(4o!V2Tm-Emxrw&p3
zU+B!H!&S*FazdF8Y${jlu~ncut76rLKzl&0PlHxQxpvf-5xza8{F*u1+|mmcRpdJI
z1xs2a>f<EE8wF{kNrl%FG^zX3Xi}x)H<r_0w1{!RqFSEuTX)E*3ddG}Oq72&n>bd|
zR2|z;m)lztOom|B)8eU1Tcl~r4_Tzo`-PwWk?)>fgtUPlUsZ)zBG1cly#E&YJc&ti
zkquRzWo^-Zv(O>Vm<1>^eY2oEjlLo#GQ*X{9a*CHw>foP<02H)d`=G10+d&zzALBR
z%Ya4}OtP%DF0heAUa14({1YfKc<?eGIj<;BY`I2+19Z2+?PUG8b~2U$(IWY|@d$J5
z8J8rvQ+@8H2nnW0CDHrTO3DlFm$}|(6AtJUZ0tcgJ1vr*-B=&+&9}(Rwrl3wW23Wi
zo#0dGN#^^rL$k9aTG3x*)+3!g-ykGV^}Cb$vRAH@@6dJu#FXD1tyLJKoqwg#@FjHl
zBB}o)1xQz8HOye-B&IHWYV=a9hJAQ&QApK9Sp428!Rhf#SdF<1309}7s+P8&Y$o~g
zhnWzECN{N7E3C%xGNifg=;cb<Xxi<>;BO{vyc*KR7eDZCes4lX^=3FS>UJ(_rZKpq
zE@G|Sm8h8pyHRWADtlP`n$5=8jl#-ha~OUNctzS%XKNTW)9W=HoNVKsd~G&{drT)W
zmjO;`BbeQlZpq<l^g@6r)>cy)nBwPIVbqE^A((pItXR1#DEnsJ5!?U(o;@doZgW}F
z`>o(wSSN7&Ky}SD*d3)<e2?F%z09g+dJE@6zBwF*-rdob9CyGr2lxqe7%+FXw`RUS
z9lE{r8+DvRQvdAQrsHnahLcSA-Mf`_Ec-V)$q7+A9#vG~pcssLe^Mp%6$Y)1=a53&
zp<q?6zwkiWmIcPKlDQx5b_~F%O^*}I>G2+=xo5fWjg%R6EGoYgGHQ?Ti1oU396D|J
zp*VE$rC<HopA)q!ir~6pFL@2LbxQdsIx~z1%62s=uPtUlTY?Hb9C*!hf{Kd0tcbD@
zC{2Wy3{M(CDh?Nn1l7P~YbtgZWHG1e4O~N;rJ-VXL2&g_5h6*0tEJM)*GR?gg3#yI
z=K=D%Rxqc$z$eWj7gzv<zzQ7O8Xkw1B{y4)KcXby&U(pF<)#YF>P%d_B_(2=rMhRh
z-X!!!I&O&IPSuU0av?lK#n3D&n^a5rzag3Uw{ayl586D9XICtL#87J9J%+l2O+alo
zmfo2=OK+~T)D+E#ooNlh93@>%l=N%g_sS36AFCfRj1Oqw0=)2z{mB&yphDtYRR}KC
zXU>Ky8`BepRx{=itE--UUNIZf{TjqUYNyssA%jLqZqUGts|4>T<*)=^n7(XGw=j_?
ze}&aWGiqxivoXbbnC8cr0&Ohq&r{WpSd_#{6Le2DKV(%ua#$^trxxw4z0ulL{Rmj6
zSfz`zBiY%j3dwh8cL0^6e4zy=5M(!E)RfcUsfo38+kK;|jUA^4DQfu5n%l-BRW<6E
zsQ*RtM>}?QCaD$lBW2{coeVi$<FqNrM%Z<sd(sq|G=HR58tKclm;6fA=G1)JH2ZSt
zIA+@Nt@&bw$9MiW|J^UYFUAIH^Htjz2hiE3imY{5YwrA#jE%K(S-}~cB(!)Fpvr=B
zi(C*x01<Uia3KuXSx^8F7jzo9EvWU>^Y;cZrPQ-6L?sA2WW~+K26=~|093Z3)g)k?
z*lugwdm$(Ql?g(nu{RC<ZfLM?zl`M5Oz?nSJkk0{6!orA0V<b-w#V0I3*E-J3FF*#
zW86}Pe@VG=bMjF&sY)y=5_CHt9o>$qIBL&c_z_`-d@{v&-|Oll&m((ox-rgy8`p{c
zcW#Wkmd3c#V;*~~M;z))E4*pT4=KF=<?hqJbURAgbf%RnJRhhRBZ@YdEh>7<b{TL}
z1h*`#r5;;5)l~>m;T1u^$AE%RVYJ^Hfp-W};kjV2n815g?<@pqm|SoVc2m(h9om%@
zxCucjJQp<JhBKj{zMC&Yh3A4OSkQ@#(2G`~9V=4d6+uAV;=`exNlFr`8HOw%a7h%h
zDY+Q*yo`{pB#FqoB#I{KK~mxQWc4_?_?kshN6tcWO*&5+nIuVb<dU#0M508}YL$~@
zzCBjbChAtEJXCAu`_ln4#Eq2e?0@%sgYX+|)GPp4Yab%1I`!-94y2dS>y$Cy)!Fkc
z>dK_Y9U_S8yN{ZMaFWA&R^@G`;UZpA)%|Uu+LagxUHa6b1AdeIUvnv8u+E2vK)SW?
zzwqh=-cKc4!a$l!z9f58Iha+A7Xj{ygH1b#s{^tNs%~UD;i(j(GBW`GKmflf9e?5|
zZ`Qc6qzH2_UVpZ}^#p6?PN{K|@`CWFbx1|=sIhq|9us>ZuFGmnTYkuD{A~Bjzxgi)
zLZRlzt%eyRG(kFl#JaPAt+vaoh6@IN1g6Vrv>bw!n_T!KFr`ML{}8O)Wc8<^<ChDI
z{zFir9d~MB(14`FU~QJxP8)*RO^)Gv2i#`SG$EMX<SnfQecBy-TUEy)nBC;<b`K>?
z!Nmbap$-lzHyJF^%t=7UZaN#g8%x%1GAhaObwsj1Qcudx-1RP;6ePrG&z^&r;G{r#
zoc6+`v*+6bZfFkl!`YFh?R}$se-5jqF=Ak}U%lq2-c}ts?#_$a3nhP5!}rugbkj=3
zhBR^3)ciao6aPku<Aq^!gUb~*xZFX-Jc^@VMh^ZfzVaXZy;O3e&`3Ws>&=KTf%-lM
z{+i*+)Qs3iov91#Fir@^=us$EAi&0C6Dv{`xFEV^Sp30e#IDyCmyjp$@q(XFDmu_N
zQztzeFxhoFd_prPacZh+KQ(Je1b*P(HrJcMWYF(vF$unk%}I?FYcP3%L^PE;>{Blx
zU4hBP9o{e4-%0hK)}*TT%PiDI0H_zLs*_}Oca~U8&c5#h^HOr-YqCm4v)3q-Q7e_!
z%=ZVi#ss_gjZhz5UFW4$wWv4M;{m(q_CPgFD8qEzsp)22-I_p(Rk-gw|L4Oq03J$L
zyF2ZF0mjU_`U-XRhj}yN$NcDPKk*9#s@5J7SM1)&)x|VRf*DeEL^bmIh56tv7_(ZS
zhE*ZhE(lVwyCC2b*5&{&-a;8RD^hW|AQE2)$qDi7mR2GeD^jtCU>R+KHJcUbgS%jv
zYy#~CQ;@&Rs46O+mMVf~rlTm9B9h<wM)~AjMZS@_K~-}x?t3`PEnBcWON84f7s4~J
zUfg<2<BJH#QOi+rkfYqM8mO$LAC-I#-+LK2RN7Q6YSIRoiuGkX;^m%Vlqrn)dL<T1
z=jV1h9@kq<NWp;Ss#yST9jaZ^@!XE%Y3yT5e;kkAAAd|*3H;d=LResG5=S8c2H~6J
zSD%n!W5Dk3%m{Qa6x+Y+554+Zz9UNc6eRH2zCd&c1)&9q-va5ytC%6Wj5!*eA`#)m
zR%1e2!}N-;XbF1=F4pGWg&_4x5ftA>^a{P5`qUY4JgkuWr)LS;2P-Ow75V)5iWs}7
z+4>I53oSCq2gL<()4}Ns-G}xs>8r}$x<Z5z{F@*u7Mg36$IF-89u5SV5i?^LlX|sr
zk>W5GNoB+k$v!4ahtVYwv6GLJDjK7@s<V&kNmX7GqiYp5mrXZXSXBPCKNWI{9=$3V
zy@VS2bf0XX(s`z!x<U#nA(>)+_tcJCdzMG^S1(~U7YW{6k>Ipj)S8&NNbqWk1mFL|
z@BD?w0)p3@(VFQ!rbUPe1iank$F!BoO$O4E=#n80j%n$2B2C@@BIx~0-Tq(*v+aUC
z&t@8x>740^6_-c~S4K!HReVK2+O$^8$ATEC5zJ;ftS>l3TAw4EX+$WDJfL=<cUr-0
zrVZ4m;PTK4)@GXio|x$sf6?0MsLeD(E|avZNBlrl;uft|OqSE219fZH%uW=#qIh>$
zqq9eHA)kHJpwo(~1-v-TnQ)a0jk%e3pDdplIn2iQ$1660k!;?CRd?&|f9DdxC)kg5
zN<y{`&?IT*A<-SQ+JS=P#;p3(pvPXc5vQTGNUZz&SFiiOzV)+4gC3v5g3{t%<dNUk
zsFzuR3ATD)8*N(ZWfo9DjbPTMm?6)48M^df_iF@m(6c?D9K_>^W7^d6l*8df)}~Rj
zf~t(RUM6ePC|N;`cCDq1N293xQnrCSVI9Ja>NC|t{Q#vXm-0c?(rHw=x;nCZuBL8U
zg<Xv3z;@IahXg7aBjF>MF%EzrU8-py2y~Nk?b;#|fIL7-(gjP=M7rR95e4S>MNI~4
zN|x2}Z4nB$6O6-{IBm+$6DsVI-AmJS%p90Z=SV+HqE>~}^_qb>Fu9t*<g0%0r@!n$
zm{LtEdS1fwkHLNm=eJG0@<nyQJ<`a6r0w=z-580=oNxwx5`qF)NRS;^X=Mn~l=_OG
zW+wq51i?0-Ha7%mN?kD0!<gr;rZfZ@n7Cj`5AOldwSqLIMbL-PAm4mYk3UwVDRsfF
zByy2QZ?91TlOkCXxtI&k{*V%wxMWG>g7XQH8G{Hv`DCM8Z0nH^ZaFO~@Ch*v400Tt
zS=sx=%Gy5+k5<>6H=4Q~fCZZbiDo>KF;hm1lwoLdy)fSu>z<UnChI5L$y_ya=iqW5
zXIzjhMI7JK46?B06zhqAq4FMJoKG4$FBzk_9Q}F1`pO8I(*p>5Vn;mu+4{bO)_2-1
zYJ;%Z`d*Fo{rCUHul?U&6NHeOwRG!S66wk{gds9u>QhtT>CJ|sc*FRNDKs!2(z0c8
z*<2L>0|`3?!NYoI*j%hg>+7f9uGc6ppdfe=)n0`lt*;A~sVn;}!fr8FAxP_61eN6o
zD{e^dPP-O@w7xFb#h{y&RS$<Ct*;A$;Yi!k4q&raGaZ6reO;234dP22&<NO*WH{oI
zh}%hu2ndEhRdbaB3l>TAdq@dcw^*asx&F;XtGXl{7<P6e3A@nTYQ8(7a9tAL2ws+)
zeP#8~whO4OKs_#rn_^WPV7Tm^J>MYIgDIaqIzR-JjI)pW6H`D*^&g^vS+^&J^X`K9
zUp>@$NNmeUkX*+9>RvAgv#p9rc!*|c2quVs<Ju_VHLqeh;9bo0N~duWOFc*OF8KNu
zdL<NwrS~4)EckVisrQPFW|Oc2RL02}N_%5wS0v3?x%>7sW99DKV>XHnPdR`3?h6;&
zxhn?(rro016`AfWo-zjK*&lx0`_BUi(54a3P+AKKX2<$S*lua1)zMudh@Ca8p!k?P
z$;?o)R-Nx`2+|et6}Lhl4O@rC7GWsNf^<b(5Ot19=YfhN8ULOIY3W=Lt*T7avDgWa
zL33)lA})y9NM0i_^?_@oN-Baf)J6r3bHsMR9*ZusZ;dV;R0UD6WD-J9ToGRqW?PHd
z0jV2Wkt78vwKco-9-W%_fl&F~{F0Y4+yx6zV|l8@)}u~F1>9cy->|mv$@lr+*faEr
zQprkt^3eazz4Ra2z4UWw(9M+wndTYsjb_7pJ%;y{@BYA#|5#uE3dlGHVDJpwVJG7R
z<8O;aX9T{GaSn}@%LqJbUX6@%V60q5;Dd~FU|L*8;8EwJ{9)h+>y38H2z<9BzZgs!
z=KmO2VBZt=G$ZiEl%tZjNA{Hwc;F&xBsq1j?lv1Wl48;BKXtz%*?h{$xqNR$wE5H!
zjc{Y6+=}_%X18qm;ytwdsnY6n|EYmyC;sEK`kgrIn7y>5zvF>Bbi4seLY>!cBP`F6
ze}E(%6qnp$Dj`xikVJ=cFZ+>N<IZ-V8v(AtHTTV3!kXzRKC|L6O6r)T>g?$;KC_V+
zc$UCZ(e^?LR^r!*r_x4ZfP+sVs(9*zjoKx5hYcC?uqLX!duygA`K*xep{`zfT;e*X
zJ$L8zbBE2`>w?Yv++lOP;m)5o{~J$0d{QS44_TAb!3j!>-OzJ)e);F_4GyQcgXVwX
zs5QA0&4r|7O|SAmiUCro0g@$E{|kmR!F!MFj%)}1&>PW&YOAXM<+?{SNpdHypL7~k
z|BC=3`TD68<NBN25hpg`xhrfKYm7~*az`?ADJ{3&oZ%&m13;&Nhduq^5U74X*^cwC
zw`YiP;`sIYY+aSAK%hRJ+v#|Wl-lLvyR&um{nrwG#r>1*bUdy%(|@dYXX}doV=X~h
zI-c9<c#{0YraoRJ*`>c$*~H!rnl&UE>tsaLW?42Le{7Q!+^sv<D#GYC;-(98Q>GJ@
zl{XUR`DRrv@uqvvJoDnc=bk<*5X_sNe(T+LoM8d{|1vzaBItu|FOZwOI=#H|Y93iV
z%dsTyiQoE@Kl6vDdod%F;+$lMpqFjt3u!V8y{aX7rm7KWAK@O)UQD<<1Ki`;iz$uk
zDEO6*$$aXh`)`L|G(b|Gy_loi<JpTj$~|7y5g>hWgnT?%XCO8gl8?jYriT|}1l@f@
zZAR@w)z<lT_-`mn?Aga;osr}*EC$NS_@<K0&G)BxaQ!<z&62`@Q?nL@)5*s&nBzR)
z4%z8Ol7GWIrsE#r#&tKS1Nn)zm_ZC|((i45Wv}`G2C1?j5U3QoY$pxc7-Uu-+^9j8
zYKt&PoS9e|Bv{z``fG#K7ITRCamD;dH?Gex$<4te9v&3)C>FXkyfaL4Jut~<|CTTM
zW4D6(Qiq>7Ok$%@ZXeJbj93&t*9M$bl8v`rKo%q@AeGAN>Cd6`UIcf`-jGf4eoZjV
zm_n^Yv!diDOt34nGuKNgF31BcMg6#7XR|ZW=PX@bSy1v5erly9ho_4vPV8dJf})~K
zki(rMuExZY-b5yGh5ghkpPh*gDxyevMa@r`<Q9DdXiPwqK*r%#QmlbXdiakn3+V)}
z)}({sHwP&X=3BTXayadp`R>H*Oq7w*!Kl{Ew};N0Ugv%(ZPt}!zCSVN5?LXJ|CMBQ
zgD@l&)iInKx|UwJN;2OeB*CoQ6&MN2V?uJW(~_KxF+O;Oi@Y>*WahF8!+)_4$D1Tn
z2zY>fm?DkJ3YFf4wEP1HSlNdMty@*xuH!UmGbF%=DY<N?K>~G13lAn~0aRF^hQdQy
zlEUBY{LCs89S(A7LtSk|G&;%LG8X;bSA6}qchPLCbp>uJymShLj^zGAAsQGe+R`>E
zBNwbldGsZ>U9c(CMJ^asb;ts1;yDDVid@i!z~j_VbZvDRHIWN;p!-5T8Lsrofyh{q
zipT|b2b8o?kgca}<sVH&5nQqMjDoa)qOoE{J|r%PK40aJ?@*|t>M{hYsyb*(Frslu
zPUC8p6r|YKv;iZKT%f?Nm87X~$?iZJe1cL3#N&{RD#6PsR#it!Q&<M7GV0SE7tu{N
zF<}lD2SUcA%7-+mo(i>U_95%WDJ#dtP&(zl2O_|k7UQ%CRO&Aypq0cyu24(wITR*-
z-OeyTateXH_%iLa_OOpAxL$SqRQ)+^`8Hgk{`}}a`*;7x*M$Dm^l)1W1U%WtYT%>1
zqEW#j&YTsnz!m2dP?Q3V@dcNfp%K2|XxJT~0TxfrPwgE%z!Q5_HsZ*cAP_H|e!5*b
z!D4(FakWkGD6y~@Sr<I4K_vtYhTCA-rdY8m1=_B6T9piPA>(;ZlHzLHnuUXSyJZMb
z7gY(CX9wN}kp!!f`BbhOM&-%+Q?=AYG|km$)?=Zs<Wt_o&&_Vxlw~|EWg9xqNKY_a
z=sRWQI2)z=&L^yo^kyyaKF`YY3YDjE3V8wrzNkcH`ba~ULV2<akPKY(MXSvTs*dW>
z3-zu`liFJ~viy)H-Tb*HKjG&Bv{04YdZNX_gcFCkYT4PT`#ZvKEKDh;<Qq>E9FD4d
zNGQ{~WZ4tlAre=25IKxiFnglmV4_`9VURd=_C(1#uALJIUX*&p1xGbc(F*$J=S;Zg
z!HY<K=i}X$PkC37Z)7S(Lm~SICroigBZrypxn#wKE0(^OHnTofA#R(MXu1YyU6Y4a
z<x(Fl*2fu?zd2Cel*@^_dG24lxUb`srh#wy%(r~$>&IA)K?wk`S&){EfbtQ{xAx7u
z4MAJhHW8)>45{ipM>qjM*)4nRdD*_%*j02FAOdJ{k!;^UJ+GYc9mwNbxFg%Q=;8y)
zuMoQ1H?3W?EubM}7eD|2utSDsoX~Km#>fg1iwV<z%>`jsSq?_8+l*if=UyRXaj`K(
zOq7e5ls_i{pn@c&BBs_$2Vz{+FiAvT%UAZJrP~2xK$S9<iokq4AD3i*njS!a04H%6
zv*<0?Fd+qf5@CRZkm+(HPV|)Z9sF1?FPh`G{J-D%Q-3SElk_FKv?Cq*V}r=Q@wl_1
zLDe-_0TtWC2pnSa@wR701B#HX0<fT1KITa8_dB#XaYbO9n%asLv!VeK0o6tb6brUd
zL56fKRmX>4dw{cg&5-VHz1AXW6v_%vn*}RK*Ya<?$c5Bh=dWEsy6AY)F(2KQ)kF0U
zR5wavDxZEI@Hf|xu9X+L<^&)DdPf0&H-Nu$JaowrqEVp_#hR!(^3=K@UP1n^2}dT!
zEpZ%I>%$mO0|myI=PW-8B#QP_k2t73ly{CH<`{wnz<e;4C<8ud;V(ZzRhPHC%89=C
z!rk)+_g=jB{P|Pz?|SSraqKhIvCrK*e_Iq7V=Fa)%RVn{s&~C`{^mD48^^tH58HR&
z_-q_3muM~IzQlkOFNWEM*Zkz;-}=rl?OJ8!rrqm&qyK5Fa(F}k9rksH+(6qXMbqvp
z9<B4GX?H;onrNb9hgxGbT(%3S8=XQI><%c@q21bJI)Ga25Tt2$LH^aEmEEo<NYn0u
zn8~8lCZJ1eNWir5*ai1{#u)h4n7U=t25c9uQB1o_Vj4J?gd{j(+TTY^yGx>1hm_C+
zXHnZEX4uypHN(9CM*iV^DK=0)uhQrBG22)fT-(r`Kp4aLqypC1VjI_#K`=mXh#+L9
zAG><`v6Mkb@3CZKQEcoPgsCY5dz3HGq|rsOJbTN72O%|^<(o6h({53lcA25QtAY0Z
z?XTN>!H)#Ar$oA51Yoqfgw-$)9@YdF&&DF!F4!Fw0k~jF+4pDC^K~wQg=2eQCOyrv
z3kJtF`Z_g+k5khuyI^o^!-lqkG|NR$X91JFV{Ofxu_Dc~3kJvbz+8An+%6a#+e2gF
znZYQMg=72l(dcUBEKz^nB<xG*%MT)XURzuJw>%&~jHxa<wR|*(w&jdrl;zVD8NHZ#
z_|FkDJ9BKG)~6$YOacw1Oea9Yo8{P^V5zCw{S^rtT*W+YsarF^$l^V(`hl<gpFZXl
zuegQuAl@~tL-)wStJ1+k$dO)X8CWk3r?*|E!dx&~2B7TP@^z{(7mStxxc6<PIaQbo
z8gn7K9G5$pI~L{R8d<m?6x>b%hTWE=4Y|%-hv~Xtr&N*f*)fEqy+)~H@~V9d@|E^}
z#44h=^Qond$plePx<@{rQ1u4aP@h^N3qN<kO83A5dqw+k0}$SzpFt8rAPkV9EBWMF
z$7E+ml`3bcvlQRaQac^v8hLz8(#FR@5=E$Wv&6(`rS8NBAw*k_57vbgv|wvMk>X!n
zmGa|#t#VRj`qX_x4a??O7*jg*{fKP}Ww5?iDcJ6F?4GP@LpxJ3r)pBi7W^-N>GXJm
zn*pV5QqQ+UElB%Br04q#B$}k`Lgn9zQ+AqXhc?=7=GlG;iY-ba<g`3py3aJyE@}BU
zN@A`@yJmXC&rpb>%$$4lp!TjaJ>zF!1!c1f`5ttrb$%YTlwO~*Gni|xiKh(L(S9Rs
zl61)pe;mFys|`xC>+cS>=J-Y!sDv*fYjSRNBblD@vxBtva7~b}^(6B>LhCYX3K`{t
zb+lbG-y^V#8*+e_;>)}@;Ec%3DcEe^8?Z*C_Xb-7?+yOd-y43+?+sSW?+rIc-W!Ny
z0Dzn6y}|m`d&BLAd2fy|TdXicW1EN$*mzNuytG{%;2u~*KNczn^sSCiIZ&^LMJE$O
z&MJZ$0uMpTqCx;qUSdYzb~+wR40sL7`AH>FF=MRd*-6K9I~|Y4;he=K(?pe39btyR
z4^}PL5JiAM;W*KQ5-z0c-<@q(B~T{mLZJHovK`mI*_>_g(v<HHiiS;<Rfa%)Jh#*F
z+p|q(#@MXSHr4mvh}MhWPqx$XxZZsKH{IE$qM_f2E=<Swez1Hw`gj!wPiZlH6WkR^
zU4oqgtR(w_qi_?ywZkf8W!)fOSa?_OV6hGj8}ScEPgXg5g;wIK*e&`C_Mvda&jmf_
zM`Ghd)o+z!9jd<QPyx^VP=e~Wo+E*3`3~3$4E)wnaQ$N9)g!n&FI3>CIOFr@&%gNm
z`Mo#F_mQtwzS4z2)#6OPh<t&`cZJ?{@dtXpnET+GZ|KXF3m*DuxwNG>JlBB=q~19$
zI@Gcop6bA%AL@67k;|`r`r_UHM}RMign8s*xEP{_%}!kN-2j6d^|}j|RL5?yv~B>~
z1>cSe%mtBK(N-?FVOAj!g4Fab2slIeM-E`fqJNP|QgTkjVj<Wd@Q>47%YxKhz9Pa9
zEm=k?LkbD9AoWxc)WOQIQo_nwuSl=12tw^k!2z^+kB+X&iR@6HrhH#$kFIkfqp?Fl
zJIV)j##aWmWY1?}mzgdw1ii?fb1<XZhf_Pqra&+k#s!AM<VJ}Zf4M^Z1)ULePVDhT
zUIV{w<TdUf+C`cp>}{pH1rjN0HtS<wFVy!Sd_w(MB|0W7FX)V2?#S{(=**Y>;A{WE
zXMR*cXLO;Sj@y$ynt|cS<S9&EV%`^mKmyw2Yu0f9KFGYx6%PXAWJQy8T+x~!g6gqU
zW*wJ^pjLwS<Q21y>ww}QDia4An!8qAS;raIH3R`(*QwV!?~ACIKaU6sFqBVS>A0hl
zx$3;{vC&+D&bWp>I-4u=IRe82QG?`?fgQ9tbU_z<P0&tq1~L#VpzJ-#e1EVac_;DR
z2gY+{K1c9X?J)pUex-r=T-6<t;wefF&F9Lu=*WC7;3btE0$rBfqZJAX>boxJf`51X
zHXMq^EWY9paW_ZpkQ$8~iF+Kbd%_;+_CwXcwRXhJ(Ru3Wvw!mGVHS_`Ks|rqne<p(
z?2aDg`|jK9jyP&JJaepPv)l)5|B6-xwN*rTq>8E{<Q{jV49`smptQCM=|;Gv5EiX6
z*&#dJj$!~3M@2~3vO6L~21JlTS_o7jscfg?alLq+OGrzy$BNsl#|~LT3SyLEIsJJ&
zhP;DKs#0m+>wvQhzF^NY$P&|DH22k;6D$m^(q#?Ug#l26KNm++5lhvUbvOzsL8V19
z{?y&7OzrToC8}H^E<=%5IreB6b8!GUr8?`%CwJHlkBhIqxVs~*6EJ7$uO?IfS-<kT
z-}d4-_2xuJs@rvp0mYHB0n+|HH^JgDk1HE$Wa`lgN`QOgb?32ScBGEFW|f)#uyv%4
zPC2a|DKs)^q2Ium(@cy#;+$1C=wjz=vV)=~KB^RSdw`iG9D@6q@=DzYA3zdW1D82I
zu~g6Iv&G^R=iRjK-q!O8&tvElrH|L>H(f+<2ak6F!5UZY3)+TGn)9jdPVxrCJ4oIH
z1S)Srwv+j9y&*)UCwW6MRXm*^-cSW_O9mh%D4L8w(-SO?cHwCulX!u!>5T~OmFpUz
zy$XPPc0cG{&F+VdIHND<So+m^hza1<kBG~QmsdGTeGicb7{aX|^RY)$46N<<jK=lW
z@4xlGeapwbZ;ayt)4*77f?QnJ(n7R7!05mg!4Zy&qdk0dKfImnC>aK=n+qN#!=U?7
z1Pj|5a{MhB20;QBd>AqewR0BG(~yL*axx4Ru}D5!rp6ZKU1g<4M%OwuOrNrHoQTrN
z9QsS(f5E&n_W)OO4Ai_uwpX^p7z@CcHl@a@gyuKg13bsuH&+>N`sD&MGRNE3Yw-Tk
zkAMEReQLzp$`0j~@r8k{(Bpw4SQDSN%gaiQ)XkEQD3Ti(sVUC53u-_oBQ>R_D-Xb=
z!ShpNxV817AD|oraE?>c;JF}pm_Zf_qm0~&B`!jc2G0c#s|_J+^n(ML)&yw?(%`uu
zP;nyI!g`02I%3@+C?$9HWrE5M>0SlJLs0ZuS#q&r?JKJC*Bj&dNRp!RY)xcw!Jj9R
zYwH_Nl2Z6%k_V)%5gX$&@x9t#NXtrnP4EKZC&Jk`8|zw6Yv$X7x<3+MK@zOd?Qf)f
zl74nA&coTai%uI7e9fzmqBY>XDD$j)OXZ!{-L+<2#fJ0F#c{9?4@xgz82<Rwt{i)Y
zKAFRCqyu1{ba7hzeRR^r9YoiN#!t^N+v7W8k9s-g*=4vnmtop1Vvt#t0o>3n(!luT
z+vEQAPkr!rpEkUrZJ<bX;7GTn;T3Ilx7Z|aidgT-Ap--ypFF&xKg2;?23rwrC9FAP
zJ=aP~rE;mfxC}1n{tufyG)9h!esLN68Zp>ZO4Ub)S1iD!OQVfakdfgPD2UVQcTD|i
ze;=uJuuDO1kxc~nfiOY?vnhxk##L6GDEq~RjsSA=u<z~7sX9??8VA>0${ej~&3t=o
zNV*gpuY1=iE}j2KJ2vYRK0$#(uM4NTLEs^h>-2(|>g@Rr?MV(QX_;=*bm2^PL&RvS
z;qO)bTg8EMl&O@X^izjd&;!sT$BO=|l7BDwA95;mIV&x&joco9;T7?<&Ue-t5G$Ee
z;;4l?C%!$;vwY$q=UHmUjpG;}Zo@0S@n^r`^FJ7+zZ&X$SzG}Y5o?HIM}%k7d2N?P
zmqk#Cz*ne&LW`9sxDcc+azQwKMh=F$D~(q}FvF=}TqJo3dC3qKN{APN8BRT5axktD
zK_jTlw6iD#Gn|Sjl*Pml+;!4-o!Q1}I28gsHLOsNmW<J5`7)^IwG~+-MztQNmgv$Y
zQ3Qw(jOPYpa{K#;sc=anUnZZUjwFsXN7ZG~Vn@5PMa59m{}bg@WjI4IRXtIo9Z`eI
zQJ44nE8BXQPAFG_?O&+GnGof)Jgs;X3ECC6!Brv|>MvCbY|rwkaoU7bDIIu!$3~WK
zV+{5?{ElzE{inZqlmn_vtREQRI@s?pG{Tj?q@{!r>29sPc!1i8O3wwm6=s4{^Ppo{
zcp#%Pv*CaOYXuxN2x6{WTe(Z6S5^e06TB}9E_zebsq~8Ae#1sm2!fWPT`||0-thF;
zNlLG%w4}JA5;fG~5I&`@845k**!Um)cWVhPOWa2RhpMm}F79yu&;I82h*{}fq0)mN
znBH*|^5WMeH<=BNph^UyTG|mO=&ZTA^l2}Cs9P83>QbRF)c(-10^pZBboFQN{pnYK
zPYi=n7os1J?2sKHJ~0}PtdKy0Amb4wP%x*&S`iy}n=3A5?rJ70&hbd09U)gpTa1z8
zk)UWs<7DgV=XfM2n$cm{1}-@s37TY3H7g%Oe3>d9DJ;n>fjt(FfHhuOk`ZNqccqD3
znC*%m$XJrQ#Twl$jU=;OE*S+dn5)%(ad9+VGAdxeG|`jH;bNKxbJ+GI^Zh9`Fx_GU
z*C=1-Bo{)Bden<no`h`B2T1>#A*QSKA#}AHt&th<D&~~eqmc5lS7s#E>7~3TxFru6
zO8v`!{OO<hg`@Zp_;@Z<!*sJ;BgDw50X3Wz!cirY^}$gcllApc6_fS#!)lnMJ6>iZ
zr}{w5JaVe)y_0hCRBhgrm(zwP5Jnp99E5qaMowM7Uipl#_=b=FKtMFAATIU;(Z1WF
z$XTx(oq3n_3JQ)kKwjVnBA401%~`L6rWz<5&Uz(=Dr0t#mfx7RgA1DV%1b$cqxgY`
zp=lX|e&FHIPRl?e@~|H`Fct6uKd?6z@KQdT`fy9j<PA(;cEr2DITh-yhXV=9Vj(&V
z_+0F)iN0_Cr(L<(-E30&cp>vVd*cdcZvgs9Z#tkK{JPr&{h%jldkN_0k}lj0mBi3>
z{IG8<o_34cjKbVld^L^5ANP4L?A{-tgmP7Tp>tub2D^r2P`3+^Jt4jaX`HZV&^MsT
zcR{N+K{3*1DcrPKkS4zfu9x6zg2~^44@1eNVnr$f7qqfu)-QuKyg4;Zz6*BX*pyNi
zOuOx^NR#h^D6dh57I4T~VQ`$9(2omlS9>L1!6<G^z=t5+Mi=ZhFw0iHZ1XlkP*TxF
z@_+(om0a2kk0eQxUnD!Q@-ig^$O~7IfAUfDtY+~7vT)sb;)Fpx=%vcX$l1?p#&8dN
zJT>Fna~}E$)YhETFMK_DXnT;a3p|;&d}}qBhyIbT`Q%^y?$p{L<#!(Bl%heDilCPf
zjN$11Q#3b<2C4B}5RnVnf<dLQKJ;k2sqtJeF^2DZY~0j%E{H)qX#L=dO9WT~rpBqM
z@rob<2rYr!AB4OrmjP1ax!_^H(#Fg^!Yc)GV?}B_7qqfQusCd2G6pHHNR8)$sNsP!
zhIT@EW5XznfJ9<wt7-%%4YTT8awD8wdC9s?^MGn2l>}>7^S$djO%O-}*+NZax3baa
z@qOfJ_UknC9(9`NT2TN!N#@&QQ)F?S$a3@~^ZfxNuhW&#gVU>e)rq3^-C4pQ-yIy;
z2yh9Dr?clfv|XS`Nxl(iv^C4%lB3!_f~186PpU2JF-)qzFXV%YC9bJWM6U^DNcQ1D
zO8D!wp;psA9B(d(D6I+522ga2U)^U`BpH~Rc@P>_C8V37ID@!=trEw1qo@f2JR<EA
z%SYfEz5Z-{>j@G$RLsf};v^_aw$t$~l{E6*rw}KQhN|MdLeNw}VM05V&(D`X&fm12
zKFT$kbAWb(Z6&JCMl5-2YUcp$Y67%R{>1&i{*0i|ya<!^TH#Sl*4!1)8;Ie~DfjEe
zWC8Npyn-zTeMm$zjL9BleaN|abd{ps8GZmlzaGk)bMreC0E=LUGxjp>v;uY|m^#12
zBnQ?CBT28$S6pOWD_n}H(O0VxY?92FY*bV4G&i4r^9qyg)T2Y)^<lMae<ERi^6P}J
z#Bmn5PLPAv8Fx5f2}#2j@q+3NstCjhN!OV{^KGf9#-PdGuar^qsHpDc`*HSsi%LZ`
zN<5@8p!)9l9u=1o<y|)TBCb<Rk$-noY@jk+?~%3Cxa3LBlKLBlSYvLbZb#{&Zd=(R
z23qKLE<L!6-y{x<EOm}{m)JVFd|NMPsK+IIq@hoZ-{gU_|DEYGpSV)`Oz>qUWdMRq
zIE9EZyr!|)B-iF;7@+M17_rg4Qia)#x;Zy$+AW&hsJm~*L~I)^UFgmSW=k`1GJOK|
zzx7vt@Q;oXdK>@}2$-{7pz%pu6lZNvoZGg53IXmB_!>moG?keHLtq8tf!J*hsB9FR
z+ecN$ih?S*pi?=5M-!+{y`rE#CRmurx67_azaf}{2_DuMRxM_7zcZHYIJKZYCb-p^
zm?ZQ7(%qa|P@f`*)(E0LXf;MZZ+(pop$9I`5OJGE5$9Ia$JPX)2Y+&*{*ZpJ{7Wk8
z<KG8^IDw1d>>KiRG-nq~&esGi1SgJ!9td4p$$Wdd#R`>;Lg=yRQ-9?9Lx)Vyj#1(D
zcOP{+)Kd5Hc=ZCp@eperKE+je9Oi8FF&9)h>ZNUuV=la;{NIpQ5&t&oUx1$isoj7{
z06PGuNw|!7kQt^B;3gdaT%)45)~Rg4p(WdaYqYoy0rDHgb+%;ujO!;s=P87mGogo0
z4=OI|2%{q1oR<aZrRmHOuWoi3uE%BgqJR2J-*O(+aGG(tFg1=@tj4cpsi_N7<0$24
zf?+&0zF5P6*Mc-jwmxzRV&;${hCNn;7^lvu@hzhbv2x3`O-Twtx(vSJmL+>CxU!if
zAxM{@2p%wQLj*B<QXQobq|4xfk!)YD*X_5iQsa?r$5hSs+lb5HYoasUQsbb(P*dzD
zzqBbXrnh`ht2s2rGUrHC%9*OYVY}FHb}WWvj8EB`@^kEoPg7*{^hYz}2tK7$V=-sO
zKeRLBbF6-IvAXG#+3>#Y`M18P*7eBL$|>_mPKnju@eSYfX|EYKygQOe0|DQIVzDuk
zRZSYI8W6QYaK{G!+MY5Pbh~0>&`CYAu%O=U%9F3H3@-vut<Bo*g-|4$@@#mmr~rZJ
zY9(EHS+Fv^i;i=yM6g%9mJP3=`4nW$S^Z_Q;qCY(bj3}tZmu%C7?noeDY_9mqv`ao
zJ=vU!)hbpGSbBV}ha+aA7N)Koo_DKlyD37-AxrADWdo%J^HjvsLU2KHA|lr!9dEOd
zxreCmJh`!dnwp+b@9FW;m5+^@c8lf^cKR(k#gg9s^uO?dPm5Yd<(u(ZM`xeerTLpu
zaF~g2Xh~hr%$bgj(yl7oWsUtVcyv%FEvXA0od!iq>VimjYw^I)piWv+7epj%)stO+
zP$w;^3vQPi&cH&wRtLvGEUE3nm!Tysf)0=GH|ySbW3i+zxm&DZK(XY|d{PNvU2?ww
z9k)vIDrm;z<f1EGa<>9^kX+_wFSVPMWOa8A@Ne`BvGKQAla7wBdDscv5b7sUJfNX=
zI(v10R$xWa>jW8SwdQ2sKrO4G-;)MbW-p*|5@a!k+4doTYdy`W?t&gQT$q?IgP)sA
zX*Ma5`o#u(h8gyL6ku<A(nmtO*=Lw;kgnWkn0AY1pJDnfI>l#r@qhhoU-HHv1JXKl
z_Zh4z{WdzMP<b!?5gRdzxwKt!v0eioJk}eO&DS;Xl8QCK=#M}>omRBj$zYFZll;`E
zcVqoMybu`<U9Tvaa$6B8d=jX#^#A~Pb85+yn_#!xbTZKb^m;uuXUUYC;1+bxl2Qlr
zU$2=#GUY{ZPpeHPX*7{Fr`AllU*rPLf=YU6Vtj4Ql$+#XiEK5$_Z}Wg`*o`Rhz`XG
z0*&lo=zC|+cW1xe1L=^Th$Ff4Z#mh%iyc&RJirf4v#3UP3`$Sy4B7X_+$QOvH1?I-
z^&?oo+y{R3ec=8MTvZ>qt#_)`pGvtgZTZ$>u^a8TzxN$K_j@Bk({Q;>GNR9kBX<Ci
zVCqksZsYrGQ8v^vJbDVEf>%^t1{^D_bIr~vf_`IIC;WbeSyA@VrsXo$X;eNe&mk~d
zBa<2H+@p_I@J93qZ(86sW1WC)fv(~jx9i<etP=n)n!7|0Gl!Z}S6C;MoKm+U?y+)Y
z`{`h0>LepiYKW}N7s$V)Xj}iyJJ3rjcDqE`c6;`GcXl1que{C`tGDZ)NXom*n#%*Z
zn*j4JVLw~R8jI#_;f*{Uy)8jarM-2dUk05tZmxk=@#~^+EqXlEzx`96DI`SVlM2@f
zQdi}k81=tMkj^366^3Y!NgWr;UYSf;Z}Ib9a`ceB6{jliIbk{{Oz%C1Vq%nok!R)Q
zJ9_Ak{qg@|{ohB(rt!0fY!*%XL?OgY2sB#smS)NY3(UJ;poCbAkL_w<-sJ&xM~q08
zZqGunf)SS_`G6oBv9!WC1P5W>9fn`1B@e-2n0JTf_U0NZn0K*)lL7lk09kErte9b5
z8xw?(4OQUnw{BqGt@46kUQh#yniFAXfb~Y(lbn2ckf!nqyk%R6OYT-gVtJW6OsQzT
zk7UbS@~~PU^kirW7oo+i#fd1A+V&4g^t81%TzbS@a(6%<mz*7g7;8Xyau}$V_Nrmt
z5<dF)3q6g~f!WqW^-=K@`M+=;hCWephIw<>I3@u-g4NCTXrJmcObz&^Ek6|S{q@`b
z+mk;L#Vy(u<ht5=gfKM}eGyccW24k_udHcSTf=m<uLuSwRLMYXpjTEVf#pU_>S`AR
z)e)6CXaB6M5hYfnu699qWgO`P!5t`yL}i5_b+ro~WH230jY<>I)iG7)$=6a>yCC{`
z(e;WIQC`y{<)*GKf?(b-!HsmR<P}9%yX1beL`2AQcMGv)m1O2v1n&pGHzZMhO8s9+
zrWQU#S{pRd@-i1l_*IgVwWX*Q>XQ0{=rc@HQ@!?~jhJ~@)rMP$12$(%Sf#>~0n(IZ
z#gn0<bfcdGfx~i_55QcAqa7m>c|^Gh8a^r&g*a~o6$a!LuETn9>oKLeh!e_oIvzcn
znOFlID<?55agIgqctL;4New7s*5h*Y=ke+pFeeh(u_qqwyZCjxXfTB^NJvhvt+Dk^
zRiJawb#p=2v|H2~p_!QDYKS?`{=+B#$?uP#OCex4<OT@8lzFlat&QSC>`)TJ5GkkV
zKIHOb+iuNr9heOy;o3Z6l_x`Y$U>8JV1jJFcatZ>s1B~VgXGaX8RuGPh7iTH43Oft
zJk8{*fgi||x#chZfQKaN3gn&>5mEW6i85cz|H=~0|LBTCJY0GSn3-xHawlml!nF|@
zxw*H$x&35LlwDz>><+x9OBr@kO{W_6sgcpN<y)h~RM@xu&p-TuZw`g3A(kuD0##@|
z!8nQq?B!x`iVjm*@fbLjQLIvfI0Q~*6f0^F2f(R}VjaK-Bnk*qq<fW@8O177h|n!B
z#Ecn8s!=RS=(7ZIEm2GstWYeF0-zxeQ4GL9oAGMDh^NB*2ihKk(5hm^;}}}T7<Y?f
z7+StPM=-R9P`#?`CMUV5+f?~otcZ)u6sXD-vHuM<8lQZh|BXFEpD5J_rV!zl?mvmL
zQq(0uRSJTn%{;f=w^0)!i5rcYWCChjFry}-4H32RIms8y?Ff@dAz$%7g5I$TGe_tc
zh08OR)1SwqF6Ii+#IHO)-7N%R>BUA6miBIMP0*c8Qn*UGF4b%=^vLo<s`*#H>{Gt{
z^FlRi;Nhy-!VsV~#w|BAhng?H3&t3ak%2=&8HeAwg_tsE6)+gc)>^>~T75_itPUzP
zlryMbYCoN;X8$FF3Js-RS~Qm=GiU`%qoiq|m$9TplFWC<h|~!Hu0d_gDg~DuRk&0_
zqMTH}HlvnQgPPI>$|aJn-O8b%+;kCR9k-3=1gj63_@n|hxy?4lq=(qk<j`|?yRx)x
zS%x9b)~H)ov;G$#*X-?{rmh9mO6pcZ)KIsV^mgsh9npEzzHfQ(AfzT}Z0bpWGvdIC
zn&eGSzxD1rEdQLBr?>mwfB3rJ`SGDrwZ@@W__aoNEAs$DV-on%dchFNy$%SEhsPw)
zEV>|AD%eQFdLf|g@^;%U=oYF}7X%uvEh-(7wwhrLLEak|+#aM9m@TQOK5JH_Q7nQ`
zQC3p578cH2Qk4t8z-Pg6*jRDBX=Wd2Yff1aMQre&DY)*Hl2wIY$Z4}oia_XUTXROV
zg<sv8`Bg}6IPbJ6(xOWBvx9$wBw+X{F_ly^#<uI$6)8$88C5`&QUO_&#-XRS#DtEE
zPwmRFCqC(g)}>ai4%@$T4&aF^%>mHbTxvA7J+LGC>(c6R+VVpl=i&$dhfn#Wk9fr^
z;8`<EH;k?#)@A7>r!+uI;av?_&iMMQcD}f#5R{^cEGSWg7uf^eL&XO4s3a}x6{#K_
z*o`R-z$IHeQcRbhx?^2GKt>eOqVBa`k?OImxZt#8BAf?Q#x{afk1lvvk$q2K%e~B{
z$zO(GfD3kuz1D`JuR-S0WI<7nE{WVQG#Hme4`YpQntF6ePz11Wm<*=mRIa~Hg|4DH
zh9xaTY`5#4B#oD^X=5i)M+6+C{VgT;<&vFHN6XolJxp612$$UNnQNvb$fjBMQ+@Y*
zgD}Fvrb(dQsrTLU9Xjk5mX$|LU9UMw;j4zc(J2k0p7p$s1l#ZcDm+hV;KP|=4SCs9
zGMd}TG3F~Co0__IKN&bg(g46Akg0~pBE*=ez=iM{arntZ3-(rBmzkKh{E(UW8z1+T
zpZjxRCe+*S0~0<88N+BaBjgdSnhD#28`+Ul24y$fgE5PRAkBmeB1R^|C@WQnWAX-u
zU<M{I>POR7a2BO2A`4Or`HH0zi$x{vic~@_h@oX`IL8dn*^G9D;koDvdV1x9yM5v0
z^>!8cMn-fFInC`u+o*koE!P)koaRZ$m12>qn1q)$RWh|+QU4(HiVTPGhx`sXTa#Xa
zFx1THdd1c|Rj<rNCUcR=heu`qXT17XzhV?60)dHAp^cB{^ghDT@tl%I6x_**%pKaO
zQKEezSKA-N$<LThMu`xpu_svpDlIXO6*EfI!DLG7^w1p7j1mFyW``cS=|HmH0#wvx
zwmyPGBqmgF)uU^xP@*m7=qa8w0A*F}ff%Y{4SImnYU~FA(^a8FYCEl_{yb{y)uCUS
zZ5^8BsYm8MJsN5~u9w1eGw**JQ$E?|`|<vFXdS(T86_eo-)04moX<+~=C89-^yP;V
z{lee;p8J0)rWY$;wu9)#p3NDR4Dg|P*gh>Da||O89Kz-X>;XLE^@kvk3vI#)K|zUp
zz`)viaKOT7S}z0zB{D(GlfW6Nqez6@oLWE#6Wjs#P#uNolI=AL24R92)&P*5D}qx}
z^=O=00!KeJW`0VX0K{A1q!_F)39OKpSJg<9#!Gv~b9k-`{O^T2!RH@QP*1yk#uOG}
zg*Z$V2=@7k{x?L1K2hp;b=u+#wK7qYE)0(;D%Tprh|1d{b~waUaf0Gjm3)5DwdOsY
zPhR|dK6yOS@!SqY0pGnYiI-xBe0+l<G|oO9_-fWATAvRYg%E!~2MYt?LAo3PX+2uF
zgCDEF4pJN;yY<P0&P#g<N>rN@GH+5VVNd<3p~HmbC9yYc`5{Q+o&V@h{^WbdAPKz1
zz%Pk3phHC!gU41iBmuY@Sttsk^s5f{pL}hGB+xj>o)`$i8){1+G9(co$3XQ3A2LT8
zjIICx?m+cLh9r(wU+^*X756Ll9s!hP#;op~(ZpU6-0Z10DY&$W#qslq%T^@!JFWJ`
zD8OFNki4@lxdi|t&6pgpqbfG7nQJBBsdBiUKrBXspCogw1WKz}L9DeBy*2ag;XFY(
zJ6<V_Ij#{SL9WYn!l4umk8yoMY@8t@sY!B4{5WI;0tyAESrPVhoz)$J=@X|K7Ebv3
zt2Og2G8zxILBSHW*GU~v&JF{l>Cb^7fPzXENq}W4X&e>#?0EZQO_aN6b1NtrCHWeX
zqee@OMmWpGkQ^E9Cze7F%)YYyi`$><Fsu0i-G!SS|EoK^F*O!G#|Qvj&q`Cub=@B^
zaC(%LsKimb;gJGi^eCDt0sFbv-aH&h9wyr%4Mj=!Yc*RKD-Je3X)rNR=&BMA#T=Ef
zQP4V!oot77SuY7&r}OX6kn~Fz3<0E*;&|Ck$IBq*IDQQn9Evh331viIKECIbXWOek
zj~hD-_)97Q1O8BEh^ksKcCc>ECXS`^b}|BQ@6vUdyJ^c0nY$1E)GNQ~{o#UZ(Csd`
zhv0!wELX;N8qq(0Jr~?>(5R{|xb|yiJc0VO2r7#Z5sH;ecF%$|cP@C?tf)>2Jm3Kk
z7d%c)bLWDXrpBrhOkZ5A%|3)+Mkrt%)Q~`_O3__DHFarOv18+n6rH&3jukUP5j^p0
z(7iU-SR)jLD1O~1c&ZSJpmj%kZVkActV&fAJxmy&D-5-($e#1zA{E!I{x@`7eDZz%
zH}=FQJx2{Xc-Ek=9znNIyT($<m$XICwQp&I5Ia=rv8}9@?NCqj#j|>3Y*4Ak5no&#
z=umHP^-r6RhU@LJdIg$asYd}Rl6q`F3*o0oUq?b^SC8!WMYHSa8qZ#p>(Y4BmLJl1
z|J9%WJKZ=zCK%YDc37ICqnva7fq)KHAA;U_rV=3t3_y#0Egfnd{I(U`Zeyh>+s%ru
zCJ8ci=2AYjM3r`GtGi&0M8A+kKnJg3SuKE9!EOx|l1Q9sg6281<^#i|#e0k|LyhNO
zh7+qK62S0|Uipk@JeS<BH&&hjb=xkfLAjmWnq(N&u7o8ofA6X^#q=$UShQTclC16y
zoM3!&NTNruE@GLiMMV!)DwMiPb<)MtF?4@+J<~cviZs++eA2VtNEX)hsOeQWP^Ix?
zu7tkAN@!Gg2*RijJ<t>Uy55IEjy1T}+e>I$d)=-}+fG}4NZWqX@BcF&{r-ScbRn<s
za3wLBj(CrV87>*s@>;Oq{N{_@a+T-JEab|sU;LVm_<+$q(6(IBF%7#a=<lp;0Y0->
zne}};LjXds(zZxKscwWKYbyq`wzW<TuE?AjW2{&)Yg^PZlCeY-y|}hnu+p|DD&hD9
zN-kkU>Ln{}+pRZD=)oosDr+SfKl#l;g$&COi6c>!H)~efc1v{(%f+i`>p9iyvfHx<
zL5u(zFf;<ySKlbTAh%Jas060?k&FQ*ek6fY{5mmtxdZG&UB5YA2uK<;xs^LK==6T2
ze2ak6Yfypfl#$8Rb>@47K?`OP@gTK+fEIcAqv`2h+#@R$if<%vihm<KkeGkWZ-i1%
zEh=)!$<|BlP+hdBX2tT3G-k;(or<IATGr%K4C6(E6N^D}ci;ZOp_<`TfeH;FDYB<D
z`^48cuTU1AEF}-zwE15sVZ{6yO@~q*X;qZ|z;M}TL<Rc7Lk2@s{84Qolq*71Z23pe
z;{A^Aea~NeRdfbv*QQ&%(v*!#4po&X?N-RZcERjWr@CObfnHM`u(mPqAxMkof`|Q%
zd?8R41Kf+$s4j?BOr={!kGT;Z$BMLgMNnz0rNvyZ<lKx-i|2xe<rZd%wgj-hVimC>
z)u{`ji$^J;QCtr2nJ8iiQkxdR9r^6BA}ZVa19lc!Ql<z{5=v6bNMcE`c)q4lsF6yt
z<(wSVrj3%c(v5*Zd7^?`x$&=Rs2m5_zg!gr9nnI3$`?>BJ$5&rD3Ji?fe2tmRIU(F
zK?IOqa4|keJr}^4c*|uFW_!%9OCL^KekcNX^;doF`YT5xfGu^A;VrE@TKSk6Z)x#_
z903$Aec~;xVC7+IH3;yQHQlY+zs(UqM<zsSSVREA;*p)fDgtmQdcQ~eO0!~iFFOt|
z(-oJZ5A%xIyM!EdHvM|gH8v~O5ddiS)n05@mV$Fb+_VC98|0=n0)QoG*Q_D{?fhf}
zu<Ro<)!o^y$=ApTVA;oo^6f!rWKjj;i{9C*`?K0>Otar(zDs+Z`37Ow8pi|RI`?Ru
zY9;d>%B?^`E1Z9LDYdX4jck!b<M_*OER~&p&*~m+nQ^5ghQZd?Ici_j!(C)Ye2ipD
z4|%EF^~QwAre4uQyXo$hw|~9TBzfK9wkKr22Xu=cpAG;rehP(1FL4UpTxAA?H6$bJ
ze8>VyXZudh41jC*w8SZ{SDt3-rS+{RR!E#;{gn8mE0oTU%xHCf=2g?>$V;8vbV<89
z5{0BIf}moD@LMwLc5<fkk@d@8^D+PYpT93MomwH}nNF)0;%}*4oG7yaF2vhqyUZ_l
zLF5Ifzwis8%e@s8MQ4S4QND;OkG6(T^qdQBfk07zY?ljTFppD<nlr(aWCcNabB&_q
zd`0(-QI27Ld8{aQ$X8sEmI_WZ&={*y3PI6vCWwqFDr)HIgFU!Cwb~(*JfLk9+K`^i
z7O+hHLn;#GC&#oau|r$@A1y)r<dgNL`qUR!SnGa8>qS>(54q80s>X(BOLP^l5wR_!
z7Rd`<<Z@4uiHo6ha?AVba87hS5{XWGQ?ASLp0@mu<Nf`g{Y7uT8&u`$!M8vJ3iMdq
z1;vlhybZ}yoPtV6!xw;rnr5_^z!IIdg%{qaK9Ro^H#rCS8Hhl<t_<XG?5u1eR?I+z
zx$?Ax%N}Aug;!1u2VRs82t9;5G@m*H5$HJ~mkF={FqEjyiBo4F0`9hsfB@z%m3MhX
zQFwmt9Vp)wP(Q2%P!GvbHC7Zx`JhTD2=2H;6^^ls-_M?3IV`k?E-;j6G`;0?pk1Z|
z<wE(tST8>1{R1ZsLHR_9guM=c3sR{aJ{sa*u!|=jN6HhDkHhkcT<!p4f(%+dK#XNn
zlS!5!ydb#u4^?^%F#qA$$Z@>{p^#q{rw-L2CzX3hDm;B1iNC_B6Cc<gX7;Dx*DOvQ
ze#=W0bJ%*P>bSYl^X3{or`@8~PRwlLSHmX$#H)Ybk1qrCSEJnq7ie_EARmnxjOH-h
zY<Z2%CEkHTP?`ZS&BMkCg<ut2XvS4y27n~lceXUQuc*)n$*!ChD^|?GMF$Hjcn6Z$
zR&N=CIk>>sM1%?w3OLz92<G4djSV`ha);QejRbOVVJtR`LSSs0FH;2<h@==?kmPG?
zwl>aP1s7IhPsz><eUg-T*nXkyYDsjDl3u#%l9TMWn$>;?LIbG#8s<c`(AkXJzj!iq
zQqrI?x5egWD}7m+IL@qi8-w`v%m;QYd|+y}r2#7e1yVDVO4KK`L_OLaSMPr3*Z)8N
z$rvz$sctO4VZnH3G==_pYQogEY^*r+(h%s8btg~<K-9onkYKeOW2~5dZ?MT=q>w=w
zR;wO@mG10Tgi)YEIyBcb3M}hROaeFkg~+D`kFxHBF1AzeJy+|e9#u-JO$<}!3tV)A
ztD{g&H9ujz_vMhRPW15Ml5aifP{m@i=-|pjGVyQYN@(r@J*DnkOlk@w1P%5;!g@e2
zf&Z#KJvCIApvCCBIvP8&eCy_tvGotV`;&jec&E$eK(YxsR9g3)n?vZLS=|8HKqkNW
z?U?CZ+y&cZa7bSe%^@wnD%Fe&M#2)EE-fJj)r<=QXwZ_lu}+s5tc|90RWosoR5QL}
z%+*E?OI@@Oq>JW);MXE)2Q(Z8kgJ9ZL3y4+(#L9}XS6-HxM)QZ?VO@sp|BsJP8ZjB
zs?od)kex1}kxUczOF2R$KKX+FH}=FQU2wS5WdJ&v>oTseF5~6b>GGRy{p@f0{&1`m
zA?N|X=<1fB6hNo7!#R3XSE6kJ=L%B1U#%>o6M}TCZ0k0P1Xx}RNErnaEx4KiVD#ZJ
z)|8~9&8mzQsqtdPJ=-`~<i!#UhPfe_0bnRjmXQD{K7b9R5zGKEz+7z%*)PF}+6ZO<
zc#kp#L5fi{j7G$K>eD<h)guqngy)LG|AyL#PkI(>RkjUf(O1>t1-7<zLh>rk!Sf%7
zbYXsR^8(=%J(Uq!3A__R+qRe>V5#!-$_-l`kQ3Wz$g2i45<{-?^w-_NhwIIGdUo(t
zd3r4XkL#E1#`Q{@Ri6G10AB#aDH!B#fBuQ^mc1{7Q6+!iFTMBQ`NJPsh@<suUaYf_
zY^q<%T4!}A3f1h^VS&=1{PrsShHIFj+(6y{-kn-7>#R_21NpM7vySG=DxFodVCN8(
z-&~!q>(_{IRhcDF2PK1evlgs$)|zZZ)PhLr^=e|P>e&U$v<Tt}5nTPX#Y^*(gDR<%
z?n>7}KDneMTynQ`WetLTbtdEcNHWJILtEoA)tgL`*`$QJ1_f+;dqg>uHH*H6hpvPx
zzUE|ot;#W=JW+kBOd}(w+v5yMDtBr*fgW|EdfdT0{|ivBRjbnIob|Y16-liL6;M+A
zsCTM}9<;rsIw0%uULS_kKcy2&<!s6Y%62GD?c0d+Q#V$2M0@Yj`T1(6<E3*u9glji
zDz%6LuBzTjw&VD=F<gEgkEjP`OrdSOq~=gcmN#iaz@Bn)`ISBFBk?f-!93CArqVz>
z@A=aV6lJW+`O}BR1y}mw3wO^S+<Wof^XE^=Ocp)hnK<^D>e%P*zWr@j`R)sn&cW7G
zZ+rf&FW!Cg(`SoYvhSI}T`!!!`3=v;aWCA%_T4u=8wX?GVR1{ve2D=mUd)s+VFF=H
zyG1R8aZ|U5=au`ydu4a4sDx@fPX8A10J$%EtlX_~>~r_d^DVk}9>4M}+2_A6Hqx#s
zw`e)(7A+Tqf$sc)?qB?o2#OSi@JwC7B+x9PS+E6Q8F2?}mz4p!;2x}9;$UFrLjzu@
zgHZ(C$`6eKs$ihih5-i&Pr#AVoI1lmC|zN!1E70g6c8N@KXvId9iwDLLXYtnq4RwM
z1HCl1(<2@K8!<?H@_qg{_QWUO_y4o^E<m@XX?f5n5rtrgNPr|2J>r02Ive)=f+=Q-
z8Br^f6gkr|qR@w)p6*F!n7<D_O*=!PkwB<GnFKLX7$>O^!2nZ4E1`(UMN0!nNMhpX
zka&qugo_|0h7`p`D4*xOeDC{xYyE4j{r~&?eY$5s_56FC{jP7Vwbxqj^?9Gy|He1*
zNi6PFoqF+SxBUy#IH#Il`Bbw#;MZ6XpWfB6byHK#)0S`bG<D1i-~VOb_RSH*C?wj0
z81F1VKEWtP)+Yf5=N<$>16adwP>itd4ULcmrJ`km#%u)DaR3W*BS;<NhmPqtt3zk9
zjJP<ZSJ|fDfGAoJ#QD%t(Q-k@O&^i+q0^rQ#SQXvjOjNlmeqoS1}H1~^cxh@+KZ7?
zvtP{~c_!_mL2a!4eH0B~YubQYw)(D6fU6H)QH;d&o83l2sC+$&Nb@yeAaHc730IDZ
z?n*M>o|sg#Kj6+clKK9W_7or^CFxYHS=}J%xJ0Oj#k*_fJ7ks$l3M`CtD{d=?xHO<
zG&#RLh00fzYz8)@CHrEe(9iMd`q(IB;*&~0%1Mz8{gcu;<)DQTcOA4;-R5-lE5zfY
zj&j=O^>e#ggr6!!Nr>{QK^16IsV|t@DN5pN9-EpQOHoqN0>C=Fq7!gt0OQ>O7)_mz
zSo7B0&SBAI0F3wk*Ps2^zxPok@2Z57?y>|E?3M%jKrV;IR;}#VR~8l(!7T>OQE<1~
zbVfGOCb}SOJd7q+L}QVrDq}^OL>I(}P|i()Ah=~TA`3xUL>JtxKqbuNC-RljtQzx=
zpL`n)q6-4&r$wdHX$=ly=00OZYAhGTr4SiNmS8ZgHG))DE(msBG;UE4+Fy%Gv7)#v
zMG}OP8oQxURh^q8Ngzg%ge!;ZW|X&-wu_+DwMi8&2_%tT_zt~k>R$MoqXxd%=7$f;
zoQekzS8A{EZ{^d&#2TZP^}$sQ9`b*6Bagj-aTI&(;g|n)QLm|&Z7WOJwo}WO&FjZP
z`^x?|+<?AMluCuHPLziqn0~nZiVNTq$QF%<8gzPm4ys#`p6bPJD-+9*fZwhx3GKH6
zYo^C~f#x_i)ZuB}!Y6H>EoodRM=#yyYgBAo$@F03JXhKVO<FBYz^9|9CwqZIvgHsD
z*P656n=49>_5!av8@nmFHm<5zQ!KcjCjtP@qJ$*ajoL?B^1m*L*$Ra8$<gJC^6x2a
z3@(Y144^Y2N$5*`p5iUJq?Km?>g!B)oBk49m6zbEyaf0+dkOGw?Mr|!dtL(ktG@*N
zIP?<W`|2g&c76$P%v=lL=7nE^w;Q|!x<`rs{IA1JlfNhaV|H*QB1u{Gw(S`XW|b{?
z)O^t!wl2pBlP|h*ut7moIjFK79_dCL;<P_np`p}M+qHysalzQ3@@V;nr{(qwAg0oC
z=;E%b(;JD?V!18O7v;55Ki}*YTPzsIhd_1yvK<H9t{2-?-v5MIHP!x2{T(~x6W(qY
z+g#4xt`^(s`XNvszrOzMZn4R2@7v8{Ta{rTQ19RQ!Sd;7(ZvIV`}%A7=3CypP1gV3
zeQcp*Q>rT1#BW_TvDEgEsw!M;o69Ws2M<<dj^6S7bI(aWyp$ax<HVd(d4Y<cC%CVX
zo4h)G;3=zTIi{t)@SFeqC%-(FmWpf>s?2ScBB!N-IdOvy4^hhI!W#n8^D{x=jdFCm
zqC5$n@&PJZd^~iT+I)#vF=sszA>u~5I&yv_Cq04>u_rwuQK}z0=n&T(f|V4I(xTH4
zB8`HdM@><jW0jU-!zgf#l-zaldv#i>up#0s)v-ux%Dd{PS=bQEfQ2NP?@llmvOLh-
zor;Swm<vI%-lpypc;iPe%!L>?-QMwvi!qoBw>ZD*=#$K_cx&qKHHazs$E&85#@#*s
zRe@r7y}#z_VlyB5JV`y(TT`E0Z-bE|s+v~eat(8gD=a8%2ujmuk%D8;K}|h^(tc$F
zIzuLE8vs3u(t8{qUfNlubW*Qm3>i!NmC+obUcnERZHQ8^htbd!l|I+-aPaE_=q<=~
zlJLTRK`?lB?cH9*(9Ko3G_?L)duLgGN@4fnwfAYaXihRIa$?FYI;Zyj^FR3~|K&6e
zRa=?7_HK<$NS>rlFAa&k=c(!R8fvE%57nDrhuUey0Wrbj7F=VD@KMe0Fzbi|;wuid
z(~1LPf`jd};((Z7>P9<u4lNFd3vRb7?M6fHOcP=8^QZ&jC%GjzKOz_41Z)mgl9X;A
zlicr-u@Xrccu4J+B*g*oqi>L?6G^m!C}htiM-96g$(Zn@+avZ}&3PSNHx@Wse|~Ph
zPQHZ2(8Wy{8{sr%<v1Fp6Jbwl%;zTAN75vF12e79^0{WZ3eWljKiUm{b4HeLO$_6+
zzx&hQ{m0YjL4C1W^@u{EF_dB6Nc;Xv1mG_<O7@yG!{iN3Tl=f3&?MVJ>T!ime*`K!
zaOi3dJ_NIR1o6GS{9xa21+#iYe=8b4q?dlvVNuKKF$Sz68{b|`R*x}Y74*iM{q%F3
ztas#1EefJ20R$8A`7A}Fy2%rFp=X9?#)ZO&PdtJAUwqT|iIORAZHfkJS~GVuItftP
zD&IIVfJv-oq|Y=q_gLYs8)4^?^BtC)Cs~44RnH~o%aoiy`b~fM+y6?WBNcAv=FY*Z
z(Pxcms%mrWs}_m70Xdxb?n@9#BIT4jw;2tT6{XdM<_@LRc14;y7eoUyN2H+Mcf!!u
zW<{Di7d&p(S|CPr2}Zm6&@^{02>hOoJwb`qoP7HV7ejOBf~YjI&l;|KDGP=mqk$s0
z#h@D%+yjPIA6oR9OP)&Huvr^{Ml2~R&m}R57o<Zx_y(oKc1=bDE{V&a=YV$bwW8$m
zZ!Wb{mqacUQ&D71jF4>C%(rI;6f&-eYhqkLE1B=lVYk}ani$92O6D7M*q~+Aj&2qF
zbnW>L*_0m~eFX#1u9<Jq4%uC;b7JN}{VR=nB;jvFlaV?TUTC)jXPfBMkjZnJ50T~M
zQjL$GLVaku+F`M+TxYDRj9z0@xtn*6>i+%6>aOpinaz|ql>Ww4{Oa@&HJM6g@9s2H
z2{_y#XF8FoJO>U(gj=pwGV@t^FC*1bslJEzqCZdZUa$$u=<|aldp|~^m=3mj_FnGJ
zdzp5NX7A<E<TC$<Uw!(2dKip<+SKKMIcxEPi?Q5FGtY5JR19Bi`^vl*7lgTC#0)(E
z@)va>LXhUs1y8`&AOVM};@FrU3=fqR!K%_}0;X~F6_4sTp$8bJ(4Hf0V-W=Tf>=>7
zMVOlt=Sb7&f*7?4ggJ~o&$Yc6dM_?$czj?Dko0X2E#8YuqHwH4SV&PABVrs|ycd@w
zm=6c%nm`RTKY7)te8TUdk}C{vZ6%pX@ioyTp`ONZN!Is#8*gOm#bAdMa8@-__7#)*
zu+PLdzCe=B0HRBo_Bfj5Bs>Svwd<%P*R;3NT%7E>7LWr413O-iRR*a;Wfw=HdRV@y
zf{2RX-8Lf&2}SM5oa2{B={@Ya{yfF5V-xjNEm;afV0xud&`jT=pjmHDNMzEk`_q4}
z3ov1M$&=d4HL`qbzL+Qd{Ez;<KeZYqHZQz3BODQf)DKzpg4Kpvpr0z8fr+b&BPhWH
zD2jBdz*Dl;PxlF2g)7FaP?Q+8rV*yC0rQYmFR+vvY+$N_fto`wtKO2!1$a!x)#O8G
z)w@SLDBw%zwuTvFRHdo*>6=!g-xxenH^vzfs5<h0p;Y3Nu5~B%8?W2+s4zT?xXT5X
zhX@yw=CcZuQdv@At<J-HRRQ4?UQ_K*p%z*KhMCvq<!4{K*I#_Ojn10Gmb(jEZl?F=
zr0Zppu0Q)vfBO&q&9I${hw-q*&@re8qRU36@ojYAM#fiWlwk!HZPAdlow4E)i~>Yf
zj9@^mD+fk)g$M_(c0M$1r>}?!b4-yEUP-fD3(|JFAhLvPT0(q-%wl_vw4E-9AtV+L
zU;<MMZxjfI;UK}1fC=CX)=&@aASMNyT_nMNDZvO(nC;cn7*G&z3icH}8J#BYsx=vD
zYZ9;X!hx)9F1%_@KCKBQp(NT&>I=Gsjn8pQe69<pVy>h6!FwOnia&;7i`#q;U;Hmh
zsjUg0!xnk3!)Fm7Xo^$l38zhYXPdC){B)$fqa(q>oQ|BH>zbjJ*E~$GPFkb)tlsn+
zzB6cz)X8$YZZ22M@WLpLPQEQCydr`<Vm?@v@M?Gy%doG_)*wL<nAR~dD3!ztBSELd
zx)97UF?wt{aFyxErPV1zFvrAYG#BDA&=%#ST+N$UCUYSPYa>l!#T*j@Pl>h@hT{h~
z70rrOIuh2L6t~Q9k|-)4d(^VhW=ddNeNc-TnLyp1WL3FN{!e!WEXh9P0blY@tn%51
z_mn*&){akk)pEA+b@Pc536|tG)c?Yk&ZEB0OF@d?mu#uH-eF5+{O$R+)E@q8)Zevj
z3XeXo_vL^29l!cXQBu*KUe{sP;fuE7J@Em^yPy=Hs>!~xl1dTOChTzz0@Pk~#^31#
z;#7H=V@2vPUlHy7Ya;?U;sf9M5Tp)sL9ot}tN_{G<HnL}AxItOf`R(x)I_Y9Tc8=6
zxL|Sm(SjukISW#U6~QBsB{+01UjSnhmqg72;Siz&fIMV&R9;eIlaO5QrRIc*jdD4a
zBy(OaY3=MdI&^Y<J(KjJYODZ7h=wVer~1GR{-?Y2_Is(0vv8xxJ_MJ4qq$_$G~&ae
z5$zv*9FqeeLilPwjrl*0#*-LUk;w4n)?1|cM>2*@V;FOi75YFLp%VL0(-_slE!Zap
z|2=w*1zwQ$Z{Y?4&qz5@K{Mq2o1CaL_J>YGUsy%m&8kh+t35)7B(03GoF-I5Mals1
z^Hroh&yPw)PFudO^zkn}^=Ci$_r}~j<S_^eBLP2|OR{%T(_;@7lXL0A281A!fcemE
zp*FjDcu-cVA_4PiOTXF8J8j4~0qukG1NvF&bFADvOeN47Nzd@tT>3y|gefL^Z#ao&
z#mdcFZb(i8!6m8N>O)s<9_aSeX$4TwFkbFCPEu#`;`5ykodNC$)mSy^Ty@P<V3$f`
zax2#^ij5Q!y7t}7baltB{X08)ZzTrp9OCOiM-Rl&)S>?5y}~nHAH5s+!FT`d|NECd
zqEJ!kb-NpAzXF^VWA-0I*6g<IRhJ~_1~ifN+n#mYpw`SfE`nq9!?cPf>$sSN-O-wp
z)Q7&z%k+i^JTz$(n+V-d(1g=bw2*6yqLChvOf1VIbz9=_G<&DYagm{uP`(wyLz3>1
zX>8+1q+*B5uY7HiZ_xTyFt8OMLunDx@%VV8{oBFQ;<_YPr-NYO!;tpJ`Bvp)q?W6e
zcA<|?u2w@QPh%IW$!}8}9l^?+@8{Ut^r-xtY0LNdIe+z2|HN;5f0U^d>e%)MfNzcD
zaco>e#YSH3fORugwk?o$Nb(8Qr}YUibn1W*<V`Mud$4LtnQF-_R4qsyPy~+z)k*Ml
zFpjNQk+<CiPg@Ly<ceVXwM<b6($8_h)EjU>v{fIPx84PTzT@yn!1ED~spk|c@~J3-
z+V(6>1O`J1LGg2nBpfFEkVL&ZzAZ_zQ`jXzGDjSqNZQDbB+2*9CE<dCT37;6aslS+
z8C8Dj-*h{LRUuxZ1V!uUo~<9H`{fw%&UK=mLJfi+s5-T(Y7PS>_Z7NX!otvok0uPX
zXZc+9IHO7K4ox!c7PTg3Mw46yP4atx^sRq=v}65*G=pM4F4nQ`B=YQ7SHth!;Pu%1
z6Bs=tc>wgdVcSEHX4<v@z+5TKD!4~FTp2XeE(k_V@PpC%j&!U8m4fs%1;L%E_RkMJ
z+5QzPRX(U0sdEPdZhiiWpLKWdShuaw1~55#^FQs%&DYH)rBmLs2U<DL)2Je!5p277
zZ^`-znM(-<ki16@_xxzUp3m9bJ-)M}rro01+)c;9oWo!BwZHVWKl0O&eb+R;XQoOG
zO!0<Npu7x8x?v)btLR}W&jrdsrgEA)KgZK{&o~#6GpdP-479JT@xTSGIf6yPgT#=z
z^w$(9ujeUeR9aTNo~9h!*mZ$&$DTMGd%5e7$X5kQ{6L%l!yjm41rNWRQCk~k@s?#r
zF?nKAAIHWlk2QNtuXHh_3Z5Vyb6SfTk~%F_mF$?7J139TY3!1zi}`?U-jCa1?QY%J
zh4ZbbDKTZT$|Fj;Jza29vJ{MMoQ`G<!}v-&`uWD!9@uk@@6>eswB=jF!EUR+uzKek
zelb#U*QMjF(G`W`!IEQXbcJ9Cf|k?qr8c<-&|7nMmQI9<s?zbL(G^Wp8VrOWm7Z<k
zuP}sg*zFocN@k=&Fqa%TWn2n`oW0QEz^amCX><iFqdhbq+Bh^)lvHKl?CZ=<7p3Qt
z(ddf&S&NesrRS2-=(;}|;YnPM6qJf&X><h$zpdWN)9jL^(G^KXVPKA*=yr<K;}+GA
zJw0J6&`Hrfw))gd813H~G5%Jl%d-eLUagI;bDHn&(tM_%Myz9NzUDOFWzu{f`~QC5
zGF9U=2=!{5g`|nMcX`BMTAEk;%7B?J2yQDjGou;@gRSN$1jW}h21}4+ftP`TXhR!H
z^OcG`sP2tDBUa35zQcOGfgL6~a&Pu61aq1Xr85lQg~7(ur4H34T>!tBKyboqP^ThR
z6hGE32Gn3I+o5u{Um9*T1jPj?ORlBH#sc3#W)b8cQd|I++;h;7<QTz5)&4-@0=Q&K
zg!-HzKl-R)R~y`|#-)HKKuvDo)t=Y*^`4|)P9rv7r{(+b>UscxGVMb~x#v~+xo_q8
zH%bhG6yV{{pv+eulxYv_hz*!~z^3QEF5d$-6KcNWK|R0z4oBPnoiBX)=e{Q*bq)F3
z^yGejT*D3_;jxD?6f^C)G6e_Q7AC045Ic^$TXxcKG}A5^GaNUVJlCw4)03!!At5S<
zJ~e5&C*PLSlh)$_l~Yi}agH?8erSwYWHpX?%#&b#uS-v2q7b{vD2TChIL9nVGwmzx
zPAjFt?+%b_(v#rwBrq9E?$(m$%}ZA4NlQF1k-4<t*l{^xrsL>aZyY%pA&g5-Hq*&&
z8dAn5*LCOC8FcVr3z!MxD4(X-Q#Jf|VyP{Mxw5|rPB>fYOSVq{c%0sI&`7}#a?UA^
z$w6}k+}X3o<k%BE+wsS@%sA~9&EfWRSL>WI;|D(LyZ++KzrFzA)$eV?ZR=`g6wQ{K
zfpA+#w=#;}8eY^xzj_YD;oxn4Ct9|8McQ%O4fAAZ#x#oVOqR&uc1*unZfp*296E>F
z`_mqt1Hm$gqP1-(1ar6z?*%AY5yYV9<{Wdl4Gv1u!$3b_LZBjfW5p`m2D>+xq@<4Z
zjc|L^=F%{#;VUC!AC{4^GB-I(cz5@Mhn4`hUBmo7a7t%i)Dz+ZQ<NK9J=tJSG3v24
zr4xdullF#llqL!-PFv^uS#PWlEdBh%3ESoZF5Uq+VY*SM2sL+9>1-^h02P6;rz5}A
zCYZDeXOgGQ8g&k?iRs$y=o4)bG)a3xkr0xkRQ=2=p5G)7%MGYM`Q)KA(mtY!-1jvP
zm<~lrU=zXV)2x}E<^`Z(cz@*4^FmYlmD1z902&>XtCU=yj7Zicr$3~aD(qRD@{r=+
zR;j_{fisR{#BhE{vFSb{KPvCIJfv8_A5wn2X3B9qNbzaP12{#dUJ(!J&@AJI6qI8L
zhikvmK^oO@w7<gXNyTamCakLCvK`f7vU%?lXCQp2v*s9*9X!v{C6#A|6dPd&$L!;J
zjw6N-qnIB3y%4C*U$)cv^R14}4MrhXqlLF)qm|p?$KWI1SH~BF5y0*Zx6=V-SaSUS
zn1zhl!ukB=g-ZK#JNEC)QpUjF>iWlKDdT)e^MQt?rxu%gZ{1*)a@E;~;mFnRC);s+
z{9yTX6k1Tg0y>DgY~ouCYCgbX9iM`Ojc+lBxomQ*Gb_l5BKd816X#Ku!p!BgS)l~V
zJmO0YF77Va<<P-X22R<lXK8xn|G9zuQ`y&tBVV`cb9vY2xa+&0e(%dz<mnfs$bqc~
z$)SG!Du4I<RsN3q`QdtYD_>Q6@kFKK7e{>I>V=nHxO)B>xgNQ+a!J?L2A2!D5V?TK
zcZH6&Tiot1mKi(x<jM*6{j^+~XKnXHZ&CLc*X_#dD7*5?UiEi{keBcJ&@a6HQ(`iW
z79Ty4R<IIi6%$xH<~w(&cKP<X;2vX2kfdTeK3cZKAF!`b7|W0s^i~0kM?uu7TAW6P
zyny<IgR)VbI<0KJTC7O@>4z?bu|6i^3i6^Ia>T~0P$8p@i500)%Zi1b33Tx-WidS#
z7cBHl2M)q+R;(c}@W92h!iqwYn2%jUUiKSQ7IEEB#~tuc1$jZ~P^V-9(E|6fzMcy5
zvI7Y-d@$&EI8^PAE?#B1A`JYNu;;jK$^1usF!kq$D3jHh@(^J<LZ<bQbe(Bb04m#G
zL(?<HNNf8LWCP)E^?hmrg`ON;+eRx4Cds0=ZLl4R61ZfQ34hcSCCz{t;t#97VabwZ
zydYodZ~@>ERz&vnInQw+B@Bt>^yevt9-DA56A^rRt&y@z-;xNv+N|u)Osc$sX@)f`
zhIY`uxZa1DGBo+(g*Vetaq_*R$LECIqe<9()%!o=7e4Z%#u9eh9l3y!hXbiho4OKj
zUGoRv^*z$gP_T;>p=z<nA8vv%c<q-M%_>IDlLB=c8~~y=3Kp&9ZH}c%*sYn{pkuMd
z%;;vtI$^h_PXm8p<<s`!&?&`%YcN(GOmMX^G$EKW90b~srvn)d{7#*$37pJw*+}YO
z^t4E_#V+SpM(r@IlNz*=3z-vkyQfx2*cnd&#(H<qTNz4}5_ZR(4a12$dQHNvC!u^z
z!VV8|`+#1PusdwJlIdAqfIeIsF!7djh7dOiJ9xkHFawg_Ni3$P840_!4JX{-lB!1!
z3DER7FZSSbz?;OZGG=mAlG7j3IbnCdZT6TGc5}jxwjdIIlE4f182Pt3Vdp8VNDVRj
zRy^Cv@h=&;k|&D2ZjyLn0_8XU>7V=Iahk#vCMs!O<cLPUI!4b4l#=e~qpi*f6ar?2
zl?OS~K{jeUJ`_Y0w_10`?d1f@X^Vm+9U`O=OyQfWZH<zi9N3+XCn@?Ik(+7L2vq_F
z9JAtLA?eW(%n1}+ENWMLmY2&;UsIJpp|ge`5|S&RjH@4VlC6<2o}Q6>Q1>Vhy~Sk$
z!I*yMl_0MJHE949>YMT)-;ky-4s^9M`T-s$|JN)koB4Zw?vsgsqeLoM_GBVvKI)mK
z5U=C%sTB233Y@9qKI(gZ;{Td30JP8)B70c9nl%+`NfHB{+366>I&M%I<|J|CetS6l
zvyL-%G{kZ})ch%%gwoRhHGkG|U}J+G5;Kefdvn!U$L$YWDnn>43?0`{F4SwK<A%BU
zS%WK@_<C-B_~<Ohx#X#&lUJ)w(`uC@F6m@M63vX%Ng7raUr&R%esO-*x9C{=Fr1xL
zp*zK9y077X=f21fo4&}(yX;RZ)35Z`Fx=9~s`uXKe9Eu=rU1I@``p&&ilXc*l4bWY
z6lKr2&a8St4F#@8QETkbsvXv>dUu;O+QCJwtqjH;YAvf?K*w#aXAfs}rFsF)Q%n(x
zRBc;$R=tJD>Hy?ZTlmVV7o)*|i^Dm>Wo{_FC#kgJkd+U;x=dA1_89G7oL0Yphg{}r
zJB?L;iZeJx=30l*RhR#T3d3^?^HIPcun{8+wC(gTgke?bM{`>d%*u8Ivq)3bR2x8G
z-zn7w&bJyzfEmi?l-{Izn=VIye^I)U*#YMR$n9MkQ5=kT|G<0R6H?b=G6IotJ-{R2
z9koX52SyZ}i-9Z3BUGul{(TG3Wv)jBzUH#Gh~~#YFZvO#=G0rn9SrrNAM?J_>{aq8
zuUI44oBz4r`ei>8_ECrDxqb9;m-CR%dC2G6zvQ_G5BpFlG@NeF<8$54t>0(+Ev8iM
zdtdq|ENy&JX@n-_C=d7Vj*Tmyx}yifSF-D^?0Qcks5R5`kWZ;IhhHKPmt@H4?WRqs
zySZIQ+Zew#Jp<4QeqBeXux$(o=KT%Y#^w>ASLiLSQLc{}3T4_YqS<^U95Xfbf>+g#
z*>aCKW@_rozT%D)kKr+~`rrFI|F<`OYp_%HN5yGw3Q8YM44b23V}8Vt>yd;c_#Ce<
z<K(FL2y`bRPQY775|Sv`<J2U4K6dhQRaAUL&ye6jPe;u7t^@KM6$63F6%op;R@Ocf
z0eKY_FHt&@s2FWF^@@|CVl`7uqEBtyI#oTqw<g=Mu!)mR+$&%_{h{~2b5Q(NOw_wv
zPz>XllG!kx&^+?S06azGiIABspF4uISNnSta&3%*C+6BZA@hXgsTn6OPtmOSSw(r%
z%kTfi_XTvWUul7`k+~`&=rIkhdC#-b+HE&`q{%q(e%*P`b1JVS2m4COS!sbTVkerT
zucTb1@{U`anj{CiQ=zibI$++NoFfXrptrhSX#tpy;)$xk&Vb{rw00|!+fxvry;^A*
zXN4)dCjdo4Qc(3^x2BvPJaiUp(bT{-m#v)`RfMXRN?;M5ebsA-me#~$kF7eoXw;#v
z*_r0~V0fPA0}eZOHS3FDZl`@L@r4|&{NuC?WSk-okV?(29Q`k_0=e+C0Ie6`3AhcY
zf8pt>AAwwWLf<F)Qssf(V(>sKnV#syVS_xkN**!SwOKPg(pXa%VEB>%2GL5UXL^CA
zY<md6#MXJR(nGyCtN<XC2MhBYYX}0aJ(=PKnqbVhZ%&7fWO}R@KwdE2F8Rk!Pl{mv
z?Xkw1>}==5ie}<=&8$xsg;n;rSsP=TI|9=lYjnR_yj&jZo)+5t-S}gTHQUFU|1>bB
z7GJlIHUG(T>JNGzYn<gx$JGw?(@OtrWW*8cjr2ye{je}-RYn^v3KhIdwj<QMbw4cN
zVL64rMt^D5&$(&(Ib}PJkA6-xZ^Q)yjR(7wx>uy(Gr`l^22h%-w4C(MrsbqvHZLck
zbOhp?wjXwL$NjKqLaiEr(GOc)KiX2O`zPCR!RSjx|8HC{;Cg6kO&6?<t8u}y9s6&n
z^I}t5Z#?sGAKk$?c-h3aTWM*7^Rz=h?QXGk{+ivrx4e0qbRGI>_aV&oT5P&7Hzgrb
zR^C<zs@$X@JRKdZVibztD~;CslGnfM-}&v)?x<+)mT$x$b7aH>#7(S5z`prV+tw3@
zEoaEFePN8=6HHuiMj$vs?}-iqG)%w{V3Ma9!8o*}o~&~s=KS^WfQnu*!9mteS}VVp
zGV&cC;91hD#-VAfiXi<%njkc9bm&+F$x<YN|AV0>GMo*h^^%!H18bkyVJed5t;NAJ
zCLeR=_cjv{hENS)lFWDKh;|J@J|c!_aOp7A@{s#)blND0hujl2f*q3b{F~$+%ox%P
zvWF8=KN{1FDom=lUQSQ(@W#Ytx=bf0?GB*-Rk3~`qB?<n8R_u%;*+oFf8(3JPn3e6
z=qjN7i}<cB_{w0<q#nhWO6H}-$1}TH*)wr}>K!Tt+8S`-i%18QL3?@s+JLFS4}DJZ
z@2bMBx!};}vYiyT41Y-bquH|JhXCOP-m<hmw_|^4<Vc(H@)kAC(EM<NaM@m-(A8CX
zFyo_hJbPDk)3hQV_7&!7x2R1y+|@1OabL?7=I{CMKIJohW-Qk{Ic;++=q6A=sY&#x
z?8%BDA<#{yWoMEFO_C=%0^M{(Q$Q2rCbthZc23*8<H0+ge(7mTiKOUOEEr8z_k)|e
zuD!K?@eE5F*bauZouD>CTCW4kspv6o8qQk%7jd3WA@{$qzVj%gF*>Z8&PZ}q$@<no
z65L5}PrZhc)&J-p`yc=I{~5_@Wyy40jd5lorzbt42#PTyTzzr-%H|-rASmZRVTkw#
z`P+#E%iDu>j>n4BdcGnU3`rl1L!Wl|z|bNDsr6hC+=<AOA)-R#kR{AQkb#m50_vza
zxE*FDam7q>Okc|d4{M-fB}PL7FCqU~kb#m5?l@G5fzl2g-wZ3Vpae=TiCN=%k~@Ty
zjJ+yJrfFOf5Y~Z7aeQQ5@-~y=G%zUnKi{-iMc5ww->lY0EouK$nx}$D4~^#tREmC$
zc)^vc|BY|rlOL&yQ;-5o*cqIWYfV3ii{NKmU;1BWw;2@JZ@efo15vfHaL~S@Bvph<
z8pSv=yjw3aB+2w-FHnWCKD@2ZuSk;V(O#etkHIL`T;uq7YVtcE(Ly;o*vh3CLL{7e
z9cj(<a4%3?KFaH|+n~_gK8fk+MuWICv>;W1SCub+er6?S?MDX{lp?w@xkJvgl1xuG
za_drH=b9)7v}>lP8%^hAqT;)?I!U&oS~K4xFeso%Ad)N4F68r^7P2GVoN;5=^q8GR
z9(msIZ|!@4B(yaV;>!Z~zV&;6#qxW=&Ga5H^If$ZV*55YvY~W%%N8lw?i@|?QO(h8
zOYaDic^19nZPVFE#{%L}5baE7SLs+~D2(u1w!`8h9g6@v?GJv)iW^DtwdsP}{4HPd
zv|P&;DcSDy`)!))K!@HDDPAlX^p<1vj<-!`Bf~5ODpHn!9+K(o>iRWr9KWAz#|0yg
z3_8pB{gCZO);XU)f1vdHN#Z&7Z`d+5SyIv;`fK^7CA?k7F~eP$qcq{YIT(=?HnG$;
zr%vu;u`TpTfgVr-r{=5k`?UEgPl^AI#ozd`kN+n|7=2=}{e~nrbtX3_T~Xz|p86-}
zTnDLt<Vh%*ZkRdAoo_)#*Bn~zi|1yCsDE-w9Lf6Ysef`x90NX);FfIs(VCkg*PK)0
zOx9~!_{5g?`sb-r;%gH5KyiSx#o8-l$toodIEH$U$aiC)N3*7sp6tSbMP#Czd_v54
zXUS<6t|uuS!6z)6+PG-l)a}7)uRC=9H{7}Sq^?>vXSFe_P1&ss*+3vXPrPF2n)L8U
z8eH7kP|I2#DXI~hsEUW`tdwKjPn2`ZUR*ji4LxCbL2cbEg-X=c*Zu1s`pNH#ilJuR
zJP`IA9GLuBzEadyiyonRbiv8J??I3X?bl*ls2+XAXz3h-nr@a#{d5E*r$vrXJ^G5f
zp{{bOM;9DyDyMpM!Q1qdtCFZ)aN#ja8mf5TF5JT@8fr&AxnA<W&DYi9&``ec{yi<i
ziVnAZdxdXf9bei1#y5SRC^Z7BA~Br(q9d>>hEy(zBCTTZI8LI);MGtqhgIzn&kaJg
zfNEwshoG*NRaWhA9`^dtZE6+ZO5g@-n|Vcb6iE;LfP`9hBx&c1BnC?>W*P_YTv2+G
z0sq1bZW=};YK%3|&vTk#M0nK+{o>KUw;Ab-%?mzvjO^^W<HzQ?(?Cz2JAS<Nb2kv^
zwKMFs44dtRFcya2ue@d9=(93;j)A##owdbXN#K@GSe(Ih!rTsv2AmY?%5na1{*l|r
z`-_j5_UCr&FXVW!i8vE+ZY&3s)Cdf#0ZIV}c2=N?Knah0I-uZ?()mjyl>)6Mvg+Wj
z&YVMlxdC;ceOPR&Kpz5CpeNha50-yUr93VUhJx10CcZ@!?3}>&@hvc0zKJl9ezL#w
zGDpCL83sy3tis%s=eVpa(+x_@S2-F5&Q$te!<TtHaZlg!6~FQqj~_D<<i!wTL`ZEE
zQw4cQ<cYgOI8z;PXc5!gE%3V<032|p&S;_><Z+ISrOGinu?k^yUZSs<<}7um70Y|=
z(co#PRssgFGeRc^d57H=*MK){e?Y|596ASi$0g?WsNfo7lyaDbWN%H|N@TF2nY!GW
zw9e&n4l5#$1ozZM9_1i!zb0`HCD%St(iXWlYRl+cxR2ruJ0*)L+59Zwab7WJ?)-Qb
zQ?vQyU%mbr({Zq5$iCtv7oNOtV?a;l+t0W#q#Qtc>#bGX{TH@mevyRxgOmi))cC*l
zk;!<m#c>VhV$C4*j6F)(3$W7CE9aWP94e7iI(mAt7dxDuJg{s5=}4wWdjZtD&e+@|
zt>3=THHRxGT=gY5o{U#7j;<P5->U^)`JGB)^i&HIR0NhwqEZDvjn6iwJv8r&PKR;H
z?UF!wN-j?t?4+Y7&9gXS_NihIQG=^_pr^kD@R%HhSKb2r+l_`pnG5z1OfL4ZnGsd~
zby}l^M+^S<x>&)#=ife=_%})*vUvNouK~N35wnNGpw#)vVbJtva(L!ce)dp04%eK@
zzg#L`vh(PvFO#B>n@8^6H;G+GRi;de>TIS&{r#<|QTOm3txMPS5&JlBDtx1E%#)&C
zTs-%}i_gCN?29p+smIY~Ue9$}2zDC``sK=keRm~68hK9?g4#XCb-TVcCq;enpZQB4
zn$U9(01FeL-CoLu1QgW|IMY*l?$_)#U~2VJiO`{DQ)&o{7YSCnzS)$1mJ1H{n&w34
zZCXuf$JLo|7k-u7>~kjSl6feH8<fL8QlO&w=m>liun3?c-aq`(rEIUj#pIl5cStxV
z@V{5tn?YnY6VbWN`*7>I|Dm7yy!XCwyts}2Evgu#9#Fil1N#(xpx^62g#bY`G_@Ik
zs<@3NV(H@7*%<YTIqy-bH~X!ElXBBl3J;&am^`NOfp<bjbsHk3=<o@oW*BuLH^1H+
zD`vz}DL8y08pC=<mgKw#h}JEefh>A^)m7f(gtU=Bt&nr+P8Z2}56%(PC=xiYP8V0s
zdz_A2aK|$}gK~I%;iD0ssLNNnxUDDAN#J|g=mN=mSC;KxD9^dLeO~QhN^v`~%i8dz
zNem(XLKt1r$r@GrW)*C_8tdTy*&BcDFa52gNin%<`IOhejSUN=1eiqh6v`V1q^6ki
zITmg-b^lzD$Z2FVAqanwd7LaLnpD<D@V4C&j|%q>%`1vBwKb5w6HRJ@F^2s}M&f!!
z(WJg2w3#5s51S1#S+$^OQWFHlh|x@-cu2jM&rvj~uejQRk_jpb>284uWkJ!TzT$>+
zH=&!sE-%dYSx_~pOKuU-isWG_Q#&e2(WEYkX&sU`JOb^e@YeW&)HgCo40C6$nHb0&
zkO1}d2&~!f&K{6@IZv!9L*=WZSGVW5=2&;&0{2Ulgqt<<{ej(LWWZ}*B8AsTRySw~
z@UQ%w7!FO1A4eb6_{B^03}3<O=IowlxO>XA>S0gss#W=2F2I_?x8iLs-9}O_KB;uy
z@KIg*VDN&gLq10AZ|OZxZudCQGITT?Xk>F&RXI)*!Qnu&uQk^{fg~Rp98Y^4^*c}z
z)N|O+9U_W)Yp!3io_tQ9EYp`cVxS^Ehj#<@>U=r+^SA?jADeg}Zvb%8w=hx`)B0>D
z*bOEqT+NOwPtn}QOMuh=^Bw=>@A`qnpeyFAr9=q)C-U!Y#iMqS9sjvob;toK7a2aH
z)ey;OGvp#W{u3i0TlX`&$T9j6u3}vqc=Bzvi|qJM%q40MUAf2w|H%YnbB@_X4*Vy&
zZd$?0MMiB&@t+{M?-V+(!GE&m*&Mv0M1a~h{O1Pb2$kfh!c_I+<&bMK3#y4iYwGr^
z5&zkv9%mQ%!^1_MIq{zbtL}@>U%m6~Pe1dn2QR<yMK8&SU}@gJr=y%bd)_0(r1lgJ
zMzuy(*!76?Uazrht<lU_@Zj28v|3KNMXMz(`d9q&k9^;+oD-^nn<#tP1EE^$;#aZ2
zqYc&SNWd(*2SKOR0nP-2i%W%S7~w2|4MH_Z^+gckU>`g70Xz-@20^#45E50ARj9V!
zus4Rm639K9pS%jy$c7{_D#5UAcwC2SXb6@oN2rF-yS|N*&G4WOFsH4CY6l{t8p$eD
zL!M8s2w0TPdFD_J&8&O<mB2b&hm@n9$DN025;Ljm;*%KF`|0aIi2y4;sWd{ha_SEc
zx%m>IS~>>4ie2SX6RNp#^uI{g&7s-_Lp9VoQkDk!IsCe(l%K0N8P}nIy7c@_2)tMu
zo)~yhGzVVOE$O7Nv9+YM0#|SRm1qBavI4zv9Z<kJMwX?IHn^(eI;XlDD}5BII~fap
zeOy<l?l3~J17pi^T~OWi)JSq%H(1fgab2OhL$`USMv~(?=s~5rI{=r~{ycSDSE%kl
zb6dw?uot=X5ma}51a@`l!>R7T3DAM><+~G9cW8NNBU-fr>XCsi!>R6$V6cM~$OWei
zT|_a=sqPT`*VjI(+f`F`qe|7j)-><U6GfYP!+dF%chU&mZF*8JY2lk`dY)4LuTkX9
zrs;Lp<LzJQ^_lAKycFJJMRhm965Jx_5mo&+u0HXFNWmQh``{@!-CBV}X3at<0m2Tv
zwXaYKp)zp6qt*^s&R$yMa0t?2FM{Z!f<FquCCENR7la@kLKi%(K{7_c9l*h=h(eI6
z+66JAg5Y4BBYFuGnjC^u)h>7fdk6~TsOn-;h9=BHkgD1R;k}ZThp=}LeKhAtRb2%4
zsHLkQ8{VkcVntEaE(riV%l%w(ZC%|-lB(Jz%gjpHG*kaBd1DnAy2<sQD$AZ)M5AU@
z4lHB#p=}8R$EPaFsv42i7(X|Q%H|XqUHYi|HyW=et2Wb%omVuGpe|}CYO|y0ASIRa
zol|>SN2&rH=X6e$U7LqN66~_6vT3)db@1m@*=15?_kQe?f9<ow@+zRyQ4J37402Bt
z#?`dgS7v!#undyI<Oi!4g&-}j3nK0?P%Cg<Y9~XGme&OfJ`6dV&Kzc1UKfnvEdbWG
zETFW!E*LDJI9oOPacEjz7c9Md<O^s8X?cqvI-6jk34J*s3rEWvD~jbUl3SQukvy%D
zi^`Id?P;=~L-<!USA!)gGo$Uy6bz#<GivFaIN}w)n_?@c$b=m>W9k+Bp73G4SXBji
zDOqI*ti*y*!3Q%oAg@vKCx*7FphKYQz?JP}eVRNJA+=Qpw3NtG2XRv}SBOD6zEtGX
z32R4LoUm-i@zF+BLwJ#!Ifv<6a;dSLCQQSa3J>`C#?+qT*_f8n(LKy)e0kwTqk3SY
zTFKI8(Nj?(Y4nAS>9kuk8&fI#*>695i_WP#{m`HK(0e{{I#muaz?BWqFxHJl8Q*v~
zEiL<HU%~2TV_G<M`mAm?rn@cL(4|U*M4|?rv0^r+LB7*to-1RzL^Cc8+7Ts_cExN=
z4V_5-JO^{cp|dfyWT)UrJHAF`OpmBcNeF#7oH~YFGLHUBBEd@FAI@?I3}Ew<i>@q^
z%IOJ7@EK_yF-}v|Xpw|A*P|a9O=nYX&C)J;K+-@!;W$l9&&-mOjlLS>K&R+-PaZLB
z_0%L{@dE6_-A2{ddI3Elb!*hcNGGEzNwZ{>J60GtH~`y%FmlWaw>Usp;cEVo_HZXF
zeqkJ-cpc$I5C>QhMk@R|9iK0IGW&d`)25UP!V3_V7=>qZLXr&M@`wGtwm_c?-nQLm
z4`KEYm_m8!g{$YESuAyciFi9T@W0zXO3&oAJWJ!#cHj1aZ~2&@p^@UIhuaQRh$aHS
zzZq_4K!rp)hP;U00N@@OPG-T3Oo`rr1JD|cAeDw6dRKY_)+EcTSCp8^4h<d(2b?gH
zuLVWX_&Ju|0Dvx92#eGteZ>Hrtd?!-gw!NWFz_bi>uC<HHAz1<DD(}(auQc7|2|ri
zG)YVFb57=7hRIfvQj;{veZiX`Io`pB)OW}6Ci}Hatd2ED?LI?dwa29D$Xf}<50)8+
zq!y~09C`Z!UN=-$8nL)pEU#XT$%4FB53iH27)l}U9Hn;XhZR=jfulvj==Xi%u|}8x
z)3Xi2_B9z%*hzDeT6X-*aKzHXy(o;kENZupqGkm2CNGYdMgX@KO*b3PWUZfWbu(OY
zjW}9MNc&Ffi)IN-5}6-1^1!vkT;3LMrWkvZ+-*Rosgn5E99?}DU-Pg>O^9nQmqr3_
zZ!$sz<)Sf3RGSF9hX1`Hn!LUu^<zx(w8E@nkz8WbLMxdb@I}yH!o6-gZ**FtAKfQL
z=q+fDp6}64)dgNeu<zH`KK-Q;ew*@Gk2Blkr(2#}?BXvCKR%42PoHS@(m;OjrNQ^r
zOT%pgO4*^Smj={_2C?dURpQ_5r5T_xRF5uslhVhLy<ySD;Z1@{t{$HXTD>X>rA&0y
z4%y!XeZ2Hqu}_YC>1XrJgLV&3c4>SkZ(!yA>IBeq{M!8mD-AQTu|H@9t0dqE4WKy0
z;Xb#lfJ#T7e!rI0Puca-@j={Dg%85bm*N%Kjst=|{&sxxGSxJWPrmu;`avC2B|&97
z9Ute5BzO7!Kt~UznRLO*CKJEEY{&li!SdsvShNy;=&$9QASz700H_E-L3s?Q8aBb8
z=+Ov)Y}*V~yN|_o7&TI`Ym~sH`Re>WZB}@OE=|oXsSLXdcHLZX<%y$9Z$<uJz20dI
zE8O+DXVb2NOqWb#NoCck$ag<~^)lS*r(cws5w-&SK9s?f-AZz^+KU${MbcO``TN=9
zFOp@UN>DDO3$5?|h;dgKBY2IV`-_dql@so}D=GZouRQbK5S;TLe*MRP_tylDQe%9N
zSxS<t5P(Br&~E1%7@Y<e1dN5vt!TnU3bM@@=B(xcNl!TM<h@ZZD6dFO?<<x$@0ciH
zC?5L?PEgKjf<A|=g;){(l>+)gu+D0-bq~hp1Z+-Q(4%H4hu%nYHpqPeG@`GR&#}sC
zf-b`ff0)M82sT;GBYWA9{{+)tTN!P#np-w0(?oVts&!Tqy>{wQ9+q9g=zMn$ORyWN
zL%HqTM!{tGrDKjG{9PV>xie^x|CpnWnnnkiSQ=f*;>+ka7S)vK#-Ks^Wg*sthZa6w
zao5~gQ{h(%EYxw#Tw%3EPGBSFwB~Cxty%dQb>_>h6m5)alQ3$Nn#HJ^|FtiB!x#U_
zP|X_qxoY;DPNb7YI4L5X)I&9qLhdJ!Okvmw+++{cM2hCX#gnKe+E}tmCvBj4q%s8(
zhdS)58=X$5wwT~bu$?*DPcGyWyA5W+h#n>TPZP%GbW*hNfRmy<bd^qGp+}F6lWo&&
zRXPb}Hf>4*=YtHd$Y)tKI>ETnFtBlJ-{IJ(8=cTOEsPj!p6Z-uzCB=uMcR<D{$VRn
z{5blkY7UK>(oPjKtSRR{iZ#)>5jnf2?jt}}QIDKM_xB-8RMw;BB#WuAs^%@tR8Ick
z;kjO%XGbl&I-aXdB*6v7CBnUnR+~^>O0T<299yLUWJsyqY<9clm)yGcx7l{!ol_OF
zY0w)e=3n<&f9&^sb-?PBfXo5yMn{HL6m}O<jovw@1W36#Qw%uu9_}-_Q`RnX+zyaW
zNS@yW2gxTyG5d<3aTjM4fPu~cFj34VxI?+z1VI}qK64PcsbV(Cph$zZRi8OY=H8<+
zR2+nN=hA1Zsu}O;QFXNz=TsC9)sp`g8YuMGLmQl}2SE0)=&>tTkh<n|iN$8o&Oy0e
zpG^E4C5CeD^SEr}-OnoiPr<XN;=9;yeVm~k1?}$Xt_j+T8qP;5jA*NlGMusQcZYR1
ztu%6r7!*{!vUhZgQufPpU)P*zH+RMzjP_YSLO~a+JeYrEZnESCt0dzT1m!vKys!O@
zU-_$_|LdaoF0WhHC{N`=9U>%6uk$d^s6<O{#`a5N58WXYX$)RA%BVb(JP-|B?1^gx
zvr!&oF3m=HfVgxtWN^D!%ue}`EunrM#G|{7^uK2Gdb_&xpgtgMjAPDM^uJ;F`aV$#
z#?T+%p4ecy$b}^YbbzO)!idI#Q5ZquY(?awSHez2cV~HdTq^loIq77G&|6j&a<q1x
z_UFSAg&35f;cUm@&qcGNIK3iiqMtsz^<e@%sb;6+b2L!e6o;(TX7FPHl^3;}M{MpU
zEKdbC(V9{;t7;}?KKL^q@!x!Apn$c%$<>T?J0a(@0$&Jy|DM)UW@KFujUq}D*VlT=
z=f(w*fF}<o2$J#EtGjGpAq`G7<AT7zlEk^cN0m>G3&H`>Wbl5wx0yJxBGrrwT9CkI
zRxrVfJ_<pq85cBy0t)T`hG4!t1nK)1L9hu(27bRm(!LQC-`^$o>!aqjK^S9*-B?my
zM3*%F7q-1_j3XdP65~@OPnfQu4YH(jtxr=NJC`KD2uT@U(6ZA(EctSm+-=sxAWI*w
zu;k=R7nn+s#7`s0Vm94sDaF2;Ht0%Jn(D`!Wz}_G4D@M~qyD=ED^!y959jp@$xa0W
z5hr_vY>w+^|J$5E5}w?LJ2MYlka^(Ef@ngDmX)auMh0U0Mu{7Hpu<J%O?l6;%Je>!
zi?<R@yG5-5ocnSw)0g|bzxWS-*Jnhmf>#dxv|icKEg)JjLqJ&4FsMbwP=c3N1eY-R
zbRt}k)(Pem=p$55wCEm!bRt|Z7=%cH4a=8Tq!ZzSJBQZpP+Hb%M65_Bq6qHD$_)#N
zY^PbX5Tp~~f?&lyipd8e%IYvKI2wY`UW9RIi^=j$5pmm6{vnLaWei-b7oYM$dk!3e
z@`)0I*|^gpXX@eQU_wleC+Kh|U>RJN%7I5bP|YLOI#Y@t`0ONyy&Winc&7s5DatnO
z&nG4A-`Ze=Lluy$ZQPOVv_H<bYX3#W{G4uFI+kfQ`EerrfRV{qvI+5lWZUf7JlBFv
zSYE=9Y0J0Tm*K}ReBE#OidR5$psk+0g$O?|rbIgj{PorF!?pmv@zTSALquy8lQqZT
zu*3)j#wkmkOPh63)*OKBBbU!Z1BYBIt-I13Q{HdYF2=RU9qb?6eeN%CPFXqBN258q
zsf$^2%$nnUf<Emg0PH{$zb?M>Q$Oc*Kl+g+KZ+L>!EZ@i$QD?*O7;Mpd+JK4Ae|FQ
zT$teTh|Gm$IE*nr1SN4{g5b<hU;}*$1<{3|Brg2W+HB0CW#RSGuMFsCyD?QoR#v7$
zbQB6=?II|N3tJHoHUj;a3UOkS5R}A)9~#`Y66f#0vZWP97aUbF`kFUrH~B~4D)h^1
z0B`x-k9WMd2m@bVR7TIWj<`*;;CWE4Hcyr!B%G6@gVROsBsppi=egd=9Tl4BKwUY4
z-5Ng215{-Kx`ih0ThQ-Tb1M4|g5V!Bs*Y*5sP*vX`1&&O_2+-zzxb_R9ZC9r<m3r-
zjsVi3wQzkB9C!ff)Ydt~GNySU9o%-qN^wVlN~i^LgbUODFetPmc}3bfUvamlErj4<
z1^SOhkX~{T)cBg^rBkO>k+!Y~I@9qHIQX`4Rce8Ljz09|0HVkiXea<36WlF9p-VH1
zrrA#ap8|ADa*u94v9m~*cV}NoJ;)>hepgCPfZ8#1vHpQ7<m7R=rGJ47M{1o(`utOB
zMC)s!{TW9`35yktRx;lnTnJc9xaPjwC!Kpq5p6GVTev3RnC%_Uy`(546*75Dq->9#
z@6ZtdTq(=|wz;jZ=w?nv@dDI>H^@zOJshMtLN%<yeL$tgF_BG`zGGT>w*oyb2@04w
zVS>)s5h4&_$VwGYq`9k%3BZw6hDEj`$DpGRV}DK*t1>1d%pILxO#p(bjEVFnrVLNh
ztGLGb=8Orzmv}u=h6n=n`ExtYzwuGD=eVRy2!*;y%EUC$?36sd>>$%_(d?8=ugjbR
zQ2Nu~`)B|C&lzjy2w&r{lAg0{_e3q3**mS*NW{^5LHWKl_}S`V05OTQ;A^ZmoxX<b
zlwfQoa7i$apdILRX=JAa<KTSG=epBVkxkwXmRY;qc3{V9jAM36K$necpk;<b)cOV4
zDZx}Z#{MwOComl}D^^YkCU@$T7tD+k<Usx*6~be`q&Z{su>jR>*R0q<mY6LnzYw}0
z+uyu)N_=c7rdeC<#$LYLR{0yNUqi0c6Ug0i_AlN_(O2FdHSx?un9Y9#*-SU=?O)^?
z+RB7f8j_vsAfp;jfXJ+KqILBg!mzXcT|vK;bR$Y3vK@w%O%)kRMN@i~V<DxJI6fVV
z3QDH58|nCb(c}2y!=_DnX^UaUuLU>d22d=0PtG;$Q)?kNGfJ$5{K2pPxToIu(Ium)
z3wagQmPI!ks-mhVF(ImXyR$+~nwP`d>xd&O)Sz1$>5l_u3EjY=c{$y_UNI}wM7M+(
zrV*?ZYN1=|8O2;H)TK@;K$BQUr)7l-FwzlG2^A`Mkefp{3Uz3_R~6+Aj`zw6wbYr>
zN!LAkzB@tTv|lx*WMcI6;9-@dec*NE&~7AL%3D3Ul_X1sSWSFOsvIBGogUcKUXSbb
z>w||?5QWXu9YVgPJaH@WFXhxQKldFW{*4kt^=2cq^!E@n*T4qgiEtoYWjK?=u)v%l
znnOsU=ci+g(*vFvmoOTmeag}kz5xFgS}OU@U@}~r84-MvpJy;Gfn&UVu_eTD$zWVs
zqXE7-x<)^y;(&@Fixc^YmeN3!uU(bDOTuB%48exAgZkZW7?(ibrcMJPoQ-69#=*EG
zgl2db0sQEkXHr3nU~vM|di#57^l9grSe)42nvXv1y#Ym0es8d8u*34Efa55=H`wZV
zZ}6}F-tgnYgy+DDIq|^Fk@p57`Mu$0dT+3P_1<vXD41^a-pr&+;Ze+_ON(u0o}WpV
z_Dd9zxAnZgA$lJcc-+$;qW7ufDpzu7b*3(+te)lQN$&rxH+=a|1RhTtxx6-RZC!x4
zP3;{5tDyK)7*=P1d0PXOY*FLZ5=4eetrv_A6O^!bgI?mXVS=cli%A|CCJ5$lvIwAv
zen5x{yO0Ovi6?32Xydkkfk&@`A_C%K#FO;?rrnC!bqK;O?zHI&EXyT_I-xW)H_3rk
zsA<*<wo4=6>&dnC`!gGQ)#Jk?DmN**3L1Lt!+Vlb<}&^_T(kJ(-}&G8rtcG_hI^PA
zOrVC+IdqoiFd|8I{p<;?FgibWJykjBF%(}LdIQCGr=ho?7UTRGdRKIO>XXF<%XU~c
z$=;+*`FSFAzTto>ds9LuRpoD|iJ`8`ZAP^=m0b$2neRglc8e~i|N1vS@z1<J7z`8*
z?h4Pa;jiRKz9aBOl4(PP2n$p9=rFSIa~z!A$H2k`2WR(D;kjVyivrrZIW!es5ft<g
z6<$vRm4wbNxj#t`0?C(^^AgxsFbGu_lO;k$(&i2%$*PM9?z|x~50@I=r@AX(OQL#I
z)!l@o%XOLmy-t()_xyWP89ntH#pIqq`xlwTrezbUu@TI3+hhs-T9w>1G!6A4+o4aO
zj-U=hJwcm})F|t&N@fCc$q(`ES?Ud$$x6L5(|Jy2Qkvc3a<o_;>Jg(C@ER0?&dOiw
z*I(3m{wAHP9%mfW-EkV2_8GZFbII`0lnkH#j`cryPnc<?VDt>Pb2WnQl0C9WnE~+1
zWKgE5WPZs7fw^IUkHdG?opC;Nc#5Esu2Ya%u6jjg0A2930%5+ahyi}gSmi_0OuOI?
zTu6f1MTX154f_ffkY?Hit-!}h;1-;5^@=ppMbHBnEZmuPL^JJzI}ozVRUbi4+Mc7B
z=^`m$SNuNMLF$_MYQ#*tBtSt{*hGGfxyO*49G8ftD<-f1gIh+mZY6`}s2)<Usd;{N
z)%E7!<UH&BXo3@am`7B5Cpej{@7-D7X}4&$zK_QG{@b7Z&Hu^0=$O%Rl3QOZE<F(_
z;_VuQcDcZE9f}ypp0?5|=>{#?pklpLd%j0YZCeIP^*8XZI*NFM5qQm^Q~3=bVRrGN
ztcCgZo$(;4`u4;LQMXj|jws=_0Onj@J}T&zSRvM|>Z{K6<sC|HV))^lbdb(feR&V`
zv|@nvoTyhXSpjzNyBGuNUTHaUm99n+W55e?l0^K1iKSgL)!uL$w~CTN;-P~;lIX{8
zIA<p6c^+31qE|=H_h-KZl1h#a@2I`w4T^ZlafAFhyBICayxGIC!?UA4+!mfxbw%fS
z9_lxAYIzqy5t~mE+0nkJUWHJ|vkz0G!33+)hme+kxH@>|72ot7jh@tS#<WRbGC~Hn
zW?-CP1NK40e^yBSnnn?W5jJT7a2_IGfy|kt^+7CxbO?seoS!i8ioR!b9vep3cYW2r
z@=@Oqftq$hyQ%Qr=pb}hE)ByHP1ICIE*OLkD7t7w7lJeuE@(5asNcZU0Br!^cA<!%
zDk_5O?MmPZfJ0eW6@oMsE_mF+s8ACD3u;J>5TvOHL7|R?AgQZF5rrU4MG-^=N$?L4
z>~w_lA#p*BV^C!cDkrm~v7(p?m&8N_=u@5~$gtExCP_k(T=IbYu}H$Qb@(a7RJbIR
zHKIcVe?Vbagz9UKD%KLbsdBn3FO$cB{`WfV=HHi-X#`Diw)5b@rCl^=>|y_uNus!J
zf)VxfVa!R@^DMxlNvhgYJBM|TZy(0ATQrAtk0z}9xNrEtkH2TE55sVCY_C{%22A9@
zA;E^b4I9^nb!{wCg$+Xu8#$~SY}ly6x}k=R9M%msY$S&c4Xy(X8&z00+_6!?h6g(~
zD%h|FzSneY<h!#U?AXY+2OS+G*hC0+e#eHI)(n&Ef+RCUa#LU<G^%QAUo*+wdEn7`
z-Jp^PNTpSIaig><bKGA;k<!=v-5*>2Y-nH2aC)TV^%WGTw2>ZT(mDkwzTYkgj5>L#
z2$^1ECQmNJDAdjcfz`kuYZl26wzPF6YF`&bD?3=Gs0WrSgR$9HMnmI*$E`u_5Y-9>
zJ8D36Nei<mm@q<Qfu^yxK9@^c=yV5_4(m@RB$=9vq4xDd0~V*)52WcNQp$&}N?PD6
zVDQCxb893O>))p;X_eU-fW8|CPF%M{N`Ca?38On?O-7yVn)&X4HH;v6&amr_<P-1L
z*F<(uQ+kL_CFfaPQNBMYNOO2Ii*!qw`dvxpnj;z`@hghtMiQ<eIU3PjuhI1zOOuVy
zuv2lb(*+2AS_w~0gO3T3@XY>c8k=s839pL_Bj{@)JWsOtU*UtTsKyo5l5%9)b`dC;
z4r~S5>>N~{j>-tw43l!_G{mtpKI667pjUCL>)fJr!hC>28M9L#uU$b!GG|wzB1by)
z8x)ZKJfYiVMzbcxcK0l0<}aP3%wkCHR7j2Oes1(PVR=CuPFuc>TnMrLk*7a)`;}vq
zENPe>v@cXk&aG#^E{55Gle`toZe0{p&Y@&!-Sg~qmtqPjS=vKaUUw;`95<q=^2KDY
zI~7w#C|OD|WsH&qPplRxz~72|Uj0K>#T00BHUP5p&}~n;rkH{O6`eKn-HBoffD#?a
ze0z2W@Vuzk4U)F@JabfZIDrdZC6@xK&%b6>Oo;*w*xcHRTvbeo0u83`)m5xf3lX0C
z#8G-?3A};<e?OMU&cEnmewF_#hQL1LB8M*AS4dG|bVJ#P?p}Qbl`sf>pLkYtA*C`B
zGabtdSI<8K+DT!3yLuL6<u&NDpXcqvo+EBrHLEqIGe_KIIO2ZWCw|XA`%DC)dNVu_
zwOdo8JKE;mZ1Se30Siam2)d)<ZD~zoc1TXa=+?zzWQQae-FmPX*&zu+H-yctFO<`O
z!RK}&(4uiOr02_U3&H1xrnvTpY#b8jbHj-A+9;!0qDlk8V^QY;NR0Lus_9s~odkf4
z#w8dv^lDx&m)sMhs*C2n=h3d`s^_`xc&_`MZ`JMW!Jad~`u5Dm@>T}3=LDaFY%GZO
zl6MP41h{VY0g!-0i$L`?l=l0kf8oFX@(D<GPy1m$g~Ay;99AryZ6WvLX)Qtlpm!p*
zQ>XnRtA)JZ5RyHTT8ACtp?bxfRf?Pza*tF``Owt1evT!jg^}mrtf~cb+V22Zx^!|K
z0lRMntF+&W^KY5Z+JnHdS+Pp{q29y6RVa}3CCONH@w22plq4C_e#YbNKlyo@k#Ru@
zUAiAUn9)ci$2;~fk1>+nbzu+WVMel_m(ZJ$><E%hX?SYY5ZoUpy(ajuO{t9JCFfY@
z(Lf$P_S66Rf0Xzww5hMt?u||<0U-lbZAG`}J!Y(9TcBhXCS&kE!OUnaEd-g}D}rSV
z<_0NtF<2o;_s0c|=Yeb#sAx5Uw7xC~Vr`%?WX>Ybfkrh}r1dR=1Y4S*aCT=wTHhjg
z5>v^JYvlQx6={84@KlCY?f{}}1jYKgWDKoDznvO%`>JjC-j!jHh#$2)=aQ4HuiDSx
zx9Hklm3Ty;12^pcR}SC&kALTXo3CY4PqM#(+YN9EMrOnNI2c}gSVy#ar-f{4wQ1V&
zt<hk$>F>Y!mp<$Bg3w17>L@%ALP|*iv<y~8_qWf6-AF?y`tG$KmI`mVYhV3J;T6Hn
z+VI%1Vpe!jmV(yN044;p!aHtQYk|*?Az9Yk8-iKk5rr*;`Dk<z_TK9h-fm06AFg;f
zG}`-iI!E5%hu2ib{nh8+=Jn5C^?WVTmy+qzGOk^E-1~Xh%{d%CVg#dju35dPc1uIe
z`6&&1+#f$3^X@l($489gNHhIxTP?mOfs7UmxEHy;nM<ap?HVH~fMg=iR-1E8IVC8o
zLi`Qp?`FlEKt>`OJt0y8My{d}%n4*viaEA~wc?eyY55#;0(rODqQM0^2Fa8TdNwDJ
z!Ocx&ghU0PQSHUZ^W>R$OQ(>ic84rT{rl7j<Q4iyWKFabcGj#C$SaTth~!E2dcK}2
zfxH8OkJYKSo!_!<4?OMYp-Mfy;qslVUc(`Jt>Z`sbnlEKopGeke$mtKyJno>=7XR6
z`tzEz+p5zw+IIxSKec?9nzOr2%31p&2IL53ZO(4hRo1@X1SaVSbS0Xz+rIFueFqDI
zS^Ewa1S{=3SP`tXZyE8_R}#$Hceo^&?~VbasQY_Lg4sC6h^OPStEaPdEF+!}*LUV;
zRCuBo@nrlum6gbMC`LS?k)k&C7t;OeW8Z{~P4~Qg#j$bTlc@rhh;F(b=#Uwi(VQJ}
zSNN(~guBg{qC3MBeYiDef8PK1!vFq`KzeBRog+Q07Y`jxGBE+@WI)w56=z=|X-8$`
zf`|2ykb1(HiCJd~G6boMTyVGAA0@p2;#_Mv2tleM7sTK=1=M4(j)m?aNK;V+l_CR0
zXh2Uju^56>L@s#13_z*sq1nN*1|djO;ev+LWV`pqz!o7$Q{jT3?A5l29U9_PwS}OV
z3YXj+R_F(3egUM$YIBoh)tn7d4sp~mcGs9Rk|a%quX#9eA`wjAZV4EuIR8m9-yHyB
zF|3eBUxJmhk~~iZmrO+Y;JR1-_LAJcy2_fKP3_5h%!79>5B2Z|_EJy}TklcjMW!v^
zmwWx(FZpX9`mfSh9wGhk+^aDnqph}3pRX{an_4tz#l`oN-Oztql*9?CrAAO(KNH+-
zmdad#1gIoX@`~d5`HFz!3nkGW!^X5K6^9njysQW|4C<0S@Fr>!LQwoZ6NEA*zY>{%
zH-dN1hZfJ=S455$2?rFLwy20z7c;7z!nb;Gl_2LB3$qfxD?F@+4<4F_$bJ03#^+LP
zR%UOc)gSI0i}B#9+j@lK;d@`y|2B)urhn&u`()zZD3$0XTsiq)7-5NA^oLxa0}Uub
zCc)Ml@!s<<u91sLmN{^M^;lC~+(eCBOmeqECzF^C;qR{>Nd+GInkx*vk^7DI3UvX}
zn(66X09}K^C$mA)Ufisi9^b_Q#QyqdtVosFNT%m^VKEM$wx#6E;@YP_M3{<_9wKbD
z4-uxSOnitqu|-{f-b1yH_$TKT>3fK9yhr&E4UB9-|3^iHjFzlnB4_1+iWV>;^X_ye
zoNz10@rgn_YuKz1@u&SkWq(c!oHWctQXivb(E%6BZDd+(Y5`Q<r|78LtQQzk89Sh3
zPJHJRXRK|O_udSFYFMpo#{oCn1ydfS1#h!jK+&cHLZIHC+iCy(0{S-XzgcXm>&Hx+
zdVg-G{c*mz1#q)lY^oN(jaY(o!Q77h@q^_}<q0oE5&gBwCcfRE=WnwGvXC@cr^N<k
zJm1{lTc8aOfK>C_?k29IEVU7J>6=x>b!dVLYqdq^7QyG9eo;X8K79bl?q0bvrl1eW
z#9d0yhi;%#q;rhxb}cX{?)n^?Oy2$Udtb(ic5c{ukSJfCzslb|f0e)Eett<kyOpo1
zy?CO+eHur6;p&B#to0=~zZA)`P$eiAA{Q|EuF%bza##B0$_e-VwA@7?X?jof7EQY=
z+?CgXhk^Hm8<>atYQHOl4?Osk|K%V5TahtP@S0}~96D<)AD|RK0#}_mhtAe$WovRo
z`f|B3_&^9!TltC{Z3rI#f<OhR!x@5f0bCFvHr)!c86e9b&O!)Mf4Si4h~l35A8VPH
zdmUOCqzEkSVMTB+w1{e|Fkf+x_Im*&aOL(KsdHS=dPA75K6Qu`#6@#SxIQF2WlKLM
zW;RzNE}Bc?2V!XtlJM2qv#dx84xl^0^+58l1M?l-DO-dXEV^jE=4owl0}6}F4xK^1
zJ;siTD_R{!ND9EAAhZj}fLMs(M;~?3)G;?a7o0TRp28{TjyC^>V=bR_k-qG&`fKjz
z+m>Z$b+0^Y?~(VNxR&$3=sTG`wp)2@wa0<nFZ~mL8t-EZ{%;U-)|=QDIE3>t8@7Dd
ztP18FUc?RO9!*=G7AcQaqGJDpfAx>N;TMCav%l#tSO`$;Yk?CI-8mWWcFS?0P?{Tz
zLWPpal_7CUO)xMU2PmdSP+~h@alL1n6)UcnGEgC}D2}QLCd>xh!)8VCNd3?Svw_rT
zduYi`_@M){vF@Ni#F_L%Z;{v*n*!Wid+4fah(jyB;;=_LwmHeFY8d#6J<LTbnX87h
zc=*i)gCcA?`4_4%8+$bMYZJ_FzoN0IB=hY7Lyr?mBlxZ)N7Z%2yg8oMXj-+YA>#VN
zX%*;A8)s!6$8bFzO1&?T)@8jbFv;#cvtzZovhYLDfHo$h*`#R7&-5gXXTZjJk+~F^
zBT!Uk3J=(5_U^I+aGvULcT*h<(l%lpTk|#d{a>c<|Nr=zXMX#~M{K9?QZG*!*c7!(
z@K(~wz{FYAAz;^Z0bKC7Mb``s_9!%bk3r!g2$nYuU1CML0A)p>U9>8CJmMS}xr88H
z02d6WqNj6(E`SSK%PKBr1R8!gZ4_*d?pn)h$D!#0_=*RNeUkVFJfK2tl+RII0GHeY
zXM@-d6_2&g!i^=x1t^j{s7b;Hs;^sOJD1$a#3#gd7euhD$y`~qwN7{yx7#A|(0SV)
z!e|%kS<$+Q-Q-vKzs8teR7Ov|?wm36yy`L2GfVg5z|w8c@`w#!S}lh#lPAMYTfQ|h
zjF7(b8^8AJ-ZH8l6=gw<GTbUy#<K!2Zr$>BYDmM-1^0IveJ)H?;hl!B-gW?H^;qV(
z0z1;_Da`6|w;`fMw8Tl+L9&j@>Jh;&!UG6Gnb{<{I7dcEevU}`u&0oMYs<QYU{;Tq
z4o4LZ!5xzKttvydv@8i%fD|Sy2;(eTNrGkk;K0Z*nt|ko<NgNJ;~pJDh>$3`L8`8i
z%*Lzmh+;}Y)7LZ__ozBFS`?}}(P{dx-5wy-Q5#dQtrBgtqb`DEm3?Suy&wqE^)P=s
zcFpRxoKJi$pQgy@(zQDEY*hPqW<|LyE6ROVSMlK9)g;-f08?|8d6J4c(qF<6ZQZ9%
zfB9QJ{R62<NZ;nJw|VK#YeEj8SKyZR=-pbKuE;4uO+KPdLHei)x~E0^8g<&$l3AS|
zw=zKnS`znLix}6aQ&de9u!H=6D_D7(J50GzbxJPfR`q3ddR%Xn92jG6x{8+xCs({s
zjda{`n%JYWW~ELyYa$=1c(pStTO4T;@-;8n_g!?IYRkrTRc+R%cvT$C#5dKEPDsTQ
z(8WBxbk(1Fx~=x@ac1Y7)8mkHvd4NvJ-!0Y?C<-6ANr1@eYI}Tt>gOqWS~Ua0wCXS
zD>oqA6tY^a<7#AXUzs!Of*3Ts7l=5@lroqx7ays8T@bz4bUl&G-fd7xpsN-u<~lCG
z>BKBb!Pn+kg&?(WSrKYn)HJ4P889vca~<~t5TxYM!TV%>bO_dU+$|ICq>y)9We8Sv
z+#~wQ#Xm+l9E?`=)iib7EwU<D^N3%lz3!@x3#Kcp4TF!rEwWd2T=cN28_z0odmAgV
zd7wEpGQgZ?0Ppj8PIh}$uSRuNx10PyqncZ{SNF-;e^JG%OJ6DXH>?5AK6T~v_`b9D
zeH^r}y^W7b`%YWF&-eYzr~moCGXX`Dv~Q~lYVC_ynJRD;MKe|V4l32GebY>k5fn|C
z2?9iTty!LYTh_ii;CsZS<=<9WW$kO@lh_@K_RmUJ0ms5rg54kZs~j3aor+eSLG6p&
zEeMQ6l0RwIf5xy{)<l22;#dGW?BH#xy8{*_(ft_p(ZLT@+Lu*i@u!a{BX^KF**bze
zo(-?OB4D1Y^SrU1P8znGrI0VPmm112?bm{x1M1vgomG8qC>pMA|KI@Wn>)*KdX293
z^snpe8AbC}juNSGzayhR8r<`YqTy@1d}_%Y@elv-=Y8W<plH<nzc#6{LvFW?n{(J4
zbaETEU{W1fK+(w&gQrQ78q1A=8AGs2YLr|BM};>l<{WX*$)TO3O~2-(#=g+WVMwiZ
zXT+g%Qe$7}<e;<EoP}VOBQA7u8$d6cbF7jYK_`cqd+pU!CA3H@><<<t_`z?dFsWXO
za#XkVRZJ)6TG9VPJI-`+uVOm68M|_K*cH<!Bf&-+4b0e;%V1aD_s9Rlr@e99@EUdn
z#3kmmyA^0?4GYrbzzKx|h8Mi#5-^}#X^EX!u`;|{WZ>zsqgrXrmLZr8ucgwEDqk~a
zULU$Lyhza?0ujMZxi=eLOslu5=bEgJ^@`c>?syTpBHOHL!OHLk&IFypwTYf=P8+LP
z<3dX?(69-FUte~osQ;%1xW5Wof(`o+wbN$N-j(}g^k{WGw2d#4C0#F8x!n(;-wMGi
z#!uo0WV@e2bfaAk6~9&P&x1pQ2F<(!pBvmV-mC2zwwl`sHhElBGYK(uVYs1FJo(sP
zXBb9*AaR#iKTa3|)$wIJ?T_<i9+S@TVsr1UXed3aKUA`vv7G)qfda+ALKN}tsvbI9
z(%F(e|L$k4ozL0=Y0RZ>v%KY1H%GUrkULmkpnil_E&INEs4<h@m8Qw6`|`!BcfS2y
z(d_x+^Voj+nRi83r<~$F74s!}q}Za!5cY{T{JEd{v=~RJ39subL+s2GQ(>7gM5a~t
z%PT`r8%;$)VNRY<E0rM#8t-qcm~&r|o5WPc_R!RTzGCU{L*!|c!?9wn3`K|E&g<AA
zm~)dDDQv|VpkUjSW6n)RZDzZ%x_qqI<R+~)(|6fbWvJ9<;E{~wCQ%WR?BJF(9kk==
z=+)f;d64Eick5jz<5+e00nUotBs%;+_|g9AGS9~jf&LscjqvE8CTe;XC;J9!S)+y|
z)>rNJEpn5(0H9H~a~JgFkejp*=R&Q*mEd^{*OBH9zYFFj@58|XMZ@_%gFV`zsw*|`
zc&JVlfA$&f&S#i*i)eH!)bJhMB6Spm4?sU=yI(y_uTG!gGk);B-}k07qVKV^H{o%F
z=#8em0g~{vcbosMqVJ9IRWbT*BikH(qbUO{dJL+2ve{MieL%2mf}L!3j=qiO2p~CN
z;M!z=j=m3TK=f!g_Ztue4o2VT9o3`*lG(N7?JO+qQHg%lx{W2XlV$Gfp$}UZpWOQT
z-}ojz`FH*|zKKtIf_3=q&U6nTZVtca@Vf>wcXcgIcp>63_7{JobaJx?Q2qNBCLRm(
z%D#itFV~aqOo{D4_cI~3gVdvibkB+G%OtX2|MUO(>Nk&v-!N1(e3<>v2}G*^FNfc4
z#G2!~La>84w8Kf0!|&Y|j06JA1lz5qej~Wd;de0c>`%t%7=k(c#`safb4X=|Zkr}t
z&Ea>U<OQox2NRmZZ&3T{+)yy~3`2tgn29uHCseM+ffjZjG{AO3Wy0d^>hKrk1-YKX
z2BR?s!tX-w!{EQOW^;Rt-UpOCb@+W_ldtykvcOE*YgyNaHtRtg{$pE4qT|Nh|AuLg
zPyU_%jc@usQ7Q%0Z8Ba`<aJJ6a^xwety}|<Q|~0fK{|L=5*(z2Rn{L#a1vyftUp)_
z>m)k2BkN6Y4&Mw<qLXy7BKd<ry+60p{*v@h`y*|B4oPBLQ{anRsPsUYliql+@}XjJ
z2+AB54CZkArRQI`dLWL6lEJ+ue1tjNzIw+4B<v&0eoxZ(?jF`(O>yJE4>-Y%(-i%W
z{p!E@JAOK5_$eW^r|6BInz|32tMCz!h7~%X%*Ib2p$KZL#rlaI8YE}~!D~e-&8L=z
zGIK$U>?8>v)X!;SK0*l6M{q$@w%2Gj6E^;(TJ#X4#&AKjxgper=|`)X@kxasRgDYo
z(E2PZ?%;ngiq7XqAE5}U!-G0IGW0Z*S&%-03j&3#TutEjM@vdAC@FfEJfH<d7%xDV
zCp{~9NlDSW<PKC9@&f@9EX^AsIclY8y3{;2X>WcZwyyfXjo9UkPKiFVsTLbJT>H>w
z$nPfCJtNJ|a}A^*9+qC9<i#}K$0i(y^K;$yET5a}o*G<ETfWWHvM%}Z2fqK${lF-B
zK8Ahb4J0sNgghU<HzFu#o-y)#v|)*g8Y9mKxL@0V_oz#1RxYT|sq}2?3CwY(Vn(75
z^Q|;K2to0$luR9Jc7<dm1<|ss-3TE_rROU`JCf29S<CHqr%|2@PS-o2uTMN}7a-49
zWdgqQMxBr+-->7acy9U3HJ|_OQ)uyTluG1mo{;gsuy8aA_G^KZp5qMo_>7Y0`zXaJ
z<oSGnV39`2^F3?@V9N^DejAOM)z5RkK4_E79)uPegeOUf2#k;)<`B`UB(%wQ%V0D~
z(rA}8@xmCMY$KG7Avygax;S~hn#AryM4o)Fz~0qEG?V9twPC0SSV6?4w`(F<&*b@!
zo;+Vv!mg0#+vZ!|ycHauDvW#gu|=kR*#wt9H_>+Do1oT*$|xwjnM;4>(tqx`DP<L_
zrf3dCt1KVxT>78&rQh_SuZ~nd3d#sCJ&e~ar1B6+!YpIl)A}UM`<bhkVY~|(={^L(
z%0h233yMo%EXCpE9J+$y5tv{MYF&ZhpjlBoS{Fp%E6(&0)Aux%wzGnNB_6FG8cs6$
zR?(@9NmH6?2tm;-CTMd_$z@D(o%&+LWAj6=mw-RRyFwqV^!DbfmN4Fb9}E!!gE7kt
zJLs=wNeSbNB+?w3z~Aclf@)34*ZOI0IGbD61hrVZX1+UCyTFyBcef*%Zx4EvNqmO$
z1g{yxgX-w{{_K|rq+vvIEx`UtvbsUI(GtYKvFtEdYs%Yy&(#X2$KMkJ2kKvG)C*LH
z--yq2!^rs~Q(^GE8&OB<l6~|K;qS*MmF`PwgiL%=X^=nLhbCsZ!k||9=IW5+mDu0n
z438^%@CPzZDLG6?<PR4T1mGS!5WH6P3#{e&N~H>JClBqm<B^1BIgZatL^a+==<-wh
zfo!Ma<9u`F10EwD<y`rY7e1Xow_|^_3*=30HYkn<HWA94pWd){{M_`$9pS`g`~fKC
zrrn}8MKt3NE`vY#58nNUe))5P<y3D*>y(7Aftj~V@Mp_YnLRYI!SKK-*&aJn8?w~{
zh1?#39PhwEkoAh$=%w)v$Brq>HV>14Ik!i~Az<lx#q5;qV!Q*QoJKG^C78v7k^=4R
zfo8v6F*_wdWzd0uvBxR2u2|)i96gN$w_wvQSve(`?VuAQjwjZ2>Vr$Gl)Eo6G2)=p
zmGjNfE-EwjX=23wSpP($#-0XG%%2*}W(tpd6IOo=MX06~jC;CRACE|w+W4f>cfudi
zLJ8c^15z-L1U?UvAl)L#&dW<%4Es%aPN!Lo4n}`!-D2AEtx;m#;``Un{M6TkLe<K-
zD^$k}ffPv-&j>h-U*5iI`l--@#JUAk%X-}b7g3>>6;}veM4_U{S|f&@d>a+23nmJu
zRR{USd*gy&S!N$GR5Y|!izTB%bwN}=t^5lRb$e(kR2Q^JloU>&PK8d(jY?FgE@-m^
z*i(e*KaHR$)FO$g$dYY8fEKD<vg)VWqnfL%P_Rr|U9m;*lh;gph=rv1d;~_jy>L;e
zesrMn9HzCj8c<rZx;<K`B7+mBALL6PRlTbA`r<78=9(5M{qogGwKt%C+b-!D(IxIu
zimSNY=-ad@u2867iTX6%ySvvj6Ae^Ro(!I#JE?E|Ej~Bqny@_e?ZoB#RP#?Q-+%fC
zANji1;W`QR)JmAMHCbH@RoK0%z5ViNgmh6s6JJ*|=kQz!3}vBjj}{Jjr9)7BT@ySh
zYcp!k@L=1ToZLJYL_STDG5an0+?y4}Y?|P1jS8?9fHwwCjYG=~_7!#NHcHCic2@Nm
zg5v9%AXJ_+OXF&)L!YOgik}$X;5s2!YR@oSyW&7{H~&vGSkL5jPWn>q*5?a0i}tSE
zClmk1<0n|D`+oixKHzeZi(#-Hpn6ED4)x^Yl~nGB$gLnZRDn7b%TdWUuW*fG1$5>v
znISkDoH<+vr6PlfVqm^riH6eo*SQ?A*hvM+%NHt0GGjML?dYR>u9}%sJ9pQmX}V_Q
z7PYE+PVHPKwe#?OpZvj9bZM$*dVM4UD(KePrMWA<u9L~Kd7<^mD_6HLboUT8<VYj}
zj2<3_9EmVTg!~GeXPI}EooB5#`8i?&nQ^azUP3Wh;g9D?gb5L`-H<l~RS4!tq%f}{
zV6g!<E_j|@RD0EzHqmc30s3_F7Y1pv4ZGed$~U(dM-V5|SjV2y2VQ7AH(@RW>6y(%
z(*w~-slbh_nieF5kV+42N};7q0M$}ex@fyRWIGw8rdC5HrgQ8Yn?`ce21_@v->K<i
zm^n$@g>*Xd^MrkrmXhS6^J{+y@1vgtWtTg0(P!Ivcec~+@N7F@e&LH=ditH9V!F$g
zi_iLnAAi#igzZ#ErVSOLkx0u8*foMHP3lB+RfUS%6Z;<eVahpF1ge1m15zRQY_nJ~
zhl)os8;IcQXq>_!m_tQOktWPjqEze62*DgG?lx#Ol#5yVbc+zop(2KIatx4!v&K>!
zf)WUL@QH~+$Xzn{+)5)wAWV^QOCYo-4l4}q6v@NVIG$oj4W?{OFfAd?$29?hZi8+u
zi}=w2JrNVX-)fsn9DTCoR-@T8XsC_tCf!u{tN=HRYJAe=`vO}%zy(Rt2eNGQY|Qh}
z7ZSlI6E5#+m~g>nBom%qW#BW@w?JUln-j8Pl6158WyD-i^pzmQ;c-9gi58~aqB$B?
zV}I!;%CEoob${f00)46ORT~ZO&<811wBvHsAseis;fvShA{y=~w^h+FSdygVg={j&
ziw2@$xFuHc;#9?2Fh|1(ZPC6+paUi!wmE<t4Pz`UsD~MdBiC2g&_-=4!$!4jY&^10
z537Lq!NY21-vdVtdO*y-VJPKO{;KC|k?~^{Y~X{3`v&ia#w2fg<j0Kpn{tFWDM}Cb
zALa7p!bWw;G&58Z>syq|mkZ8sfa3#S6O+O^k|`uy9MG(b=L3?U!)W<6a`|!rgQP>(
z!0Ox?(jyklgAi~#qH@6-zd6|?U5`NF%B7^v;;_POV0o5M?QPRU!Y)wO1YL$s{=vaJ
zSCk6Oi#@6rcq?}Zb8$`8uQdI!lU5~lp&nF^-BtbAVe^8y7_8=x9X~dY9nQ%gJAS<N
zV>i^X)Z{owEHRv;nDMB&2D1?WY9zHb>jhwLDaW&ssz%g6HroX?YRQ7FPYb+1c?Svn
zsvW8W?iYCCWB<)&v8jqa8wvB${@e~16rDSpL*9SKKA(Ji3F^}R+)n%Bd~?xfb6{go
z-d|$9v_H4g{tWP9v%&M~ujLN1^(47UVG|M_PETq{Pg3N#?qjL#Dq(@|W3eq`YZBz6
zG6ok~f=geW-=`*~%A<bA^KCmz(0kHpEj7O)=#&rF$W30Ie%_STvz+iX|JINDv?s^>
z7-)Vl1rocnmmj4<+GIbbI6T15SPH}MLd(~@ArBNS)xJX=oD2YBc`*PGWM@OAte<>a
z_G1bV3Fc~$FzUFmX-D8->~IlhKL*2*0V|@F0-a-Pd$J$HOVpkVoMbDQ4K(I2t+XzF
zkP#8r8)&o;pUTv^rc%)0iw;QHsNn;#;~-%a7_!VUBg=C0TV?}|wxKoGgu+=aPc^=%
zHqa0_a3D6YRYx@ZRBMhJQ}v|Gdn;aC<->LJA{{?1yrtxx^|48+weBaA(o?ZCiEX?)
z0S!D&qHFBWprDG=8*}FFvk<;dI+lg<O2?uS0L>FRQmt+%b)p|DD*}Obhf0e!Mj49k
zZkt3d+Xk#ZPtnt?n>e48fRWP64W*vGrH-#QE45qqCx)V)3?7=(B-3N?i}O)>d{B&|
z+|JhQ&S{eAx9A+MsXz4vZ+zV+jlq|L6cTh4t&Pv_1>(x<QOOxJFqr+w?!_S3xN<L)
z+oK<BoT5vB3IH1rgV+N$P8tYpAOl$A>|P8*jp>`(Gma2_2x?4QP$c1bYt{!a=1#Pn
z;(v6;3MUSeG-pGNOA3V_)O4;aS5u{CEJ9Yh`|5@m_uv=i;>USj#gyVlL}!oKR8Lr*
zw!>enh`}bA8Af2A_|(UI=4i{@X?r4;mz{8187Y^$vZOZbO<8CKLuw6z=&fTck_9D2
zV1m)dkBV>GJ0=As6Wp!$YbhchFi(|O-n^nD4NUNeK{*lz9XS5A5tQ1nuec%$A7cij
zl-vG4adAx$)mb+DBMdocPA?x?@&$fq9i+#WIb^Y$Lu+lgEO{W-8B3z#-TppW8}_rr
z53Ml}0>$<$>y|m>5w%y4<Hy^h=etvA12I!i)NWkR<Y*~HITk8~cC~P+FXgD}_j;<o
zvWRo<M&J^kD3t$;Z{m|`arDB+iiaFZp%-3+^@Q+M>?)tQ+fdGXZkZ!UtIGY2u=uw4
zHhF0yOhV8I?zfWenxOF*&`fN!?!-P5mKTM3^Om`v{J#CK|CIpSsLRuq=3c>+7P3yD
z4224UQtKpFvd=k~`^rA2A&20jL94atn(T8zqoLwK?RQ*(WxUQmWuNo7CB?L)b-LYp
zRZ1&3&@ox8P5>cQlzq;@d|K5q$6I!NLamAj1`}#IBp67jO;)|Cvb@}AuIyFg(o%ng
zf_r$bI~!Vam$hbp+KR&Yzebf7eL5x+`%yA!-yU~%?wGqE2Rd_p=!rXD`&uA3;%|1e
z?+m$}A-5kMgGk@{*8k$?J~g^Cl{whGfI_(q7H`-?u$+UkT%juVl{L;6K?GA01nq!S
z(Xb%|X=YsTc-R1az!kTsh=_#^L55W>i0NcHgav_}aCc;ab$S6VcmP(zj3Eemn-%E=
z6hR#U#`zFCYhI5-(+hAxU|qq~4le*DCZTN4ho%?cg1|{=mI##X2DyzD#S18sN07?W
zU%=Q@e4t~IB<TgXB+d<&E0W%9nk1Qda!Ev8I$rcdqW5-9dI2uEU$WT<Yr+wd+ZfF0
z@ImtJITYgN<<6qsbo6|Gc6*MKku{fye;M{B$$W#rv#d03;E<E9*h(_rA<UIw;7h{#
zjo`sTa?}T*VIK28^!o?`b-Tt8>%ZkV(EjDQ%9Du0x#tl+4I5^&M-j4KCQGt=Z?3h7
zun0dqJlBSP;fBa3iVpiCGYW;zWkOM)j8zx>xE-*GK~^-h>!+6=B{2+}NwA4_6U?r7
z1J4c{?Ik+bahV!6PFub$_b?ClyI=o~fAk6CNQjA}vj!((<+vn@A3YPXa;ai)B32F?
zZ#20Y!z1fxFo%u%EwTj?v98G<Qw!#>ac~${6*dk|<Ep~O!<r+bp@`Y8sTS3o-<D|w
z1sCl(K@{8>E1sc>uu{S#N_+#Zt+}8I2>~Dr7<F)T)JuedEJ$WXRkkSBhYiz;YTJkz
zb-Syxb>}vE?9q@}6E|PWrY>|R&<3xuwtwfzS#Jg1=H(`5S=5+1KvFgciaW8e?57NF
zlziSCQF18L)9=kgnI5y*n$vDkYusi6gv$^heA>VF-~RMZj75}IvO*BH1Ik@2ON0$o
z%(6icAb}!$C{4wNU=^~gffUe)5=1@Cigm<-s**%3Tf|rpjOaq4lD7U6n5d}{C8(^8
z^*Ron;}sA@vo{3sip&A2SIiM57>jr@2>(vry6P*QXC-OOlGW-%2@Ke~{8d@0v5Aj|
zIh+}PD)6_&B?;Kr#3|xArYJ^GjZwh5A>)rdq31>-a|-qDQmE5z(QNP@4S4T&e#Ogw
z^Ydd+q&A#h&swlSa!t%rG}{aI!F%o1>L5u6e25yn5TwDgEo3DzuuQDZ302BwMH;*!
zSSFn9KqAlxG9q@tm~gi14!oklD}q47NoyDbNX@m{SBNzwwc>ODt0hvBFnIeT%y)I@
z8yY;?D~|=^bDg_uOj&F0boVmYs+x^f%$|LS*0E+$*=$$tlZk($bjs89M1Qlnd*#iY
zJ;>*pyQzt{Y0I~&nu)g$e)=C>kE)sA(cHjKs9v}y-<H)(@MvQ4s^+TV6|U4w;n75&
zk9z7MSgD!9qX`0%`dwGQ+ID*)cr<rgn=l_MW;JsvJenvMwK_Aanc&ept*uqnS9}cA
zOlSbpJf7;eWK&UN4^adN;rMhTHPfmW-=29iU(Gz4k6z9EnV<W>Z@LOtn7&7?H-l0m
z$2_6^bCiRLHC77tjEc=_#>%(C!PH^l&1&X=Mm|B2_RW;d-VCr=6y!Ogm$6ZWS<MWR
zHDxt(1WyC58r}>T1X@+d{C?4Jr;QHMh6g7w<X}NUp*3?bosL_2QdkmGB-$kvXKSt$
z_|ug<5k$#1)p4qSt_No6_sSeB>L+WS&`0t~9i(#`2=jvc+$`ET=yfu&A0?7k)q}*E
zM_xY;Bd_h<IM=V5(+GE$MwoVsTHkcen_nhx{$Kvg&;8(k8l%^>h~Y>qD`}v8eBua`
zLNU<CsYJu;D-O;&q2YDGsKEEMALhdPVEZ8rZ&`63VFKcSZeL-(J-6vAR1^IGrFHvM
z$OFj5<NsDZIS*8^xxRm?u0MDPKor0BeH|YK`X&e^zW}quAG*}zKAXN~QbJ>t5^^54
z(#{k>2DonNF&|-(O3(QSixfRfdn3TPwB9O$WP2j5O2r@|*?yNC<eL&E7MI+uPaI$h
zU<h8!7QQ0P2QG=3t$OVk9NX!SKsQaCC!kbD`Mo-AT8a!Andxhu4utUW=)zD^Uy(HA
zxa5AbR~#b%ES(Wapl3`+_a<Yc1v(UKn1C6QyUmW3IWYQQ&T8j8^F3mIQaU-n&egn;
z(^3c^nzHw-;k=S}1^;I68RV>7SHEZYcYn|LF}-Kl*WWXK%<mZ_{XOHy$X5D6OP2G&
zRh8%DvGaf7PsgYHeL2_oy7@#2_~8R&SVYDh6K@9yf&h(6s64PeA%+Ux4w5frG9;k(
zh{g?uZwRzIWEkHD<V$S!@d+AakE$5XAyDtn?I@s;d?^!+kX))_IEO&JKeyBVINv;+
z72Hv0kwFR0FqYFH@o_oZH5r-G&#{$)U;KXC?PALwrgHtK#kOjQgFtouvYigNUu-iO
z)^@YlR%BRPzH8}*$#&ZRxY%YgtnF^Gtzej2iLTQLb35&i^Ue2vdsu9%1o2ja?zBI*
z)Bb#(VpAWlQV-Q%t8C)iox?bH_wM7{owHw&6=j#y-@W_TD#Bnz;ie07Q=a3p@~*1G
zRvDbaZ*}$T(=Un}8N4Y(8<nJ85o{SbaHuRdd3Ad6Q&!J%%tHUepa0pv_ElrWE-+R)
z^Y#%PYE=3bTUft<CrSzd1?ZwX$>p3L+UW#x&?~OJbuU(wx7gAoJ5ls_%~5f}In;-i
z$JI+y>y_dq(Ki6Pr&>_nYZKh>*dfjo4H&gs!JHn7T+V(ez>>J?Q4>=1t9n)qxs#|`
z{pNx!iG?Gv%aB<35pU0q=nt7CX7DcX|DIaTdFV%whfYvOQ9Akv1L`Q)k3#KNZ&o^E
zfW>KAdZ5!wH(_}y8P^b@l_pa|eD;?<^KIW9k+Ys>o3c(M|K3g<8YEZ7jG2ac<DJkH
z#A~eNe72j{v7nPM1hXx8Wu3&fAVHjg$;N!kICR!Y`^~}1<#6xYqFkku$VV$Wsi#t(
zb<%Fj5*yXw)~9Ovq0iMxHGk{F;>N08x+s4u7O#NV*i22nN*kPXB1l|s!!+j_siUEu
zd|OKDFQR`5kwROI%t`&l39OEYxPS*lB+~*ea#DYR5w0Nig>7N8dnf%*m&5LsOX5bN
zrqDiYI&WHE_Qej|9un^zmgxF!44Z)VeNB)GTk&DD?v9E`Pw-;DMWII?qxG`6qB9>O
zgelp$VKajlv3WrQht=|9#Le^=A(rw!ERU9M^%%YV+UICyEJUD>_U#m^NR*x;2iXo+
zy%`mSzz-CKDUcCb;JjQD2q;f*>;R}gBFVG^1ggkIw&VCMjW9#iDsqBAy+60p{<ozO
z#`z+P5s@1r*>fUK>2HhES&2y~0g1$wyRwOI5tf3VbaQ-)6px@FKE);(jgbRGKkAa-
zb~o`ml%+OuAAGa2{3U|9RH)Nk@TC`@zIxB|FFpUl)dTSz7#q<YDKjv11Kf#aUk|6Q
z+x59;so8Cv=bpu`XnlAYD_XeLG(tRomA`xbYFd-TySba62{zJRJW(lu#Sve)df}xP
zuAYBJPD(EAisw}%%R-f)T!>u2<hznXzwR#<foi{8IpMyamb>t*?T6J{)IG*^yYf29
zuDr5W{as;b{rcy=<h`SWJnWqoKt<m`NV>6>PDLA_50dYh^GI#wg5av=^bPRr^mHxK
z32?z9jx5d!NP!H(YF{C$Pg&O|Kyi!)Zz#+IqW?DIOgGk7L>Gh(H~<E%=^9iVsSA=Y
zOuEdEXm-~@$#H1v92Yzwi>%B&z=XAe;-nSHq4AbgXXW60%ZiYPlxWhl-#6g0>a4uY
zgv<V1LG!O0t-f5<$XIoBN;bJ9oVN~1Gdu?uQ@<WP>`kIKIo^E$Ha&!URv~-^a2uD+
z|MjgL|3*n<l-;dr|002~<3#7Ml1dDnti04~l9z!B3YenP4&a=u5{e@Y78*CF{o$8X
z8CWT&qyx%!*kN`z=7i?iMA9;1Gmd{zhBNfFr?(w`0Dj#VL*H(aLwhFVseO&rqPPqE
z2pi?k?67^B+b7jU6F;U-Ky^KU<T~g_X!Y_lS1F_Zea&~^zH+z9zT$-yucdaw20XM&
zPt5wpU;NU~{idLT*47?}fmox@6T6EO@(l9qP%rqQ0a#S_0Pwy@%Hq2ANyA?v3vyUX
z5g-fF3n(idx9r>$L1_}nf{a{T@Km7a^jVPE$b$3&To9+mAxB(szhxdR3yK#|BnQcJ
zm_v2RVe%Y?lP-y@A%}Kw_$M+j?a}F@xny8j4=dx0wXew8KqoCEmz?T}E4l?C&!kk1
z+HZ%9<XnwsYG{|ku9vDcYu4&Bz?%pwo+SI63q4#vd5*4jCr(D2`zu;u&fVNHchlq^
zu!&U8Ylsi$6Taw+-}yg}7epNf4={S0z0JjkfWhyVgKarQb?m{9*5;T~>J}QrM-lh*
z6XxQBwdJtHiY}TaZ(J20PHUYod;rOt##mlcsJs7|susLFCJhUTbgraGrpQaI7@q@;
z$tnfYOm!_*^tBxT>2TnQRC3$=J~z^Cs(w}hYP$-GnWVWqP^xh`uJ8&bC&xBLOE#+T
zQZlhDS4;?aS6%)WangFR$~Y8QmQ{tL(fUE)DB#?$GVlZ8FhYT$z={u2#5s7$S2<tH
zK&=9Ps8UD=q?wQX5f>pYi#UhupZGq2KLT*eaTd}+d4HU56&M0}bWWTmL4BN#{yd)5
zypK&pajDdrUSNbx>D%e7GG3A?H?8tybFQr#BwXe4YR}pCp`%->NW;rhG%wGj)NlFL
zfAzb@@g8M>`fd#GAyYq4TOGxFtdxI#4DS)ORcMB`T{^3`sI8)TsRf2q>aEmPf%j<j
zV1I2D)86Vr+az6-^h=+crQXj4%VAY9tS*Ilx<=LEt;%5jh_!!byvL09xR6fzgfD#X
z?LQnYmu7T5ME3mdU|&N{ln?eb<V1NHorv*-_P*P}5Ur)u+3;+mDp5W(+bAc>!KQfq
z93tu@zv_W@0Yx(u!J&E9Rib=%{XpyU)m)#yRXnBa8mZu@hoxc#<y>K$<vS`p`w-~p
z@SWmQK6p8!_`3N-iP`76GoK5&=?Z^~EqJg6&qj|7am(2xtM)QnlRtEAbz?-^wB`G}
zt{?w*zxVI|8{;Ia-V27HQXLgLqM>a4T`#?0aG*Pr=Cg(yEG}jZhk-+^$8pjvmXv@k
z4xKez(U}-GYiu?lm^IvBxiV|GM^Oq~VrZ_^D*@DNf5@s7h~^;hpt7=g+H`*MsuYM7
zIXNCt2<TYb8mI&m<?gIdEfh)o{OXv-PgIet4wo16{kctfQMIM_vRso0RK458%2|ar
z`cfBtj1z$Fu391x+D2-*gelMCW^V=FsuO_F<mt6XxQt&H;3G){5qwl{GFyn;{aq>c
zx!L^gn$1nejo9ASIL^)HmuWVC!`J<uKm3=Y$_S`1@~#e<*DYiWeFQv;gDbW2_LVsp
zE@)JAgv{>&B4qwH1nFS7AiCE%TAigarwI>18ZZ~!ose5*y$fZx&Y|gGxF9sgO1pCo
zI#nVcnwrK1tsKcV9w(BGL({=<L8~%SaD~D4^~Er2T?8?GOUdnam^;}BN(kkW`}I*1
z;b<YUrs6oZI2bOu(`kyxgm1RyHY7><z(o?wduXhs<jSVhCrJjlF3Do3ppwu7ux>9%
z91NG-0SB(x^^Fd@%s-~INf*i85uFUYk*ES|hnFAy{Gd>L1@#cjqZrS^tN5iVjoEYI
zXLWBpD;DQB6~v_bAGHi>v7&nvvxjjXXzwb&f6)@-o5kTk;hfD68~7A-B5qQ0v;g)%
z3BLz=#6sOTI-ItAYXF&(|NUS7jo<ZGB0AKCI?7u?n9#|{^k~V^-%w=&v@K|P%-a#3
zERb5YTE0@=$I}+?JW&;lSZc+Xl{cpMU?D_@n2BwKqjBi0ypP}p(1Ao~+pM+7lW)t)
z8w!m1FCLoMiu%x5d1IWfQFLwDAyo@z<&6QsiYP^Ei^Y6#j+OEb7D8ypj`}1-#D7Tu
zXfYoIN)bHY)_a=Ptd#d*OO*`ez1~=5G)ZRVecHix=$b2;uT-hze0$K9#35Z=^U%Gb
ze18tRl@9AbO{8-p1v6Q$XtTE7*9{Oy&Ce-K8ZNm<3!It`7)_0L(-q}ggpM_ucKQGZ
z<7IQnNv1<}Ys96xy|OIdWCKF2JQSqLvOeTjkKrg4+p?ZB70iuBm#VGkar&)@TND3_
z(5We!5*#{Q^h8rmrUwA{^{jch(BhcY5mj1NKC3G6NR!W6^m5vN$6zm4dHLAnDlfOA
zEK5F#3QdbDafRm9K9{I6%XZqoQ#8)4EP(FbXmFD~%ja5+IrO}{&~w@?YAx>^dR`{<
z{F<-)EpPwPm=B^iqxD`a4uZiy?rr9l8;QnyDQ?c1Ll|p=pUtB+rNAEol2U0LV#RD7
zPs%Pt?`7R_AsX)mgSKeMprcx+r1D-)OL8F5dpTJ5N*uZ}jaz=NrV&wXzL-(dsAlNG
zY1=j~Y7C}qUP`9V+Bqz<CuW+t(kojx(zzlYZJ0jEIYiqgHi54?Kh0`S@oei}e&LH=
z(%}-)9$H#3t1($qIt9?ksbapwi_c%Z^X*SR^RDO#mClkW<H~5jJT@7*MYFAYG^0VD
z{0m?4=GTppX&<(0YJFHH*fO;vfu~K_LKIuK)_QTm*kmyeAxK+SP_k=B&y6sXV7?=#
zD>K)LfVf$aw$4}FBh`uE5`u@VS*AENZJi6Aj^Hp9!7Z|h&5GjB8|D|ROB+qx?l6|5
z5*)R4G&yQ6mI=A5DBeicO`wucqiJPH+sG6V{Sn`#EM8bst~lK4IGejmo4W+y9pFlu
zfUso-(4YSiJ=;kZ&SBr(g?*-?MsCq;3LlLr{PsWe;zxW#R2;SZ+k!TO-WqA47Ku}V
zHV;eKE7;8Aa%1Bn0yINYXj@2z8eamE+}2tWf(-jyFd$8*T?ZsZQ|N+aZu9{|urwzc
zE7BCY;BmuKBS;FD)dG8H3SAITRWdNJV_{`fgs~!%)<w`8YL0-QwkuYkO$?~evM3oC
z+8?rlG-0$ea`Zg-a%bt-ICukT!cj#M)X16$Pm<N$aSEzqsSYN|e0xrCJCF!R8WQj@
zjd+t}b$`~Fdm%?(EiGm4lB52DTJ{Ua#>IEA4*@Tgf4Djql&X87=4c<@lhV^uJdSI<
z2@j$pRXjtQZpTL@6~+`k->|@F&+mvq>i7&3ToMWWrY+yvI~ExKsVBbRkG>dTi#8#<
zuJ)E>l<ZiO6Iw0nys@tg9a039gAjtJgUr!Ad0R6CsSI2Y2?!9ZLKR`|Jm%yw{S_-x
z7q}oA57ALhK~y3&$Ou8|Y8N~)T#?M%_9Xn@xe=VY+6BqXh!v5dgTdgTvmkY~3v#xZ
zoFm!>I@5!xt6gw#da&qfmmC})EV|kyZ!<qQ$4siRtm%kfltf<n;2KAjQ3r>wEA$0U
zp@!m<N<WGcSsa5WoI<D`r8mz3dK~5e*|U7E(whUNy9<=2-J;fT%=NL$)W`ng-}m&J
zzGN&=N=<9sMuhB&4~8|_6ORUro@gaI;=2_vHe!6ia;rH*cf@NNIB>KuU5Uuzv@>8)
z2<AWu^)rP>LU7-PH91fU2D+1Tw#SM&PznY*P|T@=5Q0^p6clvei&vi`Nis2Ho5=7u
z2Oa))LaNlBggmX0&>`YnbtLP%W1S}m)66wWN6)vXbe<qgL$FBS$R$U^pUT3kK@Yf$
zSWq?4)@!UAnexE?#Yo~}8i~tX4f4n75j~X}$GZZzoVywRH|%+Q^6&g_eAD-dQe8hF
z_j9!520~VlU?+lW%CM6LSOXyJd29p%#0i`WaW)kht@O6#1M*>tVja<u8K*-Qx{8bz
z0!Y9ooC~+p{=&Wx$0tsw8iOLdZ+U;d?y<ih!qTRs`-2SusGB<^g1dv@=aYWo{cnok
zN3({GPw+Cw^qNhfM%Z*|=jFaxJ)|^@?SK)l>mk}*?1v7XmY(8HuGs`#7Sb@PhHMSQ
zU2+qHeuYtzfX)rf9fG-Lvpb%ss726F86imh>*qLFR}%f}k^_aMNqS9{OP~QoB~S<G
z7tx`n+hcT?vb%_Kuu@saae&t;99K>JdsCU%kE;x;u$j<h4BJS{ope^MQF{qp&WAtP
zGdzbfk1zaT+AW$xnMYIe`2)ZEEkE$Sk9ytfFh46iY0o3NUkx^C)E!tRId$g~W@ni*
zGK41*8Ms~T0&5=8S1e8EV?-T$0P+x_fJtDp?NlFf&1ZLl<&#k6=qXJ1zSWfaq4`(x
zh^OVI<Iv|&22;$}T6Q@cJLRS-lsN*2C>4^^v0HT^gxQacRsk-_TFR>P`!qElTre-^
zfXJ+_<cu0!HI5v1C<ZG`Bqto^Egd6n;tdaV*gE;-YgQ%~^9&V=(Fj+2R5`_ZzQ?7<
z#Iza3QceL?XNvw7z<81-9j%Lf6GYPky|8>Y9>2b6pDFrV9M(srNJZZW7}Im~hoh(H
z91}#3<TAjTaOgXcZ+fN|B#qGU9M69HI!O#<4;H4su%&|!7H~(MHPchQ!1IKIGUVT`
z3{PZ>z~vN(qOW;8N%eqnjgx1Eq|m#$<N@Vat&T!H)DP>EZxe!Tmo(%qAM4Yxj^(F4
z)|e2}KGrh0vpm+=N{=<RYJakMQsuG6n)$Kj=E!5sIW@`m<YvYsU3zTIwa>Lt*`>e{
zf$wnODY0PM0g-PtwnTdWbEL^IB-}K1bYy;Zc9>MKpOKCZohX`)F9SGJXb*uZQkLyF
zKBmA_9W9s%sr4-uoHxsRZyp&NgUC8xPG^dYOohp(!#6km92~Bac@sGRwd#n0K)XXe
zU^^ic^GUb(K6n!$Q0*_<as4_`G=4vfbHM=4ygwCPI$`Wk9UteL2c}|(CI*n^{rLms
z{X0KcUQY8GCDWkOTFWNB#WYh#aoxwa7*^_=Z+WxKB6XE=A6rEjEuq|WVQxwwURK7O
zQk<o4RvCvfIHnMV2TKqOwZ$kDK?m|)BR6?<`lwS@&vLBge${8JKK1uUv#2`6-E@Wz
z>>TB%MMJ(Aw;tNroX)W1udvB>aG>)0dfwx>u|~}QpS^bh+AYn>!X^d@BtQXC3Q|~g
zNGMIx{_@@ScL5`pZlXzb5jZ_qg+=D1ndycD%-@IZW*i_^1T$C}<PwW2l1QjQDgmP=
zf*~NefEp_rk&*-hDx`wSEheC4nIb?W&$BM?TJO8}zxV$BTc7FKQ`K|6{eNq}dw=_~
zuFrZFKqGCIBBwLDEvU!EX<5O@i48RGzDZ}GDC~XtJGePiAt6|$Gtia+fDY0b*CTLr
z-zI@j;dJz1sB>nTH6BngIGBWoEU6!;pF_p*?lOydrEO?N<89Yu<z=rixPzN3p3^-S
z$IXgZXVlp-B(KFfi;wCNTepVZ;O*`(<Xg>t+(mJ?T=KZyYR@kLS!(u^q-Y#{)-J4-
zSNwhSpw>@@Fd_Jw2h=w7(byaTQ*I>F^Lt@)C4r0vHGBP7PC6asHp7!h4^i<s!qtTn
zFwF$o_#0{U@(^L^{zJq+-eD?!WF+_kM7kLescoT!!!qtL!GWnplEWc8%fsopG#>zi
z@kDuGBsiQ;4#u-hco^bLscL}_0bel@S#i^a;bExb<}Ss<`<#<^=N`sy`LEvl9e*zx
zIu$+OS)Kx2LLnN_CcH_8hN4jID_C#pXcz2O2N1hc@Z|b51nFV8Afi`=0E2wT5+fl<
z4ef%4n&OIUqh$y|dKfN<NRy;Ch)Hpi)#QXABb6ek;W%C6br1SR55onK+Cs=ma|aS0
z7IiYLEThFxQnvXXhqZ**S+GKj9fAFoEDy%22<uQK$@s<(4(e<s))29wTSz^t_&H)h
zizL_#B_D(|mkkq0lKJi&wp)kjIqo1y=G)V8W~|t6aDdR(R_9sYpMxgX0H_l>ib^uy
zAh2raAZ1Wp2=1?vtnSd>{M2p_EI@noe2b7P;0!w4qE#OvC@BlSN`MCHxZG|9&X8tJ
zNm&%h;=5o5J-vr~o|3X~NldBtX*zuDv*e`rpk861n#0~lbzfbAsDgz@F+8_L<ulyR
z{?hjk^os#+qByLU#VF_X1S8;duVH2-`R>U<b04wSp*K#Z$+J+jUoXR};1rI^$scho
z3f@a1qYA{E`L|6XqYlKMdlq?#qGn**fG6-koTjec#J*5L=NH%P4T+fdb|)gtTfQv}
zF`)kVfBPdp``-zCmM+wqyW1boh4BU)!3~>(PE3tR>^H!G*6I|Yxf)QNl9f@^l{Q_&
zgi!OHHXCC^h!wLk1rMIGk8{|9a{Gi})}_#9+M|IU85<!Jg4x_5IgJ5cG)>6<wkuZV
z4w_!WK&EtiMyxV-urq>7Lw>1IrIw+$b3r!X76x=ps^_A>5Zb9`GqsEifo|L6^AN1F
z$*L;vP!~69R5p1s`M)8V_!}jmd9O?pEF(I}!Ze;Ur_&TESSjYDP04_H++ZU*{hhk4
znVh!X)2C{G_~K`u{J_+phuQUGaIJC56nX|hYSsc*c^52O{LBHpAn@8*kwy<6oU*`L
zwu2sg#`1A(4f&pNuA#8|j=Y~xwL^tws#-rZR1^E**a|q_GkDlD@}TlwZ7ryYL+AQ2
zI6Bzb!u~#BOq(Ags@9Kv*ulY7NwB?Dl9S3#6`B>#T-%ABs8pS949_MGt&;Y$(pgcF
zk;oc$JhSTJ=VnpaoFfzaQ97wOQ+23&xO0g}YMHJzPBcd|opf4VG`b})mOaIHrNrhf
zKjbfd^Y425yFO|fPwEAj0hnk?VaHf^5GW&NuM^W$VwS;vrrWGQ#S8_3A*6<FiL7`^
zVB>+_kg)?*kb#kdVtzEm1vha`#$h`1{Ynj$m>+tNHa<ZX!0R{8$~bf`z#tc-5jBWS
znweaa8mGM7)7qx@*2ml3`>HJ4$mmfJ(b|W$+xPDJcC)HkSU@T`z%7toMLJjVGX9)C
zB*-4juJY(3sI51vl<M%<Jr;xgg*aCyExm5{*Xw3CeBu_hdVT42yPaOQ5Bd2Y_)mW|
z*+x#dd7I}_&=!U*cn*6ud!cLv=%o!3x38>p;DQ`Tjtl?<kshW4dn7d@*MOkdMqkk>
z9`}5~8$q#+CJ4rSCb1C-tkvY@6~#81;1M$g1oQ`vF7chSpx8z~G&r;ogb<&HAwA8Z
z#WtE?2i^`bqCmR}fFiF*2j71+V1^1g1<k*7Z)8C&zT1-4mxci7a1^f0N|H{RuUX3U
z$8IYHj!KgLn@fV8nj|NznQW~eKCZdCc6Rjr>Zqx1U~a9&K1t@=1L_xryy`UMd><#>
z0yWP=fe0<Td|N^IW~W?q;h}h>@f2j!yo5HDJ&^$CDdzu%R*t_>ay0GT8{@lly2;C(
zI_0>XA``ySX;WTYPrh&v)U`U@>?xlxF+G}(#S6GcFF<wF#4TF9fV*iE`Mp2<ZTsId
zSy4euiOd*inb&I=##||_0R*GvewrCC1B{mYsThjjSh=4n!v#;B)V#?evz5UYx$aA4
z;)3XUL|_c7iFp<>k|zu1ipprkyQ-*+7QBg6@nZ+DZlE|_)6HC6oNg*ArRM>~bes5L
zhlA%OUO+iIuMv|7NUZ`%%KU{(PTF=FykuRQq1kTR)%4Cp%Q-fmOPhrst~Ot7(8D0d
zCzT$mWXm^~hs%_iv_IwJHm2Q^js7oY+MuVKNn(1p7uyHVE08WBt)*!@A*~TAW6oaM
zVaE|Xc?a<+!!$~49kx&d;IuzDug*#O^-AzSs#VZ%DLJPT?w6p~85)19b(M#>S^)mP
ziHg+U{WE{#@Eby<YLwfezHzm+{S9+qdVSwH>4^R$)EJ<9L6c>*XHS$^U}Y&n1H)1f
zEc^0yhoJagF6ioNKY%08Nk{a&9TEIt#oZ1i<2v72B^?1>62;OTz>?Sq=A<L~?XCu#
z%S&MAI0`t|z{(^?5$74z%w+4eA?K=80`H^S{i3q!6YWzHl|*gg|Amf{PrAlXRHLiB
zK~)x4bp9_C-ooB>9&3nL-O%5PZjV?-I7L$OGnEdI?M&b9=TObxB-=S7nM%idW-_()
z(TUi3+wb_qul(d_fzhc2t_*F4!84=tL8uH}aCANhFQW@$y4lt9L8uH}5M$D=o)1E0
z=z@k-L<MGtT#o2C`wDIrm7xnBF@{sh$jC<R6f6{iRE92yY?Rh~VFI8Psa`{n%FqQd
z`;7@M92!m7t)M7Fm$YtCo}^=YVo6bkE(tH0jT<n$8%)b?4lV%IkR-&Hl7J#<5j(A!
z?~Xb3IQj+^^mfgBd*BB%px~Ow8@7_w{kg$d7V6!kY3KN?s*{~t>4^h?p1eN|yL3d*
zK)HMwr+wa-G-<_7id=jdSLAQ>u*)S$b$>}J(|AjgD$&h6zI@k(ci6u3@nuZOu`xQO
zO?P09&gDz%S<`mbD>@PX-M7E+K{H0Vc%TH9t`?n9MN|&oLLa2Du1BDhd@*FZ0K1q2
z;{aAo`z>Iejq)&7%n?-wn1=wu_8_Wgn;>&U1rV?LFZ*3*9Q(0ij;M^043@N5d>5RR
z)6q~PH$e7!Xm66vc|#%@k6hY+5y>ndj&l-E;#(&3CuK@Ee-GhL7MMl{nxQce$BaPZ
zCUMMS3h&PpntEAG;TvKK|M5?M?hk!+#M7F;chp8n^kZN&@Q3V?+)MvoB>L-m4Z(t1
z*jcTleLvSiXbOGB=zsy@Su=cII=HF>W`F9nq2zjv;tL2~0Hm>9G1qHsvNxM=fVY-d
zF%C^r=;zp#o*GQ+RtF#ibG;^dYJ~nQ*FjJ*$@Q9OssV69?R6|!)oY@w21pr=l9MD+
z8|5@hR}FZjG@4J6bW~gtZIs$ogSZ=oo8~<8?Kyxm!5S%nD1~IckJ8rQYXT~x!{pd*
z+B?sDgHCJAGM75p4&-p{n)wc4at<>DsHh>uT6fx><%4MOeRWb*zaK`htE1uZ`lUzk
zWJmV&tN(kBPolDS(lR*`rZ@E>t^cHYku<#4^_prL_ZkJFvkE-YlNkjj7I@BKDF%}P
z&>3le<S@_To|1xA+8-sMihBuM3xGXEflRiOW5TyPHudrpKLwktbi$9uo3WmsQ?*xS
z!q6?)k@>)cTc5Bx?-sRr=><W0I|$NW_{Bf^5vjXEZ${4-8RL}5)-HUJ0gy>HcJB}5
z6V`*w{@$InvDveVYC-lzMp-H<Uu2x7B6s7C(^O<%WSpiV-yKjgjnGu&+cQp6k+D7F
zG!@wwL9t?lrXu?yqo5tw#qh2UUIrhesmS+el%}F4pBSa7$oFUz2_!=a?0JDVczv1*
zx;*N_T-^t)-v>>FZkw0&0mW1ymDkz}8TW!@_FUQl><j0(Ey@^`_6H#p{FtDOgh1u?
z$aeTSJwhpvBr)bY&7{mETSRu-Na0zzjlwmN+%DOU;|n!K+7yt9yIo+aNLm5Z6u7#H
z_OaOnD+sHB_vNF_NJmNC`+EKbQ`V2Z>vule1qf)^HtuH=Gx-=l0x-2{BFss;%;*HT
zZDOW~ss}7t*1X0)j41GkqHYr}R*H9nh7JW_B5rL2v)+w)e^{~=tZZWp{5x!{XCkgo
z9jEU>lPm`Zol$!wYhtD?YUHfC;{}irvJq2HMzx|tozGY|`eALs2VJdkO9eZiLmtKY
zzwu3c(j#Uf<C@0U<5@j07>>)S_^Ja3j^&H=cn1Vfj-;3_FiDyHleClMC6ac5b*^BB
zgdJ7tRLpn1LlgD^R+$cnsI*e2y|9_;HR&%zz3aUHxwC?BzFD0zY_HVm1k6%kLUB5J
zc|x6vw)Ll)Frjr)rx1Q~fha%k>worpo(W<*t-oH48``anbUdIb^j>WX6c5Nz36c>c
zI*N@9LF&&U2&|2&hvUhpb3-uWQjqZ?Nhz?A>%BSnAxPJ?thn8PJBMlnUE>P64ngWq
z7ewD2nSR(bzLp-X3<skAbio5=z*!Ly?0e9kS&;g(2<~7u1UG~MN1E%4LyP`&Nh4<k
za;HQ4S+it?8?tOHBnuoht;yKJ*95$tI4D^4?aoS=NiyFZ3{QZ;Aiin0F)EKFnQu=A
zN+SFsdJ3cqtbe+^Fn)COxhd9t4_@5fFUmLQumvTF9o+^pq@(9M1Y9lW&+-?cpRPT6
zzD4N02gfaMI{2b$eAA@upz2k{Jl|&*jjUSVGoQpR4QO!{%B=X#iLb|W(5Y>iY0$my
z!l3AJj$wgDZ3;AarQ(^W97n=8K0T22XHf!?1;0x+fl&H=cCjH)x#+STN*swwWJ1Fx
zk^Qc+X%m@Evht&6v=~ulTB{1~1S`LoymUHs1s4_y0mu1Xx4j?dW=7|F-Sd`jvwHNp
zKmS+0{4afKc->m6bgN;7OZsyTy~js+jqNMsW~i53Fb2&*g|&iIOGU5@nu8K=p(hd*
z8Cd<e>(HVjh<bo2n?uW!<QRQ`G7V9Gu+f-tj?_th=$J^i*+bp(=?FopBo~Z{bOY?)
zv>HVaM03z`(8ehGY1JuK6szHq$jYc`+k+sky*^?!iX`fhux+#&fX_B(DPFfrB79-b
zfoS*!Av38tRZI0j`bj%lngeafDm+|F8gO-|9OJvwka03Rhf(zi4{~w2Z_VXqqgNr<
z6o5;5l>yXG-;$-I+MJ>4Zi082vApCj?5&zm)I*x||NN+b@E89{(xlR)>$!dFs>ZM@
zDg1);Vb_5vlBO+a1w@k?|A4*K;Bwf3W4aL(O=^P3GV4IHJs2mcQu2y&`F%y@KER6G
z)rr1X78FhDf?96JQ?c5Jg3N-VNnOy*0taojhZarh=ZKkUlD|OtUZxJ@6-ASl6`?_?
z^*|VR()>kS9@V5KiNV5f6XE+KAtKR4UQ#uwNrKauz(7cX)LQcwY0b<I0ZT;6C;}|t
z0ykGkI#kMSL|X-Z5d4hO+FEDRn)&u%J}Sme%hA`M6tAzk2CV*zz(T6$i9HlOkk-sM
z$okeKRnY(K`3{{9D_lmVIFVDR*POHs*{hDvX=++B3CUix-n6=_8D=2D**e7Px9Ll(
zeX*`q+qim`)j2j}0UPi!PI;*bm%MGxl^ngNX&%Diz82WPUXBS(-2)rUHU#=wPAorU
z2)^Y{{K{|t;Fu$+7NvEm0geJRi+*j%3Yh6>U1}ZAE={UJ6rA1F%B6Nh(@>LYvcu0<
z>X)H*zxOn|)Pd&4q#DhJ#5rb{8r(pDxKVAdw-)AyV0Nj2_ExX<uwEm*RQul9rQWYL
z)@%jy*E;EyOO5NuCE@<=U{b3k=c_mjCi2w5qbHVkF2j*jcW{=Tee&TpzFAb>BTpgt
zF0S^l7K8>BUnrjV)GEjLCO*xPsiolvJA$f*^9G7f0$mNJFnVak(b;(DhDIcb&2-6v
zc{^hCQFZx^OTnIm3y{ugwr+5kQhJgv@UUT41Z-x9R^?til^*2_dqVjr4~$((&+-Ml
z1oJG`$6g34O-p{Bpc&WJ>;vM%`hmhPN>B3z-X0FOV0H@;V*A_E<BU0%An7&9CYqc7
zkjmI4d9pBH$sW=&e98Xq4{1zb@*Vsk#W$Ac@?HEP#ad6rLpm~nNpXECxr5|VRlgM+
zKq`1>jFEPLu^F#W^YKdQpPS<JZaO1Uh$qtdW9DL2p_wRn<AUX%)7HOHQ^sqSEP1bf
zEkhvV_$+u=*}Sd1WPvl3?YMs1c19$s)|Kl30VGn={@jlJbudvn{<$+EW#UuXA2TAW
z^e2WsR>zm^IDR{6LR4RIUG!>|O+0)UKnc=pP}%Be3Cxo$n;cgOmmRR@6~FCo;ylVy
zJBbB-v+`NWtj5wUcEzy9BIqq=SIEs?oz9M1jt3*FXF28=-+B4sPreWcd?hFL$k?cg
z5uN#9ZO%6oWoloUL*#;m7##p7K=J8Agdoj`3kESdiaF|RgdksY7c3LF_oublh7jcQ
z?SjXhGpKhM@7)}l4p9*VxLh3~2K<d6pYS5st?@X}b3#X4`(tQ9ilB7fiC||93icH)
zk2pjwiCnN!sDm~UK2&{u#L5>*r%(s}#oFo9ntaq<5+y!XrttU<(X#VdbxFpqk_$bY
zRyHdmt(k96w_784#V<liz=jqk$?E=SeicVYG+kfzN$V*_uEiCIU!>pk)0^^T_O8@U
z7Asi{>H8&6e(7gFuN*bn&;AhZAc|Fqm?XX1NN}caneVJNE3G@i%53jMDBgLKg_i!l
z`|IzUcZ=Fo!Gfv39Zdb_{Kn7u#h;rRyHZR%r|v2cA&gD7Ut!`gmsu-xA(+h<ycCQC
zW@2~SH^|v~VK}35(Hu@>hOX^#<z1jMsZPUbZ9VaE=<HqKYr+9J#cXdecL*j81Yij~
zA2G3DaBMxG>|LO@o)I_4u3)fWbB?ul;bm4jvaM%Rc^9bLLV#;ZVmtrtNvlosTkLT8
zpoZAfFvEq&TQb(_91M*Fl(T96FMA8+)Ek-Dq{Mhpa$ygf3hU{dMwB*(J?wnUhP_kg
zTHYCkou<G&=85H7tHF5c*MI)kKK<h&p3+3P$5WOTUwG&j9{N&Rp47Lh?miHC=slm|
z|AO&EP}h+%f{zc7b;^-xwm4E&EyRu>h$=@Xkg}BHY9VGfMNv11Jtj%d8RCZ=X+^e6
z#NIhMQX%h6%2+Bxp1K0biDU@1nuZ_<SJxvZ#6-B32%yf_&DSjcEm6_^MMd*&QET6p
zsOWa0qF?@k|M3sJFQJj7T-O$%PspGmK46)zlMytzwk#QF2UqS6L}#-IJ%Q^i+{+WV
zPMhum?EZSypcZu)rIq8-2sw<RX-mer2t5kdWK`rU?vb07ZU7{RdjQZ>wZo6~YBi`{
zdT32SG1&D%0|F;zvIyPDteSiwb7d7Nen}yVWUr=P;X0u~eSjSUNXAe03z+fEcL&&c
zP7=bJ9Qxi$rphV`Ej~RDkz?-{F>3XT6yx)b9<}<>XL}PGi+N$)E0*@}lYx1{>V|lN
zwXRd<{Hw%LZP2Ah1A@ptyrvPP$m+sF^Y9`pi%;#!@y*buCY*Ce!v7T;S9LilxqWsh
z<mpTfh0ug04+N0<s%Rxuz3fobRX!T<3IZ=h#B{)kwyIvy^jvZi*!bL5MuO(5XgJXV
zFem4F4VS?X3+2YlOQg;X=j`pcMmV?o(XaaGZ%t#xCRFw6XjvqGA6p2%t7@c^T78*y
zLD1w64DrmWprpixhIoo|=T^dVLnzZ&<5rwwR@I}^KC`M0osJ=3EfyoI>QHP0V?ist
zP$;&6xuBJ*9vuu?tLhs}236Jc21D>oKi<QbP=EXUU#Q0gf`5x$uLi-tH}$wgRQDKB
z&9Y!iL^YpY>l{ku_x$Dee%8lKWnIC#KoCFrJJ6Ovs6uW_5T9KWA<smA2Y`!hH-?yL
z6Fi}EgQ^fhuLA&y&5B~CeMPwTg7rUaR$_hgp;Hb9iD!TzrE-N}u02OF(|%|$Dytyk
ziuTZArv1>{9b3Cmkm@$5Ox3?y%(Mxjq=p~Mq8R{@?V;67yChni?IgRVa{<whfVTYL
zCk*M*HIEV?=HIPm+9V6#297Q$%t|ufo&A2ZQ@jg%(3>Bm!%6(;8@Bur83P>mU3XaI
zz(k{*92MDLo_hL5H~s$al5%~1Nx5DWG$TEV*I&7O{Pv3#{s^UT`4U(VYlO_}ufBNs
z+T+(BzjFCVzCbPpB{h-ukEhiYq1f=gFY`#c@5?w|?EB6a-~Fz1axcC+vG&V%j;_Q;
z`s&roFZ_ZpiTwr%{coMzaY?yezT;6nSOHu2HFM$R5BZj#+C&_$<zp|)S}_@o3Itfd
zoC9--R`869&^X=&_W*;4-G`t}v9qs`{>wlVFk+Fj1S^6+x<*GT(X_8v;&@nTG3OaL
zn}H|*jc6-92o$ZaAr4Ik!B-532hO$y%g{k6f@nV!m@C^h+h3i5DCi`zIpUb3UV~RB
z(~h)`Y6BzXC<!}_ZY|%a8`BdSvaRLkq12zQHG~q;^KjOvIEzcn)Sk0)9F5ZBXc0L4
zxYC92f+SE?PlWnFkzPc7vYy5X-C5Q9v@NyTp+Z}<7*YiAqXp77pV#zHvfx@VbV$Eo
zN^kc22kV}F;406lw~F_e>Xj9y--a^y^ZpR2R$NU*c(PuJR482Wvsw3Sy)Y~Dl8;Mt
zeSgvQyj!$H*LM?L|NOuF|NYhXO&U*B*A#P!In*uqknx+_n*;hd5Iu@b>~(Zx<B1@h
zAua@wEpPiTGKv%38H7?I&O@JL3n0zLvrN@KqNAgQduHQl3~NMjg5I(51hVl&>KpwL
z0{28~M<ZAnPc(ig;u4*`?T?Y@+OH25B!3uw4bZE#nYHNJCDBxD#ZtH-y)|=>NSP6F
zTpf+Q%ocet%XEv$qqS4p*^~(@v#lF5>x3$NE`Qe?BRxmv97Db&!k>mjxIMIssZP^+
z7y~~=aqhfZw3zC<G1dR=N4)PxQ@vWb=NwvOOms+x%1mGQ$}k`r8roOZ&gp_BzujT_
zk@kItAWgLk!b+m?5oQos4l8VjAWgLkc005diXeuutGx_Cnrau^gC0k_@<C#tk#h*r
zR2M--3;|%X-T*Mgpgja>s$H<dg1X{nW2QO;X{uciRceOVSaGxIQJ0FTc1fdjrsSzN
z^H@TeBFPJ*YqA5mK1&G#i=@Q$yp%uzsUKxuonflgu3j-BIPCbgig#tF%bc|?W<Og~
z6}vAij~=aN)O4l{`xlOmq%c-Xz503Li!0KA2{_RxOY^ns)%L(HX8P$h)AMf8Vy5rL
zOuy^TKHPlQRK5BHs|!1XdiCbiqZ!N<QM4KoV+ujCZo|0b+G$;{cIu!*U$CxMGfZUY
zy+&tbvtq7ScbIyELo@WobjC)ou2*kBv4<6p$1O+*>T}HXYEYJwzK>;SB4=yCT(35u
z8VlQyYy`zjTge5aS~BeiCkbA{_9Ux%HFz4WV!S&DRybeDs$Pv>q4NZf0QmN*(E09I
z(gD953G|+1zCEPEkZL(l2Yr^oe1G;U4qWDCM=`ubC`iwT|MtSeelSQ~^^5Wy!Y~f&
zh28noMO$Rr@lbzbsz*tsEWNKA=jI7?uP(rF5yQtP6`G4ER%vh%+J{(M{&0D4)bb&t
zmg8vjjmC=rO-`LQdORoIV1&`-be2)SF-G{N#7a2dJbD6<;@jgSL=hlq0W>Xv#R<N<
zz^_Q;u(}=zxIOBN%kuQPEc0&B;<DV0%kqbR`!9XnXGYVKrg_>5df|UUaNo;a=OO@j
zkumXt4hE<P+XQ<q0${+e(%XZpt5;UYMS$augTk1J2a3F{Kjb1nr0h@NNQU2#OYOM`
z&|#=B{4bIuzyoUpt0Dl#u!!9TZD>z07Xc3I;|6d5tcbEfd+53dfI%Bt(l~)wsX4Z|
zES6_`23S0<30GhZGUZk>7XgkdTtmG=>ITIRpI-z}BWalhnm#dv`q#Ov4{4X7)PI=P
z_@oE0YQAkKVX$mmdNtp+ZZZSPvkRV8@PC)=!tG`kV93*p4$F-aOPT@IY_f5iO?2mu
zJ)W12zBUu_yyaV)M52gq`QG=u^;f5gszsj;@#$6ibd3EjU1qi+vKtcN6=wRhDyY(@
zV>Ee{J{_aU%lZ@uV6x#uLnBUYHC5K99iksaB;ohB_1sFI?$;Ksfh4CDtn}#t7$`|l
zBU}?w_|Sb#QMCi}ol2q?wXNt%2v{`lZ4mF>ujW<t2y#4Cp{9LHLikDd@*9n%8qvNj
zG)kaOsdG}}-UN+m;x0BborPs;TRkPLNe8^^R!_lh1$d0(q0Zrs5U5zfQc2z>aX+ND
z9oiRHcb~$jdJ~rxU847<eHUoe0<C)d&X)u@PUtu=-?Y$%A}<bEh12Up$GL;x#dTjn
zk(Yf1dmOm-$Cn8oevi21FZ;S5|DPwl0I=OD<NJYauZ@eJy?_K@2JpBMth@k>6GV~~
z;nx69Z_*2Bg(|2n9r}{(X7&OO2;*QjvEl%sZ}tMfOs5pMN6;L!prz~u7|%UZ%j+ID
zU-klQ3>m>%bO-8yuJQton38TD2vWkWBpa99wuAXX$A%#e>qV257qCC<82llo!%b=-
z-a-XY)`aQMqoa4Ly^T_cb4eRO!B`e?a&?}Q{(@Tee$TR+{sy_#1aLRb#_B<aYr{NN
z{x5>N_>{jd=N4Z#pD2+ACk&K>7rLlhq>B<lsKpCtJDc7V-ASf-Ceq(+9J>%g-3}qt
zul)G;{mcw6K&rg3uzOCNugzTT1qjfI#=YOhRC@s@vb>9+@jTgc036sAUO*q)U3&o|
z*zW8Fj6$|6FQD7eWWx&>fNWP@fDXl`7tn`nH(tO9X1mI*V$#tFXuHa-j)J!H-5CdM
zH@8Rqg=>Je@6!vQ8P?-<IVC$hFK&*7pCc2lfO!G#*)Lvz#PUbD&Ft&D*gm-34(7!R
z80>Feynq*96=RrN#O0ze1Xo8P!tHCdk!u3r&6^AOx#Q=)`1w&0P<k%MZW~z_M$V2<
zh|$i3;0Y{(Fz)*S3Nf0QBB(<QInnLZ%s*2vpa^>F@eqZWBo~cqY?MNbUVyJy*dF(o
z>Z*~ueFX=EUO))?#BCIg)%OWOdI3eSkUgSYtTl!Z6feLfgX|HknVN@i$;lYISiCZs
z(BkULyBfh7<}N<XF_Uv->J`VKOq+{!M)okJUcrr(`!eO=+Xx&laYZ-SOWN~6N=a)W
zcn(v>{^)8(8L&~f`{{t(j{ST5&ww_KW3P~E%=_bf2>@_YigxF-=pH3i6sMz?$8+!x
zv5Agl#V*N6?(_m9C7-_CKbXOF$ULXN2@{cAui&|)-0v^tZhB_o7A?W{-2j<>%Wr$n
z*Z=5;zvV3to_jVjzAcbx_QX5DlQ>h2F?4^XS{2Qq-mVGq$&~w+<RYlhgH*Xqkk`TX
zW(PqWdMf3<IS^M&J+|(psB^I0Z8zvm7JQP9PS4r?9BhNt*ox$bRgYYx4z|Z&1XZw&
z3Gi2s+pALUqd<Zx<vxles8jBvP=cHcLFdHC48Q6IT|L8Z(#6n#`Vpq%*{9-al=-qU
z1li>hW3$+E|9eU4%V^p1S4RL~tgOMCbqul}tUv@FJ?Vi2@Tb__qx^i(OME}a@t7mi
zb55=V2mr^CGE5f-Ktg~A1c~_$$han5CSHT*JcFd?xCi-Oomdlo6PGOQ0VpG(B3Dbw
z<cK1Pwg{xw@Dgv)#?eZK-dSCMj8OX>K+B6^+0B|M$8^B~i;71Ca;L5ez4Zm@^YWvE
zan~|OJCgr3YbLF90ZJeCV<Nq_gBEEe(_?>O-6&8ZLZjHMnI3x#sNPdAL2^aC(@5rf
zwBH<+zyS0|M&fQi0scQm@|Akr1+O>MEY`&6nBMhFs_EjeJ~3y9U$o!#Dt9uGw_GLA
z1mZk_IUAr)z%bo7x>Z5t=tiFG=Q;01#$ac!$dzg4&7f#`^YFL97ny^htwDhwy(0l1
z?M>u-=<-*Ninir0L!kE}V<mr)v3vhT<{$6yMIIR?joH00YvFZoF`2kRzF;<T<@Ik5
z9DSU2*j#KY+9L?GJ7hP1d*bNhv_D2GS5P4c)cbQg{CZ3#-r;d6VFD&6pEb%D1BdY(
z#{L+sjA_LQY6OAm__7_xmtn`Tx!cJ&<8nH?{l%_gk=pUiPEpei=X=P<-(KvhFbe|h
z4*B<YIA8b*alpexhwt%X0g1g`J$M!Z)&8;_C){l?E<W#ny6CFk4}p4rZm0cmzWM%l
z>x-_6OFN0IB21L+*dG@xFQ<=J3Dfjy`Q~%ac1eRic!(`LoTUK;o4D3x6H9d&xB3u^
zb-9T8aP(kR)K|tjmqgkXQ=^NZ^FmC?l?D4K8in2z`bGwhLi*S{##OtP%qVx&WZ)QW
zBRK)f=`?(SS5sY#Kz4Yd65YnCDgBsvEQ_RHww%jc;oRZb$`6=*R~W;2VPfu7?vN`d
zJalQfv>~H-VHFz{`}$9~YF7hB>8|``4@Su}-w*BzLDgUM`Cs*irc<a${Ylvq9#=1!
zm@+UZv~J0W%oOU*Sd%<Os5FnBOFW>cCq1i3q1uSf5ENBwnbAFtERh&|xs?KtLXbX`
zuh;?dBz_q@QnYu_hYG<eg?fT(M8{~4o)UGoLQs^pAKC}z>=9^be@qC9b#uYh2BXPI
ze*p$+bx1?7O`*bL70K<fKFLY9h`zu2C?4UwsZyvOrP#l)R)wNsNue$&)PAXKVN>o~
z)|yw^eHhw0SB7C+D=csp{i4@i3#og`awpsDmO0L$I@7*+<_>0@^&?bn(H>6;YWYWB
z_?2J!oDVB0RG6M_^)^qT>eh-A+Q`ZXHy%7nnuM^gjAhCNF*<?6)F8N{VWzDMLAu&5
z*kM3{^e&y&8<{(h1!-no5VVLeaSR!e@YED)tVlEKf|xiC%43Nw_m-*%L7G_?JRJ@s
zxI&SXxfPt_(Z8}vr3)g7v&WACeba6uHZcp*%of4Znxq{RB#UFcqL^8i1XTxVsd?-T
z)@~%3rznz&fr8|Uenun7kjW*x({3x7Ui7$HKa_nnm+XNV4N1<?lGh(BiTK3&L6KP;
zAev&nu}VGW3?g$CzRE1JheuBe-2*;06b?8P`a^BKOMF`=6>}Ogz8U&N$pkp|*V6yR
zqafL5yOI}p?!hvO8+Smyu6+z8`&=Y<YfV}qVz$Jh-wv#q9_@?nux5%L!R?OOtNLY;
zVydqRf^a&ec)-@DWACv^5BCLvRefE^8P4;}&#a_Mea&tS{DH2y7LT}+OpkY@H8FL>
z=99!Pl2oa$2`^j+l%O-fC}fgkdc5)51=xtk8x?#0_Iz}7N0rC>0`I>9_qsK%LMurh
z-;a)>0~y+p9XTB=wdY5l^kLP1oq7?FX7k4A!55*Ix{DWq8M>0ndot%mz#jf0@MH5L
z;86Y|@Z*hNgpm|pkAp-wMN&qnH)jx)^_+s^V8SW|r?elD!jbJr;UHnV%_%sfkHLtP
z4k#Jjl=G79w11m(1d&LULXsR?-k*<A+8^heQ)pYH^u}|Rb<PPFtXd8k$ea;GMsYl&
ziIgEymXU%(&J-!h^!p)DrO;$M&L0^?q%70^r}I*fy__RbqSf!099z16P0kH@u_^_-
zLrQNvXW6@8`TFVO<vF{Dn*a69=blAIwCI2b53z;z#*(wcCa!ha#8OC(M)M;VLv}R%
zVf0|LlSRzM6jRbmuendF`MAE^XtIb)FDbkwg;ySur!%q26yB4s`LeJ2`9MLcF>Ygv
zZUs6s2^r8K*Lp$8yweLuXu{ay1UB`?UCOZqLKw2cLJ-5@G>_(ffTAF6i{rTI;4`cN
z`CYSO(kgJfO0=@I0(7jH+%J&F0-{N$3T_%W@@kikDZFxM<*}ny1(RJPn4B*ZoyoB$
z=EwUr;?PxW0s21eh&-WGqrl1}nVc{DZuE-EnxMMXWM7g@&KJh$ph7H8BC5|k&FrRS
z2N?-g4CmI!1|`-?a?<%4OCYMNpDL;9sSQLSB?gI_DJrHpB8cM*R7kykN~g<r(t~4`
z%vBMD3-aF~nfMze`gqKoOk6k-Zl4puAM2JA;kqOUwqDrwDgzXDeSPsp<f<yyz)DKR
zyQt2zpR3}&rMzcptFrHCJ?ZL7-WxKUZQd<nKJJaUMVdo=^EN(w$RGLezxK+9mUN=>
z{JF_D);}f(VN7ItD-b?nE9@&vC%WM1m{*#77aSe)%HYBUPauqknd0EsZl}q=IJ8vl
zY~U#P^wHRlu<?lG3$1A;Ta~JvPbLMqEsQC<8j*8p1f^={f~PfsmK0<HvR+ZDb|yGF
zI9986CW(w6xqXq98kihgvEg=Oqhke;=~oCDOoh8)(rRyFu9$q6MDr>^Y()2Hb85as
zk`r@Dq;3gf!%xR~w%15<VlLUOnPAq7Bu-4VX1+nF&yLKa&39;g9&Nrw<MU|qJwhss
zza77*H;)!@Hwn-Ewj&c}_lW!(^=(($A;0g6nk8Bom!p!LbfMH!vHC0UrF1vcQ?gp@
zFc_^s(FzbPU}&Dwt=uU+P7g;4=P6vB_+<XmfJ3F{=2U;OhyBU%s9^DR?@E_BI6e+6
zzRe*n@m>7MTrIELx)t+(y&EI)Bbwk!`4wTaOZkmW%c2YVrV5h=fhr**+mVnVv2B$Q
zLz3cN6Jj{uDg%WC6QZ8LbRol4oxg0S^RM$`dU+xPqv<q#iXS}07PzfS%B9)Fz6fR_
z;Zmhk#78NCZFZ+zfiIrdLh75LT=Q$~Z+6dX^NGK-{`?OL&r2XcZObWino{s01z?O#
z0SMwtZd>5lcXZPMLwR6}GH6LWFM}AJHao(gP{=`nwgwK>EvF|`dQqL>yAQI(W<@dV
zwjv-+tRV~1l9<!#(4uEu5ZxPas33SeS)M0;OvX!{)+<o-^3X>po@&L}hE>c9Elc^J
z_G*(%ZJm?|gH(2LgT{Y#cO)Y0L$2Vc!Sm`*uKhHN4z4^T6Mt*0;{d8@b&-n?a1PNY
znrwyol~KIW#?i2*plYm&1?mY_@;SSYP#j#bu{&JMdu=O4R|qE0rsIQ)va-$6Hj<nH
z*$&$%4td&Sq79tPtL|-^9e9R?c=8(NPM`5}&D{)ZN<HYW`NZ;Vkj$L!d;Zwxe)21k
z)79BCu4Zf!4dz{8{DmlmBihj9g|n|Lz;i*gs%TDkzg_jlmr>0WK_zA3oU`powMVST
z0M7-{|DnBkK!&2Xi`pXusb*Xdq}-fh0>yPeINAtO&A6cT)j)fIz)eg4u_D!s3&Lq<
zZyxl~W-YTx@}U_#6hX`cK_;7moKaGrqdZS8iD@W|LMiE7w{dKF5nZyh@nP1OK|Nh^
zwvJOx6d+Df8mfyd0jnF^P=c<}LcgS)yx^V7-Fl2+wdafZzs;hu>EHRkLo#}ddeE4A
z|LwncMP-n8QUH>O%?eNKT~c7}U~Xv0DjJaHwX8AtI3*R)lG&a+nER$=wm9FcaS^Vf
zbv^xH!C$2Fm+f@^bu^&*Jv1JQ=jhZso88-<)(MrwH02wSw_8%Hup0AjQEN38X1Uv8
zmiy0s;%olB&j`z_U5lPtwH`)<-GyJ4P%N4jZ*P3-3w&=~5H^af=|UKHRG&BmsqkDd
zT59*;plep7<#oY4<J*jWtVql2g8Lnm1ugFZP46xH9aUu!1mY8J1bQ_)^yW8*rsXYy
zIye-9yRBK?I5aJ<3m(v$Dri#niMGF5EU!x*;g3kTg1%Sl1Mwwi+tXwUoB5sT8wZq)
z1`ld?-za+;HkMYmU&VyWyR<|YK^vljTW6Iy-<aB?x){@^H(NIE7A?l~ZkS`f?i;@R
zFa3rXfu(sP4`V$Eb^<O4(HENrI|FD(f+=Uqg2@eyc5R<s&Xyt6G$uC;bJXZGR+KuW
z<+iL}5sozA(HfnGV9u5ylC*OK#Y`)hvt=NbVZ{m<a?ok09gh`rw#;I3#JkAtX}lYP
zQUkMdJOM%uJI=J)rgx57r*z5viYYxvl5b87c`T{<B$GrK%T@{myv!j~lC#aOhJO*p
z>UPw@bXya5V%j{G<iBC-l8t^^4R3}IEm7?4N3mMO5<0i^7R!7q#JFDKnvry4_&77^
z){AgwEPunC-Y@>r>i4}C%1|v+KeBMnYS1^g<Tg37u+|RbR9D*`UrvrJ_J~lR6(G0<
z$f9xYb7T>dE4r;<F>+9oBMYDv*Rmo@{+d{Gr~R!j<jA6MR-Z6Sstsy#WMLD3(LJ#0
zdNa0iWN|E<)%a1WhvU$7WPyQ!7K5xULzyI*KDJHdI-Ro`kdXEQ)ihd#vl=$5cl3OB
z3THL!51^fOfGDY)a&*`e!Lnjz2Z}~n^43&wQNKyqI^zu?3Dn4{t}_}T2^$hYWS%@l
zoYgrbY6~2~gPGE8ZMqQoI8-32gyeZdm#FsV_78?6=j8X;t^;68VSwR~<Eo<5gGcbK
zj{G3!PgQ6K00OG^59yD|`9;3$vA?vwrA_f-BEKkDjC%`-=4xMiYbGMD)}3cX<v;wX
z-~R`{J34R`3FX??aZwdyj<0RTMTskFAfgx`zh6tbCJTxYGeMil1LqcOVbqmbP+U<H
zM5ijs9?TSNR?=3N1;rILLA0NeZ32c7lg!%{<tF-y=;#wy^w4$I&S<~WI&l40W2%tW
zXn?YkBuqZExT1b&R7a30VjXWMnlcNjD{7MXwF(<UPD!+HB`L)_Kg%7^@%%X$%r4yl
zm81Y>ToT=xFgy6+9Rh{sQdW?;<Bpg*O74JDtt4ma)Y77;Dvu0;MTy#<E;p((pRil8
zeCbZE3%Np7vcRNL3r(OO!PKgVH2>#|`oGQB)v5;Q@>LIMzyQv{L0x`&__|q)$C&8Y
zP0u$vVNYy}#`BG~(B4f+5BLR80mubXa)TC=>ZYJ$H$CAO$2C%x@+xczlC0kuRpa_d
zTp%LilZ!PakJv~`xMh;oS&ZCe>DY~zL2J(@*=<P+!*nPbcI$_y#vEQCQE%PKc-q@v
zlre`FoOmTy>9_%FVDpQ#4rE6^Y=C*xw_Cev^6Ma!g?8GY|B}}m4><-N_twn!sQe-@
zu1efot(ou9VaGNZzBngL{;JM%-iw14T5EEbCk&hIi-R^=dvWmPRWHtDhcBN}Kf-Oi
zI5?O5;&3y)I5?f^#o_i<FV0wpZ;Njfykc@ugupRb>|Td1+AJ%O$X$2n3X5R4DD2R!
zTnx0#)__KChflE;0A|`BsKkoP90K+J+>ZSle5ZEw#&X)go33BWIoRvaMH_16qD_zl
z-nc_oSOn7v3+Y}uVQH#OH(a*k_wR7Nh*OG-h>l<M)#m-h-bc+GJLKz!3zj$a@hUE!
zUM=55hi}rC=<vnt)?*32xrt_JkHsHiDbN>&d-xEGfxj^QVf0{?yH^@_2Oy~o(@WW-
z2s%|;Kv#$5%7R0UINW9F@Lf85%R}-MclbX0gMa3|9}=Kb@fCWp3!qkT_tA5+ftjyt
zx7MWH-H+G>+40)Q8%dw~BGTB4)C6M}FzPfbCb!|44pm-F2(95_G=j;q1H2qrE&3P7
zBf5(l!76rf1nm*^?)JwdJ@pKV<zOVF#{v*n>jmZ5rCS{tJpeL~lz01Mn%D)-kDMg3
z=k4{;G`d|NWSGE}f(rzi_<b8LR_#7W12Hv^9}dR&)>W)y7etIWI@W};WdbleYYGCg
zqvMCLFu=&EA6i!JKBof+k@P(GQn;_ytZq=mSnRiIj-Kz(5zSz7E8#O*C$c-#^IXNs
zQS7^k8;h>YMbv=h#!0It*h!I-^&{(X_UimyEuEdk+EuI_#Vg%JH)`#_0LnGEHRgq2
z#iNTv`<}w+>(rC*62L!%#8TctG8fejm0Qp;@f7<b9yu$K)N9)jUYC6Q#!G43I(Q0I
zA`RRic<A%~^1`R{uiYAn-ok0p%j0g%L&EN|r&75dODD|xa}Tmd!u}3DsG6_cIc$@G
z3sCNMfO0?b8~^!Fd^Lb_+7RweiM5Bb?E%FpSTlQ_`6>$c;mRQDMR0c@WDJ5}e$&!Q
z2r`-Bf_vmL#g3ntOM!*TE7B=(L1br`MPf=F9#11ko9BWc5g}TDBxy&p&2ea|E*C`0
z%vx4NYmId^hah!Q5meeWG8KZVP^@SO(kXGllBmR^EJeJE_=EkeE{O~ie>P4MHGXwp
zVo7mIToME&Bx}aOL20NCgiB5u=18kVU0;kKzvzG9Ut<?fb+VH+8P=hy{?&$cs2fKU
zJ%xwu<}7TkFb2AEmiqpARV?vc!}#73O5GmV2>k~&J0ti7rM`Nw5P<Xs%+lx^*S~&5
zgy(O4?I!e7L)_tM=M(<kkNnba{J*EMB#u&tbKimxGFqXa)PX?|I@65&0P8>$zo7AT
zutK2J!HA*8*S>-|r}1?`M9{2eA}mMqs5X*?AdRmJ7GNEWv|;)}kjB>q3y~_O#`R_-
z(fAg@?TU3KL^K${)PhbjmIOA}W+|OI>xzp**H{vO_+?3Or=8jhDaO|ie(V7Fmn_3c
zGXnWaR#*~zk4g~p5fXA|+=r3k`kEcNQRD|1M@qG3b$fg;E$*VtX-K~)-=D(@Kt)+|
zwKI1sN#+}bp<s;jaXD9Lyc0LdB`4hp4W@HJ$m4wd#$tRg2zfb3zs#||{l%#%vZo<m
zm7z_&kxenMX_$=6T^Rp&$TRWp{NEv&_#37A;QfptY$miS5GBRCT8>QdZi_TQ9jSQK
zoE0?DNp@qT2?Fw}lMP6ds*Iy-p6P`70EJV)1OeX9QpO+=NL9v=gg`2TGzkJBRF38J
z@{|A{o25`87{c_914Ed;oj(%Rp71M7hDVk?|6@jOfyTvE)x`1?&F&3REWiIJ9{tg`
zhu+r+*n=*Mho7;*bI1hmHtRMmIgDaCtbypOvwf+8oHD@@E7gKI6O5U2WJe^5Wh?BF
zIn&6eqMT#oZI2xdL>A0>#>m?O$k^tVB{5{_&^>F`k`6ueAvrk{99dgT?`~JDGQp9x
z#UR1<Le`n!lC=fYvHdw!CK%9cwlBjn0$(tm2?pVl7UQu;oddst=B0X4oq08<g6UKz
zP3XmQWevvyhW3k3s<VgEs=B<h64{p3(_P2<zp>uXCrXX$+J)}%JXE374U(>vch+_7
zbI&TKP~SKxS6to10)1V&N7D%t>hRjycHZ(s+V&s)<UjqNeBTF`x|>F&u5C-cWsESF
z+SUa}d2n-ztDvEA=4(|@wuX7xWkon|;$_2sUAIXg-Z>W>C9TaVt`W}KNu9%&QC?Dg
z^M`sdi-^D8<qz?8>)wVq=J)mocmCd3KQ1ATPZt?}3v;lp$~0<8!YOJA5a0z?RT`}V
zR`S`2!ix#QmvEwBR6s9~y+*OaX@72~H@T%#*quqIfb*^3&cIt&RT}Zqk`vc>ZM4AY
zY=pbd^~ytBD`2q$Ju<_QqB`*B`&`X&PeYA(iUnb^5XD;a@?a{j)xJxS=5~rSzxDh7
z<{$s)vxs6_H#f%%6;W)94#@Gs^@w8I6?42$xQ>7vk)hvL#S14%k`O;}+(~^X3+8xX
z6i1)q1q0d=Inu|`SMkCqkiL!=Mv?Rx^$yZkfOHTqfYh}Y%r(dnRDKlY;lqB+^?}<^
zAxAo};VV8KkwtsMYvhRXJRw@?_Ew-uYvvm?j`*)4x>3Y`zC}RSj3EB=Jt~E?Ya{+|
zM(SGpl!_idc|$`TRN?c)Cv|qtjhb|?8-r4;9#(vuk}-d%Ae8Vv6mycik6sF@1(g7X
zQMoG<-AT+D<@waiVV#pRx-l0l&X+JX7%j}>e(*d%Dj1fOe(+X2m~gjbI~*K=|EEoP
zrQP3o?pXzHdmM!=B=icnw%Np`g*rRmIhq&u^unseIeO{x9gkjpeEEf6@Z#IQ<WZrv
zkb08U+8ls9Aa&kQPJIF<{MW@fx*K+i|Lx=d#Sj1fDK1y!P-%nk0uGQ)h;!sz5(>xU
zo7yLx5a-AR$0#Bsh;x>P4LDk9`T@l}G7pg1Va4{E;0YbzV$X-BX^ZJK!TpL2K;(Vc
z5xH8gD3;d`eLS%?CW4ar%!d}kYl28o>QK%-PC^TPiE~19WI6WkAZ089bF`4;lCx3^
zV&lNMuC}h%Rup(q6|9M#^l!OtbPd~_lNJMs3t4fFOjvtLkLNFi7B<Wf+_;IXLE8om
z<x$mYw9_u^R@(;L6sMSWI48{4Vpcj~sr@9osqoA=zHBE$iSw<}9H`$^El=84m-c5f
zY3wh#_OvN)sM}qVP{Pn&!<6bXJfWw1rgTOcgSvetWkqWSSP%N`f9iLC@wZQc@H|5a
zYa<o$j54b;lq<f>s4aq&rp4Cf4CP_Z=0pZ1d!0afB?xbW!K1R`z@*}wp*&*vuM|5F
zX9!zeKF6G)JnlG~6^HIfJu8KyNRCp4dCrqP$`Uw1us3;?D$E7Jn5{T^j4A=XkEN(s
zQQ|w71U!Nr)jalUQ$y<zVevt9Neo+KX8;m>HnbyOAbCbza=$|DjrH{t{-SUN`)Ycw
za8W{)PSlO_YwMwwW^1xJ+D=c$ViWFQfAD;+1LozR^4*zpCR8UTl_M%JsT_@HLuDW{
z2`1UJ13-WGs^i*vK6Kn!^?aOf6&oRZs8Y$=CmcUmwv$ritDH8)=Lj7sk=yw?(w^FL
zb>tFm++Vmc?-sRoX353gPA>Lq{`R;1n_oNC#TO~o-Ky7omUFQw#d_+|faF|kVjwxA
zi?2n4<WwJ~cygvW(<7&bB|!O~Eb~C)r}PZy;_KxZbE5C4@QE2+e4Eu)gNUgvK4fkT
zXR!yVfmrpFxumW3vjmepSxtCwxIL|8m5T)*h16RC^RqdfY0Z3htb~g!G#c)DN6)vX
zjA#eKU-+MLzPifAVwxyNd*D3LUeN0d%r^+wKK<>W+-Q&^@KbUw7TyPltavNI%2DH1
z&I-zkUB6tXj(~{C!njwnuwu7fXx6$G>KP0(+N(!#ZtH;gAy3>Pc}>oo$89{JW|I;r
zX!9hg|GRYXJ->ADtrTQlddvGL^QAb<z<0@izT;8DJMj4OT^F8TuD_bo=dt#75MN?2
zMZI1zdC>jdf8#s9DZr!(dUbe{L10mp08*0?Jm@Hmr`GY`E!F*2N5UL88>B63Kszm7
zS#b@3rmo0VzFLs6hzq7Bf_0B>k@n67j~E%F=ZF!QZCe7(oeKh<!oge!wlO8EJx4ku
zE(lICCMywDt(4IqWA2$ea6zOa2+~Ax_=Ie2^J64=P$YwpasZr9hr}h3%+<l+M|4rt
zkb9VKIwUU1e<crtIbwZDIwUT6Sgn~NCX8P(W%&n5ao8onj$o|;Yh*d9Uo`0ws7bvA
z!2gJ2pvM@hr^+{%jt{e~GjOG?%hA~VX4BjNubB??61J&WNjv1cgm_{pOovUtZ7DTJ
zcz+X}|IDvPAkW7A3ZivxUg7<Kky4AKg7Z%=HlV0dFZL4ZjKnMLufHAV<}79`FL_;i
zr6!hd<87+uul#@B`}aRHVnto3ubRET3qv$owU=N1(%&^Sk0Yz*pa2Grztv`0HAf@Z
zz_^mEnu`wKgSJvDPIgrQN=bJRGewMH{m^ZCb4{{p-gjH{Au$XZm}`<%bLkkv8wJ*x
z+Rjv}87o@L7|55kh!rO(d0pG2!(u&SK+d#3r&7&@2p)JO>0782ZoWI1XN@s-RL!_g
zjO!}N>h=hPPLw!CwX~A?{vhg9Lx-TzhBo>yx}FkOZMwEtsh?cd?`_IT730Y_oOe8+
zKeNVdzLrhh*U&J<COp}D?$~<>LiiHj<yHB4Xyy1DB~myypvPO}tPr$hJuaz?q{oG^
zCFybWPn}c4Y6)FXB0DR;%l@idL!(_d3wr~@mF*C*H&qRBqTfq03}KcO7##U!(7hZC
z>>_$Rz1+~_>DxQ+*IIJV_m_J%^>d~zTyoF1lY4&gQ~u<ae|^-6^=7pG1m<LF1P7xb
z1k2eFRTgWv<y146U`#_%V2WE8WstKiB!I0A9M*r;nzn4OKt#u4ExVynV{I&3_9u?r
z8i+g!qTR1ObmdQ+PK;YA*de`JTh*j;IBrjQVnAiwqyNwT1fZoF7{QB@Txq^Ml|ONS
z9oL>|w1bcP6Kjq!phe$<#H~8ZNjqIkn_5Y;%}oNf2=Rmer^Y&`T<tG?+o@l8OqJ|x
zXEylBkaZ608lU{&{%?FU^odfi${t;La@GG`=7~N}ui%`jv0%lMRhA-zTV-_ir57A#
z7$s(T8Ij!B<6^@D;8>xKb9C2>T}IrE@bTrB_}1IUQz967=Zo)t7vQ2VzADNaTY(~d
zAm4d%uKsFHe(y9ZzXz$ijZqi7d^>je*Z;LY_BVez`g62E?{?YB^`Kwf9Fe=j%Z`q4
zH4^p}Vrag$E(jV>vW!wI_$0Lu<ooV|U^0WXVS013F|!kbw977tjuO@!kuW`NR%*#Y
zkapPx!QKW!7z%<oz7?chc0q*A2%K?f7LjR}Gun!F*#*rTf#BhQUsVfc{1%{A>JoH-
zxAst3Op%o(511?<#|EmG(R)62jo%8oHK4~@Nv2@SnmZ87>J{1=C60YHjo(tkT`2Qu
z^TZaG4=&(w^`pxHSSv?MSgZbxrcC(3s^*hNPmBxIzP<F2yKJA4U=2e*W!{nJP7n4)
zc@|KNuvxZ#ks+C$?2A39`2<G@KT>+9E8tyvv@g2DTIb_(=!@0@(wgbnz5u(griU=f
z1Op$M$0j}87dB52+<U0N_a4RcbYI|*bP@4%Z?-*jqA;A;$y{^*f(Y6IunT#!@<S`=
zS(k*<u1<8fS=msU_(g)7bxFJjEWzOD;3sS$kJ8HHlCb$eZs5J{)~6noq4*3gdDw0U
z%%r4_NR0EGbY<mX!q5e)9F*t3d~gAK5io#Z;6=EtsS$p#{377w@{52&RWAa!Z}K9H
zO^s-?Ig;s4>8D;cMksG0{lxUmDYq`6H>#StAlu;?qWuW1PHBI%K2_-}ZC;9emu#o~
zx9nGn{m~gkOA`dfTfW+<Q(U&w{y5(Z&*anz*p;-uxUT8=+>ZUFvn)0-V*-5)>2&aO
zs!p;k-{5pQI#wd>fT;^uKaLN9c87fY-qeZHJx`s$)Q-$du*1}eD*cBs67~6WySiX`
zQy(u66dvl;@=Z*QNSYE;BarG$j3C&=wf3saLo9{)6%j^ou^rSzi7P09Q}flEgH<kV
z83s}O?4V_$b6z6FBIr){6>_szXT4_5>RFC8o!{}*f9SV<*@qW45yd{ZuV+XITq~LX
z2=1nV!D8~>C}e`38=M`|{coYf#VFYta0=xGalxIGEYSAw^iCjSdxezS@vFgpPYWAA
zMzodv81rz6fy0d7Mo@Avej&&ErfdA)Sl@KU|Bd%J=evVef|03a`Sy(WILn)Azx^gX
z&b1-M$g+x^<<S#I>XqS4XHPZb5%PcTAA0JjY@3<1ZBHMS%_-*ndQcNiGY@LItqEtM
zh4ivd%q<h!VUig5%s>Qh+Inl=Up&QH1u@C-31{hnAD?h0-k%);KJtds$dF1Lm~f_D
zQ+D(+4{UJ4S$g0vkd!qf%4%iaU;HBR{`~031fwDeI}g9RH*63d2(-E#{j}a{YYZ-z
z`Lk)w^uS-BBZgW5zQlShW!bD1)Kd7BADx<(@6q_wG<xWMp5-bHPEE`A=q6Ls>K7AU
zW_~fT>6O;<V&ZS-O-;)$B|poX27k4BF}a;zOuD;I<b5`A_kJUUi%%|+`3JB-elfAW
z_xbqouN;jr;tRK~DLhBXb`XOFr}e?J@D(f91_R3YMifX5ebLGnnwnsC;KZ`DKPH%s
zI*;NWC12`P`(kuPD_^`FSti@DKYdZ+?45=H6TQyzMH|<=bxn1^S$1t3*BlNUe8)Kc
zZhf(<O2Oj(W#^fyGo3%qH~aFOU{<wS>Cmz8<z+ke?^c{MmX3emn6Y%i5U6grY^VKk
zzWM&|Fs7`EWjgT$;`p*1`^$j1*wn|XxTo-}ad6*!?%6Ks&Ib>%g@>~^zu4TtZ;?(?
zq|~ughtBSBeX&@VbL^Bzt3Rv{HrrdhmM>g<=Hgrr0hqaT;kVKaB%aH@?r&eUYe}DT
zSD{T9&6^V!TTZ72#*XCFA$S#>mM1C*fLQgF%U521<?`{{C95w#jU?joldi-HEECk?
z`aQm!SLwLdyTaJ(zx;>a_3B4O{ac9#Hi(qu9W#akh7<xL-fz9E?F<DrLCpjUR&BrT
z3`QJ+;_H|o5^-!-!g~(?Nz2+HD6WnRt}y;t_!jmnLw1Frc%vo=lrdBMR1^o9B%6sD
z#L+RqJ@R&fDuapvNhDK1v7-1nz9L$$r38&WDPh(M!O6T`?Y&gK3p^L`5X9UMxh&y7
z$fwp#X}(Tt!7<TAF$l!>Ql|nbIs5Qh0)4rR|2wpD{Ebq5I2f#iVDDC_g|05LMFQv#
zyokvnDbH6}l(sz?=&>rBCF$#AL1jBk4)__s^B5KodGUKCbU-e8owIoWcL5xhe{Yjb
zl;m|fe;VktDfZS)=0jX8K%CVm3K&ej)+ZBH==3d2X1!Tiz_CGIx>}@c1_=mhgEHCn
zeHAz>8~TCD5owBP;uf_y>HE4xJnox$mdKy^w2%I!_l0d7#)frckT1Hy4*9au5*%N4
zp^DuZ#)d`H4oXa8Z9veCie)~cowbF0(Kh;_OVbVub}cxYw$TNnX=k8ch_<l^mY$sh
z^LaIhjC#)nqh$wa+ZMl8VZ*qGQm5bo^(3kOe9h9b17KQjG)jdvkCq(_2dmqf=9_RD
zKDC~K@=jLwcIR;Ff$9{5Cm`HT`@dnE<CA~q|Hd~%pD2~UWCIXyp;byocA*ey5&@Fz
zOJ<y2ZrT)IHmv$JDMakapRfaqsh&@Vx4^}&c$oT037Yw*eeBn-efn?u<hM?@g?Yy=
zTBTYum`yc-RR}uS+PeZ2rnYW;!QB##0>k<0yC6^{1a}P^|H@R40mExkUE0F>UP3n2
z2*k;LOeFONF?aoAvZ+Ri5m$v-RgAW31uIiMiVUyX!p5QD%rTTJGztx`?#|WFaKk+L
zn(z+b7x5RtwWvYlkj(dogJh&e)W74Cj)ItMAavApH$05`r57u_nmACXXw0+jckZwU
z-OCCTH176?J7^1Y0Q!K>u(XA}QEg#Mm)PZNk6(ZM%H<=;Wgx>Mwu{PZL8Cunrrxvo
zQe)8C0{)un2T3OK{B;&-*hP3VX9C{#d7u5oA2;n@l&*6^Lu*4@88Cp*kysY@Vw4bM
zzup1VD}w#LvuwUnd%7p+%?2WqpWTZCXh8JP14)SNUSRCDHh&?vAhY0dPgiy?j75#L
z*mZ9NXm&4-JM?qY4?$A1=Y3V~1%UFLl_#xiJ&&bwF93=H69;{p?$jq4$?nDeuw!-s
zlDJ82Qbi!u?lb^q28@cF=c#LNqvW7n@&KY$DLG*JsZ5}VYjka=K@2up)zolXseqPT
z`~&@K9spX5e1W>pm@=*hL6X5foLdy&LGyo&@6v2iG9?+jVBr6vaL{J*7d{Y48$_w3
zLGHHH)KcE^Bm+9u(i@NB0IqI=nxaer5OI{(=T-~sp}!((4Cv@mEqLj|ThU7WcYte3
z>gU?n=6HYssk4SA%)3QPwP1dh=p53pzxV^6@uz-vYG?u&HBlFbMaMir=`V?za$QM3
z?AWMAK~UJV1@c@;H^y-a_6y{qMy#BDIINW)d=D;j4ez7wO^sn&u#iF|jum%18C9Fl
zQB;ksxEGdFSV~Zx8--1*m@DZp_-G)9AV8C5d_z#Gq_!gFl7ncRwzo`24?*z}{3J2W
z%8K)715(D9B$<k(a1E?6o^vW{$y>A1YDaZ$tXRJh>!>#TsiWy~bL{^vjpVo6NG`47
z8P_(~@Y-X2uHl`rJS~C0^%KjtMuX6ycmCZMKI^XsOQOPquWs8wN|jnCLzShJqDbY`
zwgFaR?GOy~vnV_l#EY+*@wk!XY+g|mo(Xn94hib~fQj4MCmSn@!ZSgP?xr6P3?f?g
z+TICKcz)<32PTN%8WBqUV?^Qkp|?BClb{~s-D?Cz;rXF=YouCbMc|eiK~;DziC{#J
zjgxIJq(W<4a*c5flJ&&s8D_8Z1(NcpT?RBHV&aZSo>u)KcKPn?!Gf%rRMM5TYv$Y2
zVJeVik#|ySu8v;aAD9ilno^vtB&!>wDjYWmL(jD<)9>V@3a2Jcu~SBo7YzAGT2?i?
zw-Gb8vcKFVBZ!)ayPOQs40=Gn#5i`T$DoKP;v9|*wpwPmHW5n|*h@PhQpCe&D(a{R
zV!+fDb!b#w&tl#p3nFR_1ujk|unMjYfeJ1y+i`qpQc0Wg4tvD)5Xdfe%ooV=4BgAb
zWa+3VHg_HZYsT`b+EpQ3L$!a}U;1<3{Nv%vYGC22-P0fY^$9Gsa8Us&>2bzZ`K}$t
zZ;6uYwmmvV-e+GC&M~<X@SYd<&%Qz$i>ku~k6R?0M8Dz`S}aY9eo@Lg!iA+G2C;1o
z0I69P4`}1j{Ll)_4p4BB%X#YyIp2j}t?410fzZ-t!JO~1jyz<X4p3jsidDXg3=-O!
ze?p^rC3(JjQ}tAn%@-Y*-O|2h>v^seSFvG0it4G{4>Q&clMi0bsL2(@CMCw<rppAd
z^Vk!`^d}uA_T6<DvPLOgimVZ?ZfeRvh7|hyd<|zW?1T#H0axZ~xOvMDIj^7k4IlQu
zd~#&;QPD<+qT}9m3yi@|pS@pgK@v@+U|&HW@$$JKc+WWYYlEH_a;DXS)Nn3{>@$+&
z&`0oJdV<t&E{J?K`Oir50v3*DMQS(~L^d6nZ>$LR7*kyK70!`H%>^y2hv3#xb0J6#
z=Ylpgk%FDDdgNbC4OawFRfoE!AUy2m97V&qB<6Fdc11(6B>>{s;=H<~^#^0VA_z@1
zPoE_Dh!)Ay7E5swBS<}ZN6&YML!AWyu?InJdmAOAU)J1WEnRbM(;d^%tNVjXBUJ(n
zWixggmpor*C;#*cq=0H#_dcJvKRUo3tCHse2U^{%THl#G;{4{a$m;$_^}MH5`GOcY
z&z&75l6_X3RfMu|k<g@xM2=9$p0B*^fj(DxU)d0LyESJTjnZWIf!ZK2?-sQNaA^>@
z9g@1g^;19bo4+wKVCs#uVUiJ-pxS?AVY!YhsxS%DtHg;ot}wQ^5zJxIXyBE@q<{qs
z1YS8zI)H>$Lb=1Kli_MPsG$?#ffuM{N%acWB5+x?W%L{-*_<!V3E>>%`d8;LX*86p
z!lcnyu7(A`w-TR-@njqIRSg1&4wZ8Ov(9>xR4Q1&Xn<J30!AXlN!LKFcOOfr_VjjP
z0W`~cJTw@TjfVc<&U+6$_u+U#-(Fw=&ojwYaWV)DAaz8Z0}FV_!oM?6z3In<eBBTH
z*WdL6QwgjCu|SO44oD2PIx1`CGA0B$AB2guGRvAdrhlMN(5{#@Gv+(7l0ZB-*o&GK
zvu57!5TQ#-44SGn3RyD)D@ITm(oF+6tgM;Ar)`-o^ij2k&YBr@28}F_NQt#Srq;|W
z6shIds}9`a`TES(coAcac1x=wtT_%NX_OX01Z2vsZHB&Q+><M`0ig7f!Meo^*0pEY
z&r2e`0XeGg|I%lk`{n3A)smOHmtMn*xwu;$8kehRRAMfe=HjAV6fbEOq!M$%Qz@|C
z8ZTZHSedh_4=sfkD_vr~n-y3w#kS?{qZ0FT?4rQB>6L7$#9T1A;Ra{}MTr&3QeXwv
zwk|TFSws*ec9y7S0JbYdTeywQ&#4Nmbf?8#MsJN^Px3Vv_tK9Z1=bGS8SR?+_C$dd
zO1D1GNo5;e-YR)~b+JIT=0Jyvs$Ne$UAq*@bIAYcvcst+J(r<EXruF+O|HK{POAcH
zcd^dgr+497o}Xc|r+>*Xz3Y`PeO+ORBa}^V$QXoqw`j>Q-3_SpBj58CfBL=21rVI1
zm#G{JX*>|9&#lSaPWL;okm3RuyfS#4Kt-+|eF%z;aY0ly1Ud!CB!Y!{MaD6HXmo0j
zY!_v!6Do_1AmbPpJa&7MZ~|1i25hSl6c@k_9iUPyt;L!+M{xoC9FJQv$)frMW(`e6
zg`l_qCWzdZ_I83KqxWOf1u#kAVy&PBQjZpNt1f`A2_AVZKJB(PzsV0iX@u3NP3L&j
zFkS;xTCDsNpG8!XIuRgU;=62y`MI&8vN=a4_M`N;;RQ=m#hL`WQ~`k`m6mcGq*cm9
zlkl(5&X8%A<mn0IP+J?3p@f0_VYA6OG)>B_l=eq4rJ}Wh03P!=zHCRCorUxYxpbS;
zi40VlXrj_vj3U0EuJqgavx)3MUX1cnY?M_EP28fzDBq1ye(_`9_ufAeM)|6;&s?&J
zF#;*R&QW`rIWv$9h=M0OU~XZXxXa1KQF5l7T;wT8#0z|MkDDnc7tv#)W08(PE4P)G
zoLoGjV^bny@Llw~EJ=-5el|wN2t*p-&E8DKs_cU#E>SoD`{C&7I@ls}Ck~}CD{6h~
z(3sXu|2{7PS>&Hsg(VDSd{TE{2t=oc^2pe>ZZb@zt+9A&a_RNBlU|R;Ec>%~O|xvf
zPw4z!nsPy7ETeoMzY{&FfXlqO=^DTMQ@`oUKQ_=9I)2O3<u;598t}kz4Cq||FhOmE
zePxi&A_$gX34s|ZYeF^zX_j3OiE5pvi^*k1JRE|Im5bmBfhf%rvfS2y8G<y+E{J|5
z4Or2KX?Xb%q*->s0<!3E3YyXnL7HV3+=Ko|s)#_7_U8N1EW03PzbT<Y2gsy0F2#xx
zE4ySw{uoBllVnU(Bu^(?7v>07CvZ7Ss{}CdtGBIi$t7Lxl1Tb%QzsJe*4pJqpNy)D
zt-Z9!+RKRiqC~Rg1OFPk3?{YJFb^Rq!+6K1%8ZwlpX~2|ddbapYtCWD7rI8`)Khjq
z=oxc#&U{!z=aOwmo08jdO#DMogk5X8uRXNqn(Dcz_j${=(H~nj|L!aA_@PgW$VJhE
zo=!9@AxdY~m)9M)ma4O_8q_=9dw|85)7!4P7J*F_=_?w>3xdGWx1erbau+PAI%W%1
zJIA4^B3%$9Ek+B!-k2^8L8{0ict8SM5Z$1k)xMq(q>6Mw5Wo=vM=<*ao%c+6h9Fg>
z3m!H*wDX7{v}GeGD$*svFRwGqi4m_QC)Js%lYWx0D6sND<AORM(Z6M_CgQs2Q0sZ<
zvH0XF(*KQb;*)>p|He1*Nl#`N3+}4S|Ah)$KoRF97{!7n6($M)P+`E3;k`S%HPN2o
zb5+<9ZQNh9G4B?&W?;d7-wyWs6TjyVz4RjyZD@4v(T2t9NJPy<7<L)^-Qucq5^xlX
zNK;x4z3NyVBgtGJikOptqfNJU5^w~wn3I5`P{f=B9EBo^DUDw<hDBtw;gYEFU4=!o
zXu~BB8vr0_$d4e~Y3YC~>^BhXWX+=_``9wR5o_k#b2<pwE?V8!2dg03;TY>w_eUr5
zVNFC_>O8sR&71=@@>lecxt->}VWH(yaSrUmJlLcPzY&vX&<j{5&$Kl{srjWSKsusw
z6d?0cQc(}|0%sNV#Hz;r;7>R!>>&LBk-BU;z1Ti@p7aXmAic@yh8B(3ABDbZ`kYX}
z<sZy9J~;_|uhS;q-ZZXF$PfH!e26VThrEi|ZnC-TI@|}g{J9Rs+!$%z@~v@XjP$dA
z;A?;6uTI5CN1!R85r#t_dSD&{jJ6P0F%o!Hq{Px4j;l79$}!StuW^o%Mq7w;jD%Zz
zbqjHhkw#mHbBr|FLR`g2dp=hhpKZ}lTZdgz-SI1FbGy**I}q}T6I>)uLi-I#B>UQ!
zPZYaLBFlB7E?&`oDdD8sO`DFY$ScQ$=+`^X6Xl>ym%~?CZ4an!(ciZvqX!)h*DT|d
zRj=STLK7)mBdcCMl1USBY*q#$GG%qIc#NB>Zk%r(+)3440azxOY{Usjs#h*gglocc
zvxIAJLI!WsITHr2wMa|2c01wPXMg8^^mD%{!ZpP>dFIm)PpAp)VK2n0Lt}|Ca#UZ-
z&gla&L4DDp8EMshMc5w#CzyeOpWm!VtL}n;D3SvRf;$ilHG-le40(vCg$-dO-DD-J
zhGx>L`-&atxCNSt0+me%v#$_}h{I<qnz1H^Q`;Fbe3Vw*SBw<t5d+<uLyJ{+Nsw)W
zXoES@lc#6n*cFg+zejaQB=^XYwri5_!`D24wnQaS?NY1mYu-)3q=v9gtTr*z9Gk25
z{a$8rctq6xyA+0=CyeurFAUiOJ7EKQ7>>C?&%EVZ6T_hAV}5x5=f5lHkQDUq*(_@T
zc;*Di7V16gXAYZFuR}`G&ekAhlm`$*6`ATWw>^n^^nNe&tU*UX>mlfAJE=rHddn5G
zqxQ?LF`=hfQPiUgc3@dxvH6H1`&g?P6ug4uz!A`6pA_}z=Lj61l&?{75;R@@F`^#*
z&?~4#s<H#>*zJ!|^=Oj&!wGeLo+R#Adwo<rnxyd)5HY>B+PU2gubunNL6a`&khtbn
z@=5tLt5!1%O+-5{E;_PV(g0jZ=G%iG!ZrY`xd)qFbJfL>^`oB-#O86$BRHO0$?67S
zEjdqKrJkf1F#qiuV}pfkhuIO;nzI#t%4`|)Csnp;_J;LS^>n6K3eg;tr6uQ9(kc^R
z8%nv**psxjHNC0yArmwlE;V&0UtQv>W)YrtpE)nbY)uHJ5cd?bA)N)gn;`8?%*33}
zIm-0L=`Nuzh#-@=_NoV9g1vJinEkXrqO4<u5|9@@{a|jVAdRniZ0hClm<`N%NecjU
z4>C~Xf5f@sZ06O)7Fd|G3vl*koogzV0^I!-;O5<;*4!=;-R(qlfADL*Ve_sjmnA$A
zWDQ$ulU<h6X2W6-T$U{;2rFar))%r(T)N{Yy5li9+UUn&;1!f;FG}mO1pT;$vXvu}
z@6N4s$6Eo;U6ulK0z#<Y#h+akn;=0)1UpyH#-t-cW1Ot|2O|CWK+<@jA3x85k@{sg
zAjqM^KT8fsidW!q;OYXr<_-wS$xn*|@@5<gxi}z~?|Agi$Cr;%r{?3!cU|~Et@^7u
z^a<w-eEP(H<}W<|KSiNflP_1NlvhU^k7!vMSVJ*!p4Z(S2o`0gcthgWs)@f!DepY@
zy!Ba+v0|N4wh7T7lret(5Uf+m;98cH@_sL)S8@WlN-1wRdIb4^{c6?gj~5-(ODQAA
z&}MZ-d6^(sunosMFukfuDI=N9{036WNPM?T)+uF7-&96y0C0P0>MEsNhDhy@U#YJ7
zq+!><{0e}se%Z(1XvlthDP=v*zJ=<bWl)cVZz4HBS0w)z-wb_fvIt8``5{^fDkg%v
zNGYq;7JA0?D#NA=V5r#idUHm4m?>qiq%0|gWG-HOcQO|*zB^fxIp*TzlOEmT+;oJa
zDd>q?w4@a7rm_D!f8o=A|9huW3RM+^hA2m^IcSaC1F9h7DFyP<oLdEHiyJv&?Ycc{
zrCJ4P(;hj;emZW@xg&Xd_8itfCPfv`SkVA6@KhB<eS|qx5bcL##R9QRbL^@LvPX$V
zXNqBZHSKi1<O2QjpdOq+R<Y<dr@Cf<@IT)j>zzc!0tJhnWX||-Qnn!TK${4H?&j#Z
zN(H<bNTj7+vH|$Ik*sbIo(L(<qlVw(FBAqF|3zp&#p$uCgt<iZRhsRi^b-)Y?&>1k
zN)<%?kS_d?A&<hGl_z@OC&_EMS{hXl-R%%->v^LJVjr@P6Dv*oFH04K4`?p?$P0hH
zDhTTwOPb;F>r0x!BZZ~TaXWR6Pyd2n`1^nFgWvL&2hTk#Ok)x`w`qogW&meiFW|1y
z44C+NWvrjm41o$82;oy~0yYC==Or-T0eJwUm$L^s&2U8TugB%ac^>(QoMt#-Y^kn@
zqD>u^*T^*t(dN8BQUGon@Ys2_k;XzyN4AUc`K*9lt<uvtFmH8{dq%X;HmQGgxkCN7
zWCF0470_84pAt$ywo{TCJt~>_4&E2S=X^YQIMVUBo%U~v1i+gBIG*=!%vC>0UNa4%
zjpZDmxn!Tx>B!6HIroRSSg7(}qpYuquZaM!hX7m3`uAAYpLL6t82oNx@K5>4$Di_<
z0o2w$E=M9-R~JV9t@p5E2;K$;a?sql;OLwUnmZR9owGr6=YohZ*|3Co?6hr2=HB`O
zHCPc$qh~FlZ(kwvPjlyjqwTWPaYb<U<c`tK)=aK9-r1V_YR5ZUnN|1GB%z;J<!f}d
z7DKF2U&8E(dDg#fE%K|)vfACNk$SrCwPRFW{thzhRvyQ-F72!fi_dxZ!q)Q;_tZ@_
z@OkM)M=Bjxw~O+4vk5N)ow(=Qb$j^Fwd*rd_cS#AZcQxT+9V>of7e%h$5;MZs!|HL
z*7d0;nNFB73)h_Jr!{h2b>b>#%_65cfTzkyvo{q?3ZualvwYmId!th-WH;t~FuWoT
zdLsj%s!XN0kd6W0Z+42U9vb)|RZ5c_8TirUG{+%L`S#od(xi&is8V$!>1oxIO;(X=
z-Tza8tf%cS)&cuuF5{Xn=>JCUFFxt%4Gj>{gF2Qvtjp!$<)&tu_{;~OOl_1SQA>_e
zB(jYt2YIrpb)O0cVb9@2(EfJy;8{f%S3Bgei2bIROkG<43GV@$VcK7wowPr<BaR_<
znstFfJ%B)_3hP8$rm#-7)BejKkykDsza4y<GJN*(CDZ_4C&A|BOE11E8K2i*eev?O
zUMBDZepKxOR(d1BO7HpZU;l{zYbva&=!!IzXMT-=mE6VeK;J1~rO8pXV}V)@tLBfY
z9pg31VO0k{4GBX|tG)O%d8Ta+tGexqt+(J~L20+;ULshj{OV5Xv?93IykuM+aRXcu
z&01EpL)U71AtldNB$Y#v;iNWr<+GI1rc2^`(x^gGI;fauO-Ii`Z+ATEWEB3ZP^l!D
zoM9AmxA13Bu*6tP8wism^ZhxjPzIHY1S(mDrzOc8_Z~1_63+&%(uxmBKF`@nSvAfE
zt^$#(Zri_4Hj%3hyZt10ZmHQH=hRS{A!kF6<{8{L#GQ^z@$hfJ#b^?t>OmxnuW;bX
z;@2($+NbW-MGz8;<YJ(GtjhdOuoI!13ocRGA1=~4?Oy#va6(Hzq{HNNMgp`-uQ;N^
z^zHnSDfTK%glE01?%hX!U;XFb_rK4WQ2vIo)OEW9sfPe70rhLEC@ZZpTkT`0t*ZSs
zsTZ>P(c+Qat7lFa9|es@-G`v95lqhnwCqU6uU7kGDZfhXkLLU;wLi|0m(~9Gyxy$#
zM>z8CO+_<mQ$?FY!BDmg15HJ@(2qBEfNxIJEy3S;ymc79m19u_I(ee*18YM0bD#Wu
ze|8-XxmLp4vVIKg8{nCdyqw|aM|ox>@#BJ;pyI4R%<2}c7e#o<o4Vi_&kS#)?e@$l
z&rB}s?@ypx69;y6vf=1)XsPA;q0xaU4mtQa!HHKR3Uc=VJj_m9%{>PPH-eg%vn9zx
z0cDTO?hc8|R#NhEE~yg`DA~!Z;tXd{tf5Jw2u1V(C096&e43M5G_+se$&K%tESjpr
zbNyUTkNLl%Vw?Z-@BH89YuWVg{NEv&_#37ABm`M^E=7)8EOOYWf_d!&Gu+Ob^b5>b
zUTPZl@=rL;Lp6=vfBfig|7z4U)T8s7hWmF1WZ;Ec21LBb$=8lx_M1vF1I#iuKGoJh
z)+4)3d(iny*5d%gur?NjU=Eg{I9Q%$!?3q#g<$2?t`96GgQS@t<K`TzWQMZUkTcfF
zykf<i%s3(9mxvw>O~>|ES6=Oo8D~i+=xFjPoset9SWt0WAVC&Xb0taLX{RCtVgfEh
zokTcjD#=_U<{pZv9vCPmIBj1OHbAMw4uGKdk}mb_K@-2FD4N-GlloXytLfq?LQm>8
z(R6)<%&+9HmsfkWMaHMX=?<Q6u&p!Zym7(&UufZ>Pn1k04bMCHzu*d6yxQCMYVWP0
zydcHy4=H9k>P!o^0HbdQ82!Qj{$F|i`#)#`7=7qYE3^%xtr}wi+7O|NpfnXu!Bvk}
zGe=N+EC|y@0#4wuD!2cwFXRX+1xA31HG(;UGDdG9;FK|LIpnA!sMUrX;S!sWIlh8g
z=LiZv8vV>7xa<9xDuUVo0mdJL;LI#`#AH<jbwU@p##)$>uA`Y;a?-jMi<c~`n5Ot5
zLLIe#cYy86CX%18GLBhpNGAS9$#GM<3^O`!u!02G0XEQ%y+{xV58e5ubY7%!?ezS-
z<%a^9U-`!G_$z-W8cvlW+LJ&|Qvd!iYXEa@IL;YL5sVI+va!=Qu&e)=(V+xXVJ?VJ
z_UfSoRADYSI+Q?Em`jdNC14;^BoUpcTHJ0C`%ux^SNJ*djJhN!uGqT^1-e^Vx+qD~
zz_=umTCB=Kd7}eIGjB<f7N$s|Gy$7MNgoZ9Bxz!bBu3GxLD(>mY|fK5#wCxNod!o}
z-<4+D>KD<-xFm*WgD#rCXiF03X3czy(C))N9iHdf3|u<Te2?yW&Vt&Eupy$N<&VQ<
z;ZWg8^4wCeu74NA&iZraj$lyw&QvZHEt%@WfQ;32o<b&(c0lXqS<`23O2;WR)^vQ-
zi>uBYCDcp>Z9Yq36}B>MC+&YE#b)}!5U75zY{&k%V0k&cJjKXh6F}JWjf_3|6Tv{w
z$Sm>N{l#nZZc%Hfmw4@V;<Z2dyZ^yEriah!2x!DYQ^RL>93joXZj1VTW2Uk-Efm@u
zKHJ)}%9w6BM4LX$<nUQR%fs#sOd`srbPvu3HP2JSXCaArjW!pkh33c9hIB<P3Ng8T
zGfuVVX8h?LKC5<fq7f$tVK)iZW|-1klv?7ory*XOr>7TFI==(+)`_a--J->m&c8+H
zP*48x|KNB2A3qQUP#p;G1yCCg4<PA=97BTqv;wGoWoYgqxDzBC1W%akA=W1ZX-Zx2
zc)&;oSrKzPG|CS_no<|sZ!x1s1mQ<(jx+=rmbzfK?hXhc0m55vWfldPYH^g++g6r0
za6zmCekxc<FjiUg9D)o=UGT8p(&n<I<%sB%kwpm7l)9jCWI>SBl8vC`4P5es5xH0r
zJ_+#Ow9c4!Sx!=5eJ+W485|%57h=~T?c7K*40B1#B4bSqRpB*{HLsOURXaC;kk&6|
zrBhp*tGf=@CFQ#(7W~@A)gvw1m7Dmx*`)M>9jQXpyQH4923dck+XmU|cEs9xOFaDa
zvQ5srMT-%?8zcTrAN)n%^<PB&Qj5uM#2x-JIv75qm_{ivXvBTR!x)mDM%)EQxicyh
z(-_@Eg<=|;qfR64haM%Hpb>Y$n{rL4i3<#7N(Wn)k=iz!-ka^%fYBQHIxT#!!_pnr
z<NpHQgZCL1urvUZm#OLwQjlw8UrD?~;F)&}!#4tfLQ1znph~yOcBET%ByieaCg|q<
zrN*5O$nCU$L)1uoQk5=l`=4Yx_GiCT?s!If&{-YV;^D<|dU-ru4C9#)aOfYx)dieS
zAi|jb1YxvZou5p$=lfjaITw04Z~0d7lTP9r{_}VJ^kqaITKe>gr4>TqQH#Tj9}e=;
z7N<~wF5o5$T>^OLt^d&0fcsCS<trXQ0V|QmehZd4an?gn9zO$R9}epyA`fCIaLXFO
z47#wgA<vOB2pK2Dit<1@%o~FQ1=QF98BzluYBstf;NTK@U}zqw{Oc8|wEV}Q%M<|z
zvwfh8ZSn0j=pqQ}x4k9hx%4%S&;e_LE}}lm$#_Cl+%))6mE}gThN90#^qsD0B{kc~
zL+pm5io75{H#)X=(5qx(KT3>4G(`O+?IhYRYbSXQEA3R#z~dFiK=8c79jh~8o=Ybz
z!|l@XMImJZhhDj>;^l*SJgYlYFd0c5%H?r&_z+Y)pqDsb;n{P1uEJZYXZKe<n|F&^
zqp?)aZl`+oum7FP&-jsKc&B-snEcB0Lt=Q1Q>fx`(nOW<bGYF(LClJEZu<TRSTVeX
zr3h#hru+_@l?;)|hZe(Yg2xk^y4V~61|;SNv!JL>Uva%d?hs}Y1KMEIYe7Z}&Rzw3
zOA>qdcxY<VTw44XF}!~0&H5+}9B@yhu`C~24X;UpYN3;p1UJ3lnJP&tR6n@EdU)`S
zq&h1}L0<UpiOILyW{h0J<8<7SW{Q_+hY-E`<+F{sm_RkWH)Cf?3k{j`Ftzb%jv3ce
zyYZA;qf1y1&?dBD3;16v76NHTO?DDt0|;i5VMUyBR-AED!`w{SrC~m6%C5v!asFhA
zI)~Y*4cp|U4Z|*^4%aa3`t&b`{pn2unkt*PMT=p-n>672KJ;6D@Y!et*TSkt)Q;vj
zDoqfg)zWKhUs)}$FStbmH%v#ydD2xcf+#zoI!K_+u?I(`VRyms0M<wApol|LN4OxG
z4mJ{c188Ft+Cq?q-31S*Gb*~a(6)zQ2AJZ+42lYTj7HQUNW<<cqBGFYt*AWqaIhH`
zvqu{tTmS-Swug2}iKu-|q$F6w6iI-A>nkZqpdxwfIQE5jprg1I`I<|(<dUE}A(13|
zN6=JRtvPAfX;j5%rX~vAZmNE2SuJC!8&7l3xc-J3?7CQWz`Rax+pUzY_K>`ir^Bk-
z13h6fdI+7l`N?_9w-$-{$?y5*&5!-{2$QtJ<wX^%ccD7JQS2ZTk-_`zE7PVfIErJS
zHg!SJ7hzI`gdm>MixsI&i{R$SY$6iwJE(Ng03k?i>Vh_(iU5Jtx&<9jo4Vj0gls}2
z3m#eF84o0Z<|kdSQ)UZ-6UeWTAqQ`Lf!fps4@h*XX#nV=6|9gEXmNuQr9SI+k{iv(
z7H#Tl?$Ng{F&ZY5*?e{T3YT28sY_xW7P<4_`5tvFSO##2Hg(C4*N8{QKvdJ^zUC}#
znj#wukyKk+AHikQPmrUUh;`B;Lk$FtV3Hnc9JpHg#uT<*0BZk5jbaIuo`yil9^G?Q
z>XHGyzYOTSThyARB?Edp8PK2mg!g<xnhc^*tDAOD#|4u;%uJhI9L|6qb~48!=k27X
zVQIUFe}FtxLu?0w<;odQgl1SsGN7EXRp%?~45)=(Xdsud)A`WD8BmyZ7WJ}Vl>tRk
zRT73rlt0yC$2sN<=pN}I^Hb2c*sNG(K#wa>imOL+6yutYt))l5Kx-gP`MVJw_A<X>
z+WqEq7;!EU;^DCCrGCY<yX0|;$u2xPd=YaO{0B{%b~WNt{PYn{&B8|NqOkDxAvg)I
zw%PXr^jJcrr#w`$=k{E?J~vdFw|r}p7%IK(ZU4iMeO?R}R7{BL(*m%<cwFRa2x-yL
zyP49d!k?{ATfEyG$wcr6(<QbB)Fkz3S#gb8x~zCYhNTgtK6OEWNXV}T9RMJ^LK<R4
z>QfiYK(!w26!obKo`47!opwT`r0JJfvBIArun;wQ0<&MspiO=1E1I5?X;22xh!sVj
z7Rkb*by{18e3DEUBK%zFJcc#L6)Z|~fkdDBn)~&MIXp-r4%0UEv}V3LsNJ!p9Fm7l
zqRD)BWPFaVdD;jYI_g=cZq@#DDG?M&ogEFyHBdM0dFC4gVl@raaQ%`0s-F!Z0rfTa
zh`1#+c*Fn|MGmE3RNW#}1rhv_zPIHXyMcum4FL7aoJ$KM(xdyz(PD@V!(MdZAuzps
zbBU=_5jbA%jT%h%8mgcTnWXp|&nCg^`vIX6jMs&vbw;@K5GKt`5mIcQQ+Wa(I2zE?
z0na522Lz_dk)gr88Wp(_XDKi|vK<%}l77>DafBlY$g9fVL_<0*SOzTW<tddjY@$6q
zs2R8EWq<GxTjg5-2J6ikMu0gsS4VecW9${2SboUHeE)Aad{XN9RS1a}HypbL2b@`P
z;v_dVDbK#LbX*Zce~tD0I<H~~iqGySz_BT};<K9|4ybkh{c0ss;4=zPe0CEY9fvDE
zyTb)R9Ri(<V!)QH>U@ym9OW_eLmy96^CD;}IRwRLH$kXb<7h$^q+L;c_Ht}eGeOI<
zomlOGFFC0bLq|1e==dV?VXBtSbDYaMPJ0*{DLzps{}<oHr~ExX$2UWtC^4$-U{TlA
zKqVojO?WyDOhS3|_!05oj{^(%AceL8{uqG4&^FA!RoV&yz?Y;0ay#~K)iVs}S$JmX
zqZ-c*?KiI8YXG6RAaOc+d5X5f=Cu&d_MlGam|jI;Nq^m6`fJ`TYR$ls{<@v?*Ps3?
z-}q0?80B$VONJ%;`8gmcq{qkyp=w{d<T+f!qza5yXI!`0z*N?PIb2H<oM5mT!73U6
z-!O7J2q{m9+#10gu5~M7?_kalG4;X*>O_wkEJepy0MyLQidDF_>y+XHxvf<%V4@f2
zOQK502#|ptJcDLQNq@N{Fo#Tku|o#suvRjY9>XeYYx421F+_na!Wvk<JBYb0u*2HD
z&??^^<F{n6NUTJ@J(DJ11BhwdznIlxX?3reQIHA`rOniZKs;n0UQ2d-j)k8i6aIjC
z0iG=D$2>iwzRYnHKRLXR4Y!loZ=4$Ofx`<Bim-@z5K)zP3z&xV?VDi8(zk!)*ZxcY
zZy>m|O5}+&s~N#1-yEenfV38pP<&DCD+_X55J@o?JQ#Ot2=di;!Q+9H3DBb_c>Su(
zLXbDh1xe&5Djc*$Th$RE$lK_G)@6l^4raKit`9-p!6LW;@t_<UTn(xnLy(%;1xtqN
zbOPgh^Q)<uiy+|BlKA1}(X>;nSYZxuMEnR^h2z$m%aSBrRbLaZaYZMfAI%1iB*}`6
zVFlzC*M!$C*Sx|pP&50Q2W00U30TIevuaRUGvA(W-)S(0GKr+Jsx|Zdp?Na|2gW7h
zB2|+41|iR<NiWcAh}90sv$Z`{qUzqjkLh;Ac}4TPwpD?g?6SYST!n41wkNz~C6(>2
zlJzuKlHL1I;351&sP~~y(<;A-!!)kSfuBU-NdvZ{ccI3r&RJy=taivM^TwlhZ<;2P
zKrsSOX!P5gtA|F|^x{Lu<LUwjDVYi6wVF+42%!Kwnar`CZv*UIJ2y(4kw;_L;&1uH
z@@<5}V#+`Ij<0<8pO|XqkC7zs&TD7wtuIvmpHCVYa=I(`548k=lMVUO)G_X*9)TN{
zA}#Jn&-bjn^9@mCbZ2q4t?QYrIOaaFC6$6FM;eDWTYKkgqIg*+SodlV**gbvPC0%U
zlhg&D+1f312gZh`jl1mTuax5xS6L-%A7^oi?_Ajb9a3HKw`nDYhY@$Vq^=Q0EZzK7
z_N+<HOYD~1Sn1FTzg1Lx@>^5<1|^ohov*X(t(Z_Mz0B5Joi%UyA)WPa{j1;pJKqvm
zSxxWslk)&=(AAqv&igE3bl#hW@#J&e%inDfs!|^e<=m;WY`167q(_)8(%Jj{M!n2f
zkvhu-QAnl(#pd_D<tRc>0uxJ+<34K{bZEF6b(XJ)u0OiWNX~<yKsB|mIH`*G&Z?)g
zlDAzyujO;-f+y3IBC_NA#T?Al1@cUxgCwJ#9VvR{IiW2n_SgZ9cU8Gi3evTF_M>{S
zy0ByA<H^GjewcLnrFXt@{LW3lvr#aY3rTQNl?x$I|6XpV<JaXv1yh9zk~YGmg5=`q
z0}K_EzMZdv?Abk61ue<-CAt3ioiAO`Hmxr%UpnEzwT}qNJ&E?<b;`W_;;WM9e*M)K
zFJF87`r}tFAIaZ!hnHjDmtV^JzVq?ryRfqDjIBqhPm<sHy7gDDUVh;hd`ay0>SJub
z`1UV}o$=i{gqr{O+t<J6Tc=Fn8%S$2%2tz2;eL#*CY!=k`PNjzRdwnU#wcpWLpU!P
zx49|Qwh_0PH2ac70eq>knMiL_=eZ;r{}tr}0vzkVi6vDXdPN&a7PIVYz)|aiE4I`m
zfrI0eLYC8y7`~V#CoQ%b+I}KDS!>IQq@EBp>vau09C!KTKED6ke4Um*7yIKY`oBXf
z$KNQGNN_9*4%e3d1Jx>7TWX3d83C9rs`zEeqP8X!Ciq@!*lj9C5IpIGr&2NEGK2?0
zvwBXiy?7|eqRZt86D|Wb&d+YxOK^o|eaA~*`r=nW0rw(>#Y`_|`UAsEf78c&(y#tZ
zWZ4w^?3ETnLL<$@sY#gOa=;i~mJ)L30<&x`7$i6oEg)!^JZkc6r-<CVqP+Uv3bEUu
z{>)%`pjSl9v<dEa8<v;Jma~x>cwSM=v<aec!TE)3gg8o5eHN66)ejB4t_iMX9!3_F
zc*F#|?T$?tq}74xY%Y(QX+O#Rj<`IQgLa6o>kFx7+9VG;RUSbRPJ%V?IQXRTrEyYQ
z8o)E%){t30E9=~l&DFmRmL%jW2GDLM?GG=fT61kBU6B9AH}NTdf0axvo$RjLTmKh!
zSF&|shO`wknXz6ZEF?B*hlP9&Opln@`L@kY+?eZ;&*@`)uHBuRp)-9mvHVbm?h}67
zKRk5Pm1Uv7LL~~kQEk;W*Di}1BiW|D`BA&f04YJ%zNJez`o{B*M)9`1OMPd%!Pj=4
ze~@~Ob+ZT0|7K^HpExx2ov(O6E>BuCpq#8hEd*1635pY42UJd=(lF%|g4B1uVsP?f
zN!4N@DEiJNgOmT@4SY#5SC+xsiB2~j9JZ#tk`k7bHT4QfWm(3TU!!6x3Pn|ym1-}e
zWGi1%6{#NF>c7?4y8%TtM~~?d?<F#EjkrW64>xxinLJ%o|8w=Hy-U~7pRfM8|MiEy
zI_9hDLhdj6D;j_s_*e?yv#(lYj?;#~TuA#^yvthQ@D&4@vpragTdY{?Pe<lpd}@n&
zp}*)W1~Lbzl2#*E`m-Q&b{u>yRrWHgB<s)6$e1|RT8*qf1HyAeCa77l)}IB#1NOxB
zLW;jweon#gVBn0Vb>ae5`ZF**z$9r+I7w#f2F?=2@L-;cL0^+(Hg5^Tvy!?}zK_!4
z=I2>3JSU{pdgqyMP+)j=sO^gfoQ|IFP+)k_HqGl9N57G;tm=ARo=R=SpwHY|1{Hmp
zVLT0OqgF_g4=n}YR84}0t-8I?ZgE!bhp-u<eM`3(a#0l@z91#@0r|>DvPjX7X@7Lj
zRRq!kmdyL}@k#p&;d9y_u%IgU2CPk0ev<9jU#e5Fsh6kt(Ad0BWX_Viy1(3&DWo&)
z=+eJ&JN+A<zx~i}n=$L12v8=FFs56Lt#P55FXBvsK?n&vs#>NHq_Hc4h3D~buwers
zNX6uWh35nV$%K_8vl!CUxgZ9!f<6ohB*eAW@DM9fRk>gxgk*@OzDNjCN4a1SLheuy
z5*H%`>5I5v5JDo-RmgJ)iZ9}l!3c?=4C*%|Nyc(6c|7gNHwN&?c5fa=k|h4eCBZ}j
z$S)<4SX59*lB6%<l8B1QagAcs2Kl|_2T4vRBn8n6N%Zo!_fdS2A_;nFM8+&|!P9Bi
ztZophYj}wGix7RblKBo9Z5<>5PFE)|{i1w}(Aq*Q4$l*e5bc`z9tFqc{$Ne0zUH0y
zHz_*KO)2UQ?Ru#`{rgZ(aLm)uy(|_k(e`a%{q(4F=`Yo}Nw!~nne6Nco-GP>J!b{x
z{a&3F6y`FiHe3BGtjt7f{W9zQ^;a$*za2$C0vhmtnB*$Bih`Nw11?w~6ltv$3U0$Q
zEK^_&?kfll-B)lMW#4zc`0fnWc=6qVw|F4m6|hG2)f}+KGNASfx2%7AnJ9kR@iebJ
z`Mux&=%0JbTOK_3EN!h~R6X%xh*dNPFrmOA*uBHyPiVn}IU~2w+RT}q75psPQa{I0
z+&oRG3u5dNC$j^`xI(o|3}mcGOIifCq>+OlQwnPFLy(5F2zKZKP(d`|HY<v=<B~`C
zfMW2`)@qy+v7{tkiX;-&a&S`es2NX^3G9rs!;~r>9aU0mLrao$c6`n5h^nZZCwvI?
zS(9Ydt)OK>_UR%q*8U<oqrN6Q25tRCl#QMM+KjYjzCjp~K~5)J&egV|lbUol)T%#v
zQgOvSsv8F$J+YL^lSc*o^T@%wzCdw29zF4-$`gF_=A}oM@z=|cy$peVk@C?K^hw$J
zm;Lk$&Ss#GDoT&>sZHp_H$$H&Jyx;=k}Ey+7lo`Bz0F<SvH{8T*k53P8%PoH#-Y2>
zCOxM+nx6ZM0~(p7vIBO+rVZq+FG#k+c7)_!Iqc!$8=ZQr30J8+PZxmG!WZSy59{7D
zDp(<36I0%Jo_L<n0N%bKNFBwRHeVZ(SX0A+boBJxU!2It!lR>*-d{64_ZKGwUwZTn
zdSII89==l?9Z`#MYOQgZTFHEm;M*IYSc%utc~<vm4P1i!_8n*5)o-0RYJQatYw%ag
zFFLIdmDG~(+2TA|{KPK;y%Tb+?GuuzkS>fs0M~Q7MT0<IbKaYLS-;8H%q>9J^yFxH
zlkvCAlaEb*JNeOj)8kFPj5nDdpIFfiAMIVmZf$76Kb3C>-efFvmv8bHSWOLYgQETX
zUvN!rFJOElnAmm~c<xg$vE@UY5|P`(#de=}*j#L@%q0Zc9dhP!d%D=>U|_qy*jB*+
z1nT{{9jVIQ`eKJCt7Klc$BSLXTmyk>f7wp^<9svE*lu;Ps~R|WP4pz&u|F<YbvnC?
z9p343I=lVFu8OU8e6`c{+u?jO^UrR3v8yt-5NLPE2kdaZxzS>GxajabtUhuf+tq_-
zAyDlv+j0Kg=Az3~N4wKSSN(nn)cbQg?T_=#_rF_TbQNl{;{{4TShi#T9iOMz)W@r2
zp7m;#O?=zY`yjht_uwJE?Ygr0+_PO$h7TTMs|cgpl$$QhO?i&X%AMR6->j;T?|A(3
z%XN4as7r=M<>e`Y9`alvH+yw@^>bFwa%?>P3;)Vzz4P-EBu=hZ+r))i0)9xEKTIA|
z$IGy$mR7Vafuo82iIiOk%2hPDb?~7L%vqJ|>w>VOVpE2Cvv?2v&_{3sYaR~mUYawD
zLyKPV6+tDSE27S-Rw@ML1u{V-;Yc8g>=uyw^{<|1P~0)3QzXt($^5_-jL&Cbz%}3F
z4xMdPjE+Nyi>q8Uo`nB5Bolw5RN_H1tAO`gtpdPPOA}XRi*BKb>)sIHm6jRSU(_4^
zu7#oz*;a*7^ow45tw>SL{0rav`~KZujs_X^1KWVUkV+xC>~TdU*BMD<Bb5SOlZ-;l
z;T_eC`I&&US)2|>-xOE)uE}vmuc-I8V-$&Mj}T0z0)kEfg?Y`bkDJ_P`0CC8bvQNd
zsLoaKa|{Bg?qmt?ICKhZ$jWH^Pw?H_bF2dT!<I8N8H50)QM<wjt5RU|42Qm`%icj{
zS57k|X}<k5Py4MAy2BxF1DlF{3bVGz&@9|n0<DX?GpRR2D+Zdkr#dyP9cX}6byys4
zIM}kU$`7$fg?@`qDh(}aAA+h~mj}&=eRwTld|iwBzp>uXC)1{;MVIN5XiU9_>67jZ
z0>+kJX&hUKQS@7KjZ~X6bR#`Ge@}|mt25={-qpJCzN+I(PJi|-YR%Vu-69_M&D<mV
z+5gRt{pHjnt3_RpG_1r6d$idgX$@pFU;=IJnJ$0}8o2|I4%?OVt7NzVU4SCE?EnNP
zBx1F-F#z@zVi&pqMG(wk66An)%g7x=kov*}fp6dp$PGFNm}O?7DFo>PxFC{oI#{}c
zNo<SWj5J)((u+g}0BqT;NEe_89vIFtB-!na+dmF1E`UoSW+BRg1@{ej#Ojk27obS0
zTOpGD;g@s)ToSAnT2TNd-k_X*^hv|6#?*}Z4M$Lhlh8BuZhrmWtBkLI&wsBgqh3H=
zdiQw9ExT_oJ+jX~9X+!4z%FJw@g%S;??D{u@Tn@ll->vFDBjFwdjHcO`_KOO(et3;
zUq4bu%PUP_pK5JkqC?xo4VdU?k<?f|DrT~-M4>cX(b1J6)QC~bUtMMM?5IzAFttYo
zsD`p}pJkJOylrASBp-4W@PK@fu-~G;&v31gBgqMki8^sgXpGm1b3$Xh`YUiuJDCgQ
zqd3ElHArvxNavXnP8Xm@B;G^nKfOGX5KhIKJ9cJcO?Yx`t+cA9mx)*Kotx=Llm1zG
z>`+g1FRgy;P>9Tr9X1CtFpV!Y`?14n?PJG3Md7pm*x^L4``C>XHA&8uYm7@f$a(D`
z1YG7C;}$j3s>Uic`&8pYolf5Za{g=|JP(`zp7m6e>{XE&-K8oZiom%j%c^uWP6bus
zs;DU2Y5yaO&{2hD6}u{`qDoxv&+W87&bO+9qsUzq0w#*mf_8}Insyq!T3%~>?pc(a
zOG*|+O<Zh*XHu_1;tHFjt?*4Oh4OY35jY$Q_3HG8(SucquTrlpxY5XHJ)MQkXa2^=
z{jJxgDh`Juhb-a#fq??ue;G4U`!UD$UQzx4%QQLddOV>uR<QDn@q5jR$pBy|#a`*I
z(CjE<Pa{iSLkK&-;?$;qkGxI$=ZXV*AApeI%Y=x&734!}7A2~*SoqYQW3D)$)PYP0
zP0t>*zRi!x2HL`1N`g$Fy^z^J!#CQqmdxq^MqE{EP8umsB8ZhKZp`opH$57q=R|@1
z#o=)+;D&IU#*E3P9=x?uVWS2t)OGEXXAK6j2n*xKd8Fy$$c1`ULKN4hBrdxa<A?iZ
zexsIT|5FFxpLdIvWdDm_2!hTBa}qu05MqAuPkzJ?{oxo6tgWM-Mez1`m{gb_Sl>zJ
znHA>fiXdR;YF(l`p6;NpSTWD2!3sfIUl&Ac8>fKa&|sd?IztH3VRXR*cmbp!fq>0S
zU<lIs7QqcF{<7j>-Qzi+^(}%r&6XvOweUqm1HTet7C{FxJD4g9iuHBLJ*p)VA_8=8
zwR!srH4d@9E{XJ~&BTJ`*Rnv8WO(e7z(JsE0CpO_x&Uvhqq7v_k^udZV~mm;X%Vd?
z^X=&lD-r{-^;0`KE6IF+&<UWv3|b+Dj<b@iZV;LfadhF*VF;1<5b)dc9qPa<YUhc{
zOe>jh5xNyYt-$kykH*4Hb#!`2{@aN^5uXb{3MsRM<ZN$7{gImNTb&ID9D55v1{)Ws
zF@eT(cFe7Dbb^B)+z;}9RSW<!`;b8lden2F54sm7tk{PDOboeE@i(5)D5PxZRzz%y
zSTg{+5-jH6nc-k~H`23^Hu*jmdb8)Am0;3ecSeKYu_)1Evx%k#Xvc^Zt7vc-A|@~h
zTmIbo%8cb{s{Os2SiZIDM4)~AhyArr`pr}EV8)B}Iv6qnE#bvBR;M<H0~(hq&tol&
z7J$WqDnW)pR7no=ay*F9TA0E`K}_#!F<Vr4Wkrn45+w@3-i*c^501vHIUXF1S#vx%
z60=TfJHGAm?pGgF2~FxZ)hwk;VlbL>1?T^UqKQxbo&Ou(#3%pG|BY{kK2d5^iNX9X
z6ILoErdg-dV_qvU@mE5LDNA=mY{J}pzFwLa4p4<<=<0o8e-?Pw0?*R<2lbo+8E;TZ
z^VM{N+m6_N@SpnhZ+%-df~XzuMQkX<!AMO<Y@^JcIV8YX%Ltp=KrBZD-2q&g8atpB
zu<^;OfMAc1O#*^F3|k|Z;{l_MLFBMP+3x>m@7;rKNw4}aRY2zDB-jwZiK$$d!6`f(
zwD<1)YRVA~k_crFeq5=b5{Ry6G&5o%=IAn#v4jnF3<L`kLMU8@vI-kR5j#{R<=Eko
z3N9X&Lm>o?69wW}20H<qf~4&H5xWeA{C?~4t@VA~XLs*&&pC5v)KW=j_uZ?%?%v(K
z9>2BLZ#9BdIG{yo3~*3(RS8a-J+)lcAxvW-MnwNLr_*jSnKnqJ-33SqUvp^%kqp*t
z%=0<l;61jE_?N!#iSPNqlp~IO1HyhN8pJ*}oHqRH$`J=g5rTRM?oK=6#=Zo~2GBdU
z8H((PAG@u#L?G2O7~P#6aUeq4aR!V4)4uBDQFg@9uCfA#1lN9~$s#-Ad+;!*YrpMI
z4kAAw38f7l+vAYmAms=V{t>~DV{ZnnGF4)xKL`&4(np9d+VoM?3Ub(ht%7Ufx~&S;
zZ_cDXY*e6iSU3M_EX0>}XPm|g+4oPn^fB!2aD~|ZU1~vZwieV_iTx3jR+7}c%g&Ot
z2~spLSe>s=mjL4OnFn=s=;OxOH18I*;Lu&gcs%Yaxp4G5{+*Bh^7l^-8!*xn1`tlw
z=zydaT-eqVyF!+|Mk!t8b6-W4Jvqj{3>!ddL(Fv?x(*<Wogb$-F~**TlF`t_7<;1#
z2iL*IOZei@Ih}yfXXKed{Zqdgre|o^{r5RwNR8Owu^+NZ`RwR*=$bmf0E4p&rFTV^
zjb2bNL*nQ_n1#+Uk{L*)<dn~`0}ZvrSQukZu(FcO@!hW9GSS8>!kIR|dPSDaW*JB#
z9HaBsu9<I8zh+=ZNlc4j2}vA%mj9uSgjG3$<VCk*CW3AUD5=}CoDn)Q`G30Wc(x_W
z@w3W~x0lLy53ikL!5zha-aOW5+Du{tICpv&sSYuYdO1Wp%M|;7Cxx%_eDA{E$cenh
z0G_=4w8`JT_l=+ZivbI1`^=zg4~1d^x$IY<0hX8C8^ctq+ZF<#EokGQF`?&-aVrF=
zHi}@kW(F35V5`+&Bm^0)xu8v2hR#AgnQHYAq|$Ifuz@kGgX_29In)htjMj=EO^*p$
z{1+=SS}TIkLr_?3oWtwTtVpfyf;~v+L<6JVVQ}cWYtOm1z+qyI`*Cd1>b@oh8(4}O
z1bcN8;-n5#W#U!dp$8?Lq9!UIR3%MmK9%z9%z(k_s)D*!|H)CC|C=MDhad058;QM^
z3cB+Y_U%;A*>ikb3UA)>Z79Gz@!$Q{H+;!E!j0911`2Q6vnCgWNKjMt+t(^QCu9e~
zNUL?S!YlOYR3>c;aHa47xk$YW`k9Tf!!8z?=dAFcYPHk{{mcU{_^j}PJ{^2W>Y~Mp
zS>XkJI;cq6i>VYI5H!K+!NfFdDW)512Y6yvSjpM>-RRIt;emLCi2}4fv!bDuWTk_z
z8LZ@8KRi0!SeFb|@=Xt_r`jgeJnNWEthGZ2pnjF)+3L1vSk=Q#7Qj`me(4;6g-wvG
zpx4!rw~`ka)4nI%O_>awyhm|AQ-zCEY^4ep^+(eVfN##pCJ)@zb0_^ug>W37HAz)_
z3gD%xJw&!6eGLNjx}OM)>lMl0#IzS_1&ihM=LvTc&iDQrV3xfAkDvDazJK;D|6-CP
z!t@ZF!xcG}>en{E-@VUMMZKSu^VpSJm5wU{_);jvWaNuSuhndo_T3UYpdd!Hw)!e-
zUlj3>tVviE2E!||_BG631P9tGYhNp#qyxJda6e@2i*c5upcTP^?_9Z?HrtSrv}g4X
zS!v%Le;9L$jm;{4NdXc3r$nPBi+cGBU2A@WC^uDpnSQm9uU^fZr<!PxA81`j6&nx9
z^|)gNkd4lj&35H689iF<oVt1(aZZ;(>gNs95+j||Bj43h@pzwDKOF|X4;SnGy&2&$
zLu~gC&Q*`gFsi#7MrG<|;uf_I{(|-2%rL4~|Bk=-p<kWK;iu+GKq_KgJV#eISDxg3
zq<dw%o#uVytM7uw1&(At2-7uKh(ps%yCA67nI1>^0EQ{3;Sa%_!{31)Qd9HC{h$Ff
z=kP6%V`D$M2%4*=nf61UWRk3!E0KqQn*)=IRQ`ZUQp~ikd6G-A%Hf|Tlgt$s@MOr6
z&yH3Pr{X6P{p&}^)J|=%z-cz@^JfQ1YKe!~d@;Q8L8Wq#M4PNOci;%9@K~XM?lA5W
z%rWwFWWqV1^r;yM_u=y+V;wOE1|h5~p7bS1;>(`QK40my$rm?y0oM$Y?6ICOF#}9~
z@dED73z&C{7BAp-nmjk3@&Eq)fA3?<lqSt#4NHbG8&Hzu;8#?880qJnQ$}6h8iC_@
zHl1F8?e;{Bo*v1jQ!%(;jGhMNyLtg}XsV1NSSC;79NQ!{m5B=?&u`i6qmOWm73l@I
zVDQ`T(bxkoAX3zIlPADgBzl<3MzH}8odv5VPs~Xo?IZ*1m2g>Ck|cHZgC7n|uF0Bf
z6h}5|<|fZH${MS-lKJkGQP#*L3%5`leWq<M(^Y8y#>_Ygh15nr7_0mP&6JyTe)M+3
z7@h)NtO1vU3{MHu8=vezLr64OhwhT>Dm~v9d$4TcM#F>LI36DlSuUBlKo-@;=&ZqS
zj@wt2cBCi#VkZOQu_h9bQZi9Vrbqk&<48zMg~tq4o=il<jYbhjdd4pb&o^j61wF4M
z>FU~P`&c48<lB8m9groZ9jHix!wN@-<Yp%+hDtI$<QM1%;2bm-fvlilnl;lyj$erh
z16~mv^7eI*kxYJcToLAqan0>^P}U;Au1lg&S7$>Y^WBu7V8~QbLKtxcog!;u?rnYT
z^IjbAV72W7<qcBDwY)f>#2U-h#)|_1e{uM+&}$7|931o%4a#Ff*EJZ1F7+2FpRnN*
zoAL>0b45#S_|_o%p5UkALl?f~VCWGzm#P^yGUO*8kXkhDFGG^z_~gf`@&VwVs!zCf
zb-`X$^J{@V>m0f(84jOLr(wS$h15y*DvUG&sZ=`u!+A51FvF)FdIV0YDxV@le$wyP
z4E@m|NpXD6K&q}E0@d#)+i}5|c~s4S!dxKCR7wvF1nT{{9s3I#SZwO!Rq`>)_2rwO
z>q`0({9H$BsnT(cP5jnn6H8$_Q-GECu^7fPrGGeiunOCJ`O$U#_<#MrkDh@j0gMo|
z25bxJrv(&|F$>F;Nc)H-c0;g6lzOs9h~QwV1>Zc|4HjXiMj6DXU;>ku5KiUl%_~d0
zyCB-sIQ;@E?t5|5a>F;DB^T_`#wLaV`Tjw}H=mm#2&*Yp>3HY|qxvdDNvkr6)NI!6
z&(k1E-ns&F--1*%q6EGWZA*uPsxF3|7p}WPl&~U+)=59s4W_qbK25;usB;xW3C%r&
zHJjU`*wYcs@tSt`qt7#*Fr8{6qsmFQquO)K6?G#y!L6ZAq&c0o@j@cw0HP$T>mheG
zrfCjZG)E?!SxToS^pU*Mf+#%>X?J^e7ewjtB_E%6ixx!bb`Yg+dc&Xm<lh>3B>))+
zK}+$SY=KNxt2z*+0Xwl;Ed2^5iC%#220@Gtlx}rUXrcjA${UIx&5R48Vu2tGB1D9p
zR(w|k=>@o8!eE?x#lA8xzy*&T7)7}vre+!KO9;{naKRo0geKV8B-{|B7f=MHca$q`
zz=7RdjFf-a&$GkTNTD1`RLo-gijy|81eW~J8cN-+>o3&kWpio&a;+-0IaY6ujGk#-
zbm2zPiZ61zI^O0S62ezm6NM~eQN_(kAqg;;wGjFcR0L%)Tr1>j@(xW5C!kFP4M^Xt
z^Bhzp(N5=gB;S+vZ#b%%FRFsJiP?j!sbhYU?yH<X;u6F-alrz~qzjhqbo@Fb)}P0N
zp8MF`WZK~>Fgd{-We@3M93NlfIPVrM#_@KH<3IoPU-(V`FgP{!X0*mJ@(G};wA#pG
z%Cd1RRGDB)F}7$o6WU;DTc^!!HjXFFEm0X?@ua!U#?cxQY3VmM(>KmB8^=end1|l>
zV%3dd*@0S=jpZk9X7IrrOEyR5Ol!By={?6*3T)DtzEoO(YVZuvEw+y35YIi!Uk(4|
z$9@$ST?hlp1cAq!Ou!UPocyd=$4i0X%@i0u^;iD8?@xUII-uQcosDZ}TF1uHBLk>-
zXH0UZt#d(Ow(Q;^pXtWR`$Ld{U=c*oy3`=-JFgoIL2>G3xCRm+ItCgmV!*OCfQ6uB
zAWRTtg)GKr)_!ke9zu||&JT?<18NHR6xn?Pm_v}Z&IO~i00czsRWlGQf@RP>yVN9N
zj1@(%xnxYbFCAb>lHPui+@q{f;#!8v?V8NGx+GGl%zL7@0BvaXX-<ZrZj#lq1#}x=
zYH9~i;c?2KfJo)jY-{Re0lM}+W4b=(LC+0e&0aZ9hUby++O{8HAqcuzf}m^n0ob!V
zVFPIA7ShmpGX#1Ub5hF}pTB(T(@(wSZ83CGe1<u#8jLet-Avq~B_zF_kn~5t<h9@W
z!3asUI;O1vG)n+T4Kwi+xwJAG!)t8|SgAAgAnDz5fznL7p!Kc6sBTe^2P>4TglMK+
z5Gh#97-B&WIskd-ESTz}n5>0$rr?0$^G$E0nJz2pIg-zY^+&nnYSOGx?TZmmv(mJ;
z6+2QsxVZ|$G5D%1*~pmTdKk&bhj(wq`ineC4{2q<tO;dQ7bJL~6?Y9-5>@Y2WsT&J
z6Dld&DKu+Q+07lJvN+#d8ADXI8YCyhbNT$~)y4VKb&D<iaU4{A{p$qMwTBS?0T5u|
zDL&~9!q*BzZM_NQx!AY)%JatU+q_$}*thw&=$tV|fAfEM=36gQK2lh;JPa)z&(3~?
zDLgg{B6_0*a+Ten8(;~g*$kvco@UgqU<f52>4Js*Xw~&?U$#K5CK&8Tdrkn73};zU
zAXgI%_M>%Yv0$8|K&~!W*pD!6wmq~!t}a;Ek5-`GZ4NE@ND~b9Bg|Z~<f?v!s}?oq
zKO|=7YF!Wp^%w(foMh9E?(9eVLqps#X@%7^4(o!7Z7m-(#2c%q^+NQhruACdK_%@~
zb+m5W{cmHy%Srh6CoTQu$y_G_c=^FsFdPf}(aV_qXlX6GyVf$(JQKdrVt8-I@P6g)
zL$Chg2rSX#fm#I*EOiSd8<+@z`W}ot-hvHJqC5M_0!tSx5hw(uPv*$35i8ym{8zV-
z>y+6_fOS#8qk&}z3jS+?HmwO&zTKKt6bLLsQ1D+D?6Hzm#Uk<{44MT6|8>Em)32l5
zTSL`YQSjd)D15Fc;6UwAD=7G{34-^PGmFs#kE-eR&>CNuBwB)$&h>zTsez>6zkcv7
ztUdAH1Nau(A4m&9{OD-=lg2jom}!4bT#trwe)P>&gLL%Z3+ZA0iKM~Yc0@zeia0(l
z9R<XLqgVF_Qv+1;h$M3JvkRw^uenF=Kz}6+=u$G-be{PRae$W;Y=a7{rz2CHXTC+C
z=V!-})Yu3_UrFYB1ePEhfw^jrKIzENBTyHmo*fwf-sG#*^BFDH(sf4Nn(TnY(q7su
zev0mmh9Nz|xigY=FOb{%q3j<9HDryCMDZbvo+`VR0fIL<K=3|i0$!)G%igC6Q#ybd
zW+c;TeqHfSEZ<scay|a;ulfVO`7=JcG%#!JuUi?b1P00yGvY<%<%SN1cP>~pooK$z
zzOwMn1y2q)p%!yNOj{z&r1X+V=VCrIt&9tzYf`PuzKpYtLsN&jAfiO-MXWe7E`=)0
z1y9Z_p$030r)HLjm2t_#s#9|Fv6(Ja(+MV!C=vMq23ZkfTZADavy5fUlY>yIyK{07
z3Y`F7^YkE;>i#^!AQaVcSA?PZrK#`BMvc3c<ljak>VD@^oakO-tY`Ag<v1mIK8=x6
zY0iuiLtULC)3zv9id-{XEEYE4;#RrCs-x~S?I6=o6W%J@fv}?U7sTZOH>1cEv*7do
zQbLdp$nCVhkc*}LQTJL^0Z%YHqVp70yJ>$gO;(+Z5I{OT9iQ8A{I)1qejW#m?*nY!
z98&Y(3}s3M>@UHDG9BpZB{IFc$kg=I#4T#=-BOu!GnGld`iZ~(<zF6<u$BloB<#g6
zJ?4TVR3Jm?L}k)$Lz++sqTr~lr;*6i>WFM4`FMGdL_RJE<EeEw-PWkj?5torMWeW&
z4pc;ePPZMD2T9yxf^b*pTnJ03fvvHkXdM$od#W|Ppz}l_;SiLl#}5sPQfUCicy;T#
z4MB}eO%jd`einAUY{BA)n5*}UKRtn5nPl}-tT%FM7GHBRl2QZMlmLqXD~3%)Mhbt`
zM2<#Iy3c@<#N(vP@fd23U7aHn2Dq`kjt2YR1r>ghw!domL8w#PUT!DbTe|`ZQiSal
z&gf{|pKE*Vd7V(~i|w5cIo^0WcHS*oZ14PAbWS?<_kZuVzx4zE>SVmW=}^kUpjmi-
zNtaTX8mfr!fVyB2M8l+(@`PXx2#t^lE5fX|f;k@C!So0P!ZhtDhLFmkr)Wnv2!Uz;
zP<A^Q%9-Q!9a7Iia&$a!h!+iITuhGFkuvUtM(l_YqAf~M#p`e+bm9W)Ow2clCF^({
zsJa{+1f@elha9gTdr*T4etbaBPIH=q3fm!I<^>POmE-4cFQ}#+-LF|<4YU>B#6U9N
zALMkD#1&Mgg7xMfNl;-w`eDm)6vVmvjWMJ9wa@e>$l9b1z=O;3$%l=BTFeP39-x$Y
z%++}CumH9Ac<F0#{(~<Y|620UWJW6j!(6nmI{EHH_XB&wIho^J%#j&5AZ?v<aX{e8
zC6Ee~S$K7ML-$)Wv9%9Ldg?C%AVaIOx`%$7ux5JfFIXQT4+*GrZC+AHN`I?uULdug
zNd}_)?IS7}f=eDcqy*$Vr2?dW=fs0>NfiHU7r+ti;4R;ZP>>bL)y5c4P%F}2kx-Di
zWDg2oDOo&XHpyVk^w>N78eSzym^J5_aKsBVwNo!a61fg-Yp}z?-4^O7KYFRvIBo&!
zHTP(f?-74*d6htCH=tPF<a=~j_eN(YV-V}}>^L^Yk4{7x=ZUedBMdU2_)3z>+k-3G
zbk=|2S2XWM7Wy@LWx$x`aNPrck@0WdEO^C>jQ#yZ=0|&x`LVFC6<)(L>Dm0OhA%P}
zy3H5)=_}vl`vZP7;X6yHS|?F$pjzF51ZDw)kr1sS<bpuuipzGm;$X?@a+xUz(oQp&
z2}f7#zXbsq9+%P#4}vccoTU?nKy`fCPWuaiTkH=8wQUtjfHAG!zYZmYFD@<D@}uo9
zwiT)f!m@ffobMr>KMB#QvQyz8OE98r#{qXZ-wZ>7{|u~S>G~m19Z<H@{+o+kE_>aA
zwXC}SU32}io%R<3xA^^b>x*3l!|oawR<>jRh96EJuM&#suT?hj?T++Vq!rnLkZpId
z^U=_|d#``pF6qL1_pw!k_qyrA+?40Ith|%k;+s{(cjIJi!v){@;!~IJc>bNwzy0!o
zv?+S~<)wFGGHB%R;d^CYZ@{=}*V4qvUD?KY?_~Gp^Os+N13dMjfNU>3e;NH8x}P7e
zY5L@D<*RBho~WS8vFh6|-~P_GUq1g9=`EE@E0=U7{=Cv|RJnlJcZKm=aZU!m*fY<5
z*;DVf{?;+Ma>8RjEtj?_(EMS!fe2`DjH`B)ep+p<)jr#o{as-m_MKn<7k?@Z8Py=W
z#Z--)6;SD(t{lAs2l$ls$F`W-G)xu$qTyNyW=z$}G|)zJKsigZqP)+JSAsZJT&?|P
zZ}Cm6m@(Dp&Fm5BG%IFIbyrYYaQn4TX~tARa|?n#X`@7R*{n$C$*($4+A?V{&auK&
z1E%e_HWe#LR+y^ev`F1HU9OYP6C(t5P(1pvewX+n@|r66m{-~k;u%*Ftv9p)sxNOq
zwXzfAj78Mk{_2IPm_-plh4)3D@lRfOe+(JbCJ!$>v6c_$ITGdr$U+iiz)DZ2$OU&A
zGg1(=)S@HoD@1KncrFNLPQ=q(aSQ6SMvw~61)~$M=e#@W+E|ea&jm~09FDxzfH4HA
z@QUDu6?;51IP}}zJ}NvHMEI>$B7MJEDVh^2QsKGa0mYw)zR`&{a@C^nTylp>NR{l}
zAjFaaWVxgf&cGt9)}ruozn~PJxa3i}R@pEJo;CbJm1K2y`mN0j=y9gaZz({QuL;62
zz>B~=Fbj3f->6zM-yif|Fu%yY#;&0spH$4EYaN_IL>v0pA*mU4C#tYZG>ZtgU|1O%
zQZdA*_Te3=U?t1NIgHnu=sBZ8&_2AQfK;KB$3AggRGqX6+7&kx^-^_mgH<Q5f1O}N
z{&L;N7Fr-nn_{!c5*0k*XHVy|<tNncAWb$`tIu1W1|T>6Q>*{Xr+xOlzxt7r=?O0<
zM9ka5b~!y!ssw?)ONn^~tEtru#{!+QR|)nz%g;N+^`<vcYq%hwI;~2;OajyDA(%qn
zCkkl^NWxZXCqghGwV0@I#P^b(I2s*D2qqBFUO)=2-GdIngaJOW2dt?pqR?FJgbU8r
zY@!KNQ;hXk>o+5*{6>Sc3aa@!9p&7D=Q%6K(M*ZC(z4$Ys7h(-!o!#$EN${i5RMk+
z2j;dASmLb+2uXh)$8L<k(m^8VGy+)u5V8mwWrnWPW+)XZBH#VCbe5gn#PVZ0>&egj
z-Y@**=@RJKDb*e7b(wp82~w({Ig~?BrBszQ3aaQhR7F{5VI(p-V3}7uuEA_yrz5h?
z!eA!$AqlW(R7d`}APbf97RXRK?>^EigH&b8TVQ<MvDZut@PSqZS0&IqlyF=i{W+A(
z76l1kbQ8;YqT#2xpem&bj$uuI9?^(f=YeKxVNtW5F)8_y@G(WfQMV;U>Y0+47w^8i
zmm`{a;oU#4C|Z*6Niz#(7MfWmIVR1Ve35(fHc>!*N1FLcz*%r}#mj+LCrmt{*MVKv
z=)ySaTR?n6j*H>1;D+&i3yS}8reeIFbz2IaZ>He+AOG;zzwql(@T}oci&gC~hz{n8
z@Xp=}2RsT?aNf4S*mNMgv!SlE2GS7BSQS8i!X{WzM%UF4RK`MVU>#ADUI3$vnp9Yo
zcI!|O1X2yWlCdhQf*`u0V}|mI6;|bw`gQ=nXi&3Y#;QogFGW{CfUH^~uDZsmFo^(e
z3=yaf#Srz96;=hNXDzzIcp%9&<bzjO)&8(Xfr#KrYt%nAYi6tpc@U06!j+@GqP?IB
zs{%y_z$~06MiN;Gw_nkuhd`s>dI!k~7_WzFU4w{^(yr%@;?Yph!Z+KvC9+3z4%YTJ
zhi{9MaPv;Woxw_rBXD<}Po`nc409Jp;AR|w&ApF#)r*skz-on}NpS>ZeoB=&%BH3`
z0%NR@l_LOJE!ZTnG!|XErBQg(wt$0LbuT)7EnW}7><EyOL(=)cO(kN^mNPp7m^8hX
z>T4vy2GeM>BVeuHB&6J;0=%}c*%1KlifoZ2ZumRZf|VnXOz{>4+l?fl0>5rJAE<p5
zmqAN0<8rQVic4T#ec(n|??L)UE$CSLabvBM$-dLJV-KDD?~<v1OfvQMEKg|mK~85e
zzKijF{)J~{)I_PPBg`$BNqNgBfD-Otg?0K7in#2HTE?p@8?P>3d=a$PZwvHigyvcb
z|5VH?AV;JK;4ruN`~ShOeaa6+Zc*9EyhP5p$`G%z{sYzpn6VGMlOz$tbsAq6jPV7f
zSj@h%ijg9?M~?%|Ip((8RDuv>6z+mxVnzf^BZS@>#g;>m#@7YGMMb!p-Nc=N&>=|U
zTLhI@L<Bcl#utJ#zAo5zN9`%v?^oMakjB>qk!c3)CJ&9QrlRk$q8Q&Ixy6hky&53)
zFus`6Y(M0rNmV20odP4pZ({tyicVF%C0jYyvbve}zhl<dzw^IiGIA*mx1`6h{(|^l
zm{Jff=Spzk1rr3$ZQzTyuVTPZqOO#;<b#yZVK6S|(s!mctI~H=7*wU}vK{-Q?Wbbk
zKrzT!bMVj%RL=p#@cF8BV1j`}K$<umB}EIcTlh)Nk7MmY4GmUlzg)bW>lbDd?$2AE
zdNeOj7506fzyGz@N70)ubZr%8Q$V4a)+nT?UeSh3ce6&PAvF`o7_|P%-(`&-Y|A?i
zNwLt`bny_(D(s}L%PI^MdB$FV>Nw3H#)?^m9Y}=0b3{)Unx(S7dD9!S3OlLmY87@;
z*)e`7*A0cN8o2|TQgd*5MqLu+z-(iK0_`>!15=--Jiac8$m)?5Xwj2N0}e~mR7ctP
zm(ptG3B*RLT^*q4GV?0cnnlZbx+>-0F_}~9bOaV``Rt`sEmw8$nM{p6B!$nNP}INY
zj5>q1g8GY29M)%@qs|_gP)Ww1#_?Hcfv6uXe!#)I)xFnA`(wIb*-rYC{z%%CpC{BQ
z=%Hx&r6+v7pQG=ja>JhJ$4{Mp#P+v->o)`(qb2;VPQ5E26VZUYl5cF(`qLwH!2_CJ
z1-F?Tk+tm!o+x9DYKvHrcc83@mRE&3Krh;MSIE7w?ut^DHa1I(>a?tQYL=Fm`m!SG
z)<m6R0<`MgI7g~eUlBt;IPVL37dauVa|l6Er$rLJrGP9Tq8apI)I>D94TxT~W5eq9
ze9de7j;cALc0#vx6!Sp+G^$5r*$QqSqIOnSxm}$XCqu1xngMR%Cck+Wnp7-7P}QHr
z`_P?WyF0g7!gfDb87?r*-N7{TZc*!>FFBH%$&vi~zxTEGKR?PT^=7n2`LM%SDlwsm
zU9`5(-^-?x5!Bf*C5%XV8^#fW>2*dOc4<)TyVlHSql|n9jS~!BKb+r~jdBlTEK$=Q
z^b(4d#G$iM#vH7T6cxgN8u3gBW}}Q`3N5JkU{<H-f|GWUwnNPqIT-TRTDHW>n83s7
z%5Ik|MiIOyjaeiWN+Dgj#J9B}<0<(6;+y#7-}&G8X6zHCPPM6M3~0X=NIIOP9()?@
z&CX8C1vrC9CW3N~9)5A-{bi>GE&TET5x(mzcjh+-C9KlHufElVH9n!(bp>~<T{F3q
z7yHeD?8T7Wu>Pd>J*Ak$uLuDs$&2u4Y`l#i9X&n27iiF8pv(vqgV?Gy=RZV3<iX!u
z9UxM8a7*rt{nOls2s|GC5b<O45Rrz%d!O*}P9CBY+#E}@)1y)Bg4PC{x)Gp)k_Pcq
z4p1ew2)vS9CK(Y$g=%ER8PP%jK3TOx4q-u8ftNQOaD9Q-DIHMRF4F!q3K0U63uTx0
z@5r^1_irEs>`?8G^Ua7r2Qrs)xLNRn<>eHPEfKkJ|D;*!;HpQnShoe+7x*>sEqGkY
zCTLl($$q32za4JkcPuLl#Y@?&dNc~tLSbnLM3nJ<fwPOCCugpZo4q<+t~sk`Il`vD
z`URix3BR1;eEb5#Q7B-lLZHi0D4QOfRIC<=DHfuXWjBC+C`5z<06|a?XjwrBic4XF
zVP#Nf*b0hDHbJmEk@XclA1Id8>cUu2o-Y$TVoC94FlFTQD3lH82E=fn5w<<FxD<Y9
zz@ggufcc(Ub`gh`chLo%{R_^FWT*1aqbAc&vfC07z*~nfUh6MoNi~@!iOy)fZv3K>
z8mrcn7uzJQIt1YsXeI`0R(Gd|1?15&G{p)^{pgeCO`V#nNNm-vDPo|XZz1obr*Nkg
z9c?-aX{ZG^y+Pa*?QiL2hg!z38%-AXNxHwk2C8aLbtuGnNvZ`%xI-X8wb#TgT7ZPx
zp}JlDZ$I+Ye<zZq+5+QU7uFC1V?MK&9A*bL95(v6>IR&awEDi{$)<BE0vALM6io-T
zRJ+cys`eEsNNDw4@ML#7fdm%>6pu6k{o9qdki?3#`bDr?abg!5IN|kZ1R8?0`b7{-
zBT~KzLFs159rR-LT@qdCWE@4Pij1v>s<EV4{UQmTdCAnmk1&q=BuT69lK383WUhI{
zkWvX%lO(OaOYV+G4V3v;GbKqf-yW3rXif!;0PBHdzCVW*+F<2JT5Sicn>E!fa?^tJ
zpq1Z10zdj}pF=&0sCCip$X7^cUB}o}s#YC`!ibp*OLZy#YDj7OQnTrgByO9s6fHAJ
zQI`<Yrl?O_$|KBU9SS?CBJtUTiPDiCVV+|7u|E^JRhT%DIK`kDq(;;Js645{#ED5X
zY`nzNTqrc*BOp%B=P!U^gsIqJ5EsVf=+9GvIc#2wCd3}%3HvoDDXro;@k$1fB5j_(
zyc5f}=8*y2(?9>iU-UU2TWCVm6>`;Xju9A|dX|oGw&ivZ+EgJ!3FyFPu0%v0GH4x4
ztmv>II<}a&&GAa|@xZ#gVi1CWPV%j_U>(p2S21h=$4fUW$}RT*NV@}}3W49X=U4@F
zs2!B}2Q21-jOTN#1G?i%kWH|I3tpK8>wwOuHlo}A&<2xrK(_{wmKaI!MYWfs7^q(l
za^+HIiGWw?da9%6yHjYQ4&b$_k3Lhml1Ds{$%kYfF4|Pp<^v1}D`+Ng>yf~YwBUhe
zJ6n_OUJy(yo)AnqO7nldM+xyBJlr>!Cl2KtPW*T-<{1a%C7lMv6+<>R6=kQ|FN(TS
zYr%;305Ku(A(KQeCjAFiFc6@wpKmQWG|3}yYd8>i6FSh+w36uw2W7c5PC^oWk?qT+
zxR)PY=Z%t(S_iCG&rW*AK|X6GwHSLUygpe{D9ind4jXoB(isDa-(HaxA==TwDxvfL
zj%aP6Q&O#&K#L3LV^rO8O-xd4u@#{#_oLewUO1QVomv1$O^+XaPwxtAB9}0Dy>py-
zvBz`(ToE0zjTFSidCq%pfX3(d2Al1B18_dQH`p3^Z}6}F-teQboT*E474jcXaD4JT
z{Jp{HwC@ep_xFY$ANjpGkq1zsgk-P9!e)CdIyvk$7}YBr82$h(Q`$i&*ONyh+hNfM
zq~I&!+IfG`ndyMsPWuaqc$_eC^@<c5z;unHb35%12Pbn}6IZVs9KpTw`PVpj<F62W
z&UpY(exIfs2L(7d#nbs8&f^FN$MrVn0mxZiIe0>XozA}@#oh{ucpRS`;nnp+p!)q}
zJAOZKf>#{r;LQf-c)EWOsQ0fOJp5q!c1T*F!1MQOwEp$4Q^Imp8Q|y^mN+j|;U?1p
zl8j`Q0PN@BrYu!`TnzN(=^suWtaA1W;y-ZslyG?tcweOuX0M(h{~;H2Bp$LHJ;}HI
z(zib~gS^Q>0%Yjh*p}~E2}2zu0C|%Y!+9X6o}>$6HZ02$VC*L6;W$Wu`QlnD7%NsF
z!5X-{tT;*Dw9^SLAaAl-<ybL;1eU(wTZ7a#3|)@>Ge}^qFbvZ<My3|5K?3-2YLkEx
z(8}f|E0AE1aX1=$?|QIZG?E!4K)pA+Vc-qmCY=NckhU?42ov63ka$9Vd#t>KwzD&C
zY5$Gp+0H}`st%t8@;>U~iitHXLxUQ<Vm~YE89rz7ICY1TF~6I9d7D5eZ#l8;bq<T+
z#-ZILX+6a0mDU^dlOPU;-b-;jxxkW|7AG#$>;MOVUA|KsK>BCGOt7H4GPCNUg_)M^
zIDhe-)29495yvNbCJtK=qv{XlLf$l(-=O^dHfKQE6U(D{auUN+?@A*To_bdr#4x8P
z@x~|S*&RL;Ct2zdZ>ApcU0?aR-}g1C9#Ooi7HhHq4p<X9DchhhqZv^=fCkexCd|bH
zpg|yu5d&ug+c1C;zG=^u#g2fv9FziiVY_0+ns%E#!%?ibK@(E5q9_`57?BhxpcH59
z)rZbllTlW|Ozyxi(FmqE9R3kp2#JK*R@(^HSkqSe4hV?h0$WL$tl(!^P$~564v>Wu
zhd%)a!cZx&I}X;&cL(DRndD*V!mt`5V<o5pC$%~y!N%2Idd5mP8H2;Sa7F0o8en48
z4MGi{CPq+`aVFAL(5n%;$oOt!C7@jZVEi%!2CjyG?SD@>80zVGfS$wi2;<|EN{<ne
z>oE>q_IJpEeC*FNO5oO#L=Xqy){+SDHqxsvVIx}Oauk_2nQ_QLWfjMbrv#)`%mJ}t
zr<bAz@l?EmuAcq$l6WKYZc&@HS-g>(@kai~A9?-{KNCd_dNW#Y<R#2}g_D8m^0rVf
z8@uFWK#4SlK6@kKWZZq`EBt}|5xmc}Csbv=!XMbL1h2^MT9f&5e*luLcclad=Hcv$
zKY)VX+Q*oULWsRoQ#(NK&-Vs&AurdTN_Dx<;D5t*$EVx(0+w3F^GX@Sc})<6ytEEM
zJYKboGrS7gp^~;d?NziUi}3I>@A<6tZ;RkhBXL)^7MH^bTDbk88#9($!@~==|K&5!
zKJ(z2r{4LL1z<yNBMo}y*LzW^>OO;*t!U{Y^Kh3bc>xcHS-8YkvgKOZ|Avl^PyU_%
zjc>+2QR;Mrw!p(T2oFOyQ&$Hbj9>Q<5gJS44k@iSLwQO_HV=Iq6oD;u=66?TKJON_
z_H7BtZYCuAyZ_C<`_H~JLNdJ>SNjP4+8FlyMb(CN+j6*&b>{2}ugz*MWJZw|%f}(O
z*$i^cEZ*=HG1LbruH>2(o6d$hX$($*w0b23Cd41JAVV@=vCz#RavMzR$tmRBaf^#(
zZWeXf4D#}d*+)QCBWbZv(SkayR<KGTgG|WM*O=KeNaE)CKZot!>$f`zwDQ3vB=hS*
zcQxT@oF-hk!O^P(|GFc`4<!4I4gR%7P_tj9kTD*Jw2uIY*J~T0oFw!8L8(3gVyrp1
z<Fms&^#r1NT+Ffh0T$(<QcJf-u;0dbSN;Qyxc$R(=5V<G5C^&R67q*Yf89CIz4pEr
zO0(iP^4%rAb7B7*B4eK@l{^af=l$<8jPgkqvR8FU6NTrJfKSn$V7wmf-ABIuE`5d>
zE{Vi*_9C5`5M?~~bsu`-Q)ZO=NP{mDWqTc7k`+g#4V3neRXm%)*vLv(uX_@(i{L=B
zW?c|HL1+nX%U-gs#!Pdhowy=KwzMiM>*^jOG9~?VJc3uYQNdYPTQe>c7N(oGiZ$!%
zps#?k9CXl(&uT+sL%U>M?gOQ+4N2hNZOfc0U5)8)s8*+5J#+)JC-7O(>MInCQ?KIg
z7`<iEz|HN^!k{DCq6oCb4ZpSqRt@(`jOSe2wtu00mw4_5<2g=NF4NeSa-VxuDsY+A
z24FMO1256s%|vrw`Raf9HM@xBbgF_!b3QKwt*+$eMP(tv8YR87uZ$($1<Qz*9axuW
z0b{U;76iK>Xj#Z0j1{+F)D#mGE7C)7!5He+lgEj+Dg@~vxS$P|Mo5MB4>kNDNDsjU
zQLD`O5ENG!(yC=AAxICQ2!hC7*hf&(BJH^OV(1~bV9auXq$aLnMTzEIGKRUJEmxCa
zNivUUiD53N4Avr^B*}6gUo#DJ0i{dzo6ol95!##@yQ((6v-qej-faRHk8u2N^L0An
zSOtv*OkNZ7=*=yy_4aL8aUq?L8dkOHsRmceo1%tQt9sK8U?IIz5_y>?osNHQ(J#(-
zpL$E!{9E<bXs=dWuxzKGiN0jo<m*~`Gl0tSYkyYB8j6zWQa6L&@>-nAw%$YtG(ctN
zMp*NfZxKaCSnvOuZ~Oz_KRIOs$c)t4ft7JEZ-Nn)lb{1P0@0!9CTC@|ui7aa9V0L}
z`=BW>{TYJ1A-*D-4A~z`n!a8N6k;xgvMv-s&^AKHlP8beA5^rlBK4;Wf?x^V1WKqU
z^Cq((^`{F$EkYYo5LFTyIK_(8pG6Ra5V&dxq8hRl6#W^JKmqk?j>byiOP;6esFgfq
ziO;#aukP(@w3jP2|Eo)l_aVr41d8lKbiX!>%BHXAf5&9>XvfH70V8sKHc}V34B0r3
zm0sfSq~D}sHZY=B+K`Rk_ql)KgTMHj3b}x)!hr{P*c_$9A9Q2VFPz;l{y?Td$+CI%
z5Z+`NP?gR0CG`NoZCg8b*#kT|wKjWzCx_Nn9^k2&wb=uFl##Xiwp&Lr-)4D}^<QmX
zt!_*M)UFHs@0B{i;b>iWpOfGYN6S~2_-eMciKFrOr{U<;1)!wD>VX#t<9)IxB?ax_
zShTJe#!*yFs#=0x$yc67i@%6tlaI&8BXv);?M4ml3Y?R(9640|u91(5>&3i{irrIr
zNz(b#>LtxW@Gx!4uM>(!hHFG$>I`2-64>*6nSracB(U!;fj#dQweIEudE5--@!hZf
z(Ler^0eL7$?gp=*=`S5o+DZPioD@k3?2VM`29E!xH|C^BB(TB%)@Dy~QsgABSWb$Z
zrWMOck<+wdRRa5JS}|i3FE_`>x{%$sO&e875krL}BX1P)S0qJPgsi!H%-bELWayCi
z(Lvq{PF6`^AB<7l*PI=Ms2TonNnm?$(*9i%*te3vR<uP@DCy;v9wwx>3l@V0r?ffO
zy|739wvd>4%eSVHNX%D%{(tnLH1AZ$0SpFdY{Brzr~||d!Y6d)bgTWb6Mz~cLJgCM
z0RxO<Yzu);uY>rI$u)pF1O*zi5mTUnL;@Gs4gi#mq8uS8j;aYFxsE(DL7*N8wM0fC
zNVQ%Ly;`v(ins~1S0l(f?}9rdj}@}nZU+}bweEr-v;ZMDR2y{MaAuWo5%|@BDMBPM
z)WK|zpX8(xWE?T70OO0O-ci9CjnRKQqYyY^nE2$;l>d!y;*)>pf8(36Pn1gW(E`QX
z2ekYc&O5aS^|n;lyyeGK*au$y^&kA;6ckf(Y<&;v{suU?0*V1Eej}Knn8;s&o3PDF
zWhf@nS8%)9)LDjNB721yc&%WDVp8&Ig^|oTVK_^T$ZII-z}eb#!w?p}ks{(RaQTOL
zfAd!(U#BCCVYsS_3J=?DCaU_2YE~y6AfN|96{EZY32bPF;{oibvOL;*SIJjsMB7LP
zFsGt+8KlMdq$D&$hL0w2L}qp7^A%H;j?eA1Kh8HZpfN3XPL^#1YDq~dL1rurhZhPU
z2k8i>2*WQ47M=xpdHdx9DMEqWNA(5g%D?QnWftV+@|g!OJb#%uez2A3f2yzMG~BOO
z_f*V#_FsJd@~uxl^_I8AA>`4ai~a+@<MsdM4@R<7ef<`BNvOuKET8txLInI>qk0{_
zJYL*ESw8KX3+_>tAz4+-1{zeE=Exp2Buu|b3FUx=Eh8@|obOC<$f`~~=7&D4*6@x6
zD_9N6;!|)YL4j7q3wukh$<$eHWyST8T+33tKPbW#8{m?tfHm&a{&+4<Q4C@AL5<zD
zeDl`I>QY`>Z(Ej?kgd^NMp*6m)UE&{LEOF^-;8~tbn?+h5Q!yS`Z%OZ?IFG`AAR2P
zV?O#n{i@&jaepa>Yw1F*4#V6^QX7E;X@tfy>o82f0^uTc*a(ef)?uOSc0Ntot^t)H
zS%)FrW#}Y$v|1c9>#!b^BcMo7Sq_vzE9+v#tiwVpj_BE}!$K<_u($*qv0_G#0c?Pw
zo;nQtSOfevqsQD|K$9bWpn+tC9=oOmt@i-qu1e~Ozo@E3aH5qiewy=R4(burT20Nn
zDlbdw>PA~Br~91&U+50w%5skSn<Ep-a#}?$6>~ROF~{*^7`}0SxM2_Nxhir=^xj>f
zcit^(&CHVMy_rPsPrT<x-v5b_=v7><%?Oyi1T5bn|0qVwf$V0q_O?f>?iHznoDo1$
zkOLu^W8g4uy7I9iO|@--b#bqpm;3D&MN_q4&Ip{$F$j#}sJsrkk{rWes&~!^ly+U1
zVwu2@&oO5Nz}HRYZe|2%iR*Jz$jOd<z@QchOprSm<TsQ&$|U#reZ>6lQ5UPFU-1Kp
zsrFw8;TRY!d32C?YvS4^Cr!25-XZgd7&`sZBAL`Htd_RUHiTuAPjif^F8@+D2QOy&
zaWK>Nz@BTSXXImPH(Z%aEZ>?KqA}n6mmmI~FPtWODzgC4)GP15JIgJR!%91P*c{x}
znB+*N3=CwSYU|SHNG9rF_E@qxbQQ@Qk1U3V)+;?iagJ3a1Cl=NBHnF;J2)52<VXhd
z3P_BL0L935vtW*7pvr7uAo3h-5LKZu2jn*#TN+$;)f{}pXdY3dh@zVDdV@L`;7f9v
z+vAWNBFCA#{=jhwyddQS&KFb@Ibc8)R4&3o@GQ5#x?ukH+oFPZ2R)B94}IUXJKv6S
z9;n|&Gpp7eqw7<(er|of?sX@*9rV6dJvq!soXmExX$LT4)ShBsO;u~FL!2VLBHNK(
zAya>@UBzI5vzmZ7u@6sEIX)*5ROz7!=6~=spjI~Rf0INGXhKS_Jqlm&>tay6=XaV-
zvOb`-uS|rQp?RgycAj&;6xz-oce{)dxWbGN+rMehWyAxYFH6ojn0-%DI%Ou&OP22W
z&HvAzf9{><I2eObwv`EsVM7z_Bbc`<HC|H=#(ee>OgDl>7WR>WCz3SH6J;VX6q}5W
z%w{$_7$Dwf%Nr5Uen3-_9Sp=j=3sy~>7;`Z6N!(T!Jwns!6*}nk1K0O41cMDN@F51
zMjo_IU0rBfCK9vQtZ~*V2cwh@A2G>jAXz(rHjx-(iO`8%=ftF!qqrhoI?OpswdA#3
z5w-pey362Cybkp>GO}y`;`~n;-qod+iNv`V=fiL!u{t19mz!R3xF<4A(cP29{dmPx
zo6PeN+t_@8e{Tl<{j5)X|5yD7QBA2gqs`RXfFc%=a9Un%W3v0PcTO{8OOJKdu%2}o
zRApc`X)Sg(Z@t47Q9>PNIO7|ju{78Me2pz)lHHF&e%6EFu{EvP{V3#TnA_Ob_Oh-v
z3Gy>Ax@oa#oMVM=MDn)>0cZ0=R_+JDUvp{TeGF*Qs#%BY-iXT9J(Ak>&8gfE>n4Uj
zb=VE+Y%2EyGm7bX0pI9%L#Lv?KR};F60~^jqfxsbN-2Y*uQo&HC*Ps{zC!^%{XQ@~
zwApzCqER`73r5in&J%oh%}t-}(j-sCrXi@4GQ_=;WcF$3?wIr9R4n*fgj*O~#l~~g
zdQ^kMZDYDQSp_jMshq{bgYG3KaOM9|{3IhnJ9SZ~D5lcX0d@j#f^`zY#M9k-66g%T
zkGzBAe-hZj?F6;}oXK+`3BH`eTDg%<oj5+hmkJ$%0Mbh7_}os%7lxR$KbY34MrC*)
z_5R#W`?Dk?ZPty-__Z+WIh-3A<?LGGzD|O6=cd`bWnX8;@-)6)t#?K6?bCEyJ53Dz
zKmKbke8X#^qEX9B-Nsn4=@S^D%PiIg;bGTPjb$P!+87tyV;mc_16Z*zb6vHAeP#47
zE(oGwcK(q5WhHc&c}40l7lh)%C>3at-C=D*@M1;Um=I*Hl7cI&*_<Oam<#SuO)2O!
zT8?bCov%2lm-uETP1ES4qE^ZW*D=kj?*Ht6o3CZFQaKc~fAH|k`4B?*7U+4Giv3DU
ziG532O149-)H+9V%fW_#px`<a*6i7wc(n&0?Oe?<Z}~CJ@vEQp&E5N>j!<cgyg0%6
zj*ei-!+VZWf^IcnZ=&WXf?f-~Uv<`w=fp_rofbi)$fTf-v?}USg&;MD3xY;Z8z4db
zXZ~CWQggT<23C`ki7JLliIyb<sX1H_Hb66A`|aNRz7V9razQ9JDlKIFJ1^x6K|Uoe
z*mo#jP(ci&Y7Q+AkW21qfTUG&-5KS1EGgb;k)*a($&Dd%Ns``ak?e)?9PO8@gU#1Y
zlKJjnTqrBmsjd%Jv+a_zbf)O8kx7@T)5h3j^qcI;*}u5{8-=G_q59u3#p>Vr-|Ncg
zHI0*4Igsst(V^3JBT2q2d0^s?XWb$Rv~YP41DwUp0;i_VufYYo)BKvWOU3!qM?J^o
zluT{tR{eQGn~Fnve#*<9+H<w(QgV2AC5Q8FQEQPF%;{z@r~mNVpMK4Z4qlH3(7;ca
zCVTJW@c=4d6sB=syQb5PcwoC18=O}hj|X-~N$6z3E8>BbjoFSvkH!P2=@-i1V1}Dz
z+rk0An+{&LTWSw)b6m%;|9^=GZYmyl{p*$v-pgnE)fJX_STFF3$*Ei~;#%z6&Dgi&
zr~dGpekSm)@tn%ZR0-{yfr9|Xc7(CeCI<%KHVu($Mo*?nGG>1=Us2^$qJwvsuE>b}
z$!tXi>~YmsCo34W`c<FKRpjos6S<1>ZL0=c>p;^{_D*9c2H344|EgdP5C<Y5b}rz5
z-EcAX<FOOG?=hnPMXb1V@SYc|O36=(75R!Lt_-QvD0n`$z#~%dd}QH}8aY3bwDqpA
zUk<rn8<(56d>aK3(f?Qe&U-%TR|1z)bJHUFXkcM#5I{X>)fMv!?>Kw#piL$_80TD|
z=t>Z(5Tu5)Eu;qa?4CioZ{4k1!R*2BH+z)V14{x%W{_a4m_7JCN}+_I3k7Ba!R)~w
zx=si3!GAY;gjkUp&d;%AjZu^)N!A=ZP{X<49-|7Sh8*nlm^4@iID*T$B+?Rq0*Q<P
z=xkFXg3Gz&0o_!%ZorIafF4NZff%?W2TNsa2gwo18vB}{MItXheoG)p^=+KguNptJ
z{LWNPqN?<3o0V}{HxvyOto@6Da}QC%&V`DWeTaF3p#bBP9^_8ij9k68e-~)($s2{{
zY~s8<p{NZ5@VQUw7Qo&98tT^8J6GK<z}?*eck^yhYqFNW=4Jw$ulQeH^{JaM`|3Zq
z**9+j8LksOZaJ_y+2fW2o0C0mbztK)U?Z(-Ij}jP`1{J9upHQ&YzfPOO@FE*tPX6h
z-w;-8T}pE$Lpqk@audnFVH4$3{%YjwwD3#J=?51F%yW_EfqR0H0y(cm&nD-!+C&&!
z<f`vV5<m40xprsJXeN24d_X=Ud4F!F;GoT`Ngr3<pHD>IpWCrNYp<$OD&*Dheb5*}
zpxR%yV}J1p)2949p4Wo$Oq4J%p7?cz9t;`Xy#$8ndAqY%Wig(2XFRVL?=8mjW{l@I
zeco4p_QOQ9K`#9_yYWP@h%G2NM0$vs*WkqJgcjq7P)@$GA(AeLP*7<xXm@m=VF=QA
zx?sQEkVXox^l@V~YavME>4KO)#&{H}Kq{#jkA@(PrwgKw94$!%B;kz_kj#Rj80}(^
z>|#ka1=o9q>sgS-(^uSeTcr39Vjjo?&<KjE^q*%71VC3rg<T`4#ZWGZ`D=P?oGfX!
z^07rfmV={?P>OvH_{}xZ7}u>t8@48f!?8Yw*Rwyi*CR2ruL;f$>kntQeS7qLd*BRo
zQo)QyFCiF<^PG&XX|y#cUPTyuS%a4v{f>-JvS>P0EZe_4SHfy{5cCTNF~cvoM8xef
z{#Rwk3kT)9hgPdxPX6IxJZ=wfg`$a$aF{Yg;Rft`au8%@QL>jZ(LUMRwzwJoz*z>C
z(QL)Ld+v0}rdFDTH0KnqFyPHR$E=mE9Gr>9a;bDl4uZV3?jYEV>GNFxd-~6fpXZhl
z&Rf2X{Rmh7&%gGWfAH5LZqbEW)r%p!0N1E`yLHdrjCd1`<H*$j+fhXj#C+^s$%6Ua
z4%G`@zE*Ht^=?*Ha9|e;t$&5;?SywCA9`H%0={bxeTC}X43v3Ry=X__ph~!<Q04=L
zD*mYdKKnIQ8i^CM2RWZ)rFuh6azbbGOIE5k)Ffy>+M83UUT~4@Rq^kbH>>ZCG`ZMM
z3ziQ|4Hk92#XnV&ld4x$nE{)+VTIa7uO-5yLAa$_GG~wMU(N+LUG4hcP@3^cPj5`^
z>Ol{eDKfNw$||{0za!x0qJCe->UW92?k)l|)iYrsTNAcKU^f$i{l*V}`{(`gq#FP}
za9A(60h?Z0AG7t6q_3N~;s)%F!a$V;Yd1jHDG-67o1nEw*$volHwcp$fuWbOHFA|3
z0M08(-W@>G+lCj}4cKiM{77I0$;!mN>5bV9uskTNJFI5wFH~*-`fN2`*=j3rzUn#x
z>$YTg<dPVM-5k4e11x0<hXJgJ14#j|>^C=T84(y}U=Jj#y90hjjT8Y#*Rk3S8TT;s
zQ;AD4#cGAec(=k*$0wD(Q`~hVtZHG@rJq3F>(oNZ?G#7xZBCo=&U#p7NlSO^Zz^dy
z&!h}$L>8;RSpDZ;cvgnitS_WWmd^-3LW{ePe@{l+_|TGJ!7O)p+zGb6^#A_ZKlh!1
zyN)L<G4hpk3oyO?dcYu+la??$B)x>K0^>l-9zkv3X;83ZvR4>_n+@>AnwEij!UYeQ
z%OWctP^{FhNDbnGCuhFU>bqb}vYnVkutxx_B>#wowY1090PaAm?<?-XLM3HV$HQhY
zMMkWCkp$|dnN=*UC0enhSbdi~pl4D*-y?jK0m}oeevw39r>=Q`!_)kf68yU)OR4OF
z)`HMxYO$K(0&SCm<)YtmI3cFGKU-9r(TBnvZ`eI%dlDK|-}EF~b1B|YKv+G@OU~eF
zo&>Ut{Lh<#4e4Jb7Z(Q4^GGcOxK190`0Vac+(&OXhSQ!8)Y|KEg_?f}>q_7A?oa!;
zABqS~t9=}(wb~zqQ5#l)q5=RFBsxLGzOo#d3zi{}h#m$lWYpCz2r@pDlXAuGU~ELO
zB6Wca?z;|@6LRQbuNif<3+~oC^m!rp0nd*y!pDl#)h-CS6oAbT+<`k@Vbu`i-F87E
z5~d)@=80>DAa%71vS%IV$ZFAHo15rrm)s-Hl#_(ol<xJsr08mwOrt8nyWAXHfLfQ_
zZ&zqiz|ldOzgLrDU)`InEmOR-wgi{`eV9g8s<N}<(TBN<n~_<BQu4|1QUBX~osM`e
z0gtcff5%pif1}jtRMx^re;&uOSoNeK`MT!1{Pdoq)&;-rE)|L*?FVLpvq_EZi9T0<
zE-}~L#a#1l(So$j=bb)hhUNZ!-~GqVLt0z7v%>N+(%OQPe7_9?f%(P+IGX1hLt4w2
z`AMV|W>2C747NFqv?9zC?DmLSm-b>Z(u&R%6olYnFnUg+o~w~o6x>S6^SJAT1+S76
z9x}sEG4+kWG1er{jM#C<qikw||CGBusFWo+ia4#kIaR`yBz?%6vnmEZf3@cIEWTJv
z8cMbB+JNWQ-py=$pR=gRj$3<(?DueYH6@-3+=<oqIH>)*w1?bEdx$^T3(`6T;}Q9L
zMNF=?TR!2KEmr?_tp1mM$B%u{`#<7Uuet}~30P&&D#9=nwl>*Xqdf$MkLCh|U}CJm
zgr;9v^ln?Ao?TIpd|;jPmK8x-eHR4g$5B9tjA2<B8Rr!l4!GbBOTwaJMX|wI@H|UJ
z<1?^QYDh*ZEhG9X`(!KDe0`%bYBk6C!&;Q?-u=VHJ{3`thb5Iz3i1@0FTev;PX<bW
zD&{Xu@K*9wYD`)<QpKipJfy>^i;?!HLs*4*Yw`mVU%VjMEE=w>0?4TjkNrg+tV80n
zifeYjltyqT4o?IpwrWsLYERFPDeWPhP+fyO-rVBJdCRw&k;RiA_**~ps$U8=3#HB~
zZ?z!1c&oP@X3V!5<K{Kn;pBZ<JZW1j27uQ~7kvv>ZGBmF4ZQyHR@;gp*lo}qUNa`j
zTWx}HX*G3&%0QbL7Ki3-^Fx!PQQTzEKf0bMhvpr1L3qh1Lx7$@eWGz%$BMk!F1X(U
zidDfL=TM&`eR&sz3L~K;u!atEl^a39<U+D1qctTJY0E^B@=_Ja?Li}s-2pTm)ta-l
znd*lt@)Dwn=4v&S8|%r|W6Y}_zpgISW7K-C)o<=~!`p6^kJLG2<s(65Qa)|k0@~(~
zm1A39-oo~e+7I@qJd2_oLtCQ!9X(#@f`z>z*T*yc779+3aoUuhC$bPy%zh1xr!U{F
zX}np>k7>NW^cVifGe0n?@st*Uehf5F_4+j$Z;O<%YCO(<t(8Qj@jT$itB;IhEtoal
zuIqI6A^eyD;ceD<!2em&4rPLNlvamkjc55?3U)S<$<z$Aa@Ke~BqbG#_8S`uAA*&}
zLz-MQ-d2%@N{_Kzyy!7B>IlCCJ;T}}JenRG@zQRgQY*W1<%o?Qn<F!(!dzvws!X~x
z;cvwoO$P?arsxnI_)l$(HXi(QJRYPPq4LrJr2{1&uyqi*9XeysaRYXJd><z)Ev#7u
z@fk_`<9sWJ4;?p^!zoRzd4Gl=vA-m#(x%{xp~7U)<10vo{e|E7=8sBKz4cuiIBn=+
z!^=FwY1{6oaMWpQ{hUgL?NLV~f}OMEgnGy-tbm5Q;}Pu|wXVx5jFdo99W&<LWfjIE
zT)h~0cUpK7ht4Vt^(lZ;>D?WWNo@|DRT%iHwde&p&x&4R#mZ>|nE-!CQJ*$}J4s3`
zY|biDu7qku(ngykNm^aogh!2NRfE+VbMI8gG`Upy$A=cT>PDMP)%^xQ>m0RqQ!;uA
z;~t)=NBny7E3cp)mn`ZHW>HBjj`qY?kb3+-FaPM<|4|Ix)OW5`k1vP00#LUsV*{kM
z8xA0-)Z?iE1eJP(P0-+XWB@@{k0%EZRO<2c1cIy{`_&4Xmbw{*HmvWjyvD2^QQfce
zv4A7k1n#6rY28DS3N#@qcVKFydZ0-5Y^oIH%r5-q=-GVjwriROt_eu8mCW|*xY=&h
z+H888eV)!U-y!0n3MOE{O&ce`{YqEVZCJL+qhnA*d-QydZhNMJYGs?0b+rvKtCE`v
z6S#0LXrQZE#*X&I{=ug<j5nXpF+_SfwUKe7(*70Vlxq5cP7BX2Eg#tIl8PD)ADUr!
z1zJvYZDS-ic+JxO2)rv80s{2`xgAcgj?hf|gD35r30IwrKTrD~Inyd#Fa)aO%XT_`
z?UF0#P<(J~qU-9;jLcGub9co!rtv0_o)(H(+8%GF?eVAo#HW1u6EWgbZ^qEsDY!g`
zq1Co8n^Pl?Ml9H^Y&2vTv?>+^j4lBg(ryDQm5nI?Z?eB&#Wl=+bLh&JcH|SFvjet(
zHpI)OG{u6b?r&DimK1H4#N`<aDzj?#H?ko`1jzgb1vjwg_0Ll~JKL?c3+yq^sKo^<
z03WRsAc*Cfh;0Y%Ms{{EG>WbP41Twj0d@H_1?jO{0IFU1MY87B8#UtY)Xolk16&c-
zMA1<DV@meS*Tk?;2K78oI3De_=NokB(CcDHKke-7S9?r@k}FybK+)RSc?5W{I0uO@
zPi=g}z`8x@!f>eu)l;`)tj<W+^puBfy`cN+Ubj(iq{o@<y&#pCQ=m#|lZ^QQP-{4A
zmieCWb;5g*`JQ*?z06MgUONf$<3G0jeNROzhPI4&D~9)5on+>u)o{Twn}B>+3cA@>
zmiBc)OR1uoaKrvND%udF)o?-h)nL|wRzlME*!HebDY+nmIx?l94;}Q?tst$23!-C>
zt$kP#95U^pX*G%<kVCN=$8Be7&c4FM&}z6KsK+#x2Fz_5J_N;Txa1MZUa>C+B$Mis
zoJ@ig)l@#Hjxn8P6AV;4%xss?1)$pO!@L$V9zXxD(U-EBzc1%ICRn7?7=tAbB5a+v
zmIpzLI^7O`M(<<mB^+b0L}+&xq1i28BDAk~`<J~_t0P}{{_-m>y!9O$58|sAFW>s~
z+t4Zh)QdM0p?$&s{!9Px4U@LEw5abfeYLTS+14I4TL(7HBZ=5*6Uqp!7#;9fB0_`U
z0i6?#V79f<CyqI`8mYLBP<}dJ(dr1GpwLdQfmaA-TYD%i-RP8T51nmobaamx<!o#F
z($KwHS>s_Gy0Wzh^)x~|fZ#{t8JC>2wQ3Kq9B5H2S~hcPc?a3Et8MI<IaFzc4fdh6
z$N)X{IQw^`I3=G(Ik&Opv$b_AJCas|Jy@y^GU7Q1l4^+acB&5SX`N8kgHqAOo-X$E
z!-MkW2Y=||>Gy^`C8=~YBIp)shrl(4xDc@8>S#5&_7$Qy+EW(<M#us+2%>gbW3v#X
zJq^Jgl_wMggRB~s5TreI!9vgi98=g#d;bcDX6)*M02|4+M#OV(h-a)wd+LHl^u}sL
zAZudELy-2=1uYkgW(l+aYLFX(w5KkJd@{>qfDi6hLbaXGQS7Np_Ne#<ehYjMVRO5r
z_5sKZU~)&s##0g}+?=K8%ChDWwB@qq7VVFf<ZK(Q_V9}0X8kf?Ki#hD0~<p*$C%18
z5AVcGdqUF9wQn!gFHe$AyQ+pnY8Fp+6m-jWBqjwIPn+_t7HIFf)i3reFJ?Ldrx%`j
zSBj~gde@mTRlmBYp_hkcFFub*?5VfBE!Gh_vU_vk(RsIMNle~Szu;@1{?IQ(oxL`1
zI>c<PoOvP!5^Asnxw&0l>j59@L6d%2P|UQGh{os)Fn~8J%BydJ(OHC%7mQ}}iejcs
zFo;_>-C)>+m}wIPvdqpR_R4eoV!fi6X+Jb_r?7t%+zbX?h?zFQ9UA&2<px4j<2bTk
z4z7fnX+KHja3c;*+MoK^YNkyRjr^Rl0hWM%EtN=d-IK<bMzS@$x?Rtu2)Wwks%#FH
z?O$$#8GVjL4<~@qLogbEX{kD0`idThz9M`6&$YX=ZHB%j6U(<YgPoK=`thIo-iwbb
z{m~l6yS}ra9=*v24w@ws<x1xD<OEoT`OvC^be0J2Wqd&v%rGA+6tyTHQz(R*BnxJk
zuY@HBOpk5RyPQ%xY&fx2GG)%d<_OxG-k4#&-MZ7>p5q>c6VN&N&>7}~x?_a{loKjI
zTfq$Tft5t(@D6{T`MT-hgHjOi;GxZJ5H<7gfisNx=FRkT`)Y%t#Rm`fuGk)24ht7?
zqWJz=YN`zjikdRB;2=_@aF6JVZElg61()1A^*2ys>voO1F43Dy9zf`c+$^F|^o?lr
zmL$_dd_fu-F^GGNHrCFPB$=M#i-Qt+16oGSYl}fky^0?lfvr;4fDUJn8J5OwmqfCa
z1FEp*YGZMDI(mALFG~IzY_1JS>-@*=vOIQ|^<#(4Ji8H_H~83Lwf3>&KY6w6*kgwi
zz3yZ8^p(%ueH4t;LyM%VHCLQ8<)d0q8}+8B3py*TLpd$l7Gi&vxZG*IEzUQ?<r~!7
zR-6hOarshM%Iy?~Qt`zm%Wt7S3+F*61+(e*>j*xlD7V{QP@|R|Q2&c(J?|iHaU35z
zR0rH&0RM^oyY8Z^dImdjg46!oPA81|;M_CV?Jm0N`n%@(WjpPU^CkTi{3{`O=nfZM
zRj=HMC!CHy_`&k&Xoq15>-E?2&DXzf%csv@|NGcNaW~WxbPc9rVv{2wd=pD;bFK1y
zEVd;;xMRX?jU7%Nta3G;dH%WQgl4<s6N7-27=BUQMbM+)DY>%XkhmMy>RjLas2d2c
z2gkT-*FqP>T}c6cPh$IWNNhz=eou0#@m-ZnJ%I_FNWt<%C7}_kzWws;?|l2^^KX&s
z5gK#Sn#(0!nfh2M?~z=<?7KqWZq8j9mn$bc_S13~BV*?et90!1?h2)ii(Pl+EqdAC
z75dG;c=)z&`>6!yiv#X{v*QHS__j8@9mfg4RnkWFq7W?%j`07+#<!vP4TWP8)eFmf
z1lH;|`^BK*mMkC~h`DPx^_saYaNop#!c?}wY#Gsxb}>gd>Jlv+k1Tm^qJOGBKlUDE
zzjBfQD~uJ`z9OO^CdSq*q%TK+6f88zm!ojLOCI++JnpdTno(<5GvA$Knee#<g=e+q
zq@$%?_6-hYlh|KZ9V_z<Xdph#@zr%j8^~rGN>C^pmp+iqwybctK1L!Dc2(qmkpNf*
zx12XmQ{snkJe2*(U4#4BycSKcy*6Phs%aWwz1J6C`i^&m)Ro3cY_8f`*t)1c;tp>4
z!tT{R#kjE%=`jCs4%L~C?^`?oGdtz+JLw2KZV3QYP*+^I-y)jUm*N&BZ@_(lE6#Ly
zi;_E_9{~o(En;n3aYX9kwi8a*P1n6W`D1T>{c9otpq$Na*p0y%L<k$@&)K2in}L63
zsi*01!F>msEdpXa%&ejg_7w~l4Z92O4w$k{>k8v)v$I2xfS3!SK5nHgOncCgHHT)b
zQv`d$`7rEYy)mxdSdln_3xXV2^V+)=+N-M-C(Rp8OR`86Zk2fPMI@V{FdT!g%94$Y
z8l@IC2+&}NR9zb|@Mp5c4es410bvAx@H{{`n1WYLZba}0H)5TmMG)3hIbd}&D)}58
z4s51yX655aYAEf`?PN1rjxbPARL!|l9AP-$3>LuX04>SKZ-Qm%l8WQkp|AcpVZjg)
z^eH^8LY>-BxXxn?;g4-7j>;}%(MmneSe`m*=JFKH%QGbSp6|H)l}`!+2eq`WIoy*y
z;x&hJ%!$rASt<Lc+3DPsj9_;h%r>q=f(;rzpkm-855_9X^WhFJif4dl1I2%QCpw3#
zJrW32sly}M3ZYpUw?J=IPI(&=fN;zroa1o%vh>5-F9hl`j%wnX7=YETneWc&Dbe}%
zoR|_lOS`JWy%NA(Ki5f1Rf{9otO}22Ewd)(XBG9{K+(JQPeY(zzZh4x^4-I+sm_fq
zZ#H=jDR?loo3ofLQ<yM+Wkn<z?NEe(x~fh>Xwvhjy#UKrnh(@o=dcrEs0U!-uoSHR
zsbEeBRJf>Yhvo!KT;tLp13L#MmS`cCQ$6ph7cDgclIl|rToAwRA%H{(Uu%NX5?Wk7
z^Ps^Pm(T((J@mGqp0!aQ4-a0+Qy)L+pFjN*9}Ln1^}pRgDBk$?!0h9ON^meBtPU+s
z%>AlE3uG%Kv=|w_l|ze@v$v|y0+5;5UYz4#^i~cn9%b@Yi38NC+*xR$hudfH%dMT+
zJ_Em6E7};fW^>ZUEuqCtg%+UtXXN40t|WO=-VEvpzCh%~W<&;$5_l}o+1<6c*e#!k
z4cp*tA@#YLmeK$IeV2dlCnG1OTrDlBkD*O$_5dF%Vyw&?L;L0wFUScQNA(EnJnfqc
zg2zCM&Pb-;GQrZmx!|tfXkrT7HO9JNUs;Pw5iDfZ`{Smiy`z0|K`RwP^T_rnIAFdQ
zVk1RRri1|A+fOhU?hY$vrO2ZP5}fAekpS42L|z~K4I;^2m->g4oR~|pURSV1^Z-eo
zEuW?2#9R_nJjqMJqw7G=N;2Oa<l&4V8(FlPs%J8+RSRoPFsOQKEPXCJLeY^L-I*j2
zb8NC1_*-aO)l(g3yBP^;myFnXLGkTm#O&Fhuo?6KGT?VtHiO6gu+NCiyG4sxznzwt
zpZm~f|LiwJ*gB4DN2v>nX4|@pjGP7lzU^#gv;jw!5p$$G0PyYBGGZZ^aqWJyVMYv)
z`t~RdsTopFKo=TTx8#;U1_q_IZIz7xh=v3AAdQo(-LVJJT!RBk%EJWFq^kt)2N=#)
zFqgVS@eC$|(WA)cSmD}xG`OJAMOtEnpQ)0}r7nBGi*h+AbpgR%vu4J%QTa?pW~>PZ
zncc$Gn)&X4N`q-g=B##uE0>nUa(mE#toS>42nR>c_s7^7iK>H1t37(YLGXCUb|vT8
zH2DD2&v%GChWaZZ8>$J|csL{r73V3v3q1O2Fxyn(#3G6GrxrMEuRSzWJ%AdmSAV0;
zhU&l0WVKh}G487dWspskKDXgl_X2otA6hmKQ~=30mxp&GHjg-d18{f_)&DNs#80BM
z`sTQaU;jES7FJDkkJY}&P?T!mW)o%R%n+7Z;JKKGy&LB`M>Cd}Wb3@;Tf@#|>w8}F
zhX3zNBG%NVS&ucnWB;(-akwHcoWy(#k?bqj8c};j0&qYd2~va*EM%r3D47};JdlYN
z8fw=gyV9&EO3wtL#=$AbdJfcUXb2fAiq11Z@Hc375}htm`kBvBRGzQcZ(uJOLb92p
zIkXrh7j!B>7KmtQ7Kaw2<bpt$p>7fFfn&ZERHNi4i9ymD7owN6zM9Esk9t8BN6;SV
z_K8HM>Y$n0aZb>8Q!;1hJ4DuX^~5p{sc8lgrlORkq|cHz<qh?uB`4$+1-HXESLIL8
z2NcF7eY>C2YcG(ly%*=Iw*}I@J4kolEox1~U4`d7?khQE`S<_Wx4rv^q8d_LkvwH-
zjY)7iz^q5>c|b&>X4ZR_)Xcgdj4bPG&_V$Abu}X)NHbdmF<uJTCI#8mD?MhQc3-`D
z1;b4<>w>|Ldt4h~QmjZbTLj%G9yu7RS&?Se1rHkt@X$wi4~kF6iZrt>xI=lRHXI)~
z_N6{Ynpqd@5g=(FF=&PdU7~Dra7hfG-Y9>tREgy!C(W!{%vUxGpvMv;Sn6VHABWk4
z_8^~YPv?dU^OkRI0mFsA{YjtsXTSEwYBTY|_jpf%IH5|h#XcllFnlO5tdSzljFI%9
z7Q3>DGeac1Q$?H^Apt!MTIUg|fH_6dLmBhQ7*>x;N)<$EuDzHF!|Jv~eAocC84$%(
z7}n`3&WvH5uHvjPtTiZ71slR?4iL1AVWEaoD?^Xy8XHt`=G$|+iZkDz6IGl*rm7pX
z!w)XM`wlT8%tHR%D+~)@CF^o<hqj1=E6GW1f32V@$3Pl^J#uxZTAi_?{0NldlS+@(
zhwABglbP&c|C9%G@d8qvsG9@-izc(Bou3a}DvUj^oxkZ2$0i_?J420@u<8!ODz#7(
zmbx{iOIUR?l`^0A3xDpL9t3)+eoY%zog`DD!Sk>I#7InB(2zLCt9@lDH5crUX#Jod
zCY~V3$?-)UR$-1NyHsH6kJ<>4Ig)Z%1t`)2HSpH75nUBltw`G@D{=%^edruk?KjBh
zN&JNwny11lAhGZR7^tBXr4<x+#4j7UEK7eez^aZt%g}~70x^@Cr5d`VT@s<w7Iq*)
zab3@QFKlaaE|V<P{9itJIip4<HYsu1mM$UH4Te<97!w1^inCU=?G2j&h|j(v+PO^&
zEtWP>?%v%PlfUvsPdxvhMBuLl!ft7;T$lqCId>2ZUYK62R?NP#JfREj)+o9VL1YXS
zmkdEhmM(~V8Bj*9*d3(m0B$rYL<7KdK}@V9l)yvx!2ZPFE`qeQE@&xA)<z)0Yy@d(
zi=d8h#ERSA$n)aRw6rd`U!y6V2DCqJEd3XP4E&2A3QPo+1md}CuUagvOX3PUX*KB&
zFyqZhN=>RuqRm~=Q}`s(-kN_PsY!K7lx=`?g{Rq*GqV1Lq=DKc4~G>qr0jSHQ9LAb
zSH2XlxFi^F58^uj{4fqk`wBN)$_!nyUjq-8H32`+%c$1OHwXYU`EhV`l+e(_3CT$>
zL(Klex+2yc4gJno;W0Q(w_oWEpVHu&`X^xyDw;K|+(`|eZNny-81V|A?g@x{x4QQ_
znIe{m=wv&RjgQ>0DSRyHgprS|c<Hp|GMzA=opgMhFFg9fD@UHds#Sktj&{>|uRo9H
zPT_o{7az$|{JN;@mfR_M;p$E7d#$M7UYQ9)N4vfh(mH8;;X4^&jhCLuo8^|DcZ-&&
z|8@##yC3}GcmLyv`t@eCzQ{=zgHgX*rr@9%X%xL(Uj*~fU^QXtU>${fAsg@Pi=1>Z
zl1jowop3R-FLK($U^2D*J|{g4CLvt%w1>eYgiB&F4lAZm)iu&e+xQ}0D7N#WWLxCk
zq&E<-z_4P<y#Wd2LvS`>J$K3fQ!m5e2U*qr$vL3sg8O+@eh#Q%>=PwU!w*Y$%nf$O
zzyn}9%OAn}<d@io2S7Ht{WXAoTW>M>DYkvgC?DqCqQ&Ijj>+GB!cRZ_{n0z4H)CM(
z3!B`CCC?`RBv_D5{z*HP6al8JUTLOu>YF`25IhlEXOn*-w4P=7!UWY*5ZeBUY;GC@
zlfSyk5~&>>vu$erobn+~=h|#?R;>h&Lx-~X--S)?<;y0wq^j>ORlQg+@=DeG^mhlK
zf7RFjwr`%1s$Me#%>h9hX<E$r;yP6=>_$vgZw8ZuXu&*ZTZWq;Rc&y$ebrO|M@=zW
z0u>$(!K+f$fZXd0be*d1$iT$n<Ke~RRP_<$M}i_F_ifEUap+tD95uyT%n)r3U8Sm{
zsCY+)*?f}cTTiuQw~(r~2r>5o7JF(RqI<A0&ayejLdSlTMy%*|v;B**uO(Hzq^h5N
z>P0Eep=n;2$G_~I642-vl-sSZUW&qQrYP*;r@j8Ye=Sng+8gV!qIJrq(W4Xm!Ap($
zQlswkQ<&7IE94K+ZmCh1X>;LX#3%J%ZmdRqq*ZCDQNO_&bpYF`M+LcTB(FicR#Mx|
zCPt<K1-~mAgaswIJCwk5+e9j2!MSe-=YHS6c=v1G8>ww=+HUDMdIa^#vaw!U@E^n!
zL<ww*4N+I~b`T{ff~R;pq<_l@EReS)ZwClIfOl*8c^q0yqY0km?I23vhd#;ML6pD+
zdlc7cRhrcGF{F<}i|(>R_x+XwTR`vu+GLIWLs0wc{3JJ9G`3L^y=K}`oFt{c&Lwwy
z<$eYOiWTG~N$IaMNxVO-<R=*j1|tjd;F6QbgWAj}U(@Y|v045x)vxJxowyD&ET87U
z1bQq3@@?amxtm7-2%+|f>MeL%>x!;lY6?^J8OpUlxu15xpqQ#}OF68fT&$_@8q7D4
z^08d*CgbyBf9dQ><z{WU+`01&aK8I={+w@6O}0^Xj&yv!-*J4Y#!Q>?u6nr|XT@P!
z!ZpmaKFbp}V5vZxZv!x^^kys2Os7oTqQy+lzeO0RI^!0tS8RiS;S0a<%`b+Tz9Jp1
zgFab3i^=w42`mNER>PkfRglxsuxHw;)uWwEEnBQurK8uoP7E6=&CLXcAkDOGp|%}d
zj#_NJwm3fob2{3Z=unl7Y3_AZwoWbe6;TkbmU67LD_5YMoRUyi!=D<HP*uY}>YN0%
zrBN+btmp89+f>~pH-L+*TF|+ZMa|wmjOj!V?|yIs>h7u`Yp@>cYSxbOR)#G?7k*{D
zm_Dd#t+h?R+MzODO$1MCf3i4Ts<Fe`(OBkMZ`gJW8_LF8T(~%X!;^*5b$A!yJg`ms
zoLYA&RE*QnpC^p3j4nFg_}Ys!q1hMXJ72TkxbdBLix%TM{}!ENd_VTPzUO!T%_-x%
z$HW1YR^xTXR8D2}55tyg!7%F&v@R4JY4gg)*9ywVi?y@yMcAo%?=dT#jqd>?49!Xp
z9fWLrVZ}M%TU(-(3neZlmw)fpN0O$nu9}^Axp(SKZ_LJb*RR<wCk5C-x)n`Uz_@ey
z_i+Ucr^nu4?Rv?|_!fR*w2et4d9`L${@rh_y-i4(rA@|~^=8M&LyMg3ZcICHGtIwK
zrrK=w>6Cjh)%GEzb$JxL*@v9g&!@r9a;{hYA0<*z_XzgA#K|`pCj<LVFFLSq{JOiq
zz8OUW&N&<Go@XT%Lq6Y1EQb6FuW;D*Pv?W<<S+Pq83TahZTDmhcxjn?$x7lr_mBU{
zZ~4Smzv@*Wp{1j)(<vP6wpkU3H)X0exK>uE4wER_zOpFV1!K<9c5U3sA;|Z;2zEQr
z{1e0O5E?Wq(vTOy<B{wwFuO<aY&L>4<StkyC+*ijeH%d<au+O<lh7(PI5Z8p3nKBR
zKExg)mfEYPAuobuAktxD4f6IClhrijF1hb9zgK4xZLPpBNyZIW0}bDz8%?Gcbu#9l
znqEXh?rX;Mq64^LstccO$kkd#%&psN>(6e67$qD37?N7+sn4EUv>5RlG~(9=yX{%N
zLbE>d9Q1k1x3MBY#y|a@&-$uYe@s!2nwV|tnEHK3P9{)jZu-IaZpj52g=W+-?ND!v
zS2gn~QayT63$(1V5OWj@wO$sK{DQ5BAtM}|hGOM4c!(Q8$pyL~a)t;tK)HeRA(dHP
zQF4JUcyxx}!*<^uT5^F!5T!S=BIc|q8fm8wttYua6U6itPzB@A2Lxs9RckKLB#|`)
zLoy|I`@!HHiF5o1+HF^uQHR(G{GDPJ^5qEShD(|v#f8J-DCtF<rl3bIiToqjJMdd_
zCP8~a3M}~*ZDg<=*X+8%6;<~KRoB9x2@(|{FsaTn-yqagBah4*gnQoJpo(j=KOh{G
z^90?<;ONyY@*zQo-ElC|M`;!Oitu|&7c}M$30NKHIopUNyI|?k2>2SR4^h$&v-Pll
zu}0m?jOD6E-+B+P;kjk)hr3Lx8S$L1IMx*vGVw{JAGuV@-MeA9*aD?W@}GfH$zUg-
zR1$|ZGFs(fr3*IYVP!j@P>^h(4>s)&EVM#~Cs;UGeRRzGqp!9?hP7BJoiJbeIDQ8t
zm+FR8=NH|*JDnJF$0K5v+Nh;A>iHL*wK(sUJVW8%diaIkwT^I6GvFRBT0R^lPyIm%
z!}h39YD;>m+9(WW6-$P>LNY%umlKIUWCcC2b2=Ul0Vv3>>bfJbw<Z`RPncpy+n84r
zb8CX;I3c9ncwFfvLT`Gb_(OhZ1c^9DcpB>!ro`4Oim`P;jO-QM7hL7oqZSl@$OPdn
z5lP01P>t=ys6XT<iFg{v2Jp4rS@0Vtss4~l9yVJzW0c$uejxRSOme@XfJm+^T=X?3
zEq$@M7<8)!(?Z0{x-m<?Gg>d*IM>$mQ2BphspC`ro}c5J_%uhRmQJ9rTXX-5?yMzV
zy!m)hE1YExQhJwx0gDIZ_0KaJe~7brLE>%2lJ>GpEI$@Y{vYr9xexxr$3-kDFJ!Ab
z;m<L!fnJ)dD|ID1G7qlOokhuZ9BotUrSy9vJToObHV-cA&f^Y=Jpu1PTihzytUE&+
zj|`5hbSFArrTdfxDGCR=QnFam^<2lMQ|Qh;0_gT)D%}Y?L5&DWFiN*ZsMejUO$WtI
z-3c(V`hg}jqAIrsPIvh5LD7s4oL?FL=0MtmhaLw#K=Ei%Sy@MgE|O2a{vhaiz_7=@
zy&O^=;h^|FFI<k+9AS=3>_X{N3KDo{D#X3Os0mbE;U?@bZlk@g8hq=Gz396&r}6<1
z-gHL86;FT$-!8dEQ7_*R%%m7ReOjApl4zpl{CUBSk%+FJd~G7~H8H2w*7QtuI(mAf
zah|Ab)-{jDNSY+mGktMfcNkF52N1YN`<7_%?MFv7mz?K8X4}^1DaEdKhzk&xaBK-4
z)%DRR%H!x#SyLqSt>@#vf)A0`ocB;)mWTSXJk<C%H~8hD#=qG^4Y@NOYV6lO)chw0
z0Py@?CW9_dIu3A~5B1YmlbdL;o?=<COgVTLjhkZOHuy%3@|_0XYc>a^6UMmKsw_(f
zuEq(=b_(8czPT(5!93p0birMNR>*ejFD28l*=;X~Y!xh^+h5=z&dZ4*N~eSK%{Ap+
zchOZf<(<50)eaea*y4P_+YkplTx{`uP^dxxaBJ)@+i}9%&Bb<|_wO&Z)%8Q5-k;lP
zf1GayU$*OuZB<jgl{hFJpWCrNez3eLx$#{oYwP6KDx3Iri)cc$$qv(VcNbevoztn>
zCfj}QKDLT5_)@v)!rYYSxU9Ub4pezy&piL!b3%Jwa+m?r(+?{?a}j*bn%bx2%7Vj;
zs-{vepQWXj|0)sty}>cA+O@E-^Awqzz4rn+&dMRN^&s(|k(wmFt7%KF=0=m$u3v4P
z+2x4}S`@3M7XK9vhD#H&)FN3Hss!ai<N{{j75b!e?#j4aIpMLNmb)12p}B5e$Gp43
zT`ftjyYk9j_IHK3qd)UAPk!qggD(yAWXNQ@zpq<s%wAptRS+Mg^CYtfGRZ`p;DXR)
z%uc|S!q_x5==K!^`PRB1Tm$RTLdmxJ9U;i~*#)CYe2<)vxCkyd&qaXOE1qF-E%`w$
zwfnh-0c0mLoXN8DiLY-|M$fIz4ZG1FBKC)itik(>%KkLw2LZ)8+gnsoSLRj&j!^fq
z9qN8i_KjDeG8gJAr~PYlp=IJ>?pOt0*;n<8)Bb!A(((5f*;*3is0hE+Sx&ptq{QxY
zkYh`JnqoqvIqdwxEqf9}VO1kG!h|dY+<={}X{M;?yCLA7cZ;asUkZ-&WRmnF3~RA|
z)x$J2Xx!m(FWlPvp)a|dEZnkkU@5b*X~tFy;nqcH9HFX^a7SRtp5na)>LDoB#RQMt
zM!TAJ`}I!2k`NT@VuCwIYIcWyr}#?<QhnGK=64;KdPYpU8P-HmvlPJtGW9ArEQzAh
zalsvv*do{gH&?@^XJwTMF4*rj4Eq@*6Fz5<Y+pf8&?J}KVaA7|<^bZ`%NAwsl84=z
z-CS66-(f&mvnHbimwc4MtzvQ&0=l9-IqY%Wnyhv(=h#QhtcrOYZd<oD&P&&?jkCY7
zZlryC0pg!zJwfFnyd+)9_AFnaS+6wHRm?ITMN$X*t1_`XMYD;a^Zmq6fAW9zgVCU(
zu$VjF29l*jB^VG|G+6?4Md(;%#A;0HQB1~>mpX7a37K7RzlP6EcfJRr*$7H7?SfkF
z19u*JqFpfs>`##EKxPGl{9u4%Cu9<O6go;24|H^kc8X8thXxocwNrbPS=G>B4YnUw
zfLLWk%#>;`M%1JKkSu3Y9gexm?UL&I+QD~7qq?Li()cAab_{a>09DmJ22WK#<z#pg
zitm-3sC0eU{$2Frt>{OG*lYrUKe%_Bs9cJT?y%TMwbq&TXCaZi84}5-p8WK`_m6@^
zQhg1#;qFCaVlIk`C2`0RfVICZ0GdZGMG&<{Y9DP42hd!w-*A>7Z1{oM%X-Be;*=_F
zBqGf|#)>(_fk9S_ivR`%&h?5p#6bxW8fEp+mIsd&bBJ^3(T}Q;3(A(86?1P2dQlk*
zF~k8CMk82-IH<kWVa0poE!zf~D#SU0u|gdP%xWG;<`8GU1rDS~?;Nx&k(gjwej9f@
zs#<%`z{ZtJ{x>9LHX(DMn4;KTQNBN*op!GTa~E65>IQ*>N`tnp!{EdE21)U?A03z~
z-7);0Xzpzvj&;68aNI0hMQ*vh>5~8THFx03!d<5?NJjB|^hfe^B%&(W*#?r;FGtI=
z8iZCQ+hlE|YCS-d-872xH15N(@@o~j{!j|tzYY}J0MQ*H6cIH96-(VUa=BINQnmxW
zl`?{~DT&Sk=d!XI-Dzhx;@Y!5VM&<*%8}e%SyCRiWEDSLhda-^MQyNsSGS1AeI-{`
z|Iv^9+&BD002X>P2F^~mru6|1fm-C&qGe|X<Ap*006}^bt(mIuTnzt1JkGS@P_Qzl
zyN$6`fTyzcII=C(mNltS8bYPn+1YdIqnLcmC2lV!TT+b8FgzDcU#-Q@&JKVLmJt$4
z?gm~_<?QVGj*KIe1OZ58a)JEjM%>+Y?Txs|%eIo)**R{u=0I(F>0YbQ<a~Dk)Tk2y
zgKyA=y?pZqDu$(P=Srz<)G{pnJcazK6uPT&5;pLYbB%lBJIH3c@|et+QFkNW{sp?R
z3{<?qfr|H$-n|yP#ypF$81<KsU1Q!YT8#SqTXYUh)(7AHy<hilNBg)=RPy$5o3F&y
zZ5S5V>H~VT)w0IuC7KNv?2iXz6oC#LR%?qWLy$(@1@}NMq>12wl7F>sAxNX{g4S&S
zhQam5qS+9nQFlSuRdx*_;e--p1^7ddM%@K>{Smeg{=^X{t5I(V(x|&2|H4Dzia<xi
zu7)6ux(niB;p%Y3)oR@yT8z3&qLoVx@No@irdo1V99@i+d=QKDuv9mrqCS~bY#F~5
zBuWsCkC#!_A7;*%vKYE-CT?dI>|4RJeSNvJRO=k`IY%bWhtd;O{B(ircfB}t@W6Ph
z;M#%vl=wv4D#_Ut$qic4<q5^}(#|ClyvHSt8;-61D|4&Tn(1Li4?({c#N~Kc3#D0g
z^z<}e9CusPvEe+AsCyVZDd};(K#iQz2ORN!v=5))J>@(V!NQs#C#at(IEv|kz5p=9
zwmwu%3#DB1E2SqIzvqUIFP><SHq>ig_c<M@!k5PgCG*b17SAb!Pduklm~SgQ#DmBu
z#uu!fQ`Y3)_H%lwFn>XJSm>V$+s{LHP__>^Ckh9(P(Lq61C;9IwQ@i0fJTF=R1gB~
z4!JlGb^CQ;|L%?p`*FTBPC%iBm7qgme^sf8O8x5mWjo-ow$GtP$IzyLogFMg={>)8
zy>=g4;I{RCMs7k^l}#XPXb}ix?LHPmrGEMcNTk*IecG%_DoQ<kDG7{H47%eUQ4LG9
zuaKL)Iz7obt7kdZYrNsPZ~0H(^^p_Jkr=c|pd9eWz7qoM+}$m|hL<?(x1_~k7bQBT
z#A11}R*R)e;lgIU6E9@HK}TUDNZaCqU?EfZ19fsJv!Hg573Gn)LtB5fba$Iy6N0&3
zqsM3pL0<Nt$7zdCXjsajI~<bcVvql*f9NaDG8d}1S4oF!w|4S!rpvTt5%1WtjcgWb
zqj2jc!5^_<)iylBs+3vAX(olH)hMZyx#{QzANFa&ZTcpm56G9D8>LvuUFpM#(rLI5
z_3#WJ8&#pX_!vn6%XT_G&KHbDMW4ZWIg7tr9O$&1Xg^nA_wi$u2~^UbEnn-C?x_`5
zV3mp(uj!)d@y9x}L{*F%`lrbR%vhc}W#;m2z)KbMdp_!CzwRf4+d|d$AXtOPD8T9g
zzj^JuX}^YPdnAu{<m|=0!7Q5A#Z3M!>zs7n@NwM>^-}h4lY5J(Z%1^KaUv%R)DC9y
zZl6eT;>J*7w?WEn;pceVu7Ia<MKnum?mPsOZ;Kz5?WSTl;n+8aPOdF_$c00TQ5_oD
z8^J1AL$9j^Ypd-b{*#x~&+>r&St&z9J=$P2aSlJgzXse_P7^<V8~5h$166fcOfQK1
zlJ##X>1IDV39=-GeO$YRh~G%sDqIp2S{76Qrq(2~OHS%()u>jiR(i;<DC<?>Gj(?H
z;9t7L?H~X>p~c&=1~R4~t8uZAp~l-ZFCop!uamT9(xYvfv!ibodX#er&MB`|u8Oq3
zG|;9Ko?`2gxeIB3uys{-WYhT7vYiw+U5m6SKTqg!Ol3Hf4pEe^rI#CeJbjBE(0X%*
z-W9cOkNRz?^?A#WsrBD@!*_rDKGeE0Lc3ZwerUK>NR&|%_E3cCj0L+pJxdovB`gsR
z_5!c1z&8Y`)?Lsv44xsRh16jTL8^5Z-0z6`LARn}*nHIx<V|-$K)FceQSjIsZ%_#G
z_PZdd9tE#KkA?t>nFOA9-UWAP;gA(~yRB%fEJ(Fp1P`mN39?j$#BgzFQR^;=pO0;d
zD4v2Ppc1Sk={~q5AWB|0B-av*)shT#ToU7MlvxQ%UGS1vbGBj?-9sH%e{fYQe*u+H
z!vClLHt3SjT>$0ThajI1{T8282xTz#A*AIWE^m;kzR{-mt;KY$mSW(WEe3wPsOo3v
zXYq^eWtz~>V+!=U|K(r((%&1E2^xEPWr7E(C&ygYp*gsIs6Y|ITXU92vvp{Gk~}Df
z<|n6D=Ft4)^vWEXqt&7pC>Q5A=;9E|YQg>vw61WVQAW^p#&;eULj~$TPa=aEvdD=7
zbxBkvs7?lLj8&idl9S4=_;{@c8DB&dpz5FVR9;!fG2u{j@yYe4|BY|rlYi%b<D0Qh
zluG%Ek)U6q{P3RGz+8E6;`}G?ZxFWQ!LQt{TMpadULs6SCw%VAOPp_pF;P!?mb)uq
zQ*sw8DDggiEjYu0JkHQDj}qE19SuuI!-ofb>UVz8&;IH6OrzX|+3z@@Ld&MKz&EJv
zhrOyXX~rVOrff`o1ymH@`?m@T(%m85AkwXb2un9hgOqe5ASfLQC<xNItaL36BC*o7
zNO$+rEX_Ok{k`Y>&zUo@!z_Du=HBP|#54EmQAE8G4S2NndU}>~y-jxB`+BUvbKp|U
z9HHpGFcDFvQb>03)Ys`kTf|np8ZkupiQN2I8Rm{ZZlcj{xcC&V<F{Wae^h3lT`cf>
zye+#nxjaGkU74K&tHPU9eg&38ejr<w!A%o0Ke~SE=Dfr13;#VYbJ5g#(y%}$s34Bp
zc1SoUi$`qI^c%##{3zo^r<axV$M$*NNFtoIC)O{Av7*(E4Dqcy!?H<FqnGE?o{3V4
z%07JNg^`^t2HRvNM^}6H_cQx@Y0ftmwqZ~BMw9~WUjC}5`29iZ>)Pe;{Trw<Tyclf
zBBTlw1%sF8uX1$T7`Gw{^3Q0-T2L&6;Ahuu-#+S)&_^ZwolOLt{eG|G&h$y^wx>pW
znnfZf>h%{aPh0<`?Tgg6ai4x`$;1{aBhd6qQ(T7B2<dy&v*_I1ey?Kk^ZwpqVFIS?
zb0JuK-{Osv7cY(kN(ORnu-fp;X|2Vds9cnL>JiBEl(`KWqK{S1Jh*XdS`6~?dMmTn
zApu4x*S<_?`w?;T^Es>LWW=OHKN-CB@G}8(Lm0)=Z>gHCSShxVjrKV24EetLH5;ik
zvy4dk1c&uA#y-Q27dz4WW&VDu!v>Q(^E0~H;iL|$Uf17Gk63UY{G}nJs5e2UcovEG
zNcq_hTa?n2mGr~W=hH=(`8M))!H))v0&yN+#_|DAs_l9_dW>kaRQy!ZEBXZfa8%gY
z^a_jfM_Dm8U7vvnPLur=-_M9>^CjxkZS^hj6W{hAX0e*(JvX~|3}%E2R^b`KQKB-L
z4<NAjI<mZx?pijAQ%sNk1lrxr4z;m$J<j1WOp<N8QToLoiOUVjc{aD<r@&8at@K%l
z$mItuqnG}1{v(~1uk{zJ^i<Ke8g4?5NG|228&Z#38~-+Jml=9`{#(0nZtJ~lE6=mx
zl?RWem;%b47`@DHLi+@GLE~858JQw-4u`vNw(Cw524+5B2Pu7(8Be_`{91gI+WGWN
zUM$X=Qf~XLlXOcYS4O7mp2N&>frwrb3}!?&&gNf51)`cu$`HTR2O|)UQSUC3B0eFL
zO7G-Pz>HmUnO0UxEfYke<U4AUT^--TmjqU}J!t>b6U&N9H#nWO9;ybUS}1w^@~zD0
zvjT~Wkkx0BnnPBDuAJ^xr_3@wKh}}Z;LpKoa`X1!cK!AmiZF-Td16vFc#A6KX*)b8
z2=W`wetuT}M53oI!Rk{&fP`U4M!}2)Gg99p)j8s20VorArS#?gM~@FiXT?i%it5iJ
zd#f|Y?1I9%Sx*9%FUymvUSDN6`^zPWEPg+ez;K|;O_S2e3P4B=tyQ>v*$zrmupa$y
zF6xs$@mT(VCpZU00n6)&?=ouhjg>oz9dky_i5*8+6ZkXGe=FcLX{_c>=R6YDDE^W5
z@rO!TxQX40(sWjw)}z-S`zp5Z$g>lqHHA#ahIh`RVKVe-u-3oL(3`J;Ws`ku@7P%M
z>HD^ZRzwFrIOcvk3Sj<Y`kf_K>G*kB=KI6tHKVLRYD}=>pDtSd_&%-#s@T^?Pm@H~
zy;Em`wuH<MG-U|fKC`+@#d6rs1(x$XF>i1RFE(86RxU&gYh)B=P?!AV3sA^6NVFt3
zg=c@{o(N;eDXAXmLacmCK9D2lHT|3aZZw#-pIDJKQkuIqw*&GDsJyMmPI3$miy_Zz
zq()AsTA~(#$5q@FDfBl+gxubOo>20|mPQt4t?r7x-qV<ST9Cx=$i4TYH^a(o?h=S@
zT$}$MkM9gLw*PTt@F?Xugm0&@qT$o$7L0q_9u4Ph;PqVV^?X+J{DXnm^zIKnFCd~&
z9tiLRTJZylNv3FKF)G~|&&r?AiB*)2_MTa%dMvOA%Bsno3moStgk41cocVeihK9*q
zNLyt-SVEUEOLF`*tXdE|8ta(!6**^%&T!qgo9<wa<FGRu!7`;xDpe943&V=R4=y7~
zEZ-u&SA5a=ruEeVL#Fqc{CbdP?X1ma@vIM@4t@U`z0ZrdTwnnP+I*YIcs2)RePb5G
zIXawJ5}}~Zmw(T?{wj&zG99Yz)P#J4w47}}VVEhG*{F4hCT1NI8A~sA)}3&ek^Va7
z%|k8W@9P=$QOVPe>}h4%8|0>8>{`XCI9?{7%xb{J%S!*xtCe5x6i&5Ag^N#N9IN(y
zp9tFj^5f+OOMGz)wd5iZ@YvC9JCqNac#Rc0dK-B-NXahdNFA<7%1^{7EjZTfUNv@i
z5qCQ)K#v)!+|*@UX~aiaRYBW#J*CkUVVQeuZDv?I{WwmoDe@gj-%R-ZlnPG{iISXW
z%nBz>2+$@HA3af?WF6{^{!6WJ;QDtx$X(NcNE{vb<MYVmvvdJ{GaS+;?4xpKoZrI&
z1o@UeZD<7&px3k{Gjhwcj5@qe=RibCNjr}D6>N9$x_o>uw!z%!73RO0bWe}k(JRzL
zJ$N)9>X{62hjH|F)>v!$Y?-0G?)B3+9et_0ElV1dP`Mn6h*sxxI&X1$TZO(MJsz?*
zv^W%Hb&#*E9$NhRu1WC2obqV0)Zx$lR}o67Y15AFO7&zpxjZsUJ$OYyNwXJoYl9ne
zxx$kM?i(Tr5_gezM?roA{vBlwt3E>Out(TAKky=y%i7alugmEE7%jX|U->cWXB!&r
zw&~Vy|MoTk-7)+sJ^e0`ANl}lJ~>mI^l?Cwn^t5<Ev5#Yhtj_IIkdwY@;63qoxw_}
zHl{<1;tj(bb)=JnC)PI=^7^+6rzMC#BXxGyWzK{7Ci>cqROoU&MHumr_7y$p{+|K8
zcn@Sm%%E#s?ngH8h?Q-WoYq?9_mzeIf+k#-jx2b=LWwHd7eON32~7VJRdD~wN*dJ*
zF9Ek0GJy{gg*p3|aGxLqb%E;`-7BVN{AVOip^t>o+$kGC_1k`PXLWBE%P?T9dpw)U
ziD&R;%RjpO`?^==c+`uK=dy;+JG{eQn3tfjuM{<r{(Qh}OuO}<w|<+BdkCS8E^gHC
zSA=h}QpBD<!pl;N`Wq}%hUHF1lh(~@Gg$kLw5$kMbvJ-2xRJChx-xMb8fpqGf>Y!d
zt;`{Ff%Wd%r}mXP*?6jmJ=RzS>-d_$Q*bX8bQ0^YYJGyiO{j_4I%T^43t&Ap&Wm^-
z;l+N3L4h9=v;vr|wi|_l4z2~NmYLfoX+bMNH;F$&q5O8(wyCM;=?!N1-F1cPJf7Ap
z<SEIK{?2Mg-Bx;BCp?c)EiC&^xDWCv2Y1`*d6aSGm$JKx+Pbg?LmS6P3EgVq9l{0t
zE4VoGeP^d!e~5a93zR~>PFlqcj$RH^4#Ff!AHoOh-^pssQLkeqR+&@<3yd5@+F`We
zs3F#8;D+O_tOiy&1MgEaDqC240(|g_r6^h5GJkMR-pZ2-F>oE3BVA8OjouzZWP;aq
z!$d#leTy9=^-<_C&3u?zWD^u1YLy(_lvZ9ps`tj?iz=URymn#N@#`MS#)QjpuZd73
z&Cm76lqT^b`1tQqT5p@A@ALzu;x!@u9^^UCIm&)#zX@?paephh$JOUc*2cs3RjUHU
z&&$vq-}m@cz&M8+t6l$?UidQ;bn?zgiUZo;4|4CuvD9Vbd1VPZckvEcUT!?=a0uSh
zyz(%4ZpZsdEQdk4<PCY-<B)Zt*-Wr1QLrar^t14ms4ckp)I;o_p~k#X541Qq_(|pM
zc#rWpc!NPyUmsn5tK&@JQ#3|T#@SXmGFGOrHCtML)4lfXRYt>fuBw4M%3Rr?<-I~r
zfIpGfy7Fb@%4Pzutbw<j@r;GXGNHzHsKYZe_+6m~|LaUc<40HV*m9nHopxso?1Vb!
z&Tj<C*_PHbLXRu;{V~WC7Z2g4z_@+v-$J{4>Og<p7N;UqN@d;1WX{iKWv-x%e?#R+
zA?Ep)q!2rJFN!qwB@TZ<F>i-vqaHaoTt^Y74x#LQRn&&{z#l>Q?D9E{PjY3j;2(2Q
zBySjrItg8KrDf4)(q}B7ZG0kIybap7CD|tw=As#gi*R@((_-=$UWUDA=sM5S@n7%O
zym4-0${q2uwV!~oYUeAsSKBD?s3mA9czARYy7thXx9C<W;>&R<DgI^|aqgyU{7pZ;
z^YDPtE)_%suQ_(yKhN*WKD+2gcXDIeR3`DU?6f`DKV_G;`qe*>AoZ8wVBgvLHXxl+
zoqf#w3+#x;3TKeZ<NJI|=#KJwr<;ieFJ!_r9=*TUjrr4W*?DH8F|T*vJt@(NwjU4Q
z4liF|i&X|V&^&!~M}D`+^^1#T>_pNCZdx(7LM2`{f=Ou;iWFidQ+~}QyxW^oUcFvX
zVEMf5Vf)*PuQ3t=m^2T#7T5HibDjOx=l6z5b$Lecugxy${_ZO^*W3N=fNKI@-8&op
zZn?1gy^drFp@F-eI!-6`Ao63*bAOf>{mCYuet*nRVtX^@M?n>%T<L(L@;fP{|43b|
z5FBHvvzLr`n}ec@)o=Q#CW)4bi%Xt$Qo-kySwcAu5u9(>HLGeKv7bGA`r9v4$P{#i
zmAjic(CIS`=2__kmJHr4jvN?K{5V}y53E6fmFVJr|3vQA=t-`OjZkS_xq8r{L)=33
z(@sa@EUEyehN`yV(h~KU<(A5Pu-)cYW5aQ1MDE|(c`B+R$(_+*dx|oR9eNh4+PUWz
ze{`pnDYdGPicbn11Um^4fuzCJf~iFX+UMW4GpJde3tk9?d4s$zGIw0=a>Ma!X#?L|
zfU=c2KRVu5la+Qv>Ql|XA2^NUR#b?4hV(}~baHR@lFgAX9%o=td4sVkkdXMggIXEl
zk9YdQi~X~e@pGDL56_<zGoIpFs;Qm^SXJ2_2RqBw-yca0yDrx?k29nB6S6kLSmp%j
z+c))5iA>84#T<;8{$yHp#plVg*J&J`K^sk3z6%SO<E~-Xk`#xMkJ*h3vww3Vdc)VJ
z^&|Q1BxACx!}rG>Rv>t<F4=i8>oP*RE0&XB@+aAH&!K5AMLroexNp{otuYut(uPND
zPknzJOqRS+*o-J+ZLJAbZG1ZM(f2{Z!rN=GbXU4_JB|8ZDOmz|5vEzHAYRB<QDH7W
z_9#SP>EqAEg$UILe@R=~1jP&m=$n;AECOk-9$2TRD_GbMY$Mq##kCCV+{b^NF{ilT
zv-c5t9!XA6b5KNk5))}(+<u%$YJO%wOfFNO8xW%5*ZKOTsRYztxK+z5;$;&<dKBi~
zgBqc47i3eT4EoF=>cz+XD9kVPG#L+jZUSB7LiIV2gx%PCbWiiC98CL2wI=MG0(&dy
z6kF+;w%F$fDwre8*6y|#SHeWspGhUsI$BK|X}y94CRh9V4!1BS(OdybTqa{Uwv1ZV
z(9YU5q+y0lCpmPg+140$sEaYThA~MG<>2o>mnA{E9{PV&|3oDDxR~IlrN|VXB9xe_
z6c!Zp$#Sc?HSsKbA)K~qfwjb>GW~V*l1LA;e^A`M6?Uu5VOpx{ZVdUs;5RQ1lLr!4
zPx+j1K>iE+e*5&71?(F1aW}cyL^WYNcrsL1Up_f=biT&@9ZS1$p3{aNSR^CK$(Mup
zJ1PGr*{W6R{riulPR9abXEAo}==*&J(@M>JU4amJ;+oYEyDH^xiBzif4?}9tu|P2z
z-Z)u(2H?&rt8?RD5`_J+OCA?z248w>4#dX%|1_3S7c{jsm(6T!AG}tzX&D$An5Zhm
z@Z->E%r7MUjtz%AGuAS8`YrZyD%+k*l_OkOp}s0Z#|VTx#A1G~Gj>gstUajPf84&o
z55N9Ge;m}ZwgX+k;<G}#&VTn8*N|G@fO5vrEv)F$fd8sgb6<~hPVRYA=}!!OF!Ni9
z&pG1gT;->K<+pZWnd$5qAI8~hLZqk?BXr#q3Txzya|YhHeN#1Vdn=U}_LXDI%Xo?M
zu#$gFmy%kRlv+lLR6o&O;&q}5dYH@HA5u0p-3@AzHE*kgqGAb8cP%fkn6gZrFF-fx
zo^GVn|2PF{$mJ}1Ci3MQUT$e#>@U+NZebMpeRFyG$A#9^d5KijA%`w2ZjU9`Sjt=*
z=hHrOAq8~m2X_rdC5V4rKXA)%_`1#`WApX4-|Ut;=v<poXE-eU4S9;3ErSWQ`=Zmw
z_!4}l5%+Md=b%VZuui?v&N|kZu_5yVsn`_*s1Bx0n9rtoNO*jqOR3Gc58MPJ)aGwc
zrKO&af1)Ji>ZkDO*HOCDc!!_!q+a_1)8B`UVSKL8#=&4hJ)yuuGoB$_vud%h<Wk9Y
zz%w;uCMnpl8=+Mmb|~2bQ|Tmv4u2Qp&o18S?5!m>-NfMgP~<V<LrAl9_EO}`^a3&8
zJvA9F%zul21y#(?a2?wWBA<@x3iWQ!yzWw0kVdUeT%R}uKLrnYWHZ(&9CL`6o-MtY
zP0#ihjr6S)2+h!4Ei>cV>c>+FFTL#HJi;s!`()y6r)B@$S?_CD9|<i5TE0Yaw@It@
z40esc%*C<TpccnrW2SSF>xcH%9Fo<4^FMipO4z5{^}LhyKOyf54Q4EQc_|U{5Eodb
z?cgQ;?-N7a<~NvMD;`YYahu2UbaAIi3?!`t6kA|*C+Yv>ACAX5{SM5apE@u}H1oq(
zeCck{Ni+?5TscN_N3~6rBYK|YAGA{*bm_2UdnhAw=a6;0RsI-DLpo0-;*OB=r0rNH
zXuIyNP(5g`YjZ>9h|BDJtNdp2<YMKdy8D3QdT1zU07H7iY$C4QZ>Rijbm+qOsz2zC
zXKO*mNapUYq~+<g-sqW3VE(62`H_Isja(%qF$Pj)rQBiu1deY+YZ|$4x@pAOQy6i}
zazu%GxjhQ3dVi28K`!@ekXJ2(g_(g1LmS7frse+oN56KCC3g%*P5t+4P->i~IE|i{
ze6*-3sZGXJwIeg#)z;f9bqemYCiczSn;hY>#>Czwi4Y}MOhV(c%UhFYp+Vb*)@YbH
zqM;hiMA91{FPglku3BMteZTI!=h-6k2bbbGq<7n^+I}rwz*e;R_E2Wv!y>U}s!f}l
z-X~*spsO8}-wiM|TC)vagjRDGA3sE%PlnR-(_vzQ-$y*j+d#NSl3FacSxl0ecb0BK
zk{(r(9-V=>*!g}c%oDb}mI{L*Dqu%1dz2QCeRf-m-#F>M(+JZa^YHAMogMs<JIKoo
z@<ytF*mnH9c<t<4=O-Iso+qQkUUv5ILl7yHz#)svZcF~)QPP<VbsarVhM;<}=O+kh
z#Qhc$H^dLR`r>D%1meQG-!LGt0-y+LWI%9|9!-*7dQxEi<x5WZLiOoyBExA3S8XY<
z`0Yis-+ztzjZkJP_>RF}D#%?bsp0Oomn)0|t>0h8{cI1<c?-ASGNapWrzf3Aoo1=_
z9F!Vupf1whH2+<)O#-&ZER`e>|9R-)<uSBjTI7u65De9$!=(K}e@QtvdSyLqgGEbo
zG=TCPIyuUr-pt&h6&jEV`Re43Od%F8IXQnwB5vGlAB0u<?`@@m)zAY0e=OXb?-;UI
zH5h`~1vfoDMrS(dNN!QV4DPuAcA>o%)MZXe!SPh}sP-78_HFw-u%E9)>Fo#qz)DI7
zXG~Rhr^=nF(7kJE5U8>h^&YjcQHK+1M{5`G3{rJxn$p{{yd82)uYOW(t8L5DnRxeg
zMe$5!TrALLD27JHvR7j6P>v&zV}YBJZ*#I?lV9PXNhU77)8t2G5Lv)Pys|b8uq9#N
zv`mk<Ur=aD(lcp*50E7-KOzz35qll-`J#yhqSk&$B(4rvaI1ebB~r32M-ZL^a~<5G
z{we4(JC~<oAFOR3Jeu%}!it|-+D$Jxmy3s-&VEbF(2YSb20MpxRF+9Hk$x#huwBkR
zGy<XFeu5l(9D@zq4Ic4>yk*U~YPXZ!%ZBAgdhrj1Os@@T!C-rw66FqrvRvf(7`3Ub
z<<n%B+q5XSgWssF3is`|<U2|91;SQ|W*nYU!EhRgg+0V#>%<?Gf{7oLc*RRb-ZyL8
zj&OU7GJ(y0zvJ(-ujO&Cd^zE+{N@RFEzQ!L$FDU^cn&&z3)#VTBH$w<U0fmCwuKDV
z9+3&u8BBClHI79hpQ^E7;-$22O-$BP|26TYpEGe|f8|!+6tsqBZD7ITgS?^x4%Ig;
z>rC%<;n^&!ASv8kqh_U=j|$%jQ(@xz=%?p>TBN}ZUAKECsEC#$Mun-W-n4b<vuWQ}
zNL+>Gu*|}>X>ZyWH<89xGH)lt`Rg*fUC!VHX{5^T>3S#ig#(5l0Kb3sfA^k<Urr`|
zuVLPO;P8m$101-06r<{c@LOkoDm7DQYl|11ORnm026+vy<Zu$ktS_Wce`OXl)JYfW
z1n8~0UHkGVo%AUK*Kifsbd}rr>U7#xX67PIJ9>owYp9p;kRuK62ZjQF&cP}TS9TV=
z+j_X?(QjRrzCR<$G8Z;mGkoP%No1)>WI!ySJAkO8PRi@c@aDAb4Z!cS-|i2#BPr($
zCZ$%`rs>;$#K;6T`0JH)V=y{|Rr6LeFi+mpT(ZK3KXWWusHm1F52%ai^sPf>1qwnj
zWV@Wo{o?r7@aN!`oImT9ssHFSzf~4?Xt>^$CJ`5H8gWY*_I%9df3p29E$hj`+)A~9
z1`V=MG!)a8Mk@@tm6b^PH@ZWLG{Qd|75FQaRPS=$sMyegLk&fe{zA35<*QsIYWuNz
znm-mEN{0ry#Ulov75GATjz6dERu5fv9dhk-*7FV@z1;)n?xsk7C>{W}dk;8{=ibMV
z3K*h4vwdin<G+CNJU?LoTnk1gNLNmRKfM0w+1Bq2gZXqs%wd7W4LxEFr;8`0t51pq
zK7iyQ;+LLvCx<J<jYcmDc4Yd)+sXufz3@qE^u;CCtm=C2U}wKSK^ZiRi0-)GZjDhX
z>}0`3H^f|IHcdmJki4zYR!g1?VXy0-ke2ss%rH;a+7(+v-;4xY<*1psTKQEIaC_DB
z!oT&j#G2QhrDvb*A@=sb^-cB><ld9oKL<tz<!Cz^h)rJwrtq;eoTd#MM{I<t_;LiX
z6_0;qos@+Cmhmz_=1ao-en)ANZfevUUv}6q3HY4je((Ft^Te@^Hwn$%K_{Brb?E$)
zHn8kd`OHnjZcnyPRRpnjQ5RU)co;%Q{B@az?J;!ytf_%840EWrw_e^ANKizYCnIQA
zuIVSqdrsA4@TJV?QK_zU2W#Wqq0c`e1KNNCOoR}BdY3-7+g!zhk^5W7iHAB-r*u|6
z+?XA0k&j}R^0u^Uga*wrscvQhL7}%pUeMLm3M~H1<~=bGDOeeoK7H$$mcrsqR3Ile
zWyemX@#E&9Z8@|al=4VATc5X&VwZ+NSr}V~oRT6De85#BL0v7eu;!i=W+p{`tLqJD
zmtVzgzT;!fUF>eEmOts#7hYu_lxG%wt4!WBB+safcZs||T^5y6;ptv@#S6Zq>xz>n
z`2bsH0gH?OIqX36I9tnDF!t)pZ%NBVYZ9&{uA9A>VLff@1fJHGT+ZkcuGqcl{iMh3
zORNX3jQ94NerE_Lq>E;f49>eW@C^+KoK3UNU)D%n)&bL_QZ&E;nOD3jr&?{4bis1=
zON4k42!tEnhH~U04fL_1Z|B6XcS~RACDyu$!W2C%rfw+d+B!ct4S;~~dh|>(@ipTK
zn^+*TEQFA*H{{@;4yX2M2*^S>OOHlbk4A<wfJrQMX(_{XWyJ7o&``Yos^*VMXxqkG
z+7Ad+G@UeMk$}<pLoF%xl9NyJvSsbEN@%c0`*5j;6|1bvd?~KIy_sux#9}-LI6$2P
zNpX(y5s!@0a5DhA8B9xT_iCjNU4Gzg{0t=OsQW15R}U#=!oY1Jv!WF%hhgjwg>^k7
zqq|jP;*rc<xdQv1$7R%piovM^CaB0nqDS3<FKn7zbovu^^0j(L(>_|xK3bdg!l*2?
z+lN8&r0J(%TMo=~BW>cjWV7`GZw`Va;(kL&s8y}y9NbXBCv9>*4)TSp{DDEC?@i8J
z)YY|VG7Cf{d8mS1Xz>~j=cb6t@2@nCdmoKXn)2~>S&=G4A$W8Y9jcN6Eh|7QLnKbK
zq3?lq0H57vvZAkTe>=Lv3qg=Tp-<HyHDVPq9eh!@VrBATaK5^<HIkvAN%v?(H%D(s
zI*|)+Yb$O8E{%-4GPj_6Gysua6@sDKJxW__J{F=}cr0A8^lPUxE(Ae3lDYla+|XgK
z3`m{@y$%HO{f}%8fT|EAmdou>N;=Ka8VSwF^I;J^_wFx&s5={9W_p~<Pc=cl`%y9m
zdwimb_-z(jn?H(qprPdfL2Nv<-utbwNoOKbr`S9jsC|c><@sCU=t^E*8BFWSR`V98
z8Q+>}Cu)ej*pfx%-)**;+NWiMwN8mPmCTZ4bSw+CPhI+4W}eff?=Gp88(79j1nOk*
z7m0F1MZ<h|8r(hUQ5(X{3c&)EPX{S(2CFT5WR@dk>>J*=8mPH-lgvwt8!hjR`Gy;+
zxv~nk5#}B4HQB5LjwNK;eC8Z<GjnY`ZVybFyml!jXR2J|rj@Q$XNtYLCAF%mGOz>k
zhuzjzEd5F#kr-X)PXr8h@Uq9PoIgUlXDizgnIoE5B1$||Amb<SdUS`2CIN0|x_Pzz
z!~e2QoC;K>tZldSX*g$?TQ4*V9zFaoX$HNzi=!BAizG7=U44w^e3ijZtF~8>l!O^K
z*=Nc7b5x*?ISs_U1zX2WF-UxEsY!(_6byYM;pv|syXwzP0_4s8$G@0N&OvB+49Zp*
zvi7k}?H%!7TjBM^Sv_w0u0YnPP!WVBG#CLh(^%G1w+=r}k=cBoHhd0ZN*hM6*_a7s
z-4dB+6|}EWH_IbJq(IB_Se83tYn*<VgY46Wne}CE@vDnbOJhL-uSqvVIq!o_{m^Qt
zO*dP4<@p<L9xGz1ARm-T<j8?v*U<E}I2-l5<3(~dG)qCri9<R2i>Inl$-XU+<21w1
zWjD>=8=8h)ebzoTajE){(>4D00{Zxlav_mFRXsF%bd;HJS&;=Jy}5?1US_&1t;-*x
zDdd5oYSptAR_pp({AXG+^H&ikvBKAhlqF=Fp-5>yq=U()N=Ohc7K^0@N^Tvoa-s`3
zJ(qxaUiUzEwvZNUF?z!YO|v>uG!VvdQnMWBN_S2VhpS-988=;d%G;=bncCBIVc#i)
zm-8msX_#YhS<cmfcm6_cCT_4ikQ1+O%_zIMt~arYMziRHeNsxafFkB#{G4RFo;O0k
ze_)+p{plbLgJ^q4Q)yx8ZYPFHWtbuy0H1}h)`glD?(%D1IJ=&Elu+Ql<BYIYs!>z0
z$1FLOGIo>X1+Ry)yDmO8iWCSAT|S}Mc$FrqkSP&XQ7KhBcAkcT53KIW^={)$CjgWM
zw$Pu)Qni;<H=;!pF?2^E_3`f)iW>U~+kWQM4wk`iqhh<l<rSF}+40>&5yH&kbeyHr
z>W`&(NF?{|&o!6&_hXktGfVhJp^Kt)Ql=fzaj<}xa1}>oIlDCr%i?ndIjoF;g=z-k
zG=z#{J6cW%sElh;DO;2{4abK-T<YW{$Pt*QH*qp}u2{&z@_485mr{M&va1LH<c@4Q
z{`8k!I6Z?GicQf4Hz^!LjnXV%R2skk^Yi|UCFetbpGjVb%nAaVGB3KipQJhUnrZ^w
z_1txb@@b|-pd@kHa-=3aCy{wV;M@4GhPOz{@<^jmDPS6PdsPq@E{F@CJHvD|Pl#s^
z6_70i;OT#?>1zzj^-psZ2cr+Ctcm+s4Qv8gqdLaO#2fpq)gpdi$+3f5vMYhg^JK~C
zXe1e5Yg_d9#cYwlYD)!IAP=yXD+_VHpzcz?siEfCdwNgeQgQ>40u5R(rarK83d8N~
z+brzMn^(FB*6BrhqDkRmp-=H3p)tRza9e$Q`sHGzw}!ZQO024%m%|69xE)(RIKJnQ
zKFYk*0l#o|ZChi3Q|2|SL45aqXQ<d$H-gE_mvvGX6SokK?|ZI}5*^<wxw5OevGa%z
zE@^-#Pj!q;wE&)zp85COw~z7_Jeq|&rZ2;4<=4XMY{}_+D|(0d<AN_$nS^)UsHABE
zJ^QLX7bt$f0h$!g<>m2dKS$f&r-p{tHza9|oOqD?%<xJTl|s`_7vRGoHE;$0!1`Ty
z>H~RVQY4NB`0vsRq@RNmt?TBXgMQQg_K%jZ#ZfG;+Hq#5M0oS&1Zev?6g`HnH(WAD
z%nuVE$PrfEATu9HilfBWYMOMbBtgFEPHAg;F{BZ;+ng^tY?lio+`55zU^gqcp{t>>
zie>NQauO8cYONS`Qlm6{pTUmgHaB&<@N>S05BJLD+%@J%D^f!_xts&qDAgLk^9#*c
z19dBSS*N|BHs9Q{mU&8@Zl;y3y0xj~G7$vrX)g+0k7xTN>@p>$${qQ61k-?dj8w33
zQGdfF>!E?<L^;B%BT{Qk<|&&8CGU9)KPd2rKW8O`hGeU9Y0FYIteLPga4h`fRSe1B
z@Z=K6`x1s)ZYBkGh?umb0;1qo8OoYZqvf7PYd;;b^>^FKK{jQF9(;D><2BXt<>R$O
zrR~8RoNUq>pS0fpIpw&N8<&ql4+pX~E7joJ^5yDP-z#Ax7r>|J>YEVUps+0K!hfL-
z`uI@#@x&BqMAMGAgd{`Zc^IzSh!u1dTzD*dC(+ZGu*?7|l~A(B;*sRm3pa6^Q!))h
z?P%LU3`;QaL)CuGU+LQHpI>4HRjFyD%VOi@+%#QI6A(L%Ev6ESvu_M)E_SOQ81^-)
zaNiH)Ps7Rr)PZZ~DY#+4Wk$<c0VR3aSWdkw;t&nhqmjj^HUbNups0*Rsh=peI;5T@
z(WTUwVXEeYVaP@Zkf#)c^i_E%-~XeWf*7#z%gytV+k&lwuPyGSoelEwI24Y=AMNh)
zzp^}P6H_9mk<Bkx=lk)EbO`$Pt+CAP%rr^DOp~=!bTAVkJ6_5+tK!K30m{p1%Jc{9
zv5r4|g_PEuBUT{#ju~P_*K=#a2H3G}U^jM_79k^BJi2$rRak72$5r4GNJrQgCu^Wv
z{x>{C-%a&+in#2RIJzjha;mxxrkeT{5LMf{|HU8oqXDoEFeu?trgLGWYJLbLzSci5
z26X<roHc_b=AClzYX}D(ghLIIu`r+~jNrrt0dG42+hJ%1qws2R1tFAl?I8z|3ym8O
z22;Pehd80B-XqkPJ@Y2ISgee2Z01}$Us^7*b~Ze2$wGBAI?DvV);}1kDUpf)u5pVP
zwWHQP{dk@j@z;AUOrfS=LJnn#eo=@jJI`UA6DZSaePRW^r*NJ_sPb)PRKE`qda#^F
zbaixNOF>3v)Fs}LXuW^%KWg}dv|y^tEZ|^qXDjobEJFRg>5{#OjGIs@9_j!S`L(DK
zE#t4x^*bcvlH6)ZmE_|iNy?EXhKO{#I*z24@8OW|7)fUs<Ih1*HnqBSF+g@$`}A!^
z<cwo8^PU{-5q*E#<b<yh9yh>`Qt?ZliM+=YdUTB&qjl5a$ysXe-U5QsoHjtJJdd+n
zTwT>o&1@dmFB5>>8f^=ow1_&q$;`}}Iq1a|8+k7yoa<k}#WpDhIZ=u5=)M?TB50Rs
z^zv!64XgmV+v-HvO|rg_<=N+;+2M}>6Q0#}>-`%PS&EGz!1^WE-|xS#{90YN+H1*f
z*mT^lL^Oh?Eho`j_Djjg+Gn(wk<D)&L$JA5LYtXARzouYkXDfgh$2*kMk8bjm@3VB
zhDGOI^#fndv}YV{IfY5A<Y_v8YDzfIHv+#~5UK;cDQ&!Cnj5Qw?;hMvP1Qy%0t7IE
zV2N_V2&6rah$gS_^quFB7)6YbPB^VTNm*8r50)!9xa{WM+w)(M1Os&8o-xR@Kn%q%
zuGl2dOGUes07QGfj8W}&bo&neMqUgO7Blp7=m7I>YOY<*jeTHd*Oh&MTI;4lb}7gA
zA>_TjiDrZ{cIp#DP{JYr=>bZT9Hyw#uw$>2dJpk6z>Sb_4jyrL=v7J!sjV+29z-Fz
zC4gCq<K3?^3fdqs$YTk!X8P~zv;y8Tc<-YD8B5N-2Y&}k?Ewj2Htb~O{lfH<xrP0b
zd??V*!vK!Jd)<((`?k*X`pI`PEIbGVIKORnOQM<)yY(7A&3q3xpwNMU8A%>aeXe6c
zN`_S#qgk03P;zi!>-Cj)UYGu834~*+JVf`cvd0Ml-D=|MGRHWA1UWj!`2zA)J27Hu
z_HbB%Mk@FAc0ZjeJXo$LdPNc9bM1IMby5}KaXgg{>F^n<9#hy~d#C5m=AU6v9J3Ww
zM*2urUP0B7-TB*4_{_HDQRik247OYW11^c!kW;DU1*>!ii)NXXkE>B}1x#k1Mbp5q
zxO9@#Wm3;khi@htcf!V~lEuuVpJTwxQyCXKt}so-{x&tw;W@BW?Ve_cGS`v9Qw=aU
z=!7g>F(80PLcR1}7<?OD?wQQ@(*Bi~4+mNznIiJ&@p@uW*+lvhL$Z*$(zrkTFi-mx
zpTkSv^Dgl~U&LKLBTlNRmFn5yg=$H?7S&RYBqlzj5;I5AAXMZ2mC9`0pVJ@p$JTXh
zqU}tr%+x&ZujE;KVHfypHpOXTApfDUq3~JIZB3XITx=B!j{%{e*~XxM_$cbRqf8E`
zVw@)eZOqV^1ArWZ2clj@xD9O}2bZ^uD(L^D<ph^O9#s(3p9S0A9&Q0_Tjo%k+84xg
z0-F^?PRGn0gLO`s2lG}{40R4^j%m;_9^q9oRO2U@6J_z6n<p`;8vhG{BW6=xXvp@6
z;0at`+UlJrfV{aB*HcD4+{Z{kmr=FzWEuA=l}({ZZ%f}3;`U_oHOU=`&-Tj*l3@c`
zJ%El1O6|?IUriJ)9tb+&cKD#<I+p`Hm1<{GS<YU0^y-+${3xZH<1&TD{c*&`wL17W
z0!~~_R`D3au>LVqSrysyyCga?XSfs2k=g}=(bLAJDwEq>(ZqO6wIMMs>Myx@RU>bP
zj6DRYlBvIhW<}}Zbum_Q%1A2Wcgfn%&_=>{r!LTJpva(xoc2bCnMS7ihAflgl?;*k
zC$^=^0+m?!#KpsJN_s;&iv)>_4~9oM@pd)XD+_|jl}jX=*A=A+TA#PTEI+9IzK&y^
ze3F4k|M(O?EN~4DJYsf%#Gc94PL;*R4~?COtqhSc$~Y?3I8Q8J@Toq{M<1g3DzTPE
zEZ(r$F-;;)cN;fAb&p%na`FUdWF|(Kx`w<wa~J?`!R`*aGnij+jGY!OP+)D5z^{MD
z&Si9?ze8{Ai`nG&NoYo)=2s<no|r}&A{sgzlYnKMQIm)Lefa{n<Wwx6WNyFYfJ9M+
zWAMjzQ}Ni*d^2*$6*C4MIAW?A{F_H9E70A$Qm)RL??nM{8cVQ1f$&{d;<wqAR2LAD
z_B<hUYP$$EVxrggA4o10v{F8&)RqMVC^=A8bxg+HVAjLK#}SZYe{G4fH4h}E*{OT&
z`uMWAk;#|Pe@IMGED&?A&P|d4e2+m0#3e1{+rm`g*et;oR|YDOrCSMuU(+=yV~9h6
zkSg%yH9`+`)oN-_9M4F<&{a>K`M$2StiVMop64CrKiQHJ$4CE>>z!{kh>-$yjX(SS
zf$LC3E{M@}$TM=qwz9(It+r~5>__DLoE6)C=QLW-a^_N%c4^)a{dt7RZuUPd(=)){
zXJ<2J1yP#={ZHIPsVz}EUnk8tB32YxX69cqeJWldDSnFD4=gV8X5?$h16lEM%B#}E
z0$xCvs8{QDfB40^sJd&t(QRz#&r$ATNZyl{;wo;Pf7Xm?fYCS&N_LAWB@#d~_1Jiz
zuH-C>0k?UXj$Qf8`-lWPX`gY-c~R=;&_l+V7lAg@sLBZw`j3i4&@3scaN%2m?eWCg
z(O9k$)ZaNP57Nr&rz;Q6eO(+198F5Tb_kRJrqv`?^&j<qH5yScJ=JG^XE?>Xxr4pC
zYyDNEg>rN(P6ULJ$6Njy6cPNNuu4*A5&J`Jy$RR*4{Y(i5irLJfdVtnYxWgSIl81X
z;68?2I>jyPAZ99T_la=DZZB2!2*U(WwHKB5P8VP*d32|&or|qv{-aE^cQ++oM;k7k
zn(1<}vymP2UU$M$bYYg_k`m5chM=RP{Myg<<rDBdiczIx@)^Y3vWp3bAv&gX`WcWT
zFNC}6L6f1?NuH`Ve}FjMKMyohGr0u!1$bMU_@Bu|lXNz1;}qZK-Zd0#a?h#QSpuab
zt~OQqmZlWTx8-BD*so!n*xvUbWJ#3g`hRUqznA7OysjC-EWwA5_-ViUwR0A9&lDc4
zhv;8OrKP^FJF6NMo9A+w`pbO*i^~}7F%u!k^iyfud2-E)KfRT{iBt%38{oRMEHM$u
z7Ok#Ztnj327<#L+kZ2-8Q0JD_vnwS&vFzErqNoCu0|MVNIF>$1O++*hd^xZ+zD%N<
zqEST#wQD<HPk)XcAvN|bNiTKE$k%-9gi^5sVUOR1|KFXqY8(j;t}})QlSgiycarmH
zvJhvAa`w$1eJYX9<orIs7WU_<xVl{<bl6ipCnYk}hLI@s-i|wy;R$%C{UZC|FSp4r
zX^)|#QmiK1gAxDQSlR_-Sxsk&ShGWIsa01sxdG)C563JE^$@VziQ~1c6MDTL(1;BP
z)t>igZ~C<Nh1Rc_s5jy+OPQA@Z{)WyM1a*7Xqx&P->ys^j!L!qRJBPZC;$}?hZ{&<
z6RM#q7}IU}_mBfbwIjKp$wa>Je`MKnC@=&#Q1s!A6QD*nLJxi;{Dh;Yq<ubQ@Y&`q
zW*V88)$PSq(W902wzA30ns@EpC}+{V0YMkU)3L3C<suItPk4Ud9{Zqi;4zRWx_zZP
zFu=wWb8AKN0B$Leq!_e)TXymxkn&=m2YqjVBkHaO;!`*D4wYE*fv)TkG(aZA!~^-0
zpY8N5x3{+VFXS4DLt!U>lxk!5lChjD23#no#w$_11k|$49&CpGVftr*5=NBL)$0!6
zL9@UplU;(u%W=*Z`v{2=kiHwhoO_wGlpsAmm5d2Pp@@^FY*@wh2k%-0J^uh_)gp7(
z(1EDy+=LDo_Ab<V-yB{8@18$+bO<wFYfIA34WjwttCh~h2B4)u-aD3<w3C!SX^tVt
zPXc<H_C1%jPTin0vje^eNk}Dgv0!3>U}BQ7`yeYPPkr4fxei#|Edlra{P&=1H5T-m
zDhJmD^_Wp5buKZMbKAXlr2}n^&;gI&Oy}vREa<vsLz2*++KTMr$Oag92ag?UVh~5<
z-q>T%zIFe*8QPwl_XIL0NSe7ax6G>zucybaho|BNU(Hz;oup^D)CL~x6;vsxm54zU
zwEHHvvJaAryv4g0HsbUEodFh=fv4;f<jNq$whbGp^(M8AC8AM2Zjx!H{!S(>Q_KCm
z;2#9SQu7IlamBursff?a6K%hj9rhZRd^mZ|{TiL9IR<H1MBR0I)G?GcH9G1{QdK`9
z_smPja)wJJLL<B)hJQ*VVt7R$?qB7NG~$(t60FWxJZb}+>chO{(d`)_xkCXdAJ>6l
za_IE-JHO4xhOq?cF;u~Fm@WFaa+aD%BWxSI{<9%2Z-cHeU3dx;fOI-Aq-$~8U2ng8
zI0_P_N9h9nW%KS+Y1yA-KMyggdvJsYVQ$cFu&?xUcTYO&t4Cs<<qe5W;gw?C=QqGE
zl1ejz%2%BkOTfzRvxLr(4_BtOC_GNx2ri!vbhdjH`|dKwOL=oixaW4pxtf_x#-+M;
zb;YOZJH@~By?I`oR%JL-%4_I8&ic;}-^;k6pQ$`3kV!BRV*N*&U3^^Op@S^Bu1l3X
zqB81DDlR<?+^XQ3Y=6Jj=J{_(O6kc((s#U<D{R!L5Rsw)Wd=l?`e1m42hy=yo@7X-
zfRI>|@t*p0=VwL9C(0w6o+Ec`+ocl1kWGu3y>Yvx*FUnjWM;K5auN?R@k^~<Tn3)<
zvv4K_r`>f^1x`3sg`2?%M=KXAjNQudK<an!r2H1)5E1@@dmtZyxb8sKgfHapAU6aG
zNTCSy2t@OOOI7&7>K`HRW5D=LT|CJr;#1m?<ni5@89#xYqe8%=qa^>%jP}P)s<UV|
zQIF>~eCHK>Z=%Q*_#)({NLl?e-FfdjPtrYu7%PVvReVe7`uvtrCtaDCMtKGaBT(eW
zmQ%boBd#z<yqnR$=`B4Fd#Ft%rttm;rhn@@>gXP$w19OIND5{chB7Y_p0FhmG+lzY
zg19XedN<C<)e^17Nlxhn=MqlA6PASJ99g=lC0kuBi6yfp4Q2(AwVBqHL0ygxl*d5c
zBZZ=9E4z^zCjlq`a%SFV#|lruK^FZobX<??ZVtegECjMZ&%Qn2s}LiadQ%XH5t%U?
zU-m)qSAd@TQ*S)_dq74u@O@g7$C`ZN=6DE!XIb%CE#WDVk0VsJERw+)1gDpiNNe4D
z5l%U6p>0}YWe&p@m5dFj2LQ1mUY{}PebrRnL@GrX^N0ZCHi5ktylFQ%y-V<E7!!ZZ
zLczCUWka^`z_pt+l{5$N1`f$FYHbyMxKINBFKM*pTyvzA$KRckj&{Duwrx$|t`Mzs
zXdo-#XXy&p!18NABWnegE0D9h+j>|VTmG*%X2?jSni&ja(T;`bV(y8E^Ar1x$G1BK
z-bQlh&C1J&)DQ>%=PN9cTb0xwW{M69ll&9de4M4G{PaVnhm1_V3OZTsJUxuI!?kj5
zx@fW<OZN}WO0F@2Bn;WYtj~0)WV!IeG7tTY-ia3_!Gur*|4{K6E3LEa2DLL_b*@r7
z=XGqOp#Gci$gt4Z-U4s7AkQmy(8WKYX!!V7g~Ua|@oQTSGrM~P+1QQx(jj#;#Zx3C
zjndKP^=BbB9vypvn#aYrIKvwB5v|gnoB)IZ7eplwnnSVvXY`1562)G1ym{XT)%r)9
z7o{mJBpZvoqSn(lbkws6r{u`?wmD*&DbV3N%SXu{MyVZL)tdswA~?+43IYJN!1c5S
z&93L?-65KCQVUg0)rI5mnwW!|7FAMg7)Da;Hqz#Js{U4gd^!I=*|;LDX@gw5=X$O)
z`X6ys=!)ytIx3Aj>8>KL*%l;q<hI2Q8-dzR-7Ep!DtdGdX{d3)7^-s+fE5PG+i03`
zyFG|+efOUqE>}=7;jee~Bto4=?*CT;?FTsRY>s@Z^7t<`+HX1W*{~~7k_Y)mo6Hgn
zyt9jXV-E)Vn%l1__Tt+}1b)`OcZ|_k6mdJ0aoBA0c4vPqYE&(sYnl$2CLP_>s(P&G
z3?gn5$c3y_Fsf|@tyC1_01LDyAVLmvf6)W16?uJIxlADIW*{C=nPL*3u5#JU`$jLF
zp9kQ+RI@I76idZsI642TT*|AydaJG{XmlsaQz~i&2F%ZfQ;Vi0p$})jUa>@P{aldY
zsdp!wPk=4P=LuHV9Xu}x3x~8!0{*eGKmjn6&$2GopK*$rePz$YXS@FSbMA$M6dqfk
zNqv-5;j-Fxc8zGdU!B(j&cR(Cp!6YWqIQC$7R*jeBg>8lg1XqK>oGS;)%C7d2ah5E
zKzS>HVT57s>wZK8w1$UAlt-#Axl~i3?{bpJnfYJy;H_@uW&QK7LgYYaqEUCKv|16e
zdGAkNV}Oze_yT*UzVl~)uGjJ$h7tc=M)C_4OrDlK=DEk$-VQo#G0-}wzaRFmDj(th
z1iSAA{#Y_|+IB!4mFLi?dc_K^Q4J5)Ak8y<u-qoF6p(lNvtM^-vxLkSFY~8Q&I!Ho
z-x#2{jBx*rlu)(uZD&*voK3&l6a)b%;BZFF`_VL!yLbLHZ+EU*-DSH*)vNQ`4~_En
zoQ?mTAXOk1XeWNtc_=rkJSufxN7A*o=xp4UvvoaJ-<JEu+uqQ9uB?HL=}1;T)6!=p
zT(_+94>5!TuopKC?pp~^vwt;Th9Y(5%NmkdqKkBgwWW<=0n_CsZR^^Hxpcx=TKB^^
zRPX=n@mwZ7Tnda)H^TLtcsZod;nON=wNZXuchtvcw7qeWL9K%YSISl_Z|w7dcFMz+
zqk@4lKRkvblp1T@`>EQG!?WTfsT}%tc;|d)RjS+1JQv_XL~VwzpAOQ$KT@p?qS9-C
zQCvZ<Wuc*O|3*8Km-uwu7+SCox+%JXm$N@$3oAqf%RNo?G5`f8)ZoAuY6VyTaSc$}
z3o5P@r%EiwKulstc03m5V0~iZ#vmK+yA=X%k}${+?EsdX+h+;D*q#xxPtH%m$#Q&q
z4Ah%%l(5O!*EbzKuf(})OF|z#TXPEhDM%c1pwVMSg8ZeVKKlwafR~KEhIWXZu-)P)
z*Ohf!TLVzz``R|>DCcx|Y^!G-+7I0SxO|I#AXj}(o~V?Zu%CI<Vxx$o9Gm!h^K5;B
z$58z;ZLQZv&97eG@tC_`Q?<x|eQ=XOd40r}_Na-OG^fMDmR(CJ_*N#6FMsYb??}e^
z&p9ZXh2Tq9C*@S!KK4Etli-kWOhhKk$)?LVlFTO4eo%#8TgNor&W^+FD0?}0fCshI
zN?2Cv91KyazS}`~%OwnkzAau0`5<SG$%8^s?qrwq&#|Tp^Lme(r=6Sv*#RqVF<$A8
zj9U3J<&9%BQvs=>O2n6{@FCCk(sAGowz$C^3Ch2S;<drzX9;pYVFw3-=8T`!+(n5j
zh8LDxQQ7{JA#z&7;{LQ6Zh(-^+`d?<DOCuo*fo_Z{AV#13SJo1qILUd+6Q|G5&$?-
z+Qlkke`!LF9wrZbe9oc<_<E?@v8ZOd%2K7qUHRBWde-y>D9~6x`M(-HWzeOR={X*N
z3}M$LEY5<(sT_O#JB}=}_n+5ILhJvj^oM_(GezGBAd~_ibYQ_l#E2gs-~TUCNb?`C
zIGzvcPqO`HlSO6)mxRJR5szyFh)?D%=frQh&`ONh_U8J_oW19*LFsoxUWVhsR>|Nj
zeOJqrn@#)7dv*0h&~OI=?eS?F^(;-6D=T>@XYbasQ6*j80p-huLN@AaEZ7HHvqMhb
zv)sjCN48Mo50Y(rgI!`4J`Gc}Bu2=U9pts^(CPdDs5B{EtSPa2`GD*E_OqD96P1QF
z%1i<ppK?;OTZcLl({HEc5}jv8c_Lf}8!gM2_<$1{fIug1$DP$%2gVVJt(&gTOB3w4
z$4om2#Mecf`$`B`gYhRR{W8m#KxG{4p5*KMB<!_^;qSe;FGX2m5KSbS6Yd;`<>GIj
za*rITB1Wr~TIxX562A)tcSx2#LB7-97rBD}6|=3nF4oZ6Z(SdKS=MmB#S7k}TXiEl
z-_MsOA8l)GHDJVH?XP4p++T>>c00jj<Et^@2|^nCJ3W^^_H$s?{1=cTgr;Y7M@MFA
z&T{{y2dGNSoGoL)O036j?Nfllf!+SVx<9^{Ze8dJztQ{t2}mj2dqOD=F5{0E=Codb
zFl>YDNbMzUVs-QX1z%8vt!SW{SGo6f`Zm^meCC-0CYS|_)2ku3AYh=l^)Ro!(4!=U
z8|>p$mZBmZZ+&tkgIYOKZLX{w7`l(lK((8lnpaqswn@%bX1iR@0(XFf0?_$1Z!0E9
z<G^r=0Zy}e+GpZ2wN@WSZe^yvCodiRjy+{D@wbk%AoF_E&H(M1AGah46zV|IAEVnc
z5~a(jAs;~RqSJQGyQj7v*e>iofM()F`2TSc4{U@sKg30SzOuy-U5SvcKxHSOnv!-e
zs{b09BqW_JOpXp3u>VUl|14*q?&Y6^p`<I*wCZ#;cb1xSe-}N6lyrA*`O9sp+mp^>
z9qix=FTCZGBGGLX00x2CD=7YvA5grbH>w%9Ghp<N{&GqQ-a;vnB=@iug8#nnEF7^@
z9J?M*jCn6#5o!8O=+}xQp8Pz04&+4cQc>cO^ijvt7gQo86v;WOmec;XOSLdh$%?c+
zT#o;8pniRaaAAVUlgat``xd_J8EMYKQu{(`TRWusWz@-R-($<R$!j%VQRlnt&Y>aA
zL#_K7x*w6D;r^WXv)cd4(}tHiv9Vey7)9p<k|Tp(xfn1;^UaEEWQwUOI^b8!jT~mR
zlo-kXmx<^;WYb2g&3o&QzqGs0aT=SsXRq5o97*9^iiX>#q7|YciuQKT{v5Q7CrO0_
ze&0L$;~Bn#mD3R87shPaOTS*0Gi~&1>ca%Cl>`61vIz*F;Po=d>SAD0Q`t9dxqDSE
z_6K5zb4tZ4x#O!85b6LMgTua6d^~$gN~$RoHx-}80XMZRBEnEW*R%H)xSq}Y$!{G@
z6+Q%|$PF%L>{cYpc&F>i|BDJ5U_r*FAdnGKbk#Ts_+{QN9MO#Jj&dpWc9HYw78^Pg
zAe2g&Ypt1kTK|okyFk&@kw@BXO{z4=@LuHWI5_vJs5uV}d1rK2g}}jI`eaMiFo2&K
z?;<&}G1RG0=hS${`O8XzO!=5Qcd<WH7I08sF=|l(<=yuwnH(k5x|luZn2uwET+AFi
z_-Tsa+Q3${XvF0>+p$E!cB70JL9n$;IWG5ACZ|BO%HlRO6&3h>H+0(88vFvFdH#O@
zr>r9%2KQWyL-x68xpl&Ta?pdcgi>>LWfmXAy-kRP2lB&?04uDT$z-cX71-PY6NWCu
z6Qg{a_<hFzvjz21Ys&v0S#KTIWc&XQf4mg|5fCYr5|J(`X^;}6OS+`HOY#;$6a+*X
z21pE08pcK=Egh3)bV`Se<~cX+@9&@IIOYyE7uT*h&-eRP=Psx;0lGyTPu<jzH^+bk
zC2;?ZE&95xjN8xAy;eKNOHEs@+Q&zm21YrW7_fBvd7fr-#4o`1+CoER$r5PI!gJ2k
zT@W4;5qu>0L@DPvbnu8@!D}RSkNzXeijDR2eSJHY5ySr}MuT!P9jR3_wsbx-T59~S
zLMLF`R+KD#`fDl_vt8kz6o3L0gAWGx$UYTQuNXVH?T?n?G|*69y(Fps0*!HWpgcQE
zNB26d0ZG=y91(q1_!B*e-VCmNHG893^V)r1!-H4BHC-8$ksnG7`xsaN7LQ}I<-hmp
zh!?K9Qj^7uxr!`@2ik;+Ge6PGyNxzJxe4+i_QJh>wyQm_NbAB}is4X%M=+6a_RY2V
zD@PYKpWrsQGqO5g9}DxgCewl<rsZW$e_66lDi5bvnWs>ov=k$IhAwZA_I{r+yyA;d
zuvQ5-+iJ$lU@a#nNy8Pq>bOnX;};1nk+5{D_<J>K%$0_LjI-Vok+Zq$EP2`yxB*U9
zt!bX0R3w$J-hULHL^`=MH9*^=K-pkpvl?%GL`Hk(!dlRugW=StMqDYIKa7GF&v+@R
z`rA5<WMVAk>F0ss@FyY*)(&5^F?}0K#?}_dob90NwBUOdDZhqn;YG+GPi_WZe#046
zCQq*t8&gi8^y^E}d3i!vRnDu3LH)@L{wp&v{oAC&6kGtsFdG2%y^)opGy^AiTi+eL
z#I@9gpDrOV#GmdxX^vw1Pi>%uG^UXh;KVkoG!4E6%}EgQ@WB)3gmZ!~GctyEzzRM(
z^;v{k&H?THPD0hUuS_OCunKP1nNrzv&3ODaKWg>sR5k93FBH0|<eEF}JDck5fsmZP
zpJ^4pKy8F$(=Fb0!I}S3(x@?6D@VaqHR_gpw~C)IwN{R0Wvj5jeTY^3oN|rIKMr&;
zOfGB_EnkE8-@ub%k4!9hpcoe0Y`x6B$C2A&iz^ZDpDYX)4vnm@{!CYeGB3H?Hl=gY
z{8_2^X0Lxyba5;Ts1w7bCD)GUGrDi0vAfga&$~*3?LglUB%gcLthYa5Sm;qE%AAM%
z{J5e$e)u<R_ZbNuw!CETlYI=>6E5-GIl03KN`+fptqD$H!pXr3^W-bOL!=WQr%P0y
zKOw-rn3?V~f<)NpH|nJ&cJxI4y@ZE%y(bpbpIF2PwR*V!Q-=?<%{qOszK3D*OUG^9
zqb4+$r?KK>d6*NxzV?R_g=b8S<dn>tj6tvwFKioL&_o@cc+iU0>WR-VSNRiO++IE6
z6nc`sXgC$nu`xV4Ept6`S5NNAtQ|k=Bu1-adxdDGblc+OllIr`pdHcCI&@X4i{i2!
z_cCMhEb0EMW^7leu{Tcd?PeIT#}0qAeBoAVJgu{I5C$3W6dj+*LT_+YF1EyiV-Ui(
zyh5RKUg4Z|>$UTxxyRMC;~P0j^JI5+D+2bD$i7yBim-&a`Jc5+t<k1riz_RT;Sib3
zuG5b?-Y|(LJ1@d5?ymvOChH0T>HJyDh0`iM_w>l*G!~~)4mx=|T5ylrcpYCAO}^@B
z>Kd&jSz3PdhrfD~_Dbnirw~-g{P}~k5S4#gUlT_1;P<j}8Xc9SODN0)B3N<JOPt{z
zE9bGT4|4+hIehWmSbS$t!kLPy2qf-&jEM))_NO_B8W_u3mbIK#AeTC5AvP7a{(KCS
z#>Z8W;^A(0awb&G-rsEO%EIY)c;Ao#|El`O1Ba&olN}4P^a=GJxjk_JpaLX#OUTKU
zjx}e^h_(z?ztSgql`Oe#H_u~rWv=@j1IK9_6!$p2VJ$*FeU8zt0Bi}`GkX?EuHiaF
zSb1HDXYmJHLLF~f$G|LOh;R#oc-06xGRGl6AsnALGY2-pc<s>@&!eS;JYRa~g}<Ik
zNm*wC#pInjW(?XJPf<178c&hgh!h4A0}ck9@Zs$Ax^a7m;6~a1i4Ic7>LIATm4<C5
zTu6-}$>PVW4KqJ#?sBf*hr3?hiW+uAH7)Ol*c<lWibB`MSga!36)g@mY5i6{yafsT
z80dG4_-D*y$v|h&=YYpSS;320I@8DErSOH9*C$%)=yU|tRp@t}Y0PznE6v<F%Iz!s
z!Dr!llEsTP*ob!Fuk%!wqy7)mkiq%Go;0@_dS5Slz7l^n?90Q$>83w>h0HE5S{IRG
z`gR!=%BofZX?fzq?dAv$JH5hPw|TSDN6&lkxJsRS2(QN@6B(a4QSi0g2=fpBZAfi(
zxZZ^YS9#E^r&~%ZfxXD-sYaSrXp(nNx570B?0YEwyhlND$Hgiaqu*ii)Zli@R?Dms
zNvk2w{OWHaoV0(zoi;haxl?$sxtX}2d=jGd(Olxi0x{i%O5#55MMr#TH8dcc&)*AW
zX@mHhnc+WGku>+qBtkb1@2^<!I`PqcE#4y}8iQ*Iu?od6xJ<dXY_@xK(loZkYZ)9{
z=sIZQsZICPK8~Y9BVOX{yqFy|;@^0@yegUp?|J!vxwT)?pj(Xs(X~xSfw4mIuG^R|
zzmSZxD)M|Og(3U*1Q$7%nYp>Uk8p9Y!0x_ipCQUy9{P##PTo0W_&lni=yOz)=#WVg
zR%b5mgg_F^P^aICCd=4C5bApO&EN|ahLKZZPT~A~n)3ecaZA)ab_<5)b*$W^HcO}D
zrHZO=D&`c#oP|1m1Kr~b4Wyvi<oA~~EYS#?73ivcR+xcGv?@X?FxP2*`-OV6%YW7T
zb~G%-*&Qq>ZTJGq+VBt1gv>n}Nh#sGl>xM~3(}Zt>i2x|v$s2<)WE?}Sq)4pQ*i&#
zc~)AT-%jt2$0kS5$%xNJqIKA!WQ)Y8_eXaO;&S9Gay?a|X+fJtO6zMKJ4@OUb7%B!
z1>rX{%d{30<NTu4mRs1|&-YZ5LY(}ac0haGTq8Mg$NzkSmGIiM^gy-AhWTg6Cviu^
z$K=%Wxk5m;cvOKO238oHesasWS^sHuFDFMUha2L1BHp6)dk&J0*?}_IwVl0`^ic(y
z4CgUVSs&W76#Q~1%=jvyQGCec^WD)cjnr$U(=W1qx6D^)qr>mU1L>Ov9nNKUJF9m>
z{QWwGgQ{(I4=KG37y?_n{)bkc-L^>*T$zQA^{;=e&L0bIL<K{fX)S;-3$Pj-&Ok4&
za#C0k*Cbt8O+u?T8GIQLGGGhPv{hYaxPM@uxe7+<K%?IsK^6R?&gy>D{r{VsZRbG?
za!qRldgY?B>qgdtoAt|9onz3qui{1;85CC|(jbG)uNgQ=WScyBR4`CUI1l6bwWSM~
zptABD;<>6{t`L~KK<>TWF7sv9C}lUI6{~S*=H*T1pAd<>NY5DH=fq4)cLI0uNA)*P
zW|Q+0@&+;G$FiW_-9M~Mj;8GWvaVl5+e2l`P^h#g4IKfh$oVSMsRkUAEl&7%tN;zK
zn4iB`Z1CDh=2>BtA>O#!I8%{?QJ&$Yt8!Y!{hq6jxwB)yNX}+y==7Sq@>Kyh=C|EJ
zWy74R-l9rjIlw7sJD)Y^#Y8T4eqYVScvmyqcZz*p1z8hM)!>;?JoFUTCT5n=-!f(v
zXTupT?-e1Y=N4tHd~|FK>u?hN_GA~77q6*#FRtF6b5-Z<F8WBVZtm5&>f5H}xwS8d
z1tn>v`t<R68%^#k(UQC<e>9rn6hqKv9D`7bZt+xU4u=7qrycH-c#42?7-!ap0aM4S
z{DmTMKrrmJ5j#aO(JVAZ;?&Kt<dAg=k&7+VGVrJ}>RK6piKsNAq~4~x;*QomQ&O$y
z@V`pisTduKPID@km~8bj{)uWee?G(f-lVGi)U}_(p&n=r01MO81ks-EINSZ(5{1@i
zGFohC>SfCi!c&AxrgGKxKDraP8eH1prfIiCw){qQ!oAV>-IpUh)2PMV;a*mZasfK+
z@grIB_I@v01)?cw%Ija7KhC!*(Inepymy7A(lcr%`6y|dC&xA^bgzmLj`&E!pc2%=
zBhx9~IyviCx>I3D^dr{Lx!#WbMurv!B3y%m*sJ-+{;k+XpgZ25iZ^IHkjds@h({t&
z$jexn`PMJF_VSWpMQ*-lLGi|KgNMd|!`1URX7oO3{M-KRD0PgUFB+MtToob?ISb(|
zV(oJSSou0k1`(pDBUWm8UT`>g(<zap(KN?3O+R4S(O;O=@4UtFrmCxQ3wijxX4OV7
zffYk}@aM_s5Nyb~&b?eDpm?!_TAa*A8E8H71uctn_HvdT4Q1U73;^wza)u~2lHHoA
z4ZT)1D86F*%MWb}^d?q>>Ct#gin2R5I$M_(`CeauA~QKL#@$P%gGdv74b0ZE)pV5V
z#GdTbUr|vh{nPvq8L}u(zIF6&EZV_dt5U<t@g_%LzCJ+ZgbS*i{w1%uNx+l-+|VKF
zYOlZm&Y6X2PAvR(jU9vplyHem%mKy{E(WCMYOZab0U52};{XdG!#ZzD<A|KDTm1c1
z+=Ar%D7DWfPW;D2z;o)W_&%E)E5eF9J0F?13=+<BMM$U<wIH9$1}2R;#3X{JxE@C1
zV0SqqqUMvxN@WlZIz=HAOdH^4H+P(Zmn8NV!}VPQe1D6*?~fbuz#fd1ecUj&e^6}T
zWCa@*>tz7{kKwuIIh0)cD?(rTvJeCDo9(@sB+f^-v?}D@FL4XL>5Q4&4hsvhI>gzw
z<<a394xf&-&<<^$F5_x#mGmm0vE<&53@d|OS=m&24hC5XGf88_11We-J_8Crhu7O$
z22_0$JO)(jzle*HcxF2KB(@V&+Ax0&io3#Pr#zO*ZiAH?D(4J`ei$ZO)yW5(=xKGG
zKxn(-b|CFem($GZypM8$HFKDFmN5F>BMc}>u*3&oO|DUVPDwbG%3<Bw!>PtAt)*ou
zCZ7DzxoxUKP5k-ZWw-?prU5C5KaY!nDz4-SsgLr43-@WPC{qLwn)Oo;<$c~tZbOa*
zAKv!}ouih<k&phUTGu*SzSzGL*h`%yL|Qo4OX3q`wlR(~#nVRLMq;@t3)GZ#BCojQ
zpE^mDb{PLUKK|8h4vl4q#}#h5+FZ0Z`t<7RQBp#?G{&<LZ?shBv4&qLI2jXBD#4;l
zu^M_LQdL=uJX}@m)Bg{{4mU>k!&NC^PW^2wm`)-*WA8GCn@4Oo-*1xC+pVr5)Aexo
z_Dm)toMKyC`S<?JP!rkqPbH%9vB}$ubpvG;+IIH9E(zObpkgPkD91W?JvAp871}&6
zEAZR(i<Y?3KGHe`RO4SbSJ618A2lMaRo1;SQ~X)_=w*EYn1!v)*f9?t!z3M)x$hJQ
zwm{18h(H>At6TqS&cnLZX@(@ca}|{e`rKJXD(Qt10Vljy3<J^Z{sY_yUhbyjEkRF$
zUJeTP$k}FmzO8sO*MOts`UPs~Tj9#5DZf4dmCLPn0ZfrNCtOcMJU!vZG#Qs^oI%W{
zs}xN=>9#ToWn1sl<@z&flJA5MR5}s449|Lh)WP7P542ha!ldU{rL!>h-FHx=_sy;L
zmh(_KHx_+2YlH^MDde7ZQF5BVa%i-g=^J#Le*&8xvhqLw^0k{3JMsA_lKP7X%sK$;
zZhz^xe<`BEL}_QIzs95ol&a~ATU+726UG854e@z-Vd1G1sXTw7i#E#mDk?xtNTilw
zvRjn0fD?M8WK|lr9vR}CJnjtncv;=aG%^%~>=lo<esYiYPD4P5%8!eO9^f%{u8cgl
zXPIW$a);4G@5!qU{3Tw!|4wl2QQZqhW1oU_RK)FcbIO9YSyM9`L`40E_Z2MEJ~cE?
z6*8NUiE3o>Pt>V-mtzS0CFwNE3I%4MuDTAO(X;rGuw$>nZfRV+z9i^)(w25?%~NXt
zM1ZsFi6(n5<AT?4CKl?hcUFT8T{%%1|0C<joogVM68%_e8ZD7_XKT0Gl^^Y?bZcmx
zs)+j)Mm+Swc3EEeWK|@nE&lo(+C8s|5>{O%eYb#P-knx9y?q#=diC9~nGm|PPOd|8
zE5W5K;sv%ZxTdoHBeZJFvuWOU=Nq*X<QloO4kfxH8t3>awJuRM)NO22lG{Z^ds432
z6A{Si_5hN{MnkQM-1R%%;}+Wy9zW;-pfh$$h;*l330G$#!o!uE`h8)OyQVkoeqHB4
zMe=>fYxtZ3|5WjMyrnCljwG;{kvGi3ShX542<f2s*~~;dtK@0Ek5+B|u&3I5*4MLX
z^<A-CZooo@tM27|RkEnl>X}9Ol#Fq^tOtfq1av#>E00n>#SGV>gK1Y9|E^eA%5(qo
z^vyBjs@9vZ$fBDn7`3>;=`@v+hvvg{fx*=1KroI20q<O_qW4Cmq;ntjwW6GbvDY}P
zazr2nB1jIs$@vhi4p;Yb6*Vl-gv1TX&=5h%(e8me6X@rfc9-)sg0A;}T=#WeTure(
zl$XJZv;Dj3Sh`?E<?U~-u^6J`?u;`HtbNFH(Iqkc{cR;BxOxKQq!DF8%Qd_H;zRhb
zawzaQD5!bxSE7@mGbapfu`Y)$7eO1XoUZLecQ7%_``R}CjESI>a9XrA+SxXWj0$*s
z{{{b|pP{i*&x!~%Y~>4s*k`*e{SJKM9w88#008=?=rq?~U4kZ0Lu72*Sr6fckzw7{
zxv`>?k<H?P=fYIX3E(opmG<|TUJ(ElHa8p;Bv?Ar4{fg`dqT+4P7hnSCt^E0@`0MW
zK)1SE?KZ7NoI12T`a2fw0()EMQ7VSnB+P0}bVg8p>cNCuc*LZFzoqk&h^rhP<!~QW
z7K>h;Q`Ee&?H8{P=7L+8?eQ!+x$0s-4wQwl_g#*tON&w|Ru%L;41hNJ+II4)#(UJ)
z?M$miAi}5{{Q>ej;=TWLMU?S$u~6mM4FJhrN|>G=4h4i|3GwF(QJPXAD%nxPpSY)x
zj~nMWl0i}TrmzBjoO;((=;MTvn<aO9;it;F;KuqE@2szqruCUXpa7m+53(4jNMCl9
z+A*i@@6w-~Ee_|*Vsx5?_ngIJ-5NSTGk6XJgx5}ui9YZxOU@kNomorgc1LEi4?PCH
zb+d+{`0RM6E0PelbfSGFK<5h_8qXYT6B|r^*!$o7jy05e9$Php$TNjJ7Zu{&LkdyJ
z4+nl&Dv{{36M1-05=Gi9pKrj>Gz=W`543DV9;F`X3I#=zUS}JeZ6&2E`y7q-Pll!H
zKqi!2tJQdeYSN$F%`owHY-W>eJfGckRh+1um?yjLnydR7yoDIgd(&IO2C+hryvRw^
zjVwf6hx)?Hq%e<9j}6Wm1^C{U!eKXUnoD8?&9*3eMLE(Mo62Lvspw2i=hgBC^;~UL
z-JXWbMHn9~1sTHFs<v#~WAVtsl`qNADFyqBpn6Ok#13zt9@DNIm(Nnfe|H?{V2_ps
zN}l`0Yfg*m!}-o#@SL1x!AWt?Am|il-t|r;a)4N%2j8Y;Rwe@>2*%$MyTiFGl%SpJ
z$jP*RlkWTlqr7QwW5(+s-;v1Y_<uW1G<>6;QoF`9c<p3PO#TRH7tREl1u`^z>#*v^
z=mp)#wo{BBo2n84aG9dIyj4OtyTtMWOfeLh-lRiyFx+9d@SQ*fNP_7E^_pEPrPF&U
z-2Jb;tJ%Mz;#hJPP^AN0Ddg9&6g11WPqPcM7p0W3vh8?kZ3)CfQjNwVEy#SlOYOzw
zU8>IPIhch0lkRqy>Ehhx>u6l(%BikwHllE7oIDK?eYRoAnhd>>m!s|{EY+wSBQoi{
zORVOAj7<xDhml8pVXb}wk3$R<s6MX-8wGCR{zb48h_2T-0rm8Ch|MDM2(}uKy>~`t
zA;6Ij+dY^ZO^Vb0^Y!m!!pZZxCGTnVy85hNRu9>;!z?x-;Z3JMMYL17v4X<xOqPfs
zZyTmqylc%FI7rI6qSQ(sugl7*gimrrF_7WxhCFM<y0*U)W}*geeyMZ2umuy1jC1$o
zDBAV+4UXVRKm-3;is7=b%Du8jDskik`wKwCa3lk*t#Z*DKlcEFb%=4(Fa0~+^FjvI
z^FmH1mF0iE>(!aXsvmX497%6u9Vx<c$0=H&nZk0mD`fL;RD~CE?eE&4i$}lH;6=NF
zJb`Y*=cs6%+s4y8O6Xu`1m_osShq;oaK)@1ZsPSE=8F8^#!p3OZYS}*>;73TD3Mk=
zKfK-r@wub)O6NEz%W~!gyK{>}FwE(lr#=C@^ZB0)azQDLSrQp#`9*Z4zUp9oV>_T`
z)Y>2N)|4n-aJ9iy<FRoPY6ccc$ul2byMS~LOx}m$1pEfjv%R{JzPwnYRjeht_N+yu
zDh};jp}MtuURbHRb;>nirdix|yE0MTmFSK8-TR6J`G+i>5rcKbU8>60)jQLLvQDUE
zozfD)(-u8oI--j=ewk^+KYKq5Yb=`dcHxOvurqb$g@y?>St%ZDUx;cMoDi$n`K=Hr
z_8^L#d?@ZJD&^s%RX=(VU0fDh`0o1XH4Dq@Klwb+WpWdX7RBdr$CNv5o$HUn^Mn$B
zJ=tkW-zYXAQ7Lq&=4$-5Hf**Eegn!M$v!Wo`YGt7=lQp6(NaX10Or8p3Ntp1B=2l1
z%&=*d)iDH{ZV~0@?fo2umr*?TKzNx&DgIX$Si0=|uM>zNui*-x6wTcQ$9DbC%Uu1@
zD;W;tZ;m%VwvfN!f8!@(xczp$?E`gw!%jZGrCjo8)6b*b_lwRj{S1s`nDN0~LyJ}G
z^W~(XH_Es-`)8AQwgw8#{DrThS6-51_-&-fcAZd9+<>K<N9q|@b$<8%(1#+zLEABR
zB&U(-qh<0I*;6y!B|_r}7krmr1w4KIC5+4YlcG+F$YJ0=3lENlS32w=mF$sN%~30T
zX@QRp-%7;3s$p$^Wloe-CF9ZMr~fKT4z5YjAPPzhDfn*iRU!LEW%aL}3l<`Dub|@7
zQqHOq@3KE<EgOw9em*DsHu?lB22Va>?3=B!yDhZvm*kLiy(j9apI(3g-;KNEs6*_H
zgSJKjzNlV~y>8EF!B_4h$4=RA{GqBqTIBCUt)ddVb^P59GfF=r*_0SR;)NMmbjHtt
zS-JDFmo1wplUyPu1s*)azZGMcQEY^Vof2sv!ejh2eJ3+ITv)U<E7A|F<QcdUfIJ)}
zrtiWvSO1ie>GnSqL#-9}e^Mxv%yHKG?(<G!753|o;7@Uqr#gt70)$h9%-U|K3+M7}
z28vZpXVtaCcJ9r85(<1Ir~cJQO$AsH>Jkc`pyPrQKDd?*im<K>b=bN`77>&UH-vGg
zsF?b;Pcu+IO!a30{?zbow>b`vn5D{tK2R|G18Xdi4W&fPdXvtvnDt?g%nGer@pn<Y
zwxn5vagOb4^PYW0nKew4GJIEd-sUkT-YQ%g!Rr5ymWk%+D?<(<oN#||1U~9Kh3}HZ
zPYED6G7Bp%BwtRZzn&-YubTS^3EFY(g)BA_<=2csNY(Ln#yF3bw4Ml6zMF%jiXHlM
zQpHZy#Z}lYMc-Qe$N|Eqywunq<V49tul7!mLKrIMMvRPVgU_Yv#(Rau_J>&k^%G5^
z@5Ztm>^`K+?_QC>Grtg|)4>9q+Ac!WitO7}XP3t*hI&?KixLL~AU*&y=D{E?ib~tn
zBeZw5F|e=V0Tb6VD3qXfS_;bA&-)Spr@@^S&Z&vH-A9=LBNaAf@FFWUOrA<>a?tc1
z$g{!)c~%w%zTcMCwwG~cpR3ouI6Ax?<TAl`a`@NiD0CyjKTN*2a{CUnybL3b<ZrdW
zrSySzI#m*c?d)FYZW<6k-)=<A!C-@TW1=MWoBv#Gd)KR%)#8#CRqFcGo7I3wg2d`<
zI(hOO!zZPoyv!33fh_z9k1@CSIqu+wxi>LOqb=d$Ee&)=@;<tc6xFe}kNw?5{<5to
zAGebyKI5%)nd0(PQIqXQ%!^0ctWjU9T|~Ah)1Kaby@Qxkw<CJhaufac=z=ZnX@&sO
zzE#1tx^#aqke$u}l)^9vj`Eiwt%2m>RtIryG~TR!G=dX+E;GcL+nwOOpwI-39f?Qx
z`{Zl4*HMSEL(h;|A$uE6{$R~3^Zi9SkQWkj)JOPg|J^gCYNqvHyzIq;(3@e`S~}It
z#uA1wRjTOqpKjXNkMmPitNTnJj9<zuL@F12<<k=c{Xjfd6J{n;dSjyY>HFa>T3u%s
zf11l-=h0vHQ2Q3_9SXngLj-u&lHSZOU$Nd=ENge+bfOM)4qzw3&zMst3*mdYgllG9
zI2-n0Xs6}NH=z%qX1hjp(qdwTVSSF++>P*1PblZ;OTt!jqvVuIm~Q0<Li|0S?Kdt(
zZ&*~&WVFD7;;Od%&YunQ0QgmHl0pXR!JNOrw{xs9C0@uS^V1tQnJCSifO}oHZmObY
z_?`#}Ex=qc(Q()J>C><{&iW<90vXsb#ntCw3G<{j<RvPLl%<s+lcl5GckH1U%iG%S
zNM-Ri5IJm)Q}ti>r!c<>6(W6SDPZpxeo!2s9O#!clGm(8EU;L;7&zzpS#(`79HgZ6
z$Phd)W6c<&HfO6TuQ7gk&qx4SyAGrstVAgboPstn`oTy9m4g<Dj(a!v@%z&5{86&k
z{%A#^zBOxfG6+ZbB$4byqoq-W8_hN(M1D8Zj(Fhq-aGGc8BF_cW(U=`#+U5jz!cJ1
z{znay-9@`aMZzp3*MUMOXU0k=>lY*5U-p5$<Mx>Nf}<<>BkAxK8T5HSA&l&_nc2~m
zFcc#}3gy~vQHs5-d-Yyw)OFRz@ax}+|Bw$bj?iIy5n|L^E3iztP5Im)tVeA^RC6rT
zQpspi(|m%RPK(aOt_q^dTw&0!G*D&0CGv-;aCu=g%#a)@G6iGf&Fkjh6H;Zg5nyR|
zV<VyFfeLkgZ!$V#@Oka+HrpQJ4RHvTRSru)`OxRVjPJ9T`DKylm{l3y=SgVdXQ4qS
zu*zjpacXQKejsSB?!KHhLmh&a{X4Mq%-~WLrOnjwRXO`%ELU%oQTNH}=NL#JiHYK(
zZQ4R-iVl>jl!;rJub=L}I}cMTsc`Eiee}K%97c=`0Msq>8P|I9^_nsA&HC5%{|*if
zs#<?KZVy;>0x^UZ3{y>a{1UqQ69}T?>C)#wdR9_J&gX9Kn>%Y2-c9E|%j+3?gI$Kz
z!BC9jp>{Rz;VAFdZQ*C;-7z4(4TNUh1)OHjBr>dlnRl7JKc#A}%(8aNKqShhd;?Dz
zA$ESb<+oZdX#(3?UaBebQFS|yU4R+6?a<6No!a*gEW8pd$r=`G2BV|hFT;*;cUjl|
zV&r#zHP`4k;Eha5tXTfY;$)Bh?spxGB(=EM7Z;*Cees-8BQ<#5DGyeC@W??(nYfW-
zDvqvE4gNvvw;^9FUQX57czO2Hx0o#*GJr+`!qgL;V5kx4AqsVuVHQ_$1*NM(=%p@^
z{FC1U?=Y^5>_74o?()<2QeDn+_;i=;FJpdXzM20n)T-s`Yfv%U7Z!=re9-<+g14?D
zm3TItsGjf3>rGle*7x=(MSI2BtYPq1a2x7at(<8Xm??|qYR`g!I?kzX0s1S0>CXQ=
zo?V)<I}g`xGqOAd$l=EX+)sLTPtBL-L!~Y$KIy&vwlr6HvH9CL=zfV%Jj7+}C`sT!
z+lix5Vb8aa9Qo<}T*sDY7fyx00<K%OTywg#XKg+~_T(wor3t#DZET{Lgv&=T*;RLa
z@s;<j8Pf&lXYUxj>&ZKE7s)b;s76w!dS<LtislD{SL;Wq#fPCZ#q-ZN|A_zb9FR-k
z$ZPEgEUc{lggLmXXfa2N=lH>w@4`5oHJgDp7KoOjiR6`Wn}n%(imy)yIJ%Z{Lfk_!
z(x+j_dGY8B_?xD4Hx>M~nWlYQz`cp+inxKQDJ*<B<j(d#;?;&6+>;<&EYqSgX!>Hd
z9Oy=YH}XqY@#w^BuI8=nI(3p$x@zG6`Z^nQ{6SGETqtO}OOPv|Ceu{3ZrY_T+$*w(
z|NQa8)Qg4>`cJeUS-^%BLKXR5o)N-S{~V906~&3LA_5o_C^k>(oYHQgN??pbxmN{V
z)b8Y~YxF{dC%rLwutTJVcfL-5rxlg>`4Ri(LfKW<SKG~o6h?Svn$;&2+ZDWdspyZ-
z`zrO*f`bGNwbdU9OTYaFTZ)|RLk_E|O?@p`*yV43NM!oCynUNS;{Ep41XS2V_I%)h
zVFAds)B-^o{v~VyQK2ByEO>!MShAq|4su7J3_#5bWsn8fbiJky#|d-e19!ZGTj6!=
z{nT#DitLH!xJsrL!qTqMXFwS@Wqe!D(uWe~U*T;@r1KM<R01y`u$KmEulMxIc6Z-^
z5kgU>>!jyTYsz-E?-JQ{%4meCWnoNA`d0^Xs1D;xwGI0^Pm`RYmPjrS$`3z>oB6`^
z!gQD9{$YY|TYyq`eNEiSfsYKM3gcA?w&u*Qoryi*EPsm?3xg-gO<ck)_|+kafTaFp
zvSw4+vb5Y8>6XNZLk2ajn8<jzyCG?ozm?OfJI;6W@-;WOpUG_{;LaoH-~CbrB9FEO
z$4dO7R<G=J8$g5&m=(TCO^A5&_69P&yx9(-DM2#AO=`(_iWm<}56Ujqy#5M!8Qz?>
zBFJRyYIgA!-BfBUVvRDy{eFNL&$stz75}S&un#T|-z-=6EjY%LD`64~4&>jeY*DLD
znyJjECAQ=cSA<Ci@2nOZOa1>8Q6dk9yGmqde{hgfORx3}`yK>4W~rNYxi+meX`$-#
zD8;@y*`mbhrv~q1Bv@e-kEHzp`-$N|WmKBZ5XKUjrl><4n<rmitJ#WVoWSvE5(;1Y
zdhtCfAGBXD7{&3<Px!A@xaM6k_t;27&zSl=a_(>ImWK=}gOM9#6e8kTvBm=+I;bNt
z=DJKbJdfj~+d6O_HD$x1Wm~A%?FOO;xW{DSgP;zVGmzL1M^_+_7dIyja{|w04gE#M
zjYs-YlMc((;gVNPv?NwXY!|PdOVUg@llD~TxmuPgfPdeX6GxO!>|(0C1IrgMN(NFJ
zAJSy#Hoca0DQax$Z+irvKhWa%!<vdi<EwcXAZaM_`=v(CJK=17$@ghHe*2G2{;@tk
zqJ`If$6!@v>z=0~hN#}A-yo|kJ^1^`)zW2=-BB`AO`Y`8P5s6qi3#)WvpIpZOd$Ud
z2V}h>9|@i$>AU}6tx0SD!NDY@P4!ro{^V|BXUgdDKDMu}lT9_aH2d#2_6IhHkcTCi
zTaLP(X#P5R6x|fRsFc=kV}WX$;XTzlTDIxH@`m}GYZu<dEj<r+;?nWh+nA_Fkg;MT
z$BWvtaD5FS|1{^Sc9lcA`2rGrd3?!eSTMDm)6X&fKB&0Pm2!|0qE);|L)3%g(^tX%
z+tVZIK&v@LZ`<3){e|7-xV4I22Tl)h6<QSI%LAXeMH{)kTZc$3GgAYyATVcyNV;^J
zpF+HBKtKaVp{RT%Y`)=r)35$19_q9Am7(h^AwajvkUP4toq85KX|hM{s?&zb2V^d;
zeqlJLcE@sV@tZCaQd(ueAVi8h%3JW8cvm%-)lqY_VdQ%&5cTZ6X>!nbSE42AQ3d&?
z+s0y~Wa!V~c~<|)AeV{*5B+AYYboS>xHuWFQPvUg!;jA2NmQMTFXx+^*_|Ji!P1c&
z#{1v(P3%_t$`BjK4^fqf4G`$aLe#?;PL2GxU0OnnjQbw#Om8#X;(o(K@jt7T5kQ6k
zQQYt<4U>P?6iHJN1*TB&i|cJ%s&?^8zPmqc#R~pEbXFAYJxz8G!lzQ3oVB9NdfU2c
z;LFlCB;zaOhZnW`5u_1>h7VH%myBZcwvplP(Q^z6x_+w(>JYm9^v1TeS$J>1fZQr4
z3gl&Z+%e=YE1ue&SajTYeqI>mgrY#ZOI>w)jCrJW)*Z(O>rVrf1c>$8Pa<I2p=dOE
zwp-DI^EdX+XIc#>v@ec)Ob_kMuY||~Kkpi|F3Ladp9aqs<9<L=!{kR`lZF_NmaQEY
zmW3wdK`~x3|0rRA&^4*)0IOASrsK0U!or4&NT2SJ8DXXTxHP(-vJak9+W-*@FlT_2
z8L2ahO%Kg_b>(2!&L)s89mp_cy>|<c(5flX>GnKZ=#pTZa52F1!WMwRyj!#)+4*c$
z2v7uIj#CZ=c`EH%eiN<jAMNg}Eij4ybgDEv{Alk~o)hyQOv8x_&s*NFWWvP5@%YIu
z2N$=AG#CDMrUSGpCX|!uDun=?Olzm;DgdKl%$;^a3;k9#(KIoT^IL%N-M9t1%(eUI
z1zz_Lx+gK_5Y8S}&Y<%k2gAdlx-l^ow`kfXQONe?+C)_Wf4+y|C*YOD9M|E+jH;>I
z*)jQgkqH=h?mO($gna?}SHl*_Sq8=la4KZdKw=C7T^m_dFriH>oDh*t+Q`UJV}H*f
zc1?}{>B``qJ{KSoL?FJGgH+1$-N*T%0}176kr!iUlfU(_k_92kzfbwZ=Qo7CYs`7Q
z&751}D`CMC)C4i#e3HZD2hxfwTSRS(s+6H;6pd$$jV@_*rnc)r>>#r9J=1ut4;#i$
zW;Hp0Vs2fq->Xy7H+tJteP(I9ZaD4JJe;v>aj2jx62!IHb-@Qxfbti^HNW0@*RYn+
z$XD(^#Hn&4y}8w2(qRDdmHI042;wj6BT79_lDP)lq^PO@rw`#XJK;6q3%#uFbdNn0
zQKnAYB&u#>&-Oe&U#I-6e<9ahHxU=%5d%96+@`}85<j+X{D{d0@jJt}@`wE(fxI4y
z`<6R#O1Usggj%sGeo!5P0@NaC_z3Haq{mi5x0%U2g8qCWEQTOApV}6Y#mo>qp8lWL
zrl*N8Yc`B`riYI5%TCDf*OG1D8)AKD9_ymo2KC|oO8)@=PG2<TQ^p{rCrMggV@#?V
z_=D5yem@Z9P-MslF@cJ_PO)1+e8^S#_bwJh%{V#U!}oED<1yX}duKNG(9|tmA_K$#
zi_<$xP96={D;*fOyBbr{m^?eRrxK6vfN5i*vXvbZ==2rnJ=M}8*+Lk^$X0#g?HyEh
zGJseBbRpn&VYn2pj*X0%&k{mid|)o#-rb?q`&`RlQ!`gn<4x+hS%bEy7G&b*$QLVv
z3`3Og0R1W5>fU`5`j%8}z+KUqQPFKBep>OjH(KE%6z*h>Hg)r%-Ou$PM6fAkU1yyk
zuY`i|XnuSBKf9b?R-h?U%Qr80Kpw`(ow(asi$hJu=Z0hoOB+YZj~RS!qf>@I0E<Vn
z6L+GB3OQb$>mv+5v-G*?`mSZj1#XZ?=TDhPXec1p35+WZy(LWElnTpBV<bkv5((1V
zQ*|&<79I_CMPW_&Sy16GvT$(O56;YOx>c_&@`mY}*Rz*Y{XBa9x}xHlQBW*un!Iu5
zF*`xz=~s@(uuJ*z1BpG2#Uo3z{1ApR^3`geYX2~bcQj%PCdJEK-{$Zf0ilF%Wd3K)
zm4aZ)1%}H>CVxi_C!dk-tnI$kC+5emkehc}t4}820n5RHoQ933iz0@N`*fmC_?20W
zZXX;AggBX9AX0X(j*~e}<(dR5z{rAeU8zWtDk2A#s+0U}FhKyqz7-9XE5?bFq7D%r
z(->KisWg<ZLC&Ng_uqcab(g|oj=wGe!`;JcQ3*PUdBxLz30%=nW}*K;<zi;ccFO&1
zE=~n01;|$KJ;8<%kNJSw7qVlTogZdXJ?5kLMtp7C>D_S4!)UsU74D09Mhb!<o@hEn
zrAD`Nb%RUGcQad>me5cC{Djm8<s1Jm4c-TLPPk4Pyhf1au%p3~qN6w(scy9>U=CSY
ziv9~tn5mQ_+;Kx!hqrwr5*Aj%MfjBGz}zC&y*1t%R5mmeP~LSb-H`gY1|hwp%aP=y
zRsM6haW?DD>gqZ~*D<K%dM0GUOB~&i_O&H%gFKnVQIem%Wxeh?B#h6Q?&<TI)T3J^
zCdewWU;Ha+Q8oO5yvspiP)kE>gqw)1_Gkw-$NC-gA|*NxnkEX;MXoHiRDSyWs73D~
zb8|KEVyRy-9;kn~Eq_yGSeDU!Sd4{DJ2&U8X1BVgh~oQ~Dqkaz1;RsZQ?983#I}!5
zcB+EV4gDR9XF(YQSAnI48wT4xff+X94wDXQ4g?sdPdv5wu`6>lvJpzo4Z`F@IGuhx
z6srs$n@5t0T$+m;dJxbR>p(c}VNYqh`S8JR5V*J^gvs@3v^KLtlhua~UZxuQ>7);D
zKNL$$^Y6ImNcOju53t=%;c`23xc9`cQ)Oo^d04RFAZ*rI0j;dMW<zN~W^+UVp<hHD
zj?Q!xS&h}N6Qb{CdI`1)FO4|tJwfpWb))XuJo(euC+|7XJ$pC*U#<?M;6PPa_tH*Z
zvFfj};CAPR6*?N7-}t7V;o+q^{%$6iJYJ%=QOx|`h8NDGYKusDF^T>3ahIItA_<_M
z1D+s||FGQDXze9^^z%U>DQ3)+Kk9cm8U7QxJEGN-{OlO0MD=C3*q(}VJN!CvV-vDg
z(H9MGMSiv8+j`3=`H~!sqEU==nMAI1&g0vR=3)k6KrK#~s!d2+eF+kGCc-FLF>+~R
z*TWAGI_9gIfZO7j>jPXACuOR!d^1~~FWM=|>mgqUrl&sCJ5J(bjQKsb^KTw<Vseuo
z=d<+jV&GL*1%qkr2ZBR>18hW$XQIy?8c=U!GPn;rn<i_@4(WYJAe9_hz|gC1i|NE=
zY%%6u^)=|20VxOiLgx(lWNq8m8%ZOmLN)>(h}fIX68*YOW$fIbO}?5smItWlX1L45
z4gIUe3XILWcD0G7NZ%@`16MS~|4zS~forvm=Elo9ue1jDrUnGI%&oQwYJUNqnK@i}
zRtv)8)j+!HVe*9c#`C(<=5ACiAyvO)r<=%TpsOedgaPoGkSc*>xY+#a7iO$l_G{eR
zDEPe4*3KlGDq~O9Z3Dl(SMV=Mv?G<K8nJJ;Q+gXlC&QUrDJM^yu2I3mC8fxt`Lsai
zRm4rqk5peHFQM&NXKUJdv#YY+7+&MUDn{#Dg20=z8gLEJqRP*iznToCx1X$jkZ(Hv
zt7E->M*jKIu?uJ38JWxNjk=H2?%qXcZgfWrU;Y$Hsj{oJS~I=Yd9uGKiHvFhI{f*P
z<!eDUrn`h-G8eaai+Hf;=?aM>+!#M~<_U*1g210c=DU-tIW45O)$C3v)AW>?izx*V
z^fpaCn@oWfT7(<>@kaHVUItugqO<oSF*&taFv}~#h~&o7cYwtEYzMxMe>RXQJ`wFP
z+YWXzsM6F^gDB%E(l?L4^T!fm)ny3D!!HfqN%5Q3$*aUHiz$R^c0=6_o395P&&qX3
zI&8M>OZ{%Qx(KMTBft^cW2P#vewE)L2ON#y%(MDo%3pZ*vUW5&=cy@qA6u)0TxIv}
z;#41UL8Sz-$THu9eVnboY(Nm6um^KZBjVJX&MoBKV5T6p8xLt$ftfgGP#m3)wjhJ|
zOx%1^7w!&J_Lr(baXem*EUv{~+Y8obTabr*^L7!fAoe4F<Sn8A&*eM|Pp$bOfM}2v
z0xnO~?RYQRojuo)2CyHCkyq2-gy5%A2_1LU+If~ffP!x8ZK}W>BPUSU<-CL8&3`$Q
z@!#Mj5Ag21t!==8p#&`z5p~E9(`A#(@AXL7u$i382Wkj<!N%=ff(IGb_3Bkz=Su79
z#v})yz1zQiya_8`*u|*b!#chcial`0J_0MD^q-3hFP&N;77mqNNS^8mp(vzuB%8MN
zMNWTlrnYb{Aa$#<pmAs#RV89iA<n=Zy}}FKO4uAD2aS<WjuXpmj{(P*O6-8A2bgk)
zoKoE3PwV3U%hAg{k+WWR+|7Rvo&fc6>#*BM?dV<p^Mf7UqbYG{m~jUfj<O@KkpE&!
zEQptU%8tU*v1yGkVMYV)g8za<Q>-~F^8RNRndFi^JgwC;N(OZ8oIiY8{2<T5T2(Vt
zF#I*R75|+cOBIGb+4*OMdB;qcapIer8`<TY$`(riyyfp<=x__PM=4_%6SS8POZn*F
zQ#G>2;-4?%fZd_-dB6?FG22dW8ja$^km5M4sEybM`>kscg1{q!rH9Uc9(j{VOjr61
zk$r>6LiqLGgtIR0{xDv*12X<cqLBHTE?-fH&Hd_;SMM-2sk*^r!>5r~UB7oCrqnQK
zB%fHRdnP^<hbm?^DT4x&bD|2}s+;D*%^Ud>^9*ZFQH7!^R5X|cy8N{R?EBSSo48UW
z#-g`g?q#mnP0SOMpV5*!j5mU-u@m-9I_lIkpp>GO&wu5X;rMk6s2r4YS&-^*Nelw+
zuj|$f3Lmx$^G{%FDpuU6KI9|i!otrtzdLxpQSB+&gb{!d7@Wt4Fw^H{^rqr>(&XOF
zF^X}{Zl9)V8yu=FE(k@>-Bkl^%hT!VT^P#d?zenaRW(qxL|z@YiE*B9P#yrR4fyiy
zq()-l?una~x)0fHvj1l*RC9Tw?!yoMQLXru(AU1tme`}|P3`WUfRJIpOO00E*koex
z*&FkcxFN}AcyRvj+kctCD%0AAj}%0Y;Lsb`QO*`xgZK2@=+=GA1RL5Pt*4pf716~1
zO-Sihu-)8hkCQ_5jM(JHz*f7bn4evF+1a_8_Ybj#j`<?36%i1Ks`3cNOP{+Rx<ZzJ
z&qOYjW1=LXW_5(dVCoCI5K7DSSA6>V2&+$9A|9}S<pKCKhhYFN!niHD><&6QjF8}K
z3|HAU%TSlgYv-0^le2V{2k%MqCT^Eu`F;b>RyM8F*?I-c6!w{ReulwGK+KVE_Jh%N
zAh}v*r3hmkV)f+ny7G0lu0()Vp;or>%ZVQA>r2!w#u{Em^;fjaouF<{+}MeP?d;6R
zFccet`FWi*ZTyM0cZULa0^@<a8{<se)C#?O6&43RPv7mlpz27DBky8&yeYwI=UZ0Y
zKjH7y_R?N^zwxz1d&0veH!Cg~5vQOtt~DlBVou*dyks~bs)|^V0+%9KKVf2c)lZQQ
zZTw*Wknhd95k^{b_igiAqE5dEOeUYY;`3Y!wUYeMo44bK#1xAD-AuPR*y=X<-L?g%
z_s1$}0ENly*fM~hHV8C+&mex1vmb?Rt6c9-W0FeN5~D|PneU>xQMk-Aeuj07>9o&K
zGv(?)eg*2IEmM^9t9;XbxDN*gS-Y9V9`NeDv`>k3!{;1-WA4ST+coINJu^elE3(R7
zE+U(U>_P$v6I(U0qNCk^=LR9Oi%*DrW<O1c8vbsHE(S~oSi&WRp9A=4rUV@mvDvrP
zHUS;o+0}ZQJ=Q4zcaj0wHC43I1<G<1riZZ!<@!Ai9RBS65nx|(`X2@we)V}-Hff5%
z0zR$>46GIts~)NTT`*R}upgjnUwtDdH(JoAXYB$et{31vzWeP^$TOTm=Y|P$16S}i
zb%U~Vv$SWgPq$t7JElgP1!tCDZ_E{5L!<K{li-;(m>X3GY#7M8-+evMb%8BQ1-I&9
z^s?s#xfAZ}e8{fFhK|Sk@04ZxykY$x*U=Z7>S5Rr-mIJ&s_~H{%XL>#?JO*fXgm*$
z9Q|W8oU8ch(HXNDqRQ*4`3Rf;5{817YL1MyyE~Y-zS~`An|wRc7EMdrH2K{a!H0{d
znsv1~9VsL2-rCKe#FYIEtW4azr@Jv>V!k{4!jMq)y-2&s0zG9zTl1tb*XbuK%f~_%
zimp1cl43Six&9Ao344C2V^|;$NPN?BfPqBZV(#2$*5-{@241UFe$OjQ#@8LL`mL0&
znZ&M3RxV~H@c|ZV;VUnamP*e{&D9UUQ-2QGvIXYZ3?TAgm+W}8+$@AO;lK`6zN;`^
zxbcEe49wyr({^s<Wx^hkF2D9d`%R|DJG!b@*`_kFYQxZeze~-`?T6b{(5Sd;CDEG{
zHIi`lQG^?>P_>p_7EPQuQ;IQKjnDo+9c&=Fa)nI9-|lRMLhD*4a{53(SLCu({>Qom
zX%mYx^T_&^IMceFNW;>H70;M5do$_(45!t<hFoJD3I5N|DS&d89n-YZX7R;GE2L)H
zXyse}X4#=3R9oBq<G<wu*_>1<`mBj<TZ_;CpX8b45Kd)K`EWL0rdbKJv##p%w0tiU
zyki1(@eb^u8f6$u-Up1z4-A4Bt`Ls~;f?w;M;pW}zNLekRTW2j)2-IO4Yw|J$ge>x
zD`n=X%}67hhca=Y^=LXni?m$>2p#CVol-MKi>zIcvNDZlNx1gpz-t4knzcia`M(+~
z68dDN{6t98nZ;L}WTxsxjbM3-|Nbc>CC@TjG_VK9SBwS}w^U`WW=y<_8J9}8^&87>
zuEbvEB%XCGM1Mj>)2%qwvEpi$nwA5}^8#Nxp4mH0)WLc@)qc{eTfr<VT4xQqz4=&*
zmsIL6cLYVc8u`I@ZCj>)0OCAUH=8Kd7`tr%J*-S{p!Fs9_+yg9?5Ta0AZ?o^j8BFg
z@^u~oU$Hqxv&0`tr24kooGoSTQK4J9wrgAbf@fx6W<?~ke(OAR0J4<MG*15)w#Vtc
z@>F8`zG!Yq@hWTEh-AvWpv9IKm}Q5$ljpK%;WrXypAR3|klQ?D5He@mUP-0T{``u_
zC-W2UON<3oUb)?gT2i-q)<cDy2c=8RLk_0tb2Zg}ZnY9uooG+*K1frO%bA*lzmPbS
zPE%_qZwR~zm78*MjG{o|m*D)v6@FcfoY<%?JZwr5^8h}Fl}urc2}Ovo1|-9C)7U$j
z<E`H?J^8HC9`NvFz{t1jZ5-0nSg*n7{h_U5;p)z_ZB#0-4PnL!n>_M{g7<U@rEhui
zES0a$l4vxEQ^(4fZzq62e&R|SROaRvzhnK@g-=phDdIr+yJ|IK{5e`|l<D0Bch3=P
zy7+9}Sa-o(7nKT95v)81=Fu=~x&8P>8@VT#am4=A%BP9oeO*)D*E{{PFd&eZS1CQQ
z_GVRCb;-0%>e^3Dn}{RD(9~~^l<lXiD$WlsML}Rb_PcEU+UP9|KacwbN>0#H9f;>q
zcSJn(Uz;3``&r<G=r2;(@B8CvF83AYF2wc~R@(|PTh7Yi-~X6qgTQi@XxV$js2{?*
zISb8Io4xNB6mCUSKGDC@*|3hSuebFtRJdhxL{^`X{4>3Oou|V)`#qxZq1l9%D_0So
zs#1wCUx};SKR1?@DleuLxrrij(E=6L+?c>_Ejz8mNr4wvFhxvpm;d@34A!9<yq9bd
z&|$vOU4*Hitsa$+G1Zr32JN<KvT=fO2GmOgh%}q@QR9J}b^zZ3=dij#J<7KyV?J=8
zDDaN1Cw<|j`;k;oQ(uAHjX~G(<E=!<BgU8!C*Y~PUSp|cmd>dwxqoNF>_8YJ3j&re
za*cohwK~}?UD-EC(WHHO9GAXI3YvKVZdxN=lCZj?^0=)o8HP>jIE+jfEV88_+x=cP
z7fUVC%nTwx#{JI2h_ehS^j|KI;-Kg*q-SGV(nt6o?Wa#L38>+p)}n55lHhF6*@2KV
z%&J#|d%p{}++r=ih~l@T>oo(dH`!?yLD4%+{)^i?CigGK-oE-h|8rq&*VJY5U4Ma(
z+fB~o6B~{HAsU+frd|@-Ol&MpaBXe=-8)Qc<FdVdc9G9Ts^NN$?`<gO2Clg;uOvyW
zAa!@08l3*}k!YP7fBX(xM0$VY3-J8$7ald>#as5dF56=7^q9M~2+p~4J2fA+l)kmO
z=6ZVC-fjqxHxju6YN8$}L+QF^H;N36BK*X1mQ$Fn*R#r9&cK5$i}IFwwKn035({JW
z%Cgsm(uqd6fnoaj_aQYN66_VG7O~nZ@j=Gmawo)s&7@-<S6H4PJBh9$<5aPdCuB}L
zb<h)?2sPF3eiR>M#rn{_qUw)kYM=~;8Ok57*G=4)9N;?X)-0<z<6?P}&OEr(`9_;9
z@W)6Gi5v27apw!y0C_`eT@16F%y4-znmCaYD?iR#H{46oA_GmBU}7%$`_W04neJ$R
za>-XZ-y)`JYwbKYsyOXEmRs~ZT6zbkNpI9HTw8gJovzbA>ua7`Hq7A>r@#Gq-+?AU
zfWTLJ)uonerJm8?uO`y-DSu@-#_F+}Yv3#N9k809<c)SVvh7nxp{I1NjhQqVrmcQE
zPYsu5xIQQ84HHUEeIQpoo~!w*N5K~T0Avg;AtVg<KO_R!BI)v8_z7*!)=2j87Dand
z+FX$<u>8EexTGp;`6NH<!%iBxpuiC^MoM~1%J<Y$lruK)w2xGoBZ8rvg$P>YWl_Xy
z_2yYY9O0Y!06|Ck^4z46swwVfo$#r9I`bj4ijj}J%0%&{&d`FeS5=th0`ut`GLE`V
zo%eqdl9%Q;fD)Oj!Hn^V_|%?-CN>xztbc}o$F!#Wv(j&}L4CHf6ByAUY;zsz{X+}W
zf_S2NMSr~%b+#tH(N-MHq4NYdqwzsVfKmjPYFEAAsFV)oRq6&hf8Epml|5ia|KBoS
zqaukqZ`2Ol6`#FLU5<w-dTkhH{|6UEL9)euv0~x(c*x9w|1Xg@RVU?hcs3vyX;?f4
zvGr#&_PNRIeV1v%6>68xjRat`1Hd04eF$YRq>J453WeXEqO~M`CqUkJ)qC`dg5Bpj
z47J}ne3~-t62G$`ogTo%Q9K()_t5yHw%Di$9?XKjdPVIc?nCS>UMR#BytLfTVBEQl
z`nO&FG*iAvBY3j$@VV^o>cjUE^I!Z&ZBo)CJp255Nid6{$mH27?0r+yYzNE6%t@}r
z{dS$j@j=racHJf;J`Jrz-FyK;#hkz^_Q!6+Ilo>^<a}Oj0Dbfj4&30OaajzFdH?Km
zj}AL>bpWxr-(0w18-pGfnOqc~fAn;ejIZ|3?nf$4`6Wy%s>M_)Zo=DwS)WFsPSjV`
z*L3~Jw@W-a^V5h+4BB;wmK(x5&ZI2WC&@M@&g*XxOE};B!*s))UlTIVBt+_v!YO!w
zjy_9?B<l*Lm2@wCh3SF(CewPHoWG>I9VmHxgDGHo9$y2oeI~=)kF!EU(ao$xYFZHC
zwG|vVX$hmd)qRJ0ci7gyEKxM~p!yU9#P<sq6p9oX%Gsho!hF1ub6Cyj?bPaml}EMN
z)tgI#KzPXhLoX-X@@p$F`LN2;_?Uh*Ofx}N$htn&aVi{W^RuVfAWfwS{Py?x9c+fF
zG7|OB;_0a4qMhOJ@H-%@1d*kqmm*WIKQo!kh5>RUTpeVD<qq69x-B)cF?hi)L6G~e
zs$CZfRYYPgQunTSUe0C9KI&c^mEqK*j7A#*=(;FE@9<-7d<M=-D&zW=mc@E{IC-H^
zs|@HReJg)%e)FoYs#97=c)wo-tGz{BjXL|<@;LM|^Owm|$2jP|d_qww#nIkMTVBgh
z`<A;<G~U`5kC@r)Jx$c^FBgw<z~Bhq!1(JY&s&E#NI?urgF~Q3kF~cV|JuZeDB~Y}
zkqDyln@J}-N+3lBLlM(=Z<C&OogQr~82H=&q!$EMR2@hnt#2l$o4m)2@ca2#yy#tZ
zK%^P-{$%UjEeb681|<h?jNbi7dxrcIZ@a|aF3fDx88OD%DdEt$Tp2iB%tPUTIYngb
zvJ8{UAx|izeOa~*iG&&H{GyD>RZHnc09*t7(2x(Co<E{!hqBZFe}RKp?iDORHq+j%
z=_-d<2NP(K78q<Rkd1Je-zNf8Np77#31SXMFC1}Mj{gu75MQrDh+W_T{A}!ODUUi$
z4Dd8^W0{y3r}h3-%4QI8t0&dZs)pIqclsrK?EhoxEuf-YzxVI&If{S?NGmBIAg$7U
z1O=s$?(Xh}BN75SDBXw%qteYVgml-Sz|cb@okR2951ikBy=$=$9>)=$-1pws^|=xQ
zg6$Oalc@eH4W&7y{v$9dd%d?G<kJxL37=yLg;{x)B3l0hs@5T%@6Oq3$#N26HLjxE
z@FwUFB$r87Ln-rXEHb$0uzcEHUtZ8{M0QxrY!sNfiRZnU8hj09h)(it{Bov<sPkA#
z+VV8Le{OX;$1}?;5q%>y`hq7aA+fN#v;93T{?c2bGAhkDZ`LZa_jv?=sCa)g9N2SO
zjjp7lVnRSutW#l$7F$hkgDae4NHsQX2)dA;g)L)2U>pPed;@_z-c7mp;J&R%yGF=y
zBm8lj$Yyl!yP?@GwL>!{mxDaZI-Plh|8`4#6=A}G3!9-Dh8;>g((*xWnNFy74JPTk
z;HjrlWN!Z)-rXwZMTmf6bKM#Ce6^^mI??gT=tTGzt&Hqppj_;E5&QY#7Kkk%0tvKF
zw5_y%*o=j~;L<9CQE^*w7ek{NOVRB_B?N7f^XYa+B4{Q-u(Yj)--+|hVS!Rsf<!l$
z@3I0IUE^flgh9cgqxlef7&B>bV`5uoZd`W$dZ>xVJgL~h4~U@vxNR>TKu$#7H2`+R
zE>ys0H%5SiNTJwE!#e|R^j#C1QzqqAs{QTDW`~gFm13=tUuq0<m+4}qhQ7Dxf{ZFk
z1`lAES8=tsn!h_y^He5lrOy|EfXPjPU+q&Sy(RxIt;1$jWF(l&skGklE&G-6repq6
zBwbAORiRSMHy`dTT~W!^FLX7n^tWKL*9IUix^zhs+{D~2snN0fRFHJ@O)TdbDP538
z(2$d0C<}aj_5-pZH@}y+Kg4KLRHiwrWsBEIQK2K6q3W&RqU1(8_M&T=hG4U{>XW7p
z)J&1d`>hos$#Y)e-terH^lOA!N`0`~S?9Fhqr@lmwxy);l6S|{791uoEX9{r*}11}
zoYWGXhPy#ex|x|QJ(08e5`9Yw{nz*z>`hg`RcDYWrK|}%t|4T2vFm$>xDDij@`*R_
zoMbIp_tMLNXi9K_4#(9M=`V7Z`eQzgI5<Q;MphcTHeA^ZABdxPe~bwrK>Wc*K=pOI
zfpb0ONX@>UZee#?FPgf^7(Qkt|G4~vW1dAZy=5AESMXs)U;ORjf(In+$A3>KMmC)H
zP>Vx5w10aWX(r%)`o^GP7ior1q+lI`*wn_0DTx@RP=G=|RlD^#C1`gQMPNtHGDdaB
zY=TlTNCpeCyAX>yBGLuTCn!aHE6Z}v9ohh79q<?)-xa2S+>JjGJli=Tu5rjG$mJ=x
z51Uk-o}~RM?78{5f$f<*lv3N0oLSjflwsQ<sQ74CR*uVpyO?l7c%dFOR?GcW+T8db
zTOwa@0Kn528p`J$@aax=dJnnTXTB~fSv+g?3ih;jiuItINX&W01zmjcA0HwzTJ~rN
z9>H9VT{$qFrAwP#I9=^|K$&r~EoF?FYQkj4PXJrh$_l-FQo^9o=jD@2zhrQ7^@D8^
zIR|(2zrI}fwB7xPTljIgTx*W|-(nZB!Ct2@4LuEHCc+sd#^XDz4`7>(t8{|j%rmy*
zsIlYbtk*$8o8zHV`^ss^t&rtM{X<Y2Nd&dSEVs}@+aLJXRi}wRSdxKg{D)1Bx-zUY
z^SqfyhZXK!x`!u!6*c%gpdRTLT_#Ll-k2eGC48JsL0bzbG|&|YOQ>(^50Q=3{jrmh
zmAvcpiT<R9rOIQ@Lcu}a9gmD2FJnq%l2l)EM=Eg)YPq$zjE_sa?`GK%<0(zUkDR@$
z$M8CAaCJt)frDDpw_3Za<?X8(N5z$rKMuqHVM1!olfv?5F24>|Tnoj*W*+@JXkU-7
zDnEQI#;v$ghlR6VzqA770?-Ad#b?}*;ypuIvb!qryxN}vo_9{AzL{dDP<PxrF<RO>
zvBeFtLIjs7M(=}hqXw|0TY5PWbo?&t)>W^X2-AP;&jFbaNuP=O79@mWp76z(fLBHS
zv*Ty>clG_9h2yFlq`xFK0~BsvrJyOWCeXV*|LgsCI->IWqFGq+dK+c#eRa@Yl3T-;
zaLS|kqBp=6NU@%4?B)0vVg9<UvSXpyn0Ry~HqmHd-0*205pqiTxgDS8P;aWg;m(j#
zUZ@#k;cmjzXP_O0o~=*6FFcgEODlbZ8`n&=MA7j)EmNn13xGQ5@cK(n-%b>KP!M-D
zk<t^rn+|$nK<aWDwpKo65VZCr2{5er7C}QH6kf63a+|}b)IUr9L)^{__k!lAAN4j8
zC)cQswusDMpQ3MHbc4qkV4KWzw|BYp?|uL_6)>|EQKt9v&aNojYtYbRf>yHeiRb<>
zc5Za(!2^KNx|*g2`$rZQ-J01lK>YsgaK_lzJ8YC`nxB778%jVs@vL~paX*pE5}ZcG
zgEs&!vnp)(h#OO?RDKc=5M8~;y@t-s1f0g@5v;oF5;^FAR?0@)*0%WazsJkmhb8%~
zn3g@qAK&@qh%uNIMt)i1#io^P=({(u<t-q*^o|NoRSG%W`gT9VL5J89{_%p}bfJOD
zip%yvp~LY@8y*IH$RsphF$-vTw;^OuHls(4Ta>5swy~Cay0n5EA0A!f_V?fItNB<z
zHhzihxExr?dWN?v`W|FW28b*8#6iV+M(_DhS@rdrD{N->&0r9xL!ekkSv8x-xJ9kw
zN0tajJ0Y@o$Vh!x6pxNjiIbTB%7AhEd^nRusPlDGwk?}>7h&1@;6{CzDEI;E2_4^Z
z1+Ob1hl}~AWPDcWrOTN}gS4JYU%{l82R6|0=n-e;d`RSys!XX^P51WeH2Kyy{*H%O
zvT|5o?PGt-VBWFly)F|W0I52kcd>IqzoApavwa!SBubGkjn{v?0B1ng7kxXg(CRh%
zKHF;)qMiqznlXD#r(&!77R`A#o!xlbZ%)XmBd3SEYBi(YWt>s*IEvXHEPcPO5sO64
zTU69_s8KMmNhshNTI(oUO4S~)Z4%-`q6O~y^%JJN{(GiMC8XIP_#4F0CCVkHTLSd+
zY5DugZgFs8Ptdxqop?Qx%v?`BziKlApGm>cEwCl|C<6c5ph8y{xM7g!y7MJ?BfxiT
z*H7OG-#Bo3$=yEVwqQ0!ba%^U@k3jrWOnINjxZ-_6XWwRxab+Ic%bZ7&6`1;J+)NJ
z^jQy;V!4USV_KTYjo_y`;aS{#-UL>GW{K*lkuQ8!oTR~8lbAFb<spR0Ms14K-ZvlT
zotJLHI9=S*^zyP!v$GT+=92i`oyX5^G*!PhdRUP@r^w|kmM$55*deBlXYJ#jr33Z$
zxTqvWy2g`O(n6JNMcPck2jZycpn$7;x_C4t@`dX|%C=8BA>57SWshbSvF^z_<ko-j
z2$S_h1nbH5*OeLi46nxMH!i!ZaOr=$P499gQ_yni=>L3RbofIRwXeF?oDW@VQ|CRA
zf>M3c(aK*@e_sy<0?@jGQc8_yLrd`MRz;*Yg4_S1252<iReKP>b1^)U$KDkj4O0QZ
z9>7<d`|9w-Wcx%Qm+Uugj<$*hNDM^|E3e?Js)Owu!T@&yW^o3)Eq4=XR~rcO{V{Aj
z$IomrZ#R+OD!gf>ggP%=&n6%E_wNi3UkQ31?><YrWUVabk+Gc<C>S}dx7E+?N|SIr
zmbE1^Z3gMnczw4Oj&x1&p|$XK@4kXJFKyh(H~%38E!WU;K)nyrP2Lx=rQ;U&+ptKR
z?sA?lFt^SesPLTJ{~-FJ?U$>ReMC{ji<%n`gx#aOKeF6Y`z`7(7g0U)N#q5@UkHx?
z8<RjT{rOw2s1}sz)=Ys;*Ve@Ncs3}2!M$$5zKZPgPC6ZDkhHTbv)DnJC$%xHeZa+E
z{?pv4r}YwBOIVdd|4yi>)Bilcrk>JZuRBMku$kam`nd0`ivgrE&i>mfE*y?8PWW1w
z&rRC)?!>6NT-}xUvE$Vn_mWD@XT#*q=5MqGZe}>~Y`PQlnZ5G4OW!@PeV0Bw0^;aA
z^SQY(QElmSghfz9#8NEB=<N;GLPN(g3mN-=$=3ybDX*|!-B&pKM4uh$vOyN42x%3O
zwsEqWt!hSaJI5^arJl{PF=$Mr2|Nj!gg-AuKJH(iG7BU01=nWB1}zceT#8BpmlipI
z8AuztwEHnm4Npy%-}nm2p5cmttW@^OryIcqguWre5;$;wLE+(%^kxp+_xxCLXxYJa
zzuK>(h!u_u(r^E5F@qwztRmvuIqWOkiiF~?ANym|?Kw%*B*#uNAN8$si>taJ=)^{A
zcQsHF+LJciBsmcmHb3#-LA}J4Oz9Sh1Y7_$16a<LOynJ#zU1AWv431<_)H>ids6j|
zZ`qXRIiQ2Q5_GOC!J>gq>S+Qmek)hyw?bo->_Kz6Bpz8K9tr!Xx(kDRsp2JsT+jt0
zz+7;jO;Y~2p4uf`3-h2AS=jV`-^s6vMj<l1{`JL;zOUuuV{;zATt_8yy_<-#?4;TJ
zd-;G&AGk@wB*%t4V(RIn06!ICpo*TXbFL+?5)}I2XTQzfP+VD0Aj%x<CGYQw+o7VY
zT>joyczB00%eK<`aoOA7nQE0Nr8cmSgVakG#x+MUEIm}{bL+NG^+0Z<dloN1K|mAW
zIJ!@6y}=IBFG_rB``pSRG911EtnuM%+9z=hPYy1FH|`hPnC1JU318fJ2o(gTiU%h)
zY~>!m$4+3+uOJPHMcaY4XWs&x{O*m0qH>B3sUP@0NqD$v!cw#hXOqxh=OTdYe|Uvw
zzzYZrIaeo7$7P-MX~u=7UK{5Ah32?Tb&;3X<A#Ba9sTZuNxpkyXs@O($FQZQ2Te~v
zP5@)<S3`wcUhCXxoKViwMp8wZV{vUOjf36Zt2U9E+satlz|;)VK3?S9Q=+02AeRSY
z>7kADf-O1908iX0;Q0bjCJO^|9al!T#;z&O%37+?KUPYqIn2XVg39<;Ud3fC7)Z*Z
zXJxt~d@BrWTFSX;*?(XGpld=WMBFA~$-m9Yc0i(qr4(T;K5Of)ay5KknH~BNot%37
zmM#E*tDzBXi#9SbU7npN+jIOzb~!a}vVUHL(<TDW<}q0PhE7<6S|Ju1S^=>Y<VYUA
zwzdti<cgJrB8y$Ah^6Hw5Y}7XR0p+TJ24|e&cc2xr1|wBT1AOp2CwIE$5Udu-i>FG
z-?jX&uSMJ(Y-PFotKz}wVzu;0FvrR0=UoyT8sk&g`nxTVm?)(_md2~f@ImhCFysLN
zW<h$Jt0|vHjEt-hdxEfZ(|PM(*>4dsTXIUc0#eh(okp4d3w=cua^4{cl^a8J(C<1Z
zVMXh_wZqzi1)Hz^&OFsIW<H}2#Cpl9#0tD$Wxp-VN1HiT83%(}c;6OK@1$jhyKew1
zHWcRDQG90aXmAEbNLIwfhf(t?Q6&B+w9ckDA_nOajRlDUKh&w`Ls9qG;`8pp9Zv8^
zPxkJM6)*6piZ8Yr*ObJbOSrRpzuA4|K05!9>ui@ojHghz9Uqi>4uO;M`qC4JXEBHp
zQm+Y%`$>blu!CUJJ4Z=cIeVPGwgN{N57PFQ&xtGiAIJ~8HOuti6ZWtZbHVw!*~C@#
zT-HiiicNr%V3p#|t;2d}bf(AS-<5KA6$%mgac4pGmO0y5eOxrx`<hK#LSLf~zF+P@
zj(>G7Cy9~0X9(^({5sWFk3v7XT*mE@eO5ieB^z&L0@zE+`N4FrhtV<W4k}l>ocv@N
zS3#00o`6W{bO2{Dnz#I(ZlKC|er_2&X>2^eMSahV^UpwxqoX84WtQU$&7%;i(V;_;
znW`@+xKwH3H&vI`cVNK48(~VP)EFJ{GIyBXo*iMKrE=D;q^Wml7acQ%=$p3U&K0&}
zZ^H!HOV~8E$+<q`8ao?(yM&?h_gbhS^Rz>KdYgNVjo)#EF+8DI#~g_?U#U5{G6IKM
z+UY=6A|!7(QqwK`M%9tw(#cW$3(G|pSQ~9fk6)(tg65OAf!Je<me2iSBeGv7BdT(S
z*v48^cNmfA*!a1ER2*x;c-%5X_JbI|7Sy=eokXqsCaX3P-i|Vi;)NG9AiB>WmXuch
zl_;?wwm2ZV>21f@#<9s#RaGyopk%&J(Kk;jeJdiT?~d?`*IT+cZMGs!B=oDRMKWT3
z#S0&#%9o?A1?>BL+5G2<P%VD-vDsX=X)(|9zVqic0Cv)#I*q2@Gpws3qGxvosR_Bn
zVU7)jVOLK&Qy)C3W@ZueP6-!TDuz)UFJ}J-EKoNww?5ijHA=k;;PP<J;pmTX1EQ8O
zu`<dPr%Oug9#sQxm99aZ$@Siz5Ry^{(>e3h9~@{Huc0ZQ>1BHK9JyX5W9T5}SfPN$
zq^1oB97uTcT9|puIR9tclUmiAuK34ZU#=;1D#~&xYTD$DzpTVep%V5E?m$srA#<B9
z2CqNa>5ty0W{F|O&8j<7>Q`UFryTFsz^m?u`R92HgNT4_zPv>*LT<#;2Wl(l`?oI!
z);B<WhpR7JQ6KJnOoc?im1T7C3pYa*g-)<lzA#s{-th7b$&w`^*9gpry#Qr;q$(&D
zUJmVxoSWNe>q~+i1{6yKnKiB9b^AM%aJB!ep!O8J6xVnYBWrZO-Py~D_B&U-$d>K9
zz!<apK>Vsfn_#f_T)$(#g_*SOxlaM|_^b8m`iEO>T{BN`om;|~+7PM_g+m`zKXY)~
zmP&gpj^eJp?2DcU#ZZ)b-V`ocTCHMkz~5%|pDTEcXd$wgo1BI7&v<?k^pX}-*9o!S
z7ln|6ZsFrKUC~IVGJ&MeYr0}X*ZDdM%g8Kqd!8oVO=sQ7tDwnv15AF@k=ilIhjl#p
z?G=x$MMgW#kjsl#UOa5m+wduy)fJ<NVHAxRSWRa*S6btoCu`L`SB$v)RjTz9J+Vx+
z>~@uWt2iXshEsOdS%Pz&d%%_90dDx28pD|s8c>)~e5@qarnF|l$LxNw(TTj6v%NiY
zERoMp?apE&_jICskJY&yfy$y_A_2XP$+BC>jdrK*>!6X&_}?{*CdN4DJR=uI<_L|{
zv1hhTw_pIQTK1E=Cu|ET>!C5ux)rDdRC{_lVPx6W-|iAlR;*-k@egd`E2)sBiY%TW
zoZZzJMKAvRTli+qzW+G9yz28JZg4r%oh;ur>K^t!N!WFl+SMh4ojdR{yIN!++&R!<
z>gY?$z(u%xXOO<B2ov3JgqsMHxD|ug3cXUmZ%T@IS(qyQ!aIkFey>4^W1Ap}CA=#}
zp#15Kgaiiz9v>vKrPdmpeLwdzdr;8<da7iV3t~*o$gbMX{q*S3X~n~c$88FCOzks#
zV#V`byr;@}1xJi@JiaJPAT*6?nF6)RP}!b5{X<o#O@RNUW$PlnUggUUcjMySJmE=z
z;33bf>(nE$p8p{=(3&Sqnl|@-XwQk=%mY(HRj30z>eTqK*2J6sV`iS>OU=y^B2r0m
zln)mcW_C{(XY4t+#g>0%=wK|f=#gaR{Ivfix1VF$mD5#^{MVzBRQ1N(FEd0o*>2>I
zMRGuGgY1gB{<m$b(Fy(r|3GrdHn8)CRs{-86$hPWhe5mkO0anGno6uTRdRS<XX3$0
zvHTt&PV$#i;l9O2DeGLDJ4rrxoZ-cIWY2>k0wh^@B!XB|@%L;eCT>#*m1~%OIPtx|
z8u5K!*>il7+vwZzR7#pYaVdeTDf~y(H<Iazj?77-Y8{*E<vo9uM^1}V0W}%1@3kCl
z6zX)V|2jO=^qXt*ay5V!7FP2elh$T`lk*;RIt_pXKU5dXCemc}$e?2YfAER2pyIz}
z1L=2YoAV$iK=2R=v!qp~<yJwk>m9}a_yi)qDS~wLj<32s!D(fjEnQN#67h%>PCI_?
z(pgV@{93PIsB_u)d<9{xcc9xdeN3DW6k$-=k4El!OuXq#Ld<gl{f(6~c;3V5GhEaA
zWxXnKHNIqf2Y0)QX6(mKjoxXzXWFCiYGSXB4v*I#-e-+|QgRe$T2=c43Q8sa(mVZi
z6-G1GH(Bw!LsQq39ikDT{as!8gwWn6|FC{hbpaKe%fLd^r?#$Ti9ADPtVa4KyYS?&
z)Um;;KsER&yu_H+&hxo7+7GZ5K^;4kno73Mg1#Tn?hUkX5P7SoYfk10>FcHjmSq@P
z6J5=>8SD2-Fk-;0op`cr3fz&X%_0nqnWze{fAqB`R9@d+9noz0or?ZdhBcQsYIM^4
z=~$y?YTE~}#wZq=Ze})^?1-YtO{ovV&AdOQUHjr+Akem@`^g~i#i#D^l+)|g?RT(Z
z(?n$+<R}PX50&%z0Hhs)o1bGH1u5WnrxjkKOKeBZC20e+JHhw~>YGVs+mxr=ncTP?
zF82T$n1S%LKabP0;M%z{!=NoDely}VY6z}R_U#)6$FiX4^*>4X=9R`LZa#FVtkw*@
z?w&3lvMLqP+s8CTY&h`}S>r>(=ae$Zf$=Z07foycOmKase>FABD12*8nS5nI0ONj{
z<{e%!N{Z|cq_A212WJ<RvN4}`LaPj>lwik2!r^THN%RKe#>;BDj2vueqM(yK0;4vx
z6nmtGrb!yl^RKmYFMb<ty=v2H?{$Y4FC6`(&Zn8L?Ma<xlGe#RqmyCvLk$%#=blEL
zQ-EK7By7>0<u?q&utYSNbZkR?J!OU9*WiUr!d&&^PwE8pA84?Wv?J^6kR@HZH2O>$
z(BA)vI!1LkQVAAQ>oI9S9JQs-F;nJWYyO^-`kaanqx}m0@yJITr#1cBRaqt7_HY>*
zy_pt=c0RF*P|M#bRVk_%{m4nH+S;m(W?8ljGh_*fX@ugHwc9MSOnxB$>he5024B{^
z5$hpPSnGQU1fRyRthJ+N6$NjvGmlHe9v8{2iC|+yLj?K;@p#+6>)4EStFr5YN;g4b
z!*XH$t=RwDa^YWp5giv*Ui7iU0(A22)+qXDX%r8<Oc!rpdUT7oye*l!gOKGC9sj36
zoGCC&c^BgH%s;UdT4cT%T&2o!3?cIjI+x2d1^NX}fHoB{4&}%0hxQNH*`+EkNm@Ir
zUibUt;37ea_nNC|rR<!cs$F%(X|L9``R>^)J^kW=ebaYfA@CTt`$pH&GcZ0X7QWo3
zF|sjfcu(Cm!nF^9_i?@alIQ*RZ{3_;g&f<|`N!%lE*)P=Q>6qHlg=w|Q2jNBFGitX
z9m1RkxW!!_aq2DlQSEm5N`%#}Gny)jv&y{qQf3?qbW&#W$M@9<qhuX+P7!Sy7beIS
zN0NUe@2D@J=Dz~9i<NiI$GugR!)ivhd`xCy`z-Z~&F6I7+Cw}-FP|TijB+o!saGv{
z_)n<25{ZC3v#*hB=`Dn9gobi-Jov$jqRS?oku<e1QB}Q@^^LrW?S{3e^G#h~;EFDC
zJKO(y+4hmlNNvh)%Lhg1wJmq8JerdeEFBjUajc^|Q=`UYgT_EuR@PF?AMbi4rTOuT
zU%#SP9Y*D%7c)8ZsYZW5!O8SiD%prh^}Zb!gq)3)3J?xq+<6_$pKKWBzfOlf%WD@^
z;Jz9ToE9q?`-+|93$S^&;T=InJrPtrR}csStI;`IN#xS5#(1^MeJ$E3iMPZ>CDxp7
zAVwLC!#q$8Ug0c`+rM)Q04oS0k-X<wccvmk@r4^Xg4zPmN_3v;l#3aJaWeILvK-An
zsglj(kG5>meM~@@Te!xK{;HHftczJuFFS&D7NQ@MaD1R6$kjE#BaY7-7-q^lK;9ib
zN#SB%M1BrkpZ~Pf@7wYu0eL?i8}#K#$5h4Y<B3MCRGH-MpHm50n>=S8-r1PVZkb%i
zz|=zIX@1(LS%8Sx$r<tMm@cbW-bANIRvj_aW22M~$gKq_X{F;Qh{TST@`G14yU1Wx
zS$IvLr?|Rl+~BBQzdb0m#qUi8fh0ERFZ~co2Xpj&e6`3q7w@)g^2QxRCShR-(qEnc
zmrAax`3NgWi_Iyg1?H+7w8}lX#yaXOmr?QnYYG>3ZzVV{cp>9e>A(B8d=CyZV4bS3
zN2=fU9+3Q|TVZppN{eo$NMw%9XVY8$??L`~KwEIrnxT)|T<sb8{|MR4^(Wp;SPb^G
zo#{Y~(Z}M`?xV$shiA)7$h<`SKF|U4vx3(v&|k*jz+>y@FPq3z#@<YL3ciU-n=<!a
z+hs{YvztN}lnb8y+|m8vVBw(lib2|Dz&Be?Y8-^gBr^<0CB0kSuZ-&h!*eoX?(oOF
zG!a=u<$OR|Lj&-{iarlQr3i-LkJM;R%kQ@B&~+*8{dr6S4c;N)x{J!WehoZ`m9rqy
z$qGch&~8>~X=iq~+Mu?G{1GB{gI{pW`BP@5jGTy!Yfw><ZYp%R<+2pq#8q3MzR_?c
zdKIFM?^b(H{#)nw`B@79y%wi^+Meh`0jB4ml94(r&(mx&+@dqs8J~<ETIsYe?CllY
zwBe+YZ<zuuFhK9rI08qX7|hPwSH$M4a*@SexH2v^NU#W8O|5kQ&d7CX{~6&weqm~F
zQ6xNR=vsTKG1`LP!+D|Q@IW|p8(ZA6np1exX+2}fMt;q5%uzQgD;!%{u$FVj11Ca}
zkHoRSX7jHJxp6HAe*d2>w9Qu`N3TKbHrR4+)7YV{JK{X6{P6&)tH-hMm21F|=1BW<
zTPt&bPHx#bDDZSD!!CUJ5D95)|LMYHkB-A4FGK6+dfQ1!L6dfQ(X-(zxwy+mLPoXX
zl*A8Lv9s8-W161!SB)HwE14kc5@6fdr}$>?Us~f5*Dj~h@%8?lnU@nsUo!DT-hAS@
zZF@ZAh$B%4bgtJ|sk6#|4t@JVFP3D)&dCb(j>tOdznu(Jm6eXHroWbE8=iXRYA{aN
zD2_V81E4Daa?P08`u_)HHd^L+wr&FoF$?K4m(iOO--&O1*z@`$p=3R?U#<U1Z7U~Q
z1_L#AvIixQ<kd`K8O{-)o(BD)e^zcYD8Kc$;61ZQ>t79?94^SSwYYuky*)WRk|ZhN
zStl`209to$;h?GzUDsNxUb`6gi+n`X$KL;am^p1im?Gdpmkg%<35xvy0hd8To1DiN
zrfUkt!XU!n;JFXBaUw~NJ0(ca_GWn!MAQI4_eRf+qu_B@)0n6jb)&F2;-Ha{kMXi$
zIs61Jm^Y|c>do|<>K7YML(%!cr{va8wfu)ZW69U(Ki>XuTh8{8k}bf$Rq6Od7o86F
zTL3ehUia+|PtoeO)yjTL&AjGFE&fVmMAP9idB;th2vTzRX(IZ&$SHP}MbOh;VnK-G
znd>7)lJx1iWn;n3HU&}1c30lJv>+W^oXy`P^Mn6R6q(haJ9)(NBjo|zJzw~3&OU7V
z1zXkJA9Egl2{{`-*r2N3QJWCUOs7_QTL@OxOFSSYV^oVeGv_)m{bFUhSynfh=X89!
zQw!)>)8&sa^}nsT6V#-|R}91wXfhXU9)TYM`Z=a&b!f~`3{i}%Hf5dR$qqC^t*S$5
z!Sy(7cthRAQS-!5a426uc#spWVw~XchHiw-VtMeBfM$u4vsN?H!d5*~mQLco-Mz+L
ztBljI&Q4Re<Ckn0#qV*)XH{<guVX+@+vYtb@RM79nh=xj-x&zz>}Tv>)B2d}oNT$5
zjrF1W=f1J>VTscQ%wxu3#@(R;6o~-LW8<xhhcVvM*B(XBUg&zIGZyc_Bz}QZJ~)Wh
zWmDp?5(usz%Xm^OJSjv)`-DNDe$q;yt80|dvX>K<tWCR_se&AcCskfoM`T*4MH3V_
z^wz4Bm#NumnSc>+hqJQ-C-LeJ;^<d(*H_uIjqfZyvTdfe+-%@kFy-^J+=D;xqi0r6
z^$+LKzxO^UmkGh+I=mkRAK!RFy(QIY#=jBVWmP}`UE1(m70(Q^kKgA0IK9G&JJNBn
z@&;luF!FQ1I6<_OR)bQF?zXam%27X8;ifSr`7oX`lmAzI;#5VBV<&t6_FdY<VfycQ
z#8ie_iiP>!c5Xd*Vxtt!{&qWdU?R8)3WN1sLI+bGsrfdtdyx7AAPlIvE6g}1y<)k0
z|I=G_eV%emdYUm+^B!v_o{Lk6M5`@55NU-y?LY#`p#rbBFqwWDb(PiPKo(z<$U|6K
zP0&r19^(xMxs-XmV11k)&``_bb`qsrN=smIWlrz)2y0b{umJY1>a?h)`5`n5lPFv>
zYCAiQdmD9J-kY%~C9=iM{ACbYi;5N>CJ1_!uydycN)J7F34yyn_@9T9Ys-LtgRU#=
z)-<9lU?lbyt@Lmpabd@{MmmNal*Y?hPHRg;o;`A?VW`;!N99pr8|KP413zL?Kma;e
zpN0WB+7vc6j!LuW)acNZY=W^1CfVWj5=?ThT#VokfM@}LY)(+@_(^ZYMqtUY2_R`~
zB<)xK*rhX0Te-*C2=^f@aN3W`ze6(6?R~v@R{c`F`Q?k%3E|@!RJChJi&Gi%Yqf}M
zuY1bSiWB(3FiuA$X5vGERNCrdwKS4<VmKjq#WUlMf#(6EYcEbi+JV!RC<r*|<=rr>
zUJ^`Gh4za?EsJ}n6C=*!E9pz(Z@)mQr;2?)$Ed=Y@9Js8sPBVdcJmWO5oB{misK?D
zqcAOkVL-fKK||cv@gw&`s~CpYTn{%fL`bH*oO0z#&%N8#3NlQJ;lZ|S=Wzq6PMyhs
zrl6HR&Bhiiyl`>)l`ecZPP)W{3#hi%)=NCjhVHDrf?r2V>+k)~(K39};$(M2=M!sw
z)fmhjA6gTcBHSiETKQvVk|Q6FulxARz6NtUaHRYW@1%w0sYpGIid4Op4mi1a42AD^
zh2^9GQyfYfphg6!JUMbI_Cr{`TppbSneqXV0XWLE5>@E03vG!nT^<TfNzUDLfX}3<
z+8#UhMfc%D*7EEM7e%{`?!6T6Ol9bJf{zzUc4vKaJoPJlG!oZGomGon*{BY?_7{cm
zzUiZy)^xA?*5xA(`EiaTKZGMdWOIeX^ou~@zj_1%e8`NVuc@3044d{bT#M1lMg8D(
z0*$?=EI^eO8YwL9^3G8cti6EHCvkJ69p;9cCMlK$5|qeF!LNFDPRh9%LN>!4g>?H9
z?{Va__WUkgm7|=W-cz_U+fqe=UL#QOY>)lL;;jaL^9lrYf>+1CUi%f>*%9L@sB7W4
z1umtCl2lwy(v`mxxRc%Vg8JVGeo(#g59FWmv1Gzuc+;BPc;+!_+(uF<Hc3eZ%#_wL
zG<b7#nI+b8sJNoCmN0NNgXv~-i=U2iPbRwC?W+6ok)3woBJoDkZpX5Fr#3KH;(%nR
zKS#hN4U79=ZFxNo9T8=JjY((CzO{6;I5Kkn<k$>VM7x{N{;^p6-tI6JtyGlQ;xkt`
ze|W&6DN(?m+xjZ5Gj{HkK#L1`%+3-W9kO+25?n5q_1X-DZ4F!{EXLivB%Zs%j6wi0
za+9YrMg1(0pp6lb&^)xxEhfYi>BXjvkk`_ehFw>MRt(WAvq&9i_-eW;jOZkF4H($A
z1x;_T%mj`>ta93^MTauSl4KsOoQZ(yvSj!vrqm^so!iN`j_#Af0JTLmJa)+&O@dg6
zLQOr);j#CK3q^eqDU6=y)uhyAyLm;@$+K83y?iRZOEBr}YCBi<EU)5o91!X&9Ruhz
z1BQe^imY@S^sjt7CMMZ3Uc=qwy5=v%)%IH?oqdOh^=-l<wjYa2W?G$>#AOJTBVwQo
zQB$9~(+yfD*M%5blO%#+!)RvDXIWhe8*;wqB?t5wy5rHSU(aP6YY3Bt{=6>ubo*-h
zTkU%GZq);~<1I*`gji!nXYVWf3^z>TXK5W1Dd1%}!MAkpXN^jYi(Sm&X9(8(9OuGG
zN=Muv`vfUc9)Up^w8p(2grQMORX3Ki&}!-EY5VdDt)$1p6;$dNS}bV<&(oXFP?sLj
z-|K$s*q6r}xaGHLptl4Jb?Qdrv3}D<)<E5qM-Eq{2rR~bk^n<A8R-!z8#wTCbM_~b
z(mtu|XY~cU`>gtTypzH2yrtFqqvY!DO`qy1A{M(hQ2`qQUOrFitv^Ya!~thByK=7M
zwsI-n-pWWDQSxl(G>8*?UO3G~#48xI_k{J!a~CV;rj&Olyd{K-3%Rlh>8V-knQ84K
zL0;<|SF&BiHt35lF}>e*Cy~8caO61~*aT{25JtP|ZIjM>Y_Htqbf4cm^%3H(ONIrV
zf8z;*#iZyaUB$EhAO7@OgHvDPw7MwLBvRC~OFM=Av(-yFRF3PXf0{Yy+CsdptPs?`
z=>>mrJ)b_>ypJ3v9o=yB*1MiP$35MO>%d+NIu=i{{G7a;-Y5w!#hW9Ts*B4#+n;0}
zSG}4*njSj*v9S@o53VHJYtvH4K!NrySHT?1>!9t0p(<X`7|>pIEOi(bivB&OwKYcS
zRdU9p|3S%UI>`FA+Ge-Xm<ecvkxmO{lUR#HF_S#%e?D6{X`wk8MZiHCyeRAX@b5OY
zPtV1uIEA3URmSR<?*xSrc-?-)^VkeFh1Y9M6(ijyL)Sl!Wr-M`+Vrhnp!o6J<yMtJ
z_S~=S^}H9>jmx)mH_~ojwX>R+1<U(l_xC>B(%Ik?E`tNdgU>IYw8fk1{f%$X*F6JU
zd&AWq?@=f@s?9D}QL%>8%yboNVzG32u_27A-r<x2x3KB9kO{brf%|MMmQ{Zvjf>8@
zviQ2mJN|j>U&7ky-|zox1}YrZwF{aITXkeyb%vqlaHFBqKoD!aU((JxeAyO_gRA38
zHoceFS9W#Zh8qh1OhlfQJtmnPAki3iS6a_TR0PW)Ek5EwbPT`op^V<)x#PHXWu+_b
zLVRL|M6)>ebj773Xf)Hp!HvyuY%09MriVK^fhJOv-1oAwCUUdb+&;96a%&>Yu<d(=
z9uXWh9pGo~mwHM~<4p#!6ZpiP$NQW4BrEkuAisIk@JCMjR8;Ji5%qHw0_gr6ftuS=
zd6N4=2v#2l>R%CvgGJy~+JER9H-jzwMQvJzu6DeixoXHa@l-q~V%olE`@LTSI#GVO
z=dZE<9nRd*SwsCVo|1QB@K(sfiIH)MNB!I6fX@TtPDJjCwiO|+_n(JOsePs=w^tvu
ze*xg3+u!Xaaay{TY@Fl{^~0b0V%1T-RC@g@PS$VKv!5(*5=ZA}QK5BbKd;^uBPf8l
z?Qg7aX(t6C<y?L8g0m#*&n*4lKWua?@KzZh6CK-`tAFINJ^$mKXVkT<?e)}7jxv6d
zSkN!_>z<iy3RQR<r}w&jVvYA+!wc88qffI8dl8k=F;zibq^4!tBKBeQ(+!{cc2QNi
z+RD4K;1jAixU40!iy&!Y1wf&I_q}4g`&AB#lTG(6_%y`R)*Y$uS(*@6XIknOI~80)
zH_;bVtlQol39s1CUUrz0O0UU2_^1I*)@t=%Wbw%;TJH%Ow!6d71yUCk?$@)JJe!WN
zs+M>S|8*}`X=qAk%{008V8S$t$3WwubQ9Phr&xSYrx&(H&MW@qzB843%;_@vmIDve
zfW0@|WhjWnQ_*P*19QyxV8$NH>6bt!WmlL#Pf93C?w1I|&9dt7M(s^kwWPdSqgi*c
z5-+p~Gr|Z_nyk89Mmjo_f7z*-Yf}PGCRG<by;>TRDZ@qr%Q`P1lf$5ccI}#yd-Q61
zaz)69j2{$J(pMVy*CPRY*&s(8JnU7fsM8FF``qNK`pVnv*747|XtaIS(mk6isH?as
zz3T_`3i7T-PI(Iz`ay71Go6`O?{}y^Zf2hRz2h!$DBKbATL+Xr2H?bVoE(VC5BTa&
zTmBSyr5qg$hfx=V4$a9U-okECb1vAkPl@`897W{3Y6dtGqvZ)Xb@OqY$?DJr2F~M8
zNIh2yL3{Tn?oroH#1l<k&Z0RCcM-suL8l!`S)w^UZ`2-?X0yi|8;RbN=X7EWBvSL&
z>diVkm!0-du6J|4AR|_zJsr_EBXKYF`tK56IS@2A+`5{<q5L8B!5N{3-c^mCAb5G)
z*gH%w>Q)9MprFFj7>^WiSY>RO&nlw8^7E2JBpK^_NKbACt(m9cL&=aYTjkvmN<KA{
zto)><yQ*E*qf-%?tKQOga3W&QmER5J4vcjt9M+aSfA5nNY+asJt-dR7&H`me+2WD(
zHI8g*haxGsO#z!BWKYb_$SOkRMz*WG5%l-r9i}gsl;Oy&IieV-fn8#7INoT5Fqxk0
zrlW!F4IxXLdr#>rX*d;gO+^;pEXIc~#^WZcQzxp^b8r`0V(*^kzIo{q-tl}(1nEWR
z2VNc9ShplK7^}lGW>ch@d_0UDv-u1yD??uj)gUaGmB1K&%fPH^?UmO*;+<R7_#fvg
z(r4cfsYeHSV+JHPFwHMrSOuF%a)!=YqGd>{>rE8qqL^iGfKzQ@XV^jRQKm@2`~1~R
zR5NUA!VvTIoJ$NA*XR3d^L9tavKK8|V7*osn+`dAv5rk=jv+A(wVNa=^cBeoX}~+l
zVV)}R?T@YeSVO3X2+;-lf<s=oZesmaN`M&;XR1LWIg>nny4t#pjAnjRM9**22KhFZ
z-z^kHD;*Y*>D4+~_3Zn(h!5`C?+@~aXD{gyUu%LM@;j%1W-2>zd?K5~<rmakgK>X0
z3gzWJPFJuljx|(mzFc}S@}X)RCc-o}G88bZ3ZZpPVRwP+@fhT$S;6koKPqe%a^B7v
zslCt4Zrb-$%(<qJ&bbTg*8{75)KAMO9NNiSi)4?u7u*7*NXYkF+pv}W-f^)dhEN3{
z#9ZAB8J;>7G=A2@()Es=wnL@~vkAtdEkC5t!t~xWHdGzt);r9?-1S!FU%JVqTr2C>
zQ}P@_{24(*O*rB?sQ=xvf?o=)BcsX(KRcVW#mp%dfGZH)`Q2-e6%9X6`9Gg-*~|m|
zBSQM%quZ2HP=Xxjwqk>AWNdt~Po4v>?&~&*`R0UNy$<yJkMEEe(A4LQdi>3nMF+vU
zt7h(fdJs8ZQ{ELVzW?#8c5KsAws`d7op;tA@d-LRBFeGNTe#0FVQ*rl+CO1OOCri}
zk)?40XWaw+(th+F(fHSzf9uggS?cfhf0uIJqemA<Z~XN3nPu&{Pk%k&AG(W8xkng_
z03jIETrOF!6pGJI+-V4v-OiF#bJL6*I{s}zgJq?%y$IT5^_#nZ*f!mie%FneosVO%
z>aUdzCH*9T&w87fE5lm^Y*`pRx65FLa(5yUi&n~CpQ*`BThV1SYdh_y-td>v`BhQM
zBArVzEYF;m5Plc)3q==2VO{!=E^3Ev=bin=&uUcN9S+FyLzaEU%|$mo+Yp^d309c2
zQf0fiHTC!UYDY?vZp~{qZu|r+V;yB*6U67}`Hs7k^_Zh4q3n>pC_d3+-9gMG-*E{l
zC}9i~cl>{i+-d3ZPHOm*6;N9s+*&f+*2Z`%J4GiH1VLn4DvV%cRmK=^U85-6icglU
zGprRxx`=udcy;9@*vQw`Rno1O|9YJb`q2NZ?EkMrMQOlI{MVJyU?nr~hv$OuhH)?O
z^#SBx-uxSV^wP5+?LE{0y(M$1o?x%6qzzitwe_V7G>1eJ8`d?H7B(y~)0guJX3ug_
z-dwK1>Ja+VJ@iEm+@rzXv=#)m@_5N-cezi0mYE8!RYuZc$>jvW0u$e#&I<k5CeZV~
zwL@L&YbQrqM@`YvM@CGgH*YjlGd{QN&%&`T1&Iq@qc#d$UAPgtW?i5&bJO|`EMTzA
z`t|9brzaQ;!Hd0}IDIO)0fxFsSmrWr;P0Wt=!+CJT8ZEtIiy{d<ZMw}Ibp)AYRH_%
zetCY>?@9DT^<n`Bunc?y9;d)>HFgZ0)=Wr%H+w`hfDacAqVKL*U_)wu1MNZ}F3LaC
zLWB}=<k{%)A`|HQr<;`xIQnQA#XCuWhjS@(&KJzc!v;G^y5Da1df7V{#~!0~c1Y1<
zhJPw<w5(<;C|J+0L<e)xL>M-d6F$E_DC^r1Tr_f=)Ve8f$gs>>rHyQ8dO8ps#7GX!
z<rE4ICg0S|>y>|2@}Ee_UN}#zx=HIX-q(Mp4Nhx1YQ%Z=jdoI9Y;b$(_Zsg645(Sj
z(!gNbCTK^;(QlX3zd*~i1_Gl6wkEDERSO3x0x*sL5<qDmpD3+=Laq<7^(626Kgk&N
zrn7JfVe~!wYUaE8u_L-KyoZOUedsAeKH+AHsbTB9ND+escx#|ncyFtVnU#+WpfdlD
zzv8wOuE3SIB|Msn<>*faSnSg*gA(N!JF5vj)BFubea`b-nBihfzmvU$+-~0%7h2^X
z*Y=f7&LFFll+2@XtI3h5jwz$CYkOm+SIDV;VhWpzFHAlfl$X*Vn|VHILi6I=wBbMs
z92!0=tK{!%2Jw=#vuRaYDa)H%Lg+*Yq9_Zdw~?yX-+W<?s?rToiF26$0*{;#v@f(T
zO;i`p9$q_fXgPLr87gtq0ghuJIsisKK7RtK^6J5YZE)2{9hKBGE%6@8g|zUUwOyP=
z%%OAk3q+@%X!7QjGYD4R=GCcsp@zYSl+k!<n`G=wS-d(O^FD{KI7MbOArXN^l(wNc
z{M&p7LqG^cCIqF!WbT9vtdo2G+U*fq1$-kAmFq8i!Ttv+#GBK+7f<=Su}AQ3xoDjC
zlACHtZp-(Ib|Uy<ZO3W3V9YqBzv-u0z(>C)5lczsIFL+ahn>s>oOw|HSactztFDJt
zPM<u4EGXRI42_2AI8a5zvaO-p7_X=DE*Nz~ghhH^VBP!r$11j68Qx<{&%Flk(MX^$
zEgMG)f`9JQNR+kIPSn?~q>opXkqR*!<8++6tVn>bD=uqE5)w7-%WnXTF7!Xjha(1_
zGbxJIZq2QHsu@^VDSdm&!=Yp``w<y2zpPc+`M+EoG0`9n$0JnBw+a(X?^9T#j|hwY
z;d{-{V{=@c7vCU@cu9@lo=-krPOwvDl&USIcJqyaUa?K>vYOX*&s`~C&d$!N1$%}m
zCcA-JY@wP{_BcAaU2tP(Pw8LTdAhahH1WTc3tuSUZoz)MP>28v6rig*r-@e+@MezX
z<a8^K#V~^VY_+iI>f?PU)oyNO&}7sR1>^vU+{}Qnwa-yo-+VA67Zt5u8oSt~vD&)1
zPWC815(YAIns?I|e!y?Jyj8qBvthcnJETD7C<mf7fp#dqda7$=@vWWuMo9VG9b)j5
z^zjSqVb_iE&$0lPV5rpwi7$1njMny_TBR8Iv@Y*gAmlVIE%otVr+S8LyL3vp<knMX
zir0UjGtx+vDcb(0%hta-q+8JF;*Zn3fR;CK$J@P#SPHNsb50r|6Rp9#{lcvI*6W2F
z$JPDWCRdHkqWP`pv+I?4%4jD&%XT$rh*<mR_jGM3IF=e?dlV>yx(?_ZB85cP2=(VQ
zuhdgS)|DkJ)B9b=Rjv8gR0O&PnXb08*<??yr7holgv#zl*feP|e08B;#sQb9=F-Yu
zB<Bi;kukGmqh--N5T$rEf)YJ1)3>G>MN-Yz&e4v7)A)HG!=unm_gFT5ZGrSZE!fRU
zPutv25(%<Qs?^d)DzJ7V{~jBM)x$*e)>|P9KuId+$`w(*O-%Z1T|y*Pk@I}PM)IqT
zv+l8{EiX;GrgtUdL!WgWPRbCaKjU{}F9pBclir;%w*LHw_Fj+NNd-PvwlH}{jFF9Y
zc@Di{!S9T@-f%#G4i2IpaG42XY|YBzggLAX4CEkSjjd+}u0FEDmSqCl{Z`7tUti0-
zJpADAOR%@MLa6;$lY49u*0#5dKkL_MnSPjYla;PtC%%^HA^~ru{nyCrPNT6Y&WvZd
ztIz>QfkzyQLhCGTo>$FuF^z&ks{w7VWy(Tar-iu9xmo*6p?`dLUdXvgHx1N=p|~PU
z7p6u-1uMOQ8wCy=pkf4~M$R+8N8*f<u32-74Zg-cGVd7ZWz_XGUUr<>I;$?+po7tV
zQt}cGeHUR6c#}+Xd}7MN!UwOP@tMm~w$C%UrFScHunZkjoU8L)@%RC@`de;J%a6=v
z{C9H&B{$`KZXQHT6mU-;NQ-gi3rFEVD9jcXEwAvcAYDW?d{@1yitPQ+LEy$sbH7dJ
zkuhdphj@WQJmPfg4=Ux<LSr`{W|hs_EB_NaaIq((ZPxr_zK}~v>s$k@>7lV!c)^-v
zoc!s0#%QuS(8yLCSz!$|Qnz!sl)Syl7{%iO>DJl%Ok+IVI!a6+Cn90UTdwYw0t)k2
z+JxHf=kv-D0s}}i;W9}TM3mh;z^GvZJ8hO35Vx>hrO5{O+H=C@5-(!0p45?oMZ<e}
zT9$Ypmc31wdNYAdmKeipF7{Y_4xruoB5J-A&XCZfbDYPPWE!+(*8FpzNav62=yDzR
z;jLz{&gSNR`V$4hQxRsVg$UrKT?SUwriHW(kXd_{Z8<r-$CY6m5SL*URZFMMuSx|8
zekc{1i2(}>kkz7Dz-0Js)O7F97`-*t(1hHET;3E5-g|8sUS(A8rJJBAz`K@`mv<d5
z%=+JotbVa?tXkxR0#I#N3#q}>KpF0k`GkZO@+Y^TR=utD6s>z2tBK^I+(*EQFLoL|
zE%<0}d4N5!*kUZ{as=IgD)%_l^F%Jv#S}XN_wJP`BKWkl{_Dp+sfOf#@%oY}=^r5`
zg_!1HS>PyL9@KbF?iNjd#xWPY=WVP&DYVMovyp5yxY(CS&Q!Fr`k>Wg@=xd7;jbF?
zLi^OY-f0k#;Wmed7E_pf^{}-fQ#d5Ie0g#KCvk*K{+(?CAzJ^~bak7egIHnNaJrH%
z&0{mha(&Dv5hhyf96_`@pEpLr1^rZDw@4XR)+0Pdc^#dVuf%?<w}r4xG4k!B+^MUR
ze^OWDqgGd9^`i({csEV_-H$bw^@ZY(QqLWod5X37u~>!?#rlhji<Z<TP5%jj{&m!<
zSC%m}0@BfwB1`NK<~}(+CAPmsH+d5;@sMHUj*w?r6AHZCHOZ`*e0}#*M(a!cDK&(B
zdY7`cp!I#4>9bJXE39jBJ&i~Z569%v5#rke{_<)t_dyeDdzj*^I!gk}@%T)@yX9U%
zh2={g;k>W8dt`EG?&Sx*?T78;a)(;!k-5Fgyk&f1zfA7aY}U7?o`7=(R9<i?f4a1-
zd|M?vfa!(Kq19V6lvJ*nftKVzxoaj$nf^o~yO@G^2RV`G>L2Neh)z0^N*X_1mp4y@
z^8?DP>3gmH$a_H@s6vPA$H#3$lVKFs66xZck@=5FQoDnDilG?y#<Abq`@=X=$)xQ(
zm12)D^N!Jsy>4%qx6?+@VO)q)&mI!=0FS#m{)~j92oriw1F1kmw>oWSS-i!u*{7}e
z?-%ze{X&nK7n_9Ij~IPTtLoh-8ymX>3l=HBKz!Mz#BvAbFx?qQm6W{5hY{)eca9GI
zkA+E?w<_5F=!}-I|8V-#hLm&ggrd*)(Ki&v40m~FKP@A9%7z}G9XNYPfCu_!bIZ^_
zHVf}c!sq2+s;N0|0Q_Jsc$y%E`D~sgD(Tx|&QvWW#$`q;*Zz2=ElX^o=JJr=fB!*0
zEs^)YvIwp8bA3qz{=H9Bls2|awzgpX?y=svk<9!hA6B+@|9ZXVI;y|1;#smid9I6r
zjsT37gf5_xuD*XwNit1D8ahuF-a)*+a8%cPPfh*JzgXVS`Oa_nDC}q0KMdLKH1ZZs
zI4p0;t_X;J!?N`Wbli`r-c0*v3x9W24_y0`i4To`pDxx9R2;nV=pK3df5OG19;J0A
z)r)~?Z*_oZfq)ul3H^zT#FZ`{%evr>ugmd?hQjTn`6viZ1rSx^^3~77(&wC2Z~dMk
zrASzER+ZFhna(2$wKAi)N@G`$Bd3Y)+Q>~Bn9JfRBkZV|&=M4SM@^@SXr=DcH<5qW
z@u6bxe^KrpAhc_+;Zijuc-_Nr>#tBk(Nxl1^ZxSiWr$0|H`!2V&nCIYt*7*5xi!T7
zC1pD1FiTS~TV7Bn0p-rrgaK)f?jbjbp6254$!aRwV%UlKEnwYN9-LO$A;a!BtzjH{
z68|1m6>mK96}Ao+V29>q;5}A+DV=t@?|Z#tqPiNO^)zl-U?{yLttdg2C&vsb8;|V_
z!O-QnHSng-KKND*d^#&fCC-P~s!;a0PSNPa^q8!#AnsKA3^k6-u?8HUgi$&bOE!i^
zO6UpHvy%CH?a1i6<AGtCdU_@+V7wVOM$6O$u*T=j;N;?9g@f*3)MCVzUfZq)Li#%T
z`_=<NFN_BId}a}r4nETVyjp*i=EU7MyovOnVm&-t=GISzhw(Z3awFYO0QKLa?;?-C
z?Nbi9^%S33uEgjkL&PPRAzrz%El`b%lPdwB%K{+5fS(E&vK4AX%aboHa=<JR{-Qp0
zj5K%>>3yG|k<{*+KT4^ceB$3rdP9dBw?EX%`Kn?ceW+!dn!Pu1OM}`o2Ea8L)=^QO
zF~Ki*KyeM0>UM>6PfH6nCw!!>ha3qVq_r0hPbQP_uG#zvR;8@sTqD=6t+z1kvA#RO
z(r{rp-r`l$6V%O#Z281~$#2PnzS3-!g4O3fxvowsw*At;$prM5|A!v*5dU(S@3H((
zYP=hRj0;|&@RarPw3ewIFVEH7iXvmf<h9SPZj~ct)i|1nHOy0%V#10daEkm%LSJCD
zD4AN_=bfeZ>>ynZ*dQ=%=1pBAn=G2G8V`ic<Xu}eYIce9AGf?1oiJ|#;Wh+Bxi`<n
zl3&LX3v!rK%Kw!?IiG=?FfxDo1AVCY9DPXC@sY}C6=XqB2TOMeNm0O&2i!%UZnfl{
zcZH1}oxcj!FMSAU8OYQ=EU)7kh?ka5-HE&j=-OZw?BucSX0^3_8V7$&0xm_cYSY`3
zzrU=pqy{@GE+0wB0<Bg_)jquu)@z83X^z67rCC=Dch$yKs}(L`us`_H{@ls=y~S1k
z*S2d#r9ip!lJ4=Rwgl+0rf!Q1oBk-Ky7P&%Q28Y^sfD9pfm44%UAFx!f8hrye-F--
zP{<JH8&d|x&2%Qfq*6ODr*<7lW$@0;5LcrXc>%)3+-TwpfAh|W15S>^>4?_kqdr9F
zELV=V7k^7muaG3Ff4=ZNOy9fYI*Q!lHq~gwu)(Vwig|!nP64o;+_!4;8;PD{Cxo0i
z6jy>{e>VLx_9C>NWi#^_GL4-Z(K6K^irPKEKFwtj;upwe$%yF|rnX>4>W%I>Bq601
zZ9Xddby0ejb@h!{Gr?fzP5n%qR|^VD^C{~uHIXxaGM<n`V4(ysRN<ueGh1J(j+i-C
zLm$QV^jJbKkT39bW(Qz^Ua5?`ex7yrwybQ1OaxoPznf)j?;s#mH6Uz#VATHan{aDZ
zPXjqU#qE7=#yf~8W?axqs@$AwCx|IA)uZ)VAFmZ&e+1g=R6vn=zAJrs5-s9)Q$Xze
z=MXa))UkTH7`=pE`NV5{fd>+ASf!zMY*ta*it9Pa|5KIE9g&rLJPlM{<f2<2LBVEm
z)DJnvm9CsLpvvMZJOj9zE6rWgS968w2b#2rht40DoOw&v=>r<wS5I+{hudBytjl6U
z#LPsGzm;cb;8VqLh_bz(uHGl(2Mx{z#66x#{!ApHxv0Uexgn+YRUmXp#o_S+mx?4A
zI7Lc49so7amgfG#d)#$rnhGeshc=N&Z=hrmxe!#Hr29SD>7-m&RBD--W;Y(<I-2X*
zv{wKlR2cLPSH}6VPpK@2fTS5nlt9n);&0{~Pj(kgd(mP4mzC;J+`wW!Q}R{=WU#*U
z0bu#QapNQ1Q-VHMJ)h(oMTJIjZ69ge964wRh_WdnSiTHwmFuH-=x@|gk^O&Ey#-WM
z>-+wFJc@t{h?FQHDBXf|C@C${Eg>*;cN~$BmKquagi+~^5jcQ=bmve*k90S@&xZ5;
zuiv}YEM#zI*1+uj?B~Ak>-t=z9E9&5i@j%%nv-EK8-5QQhj*2_<H!32*8B=zT*|tR
zP4|#rv2~wIIsF`7Oc$g4CHWN^A61(_0HKS1Czz^b9oh$wcRAj1aW&BEKsfu};a(i6
zm`gyKXs75Sv+D*y9NeI`LGi`8&y5!H!JR&AW5F{wEuSz<N?}>B1_?;$0h~9Xk*fHV
zBZREaHRmD|NKT5ZQS5?F2e1F*TCDpy5^!#~$O;us-bxdyG@*qAA*mbn=BqJ?Y7?-=
zHn_R-pG|wJ=}cOgdvU8l)w1Wr*3bc0*4I<?e{+sFQbQMi4;|6>cTua?f|5?MjG-tY
z4UCG&sk$(sXF7c9+`2!<H}=#fMcH8l7o764X(g^qO@5iO4)nZs@FX@Z(*%YxGO;oZ
z=PSKb?$Q3X27@V5y&_Bl`hhAe1HV#`#>#OfXAcS(gx&?fAu9E`c`$Q(nu<-oBQe@q
zA!>0<=zIMO@E-GdR&Sw=(w;zL6wXwRZ=*pWV}?7*&=^IK#@+f~(Y=6$Ql~?vq))LJ
zqBVEr>f<Lucfj<bfIk2y68ZIZ;FioyNV4&p2{!Y%0PUfZ_hFG3sM>&(!i`MkMrm^-
zxR6I*^Up*ty*ioDhpW1vQvmHPWy)&DXcatUZb;s+4=Z2Zuay4AW9bKW+5f*A2!%Q|
z*ep9xmZ{KcS*%<caJZzlGNh^+9;)+uuJ$}OYx~WBWNZvA1I@DlwOo9uAa}g?I4+-Q
z)EFhCB2DF9i|0}VC@`re5paaWB|YCqS@{%Mr4?-WZaRrkUwCMaBGXR|4wDbc4<=an
z$-~T?&jMpJOczgF6JyRt22%(<#ToXeCqf>lv`1=g{EAS_fttjCj_W+uwEaJP#nHFU
z_2m4`^w0$RUhfS9so0MQPb&QZk!-slQX#%kKWhfztA5x0MOTe`>4s)e4<!}N$DZDX
ztoeZcts7CAmQwx4HHy;<NEMas>9Z0vbQ@iETD)ATX-Kb`V5j@t#<qsdb+~$H$%Xfu
zrsKAi=}`<k<@?^HKkEyY%cLl+MGVnt4{hCs*R0!&wY9RxHXfvo>)M-bo>Q?u%Dm@j
zgW)=i0@sHJjpT!h6p{PhN43q`r3{dGt97rTREGasu1&u5DM!`RiljZD9ftSoyR!N?
zbMOZXZ?1?68(SfNMIWGA*&Toe0gTp3m8u7X+3HFzN%sD;zBsQ+*hF#WJAJb8XN2Sg
zIap;?m7TE;72m>{U3v$zh<d69E}mGuNA_PIs9u#-%g@e<QozI#I!d|m_{!UdMKbJu
ztD6BZ3@J#?Qu1hD!ga{LG>`?5H=tWW4D>V%vMb*{{=nBi2<R!0)T?~dhF6)<4yj1|
zkCu|9AFR#T;p4}374e*;PXGX=WdSlYU(sqLhiHN94vWphweP}y&w;8?mEPn?rJc`h
zB1-PD!j5g5hj^Qefl+J<kkS1=jL`py=sVLHh}^w%sioj>XPq)kN?nIIHYG&HEB3MS
z%C}9Y5e5D_+awol)hiak#`+PB^Yb3)=zy|620Qg^cn()gX<CvQ8fnV?LTLHt?S<6w
z?AA6!K5gJq`nd$?tKu!y<}D=ZF)Ato&ufpU6VHqDT(^C_>vj{0eN8E|xrAt>Oc4ii
znxLtU&$`!)<3t(I!XE3YgELfiZS7J#?x(KAV7!@z3m6BNk*>som*0GZ0C)O|axmpR
zrq}byznPv50j3yzp{grpDt0NSk>`1PHigbzbi9WV8SY5Bgdj{>@LE?Kb?<j0AsUkU
zw?S(p1jv>j6-}{KWj#0$2Bv52#fqKoqgE$&7W^kz<_8acMkfAy&cJ_IM<zfq{}l+H
zzt6sra~0PtGd;>sj`}vVKs$}F{I&1wz3oOAPg?H6-`38BZyqi@SVA_nPA$TJD|qpY
zio!#1`!D(9SV5|P<BIrI>p_ii!NyDa^jJYd{B;8XI6@fNP+3Sey!nit(wncZ+q&*y
zyEe&>L<GuXq7LibKT^7wzi6o3cfOh_$HA?~OH6kS!6W@r!)=SKWTuQR^K9aC_(8@i
zBipK2Piy<xvQOk9|J4{h4o>Be$;5`NiY&MingkDA#3!d%By78CMNgf7r__KBX(i>;
zFGlTC!_Yj|P8x3OSv4i4l*0Z)>MHRd745OV&igcss@CsbJSom~Qi0fIlbLCwsL+^E
z;9RO6m{<kcty`qSc~{=L>j=1o&n;oQ8q@Z$Z<Y!Xm0X44h44?c_dgUF$fFPo621z8
zmBTlfm^27+0{x_8kkE2Bk~+R#Z?Bgiw=mn!_pC<}=|WXF*|s!2PI<mQ;xS;EsP3;;
zt-?sO{l&asgx|+9VEuL*=zf6PG+w{`C**c}^PL)(JMzJYq<oV5aAq>rSoxXz5xHc1
zlB1S-I%st&-_Or3i-U=@@)BOo2go=C1P|PclAjt>NzA`BQ{9H-(#91+BC_8J_`JO{
z6TRVdJ|o<z`sjU`oGPs8X3lZ1PM}ORNGXFFWYvIiTYKu6X`}O1dDEcvt)}mN9(cvY
z&+4~_Yg8@qf|&xF_o-@6vz^w^Lo0>zYjSA=&Ti;Tq-J?MmETaB5LK6lOy9S%Lk(X2
z&UPv>{yHqSg#F3!P~5U$K)tv4>^s^Viu+MjI^m}z`Vn>9Pf5Z<;gKcEW0<n;V-^U;
z14io)qz0yZ$XF2xwC`$W8|NNK(Vp46Ew=q^Zjj6bTH5DxpKpGT+nX})Tp0w&L(euL
z-T-F6I(!7o8>|WX)bVS@Ux6=!LGCEhdSl?9UHqK!bO~t5VqVWTE`@Jm>cc=XD|CR;
zR6BpR5zej9O;9;stnpGq#kZGKoRSp2BIlzD3_`LHOp0{zR@MtOJ{ZNJ7}tkYBX{1w
zNZ539-UFbye4Agr`KIp1xS2*y+8xDCTyVpqVLm(in`n5sZCqFTd!K@uIJXL5EJ!Je
zm7QK<-fyCGwZ}`18u}d@OJSWLCc1p+V4-yU6dwIenC@8wezAx^jt3RT6?^WD>-?Zb
zm6lu5Yaqmjfg5w9op)`U;G+y66fYV-L^Mgjm+R4I>KeI^&donn<f}j0$P>1oS!p?T
zW#e6Qi>Qcr3;jfGB6_3cIlhBI(vSN3)<mHCWN!FTP4+MyV;Ha#pw#<mt-Et8Z*#p{
z9=cF+E}aPa_I&eoIZIW+?M{S1aMLRfZU({=Qn}b!lrph``_LCHDGB`}7bywpea9`7
zHhST$n`Q)|*S0%z>O#AD<D-L+gD=(Bf+Wt}e+Cw5L5LFD`agZzGt!m%*;RR_x68~h
zE`mD@R<&elE+5yu|64~n9C&yr?>U@x*<Lw8a%YbECpeKsRdPXU_Le&KIF!Tg(;XZ!
zX}NLi4LBLcHQ&eu4y5JVYx*F{hVtlHm!)(QN3NEfMzScI@Q||<wjWMfzDFD^S$d(f
z;bW&QGhE{%3N^s|(>8zjLr$0w_!i|`o+M;>^i_Xe0Z~~&{<`*ANoa$8!iiMUf_ALm
zJIchl-_j+ZQM)=PN)&cfep;bH8FzsejV0RPo^%^l!F&|<YBGD$=w*^G$mlh(?X+yt
z>6$SRbJ<aE!@u<{h{F3LFwwib6CVT;Udbmsd`r0kRMqCsc!)qVYG7Pl6K+ikj#kwa
z9Ijk51(w#F6s4)`g&R7Yg_&#xb2d}E|2}lvIfak)NbI=i3$=3`Zlmn?=ntGdMW0l(
z0qSTDxSgwu8!XLNy&|gmF{}Og@Tfo(E`PW=Q1)q@#S-41(m@b4*s9b0x?Zt6%WNUM
zah+c)Shq%BUW;9LA#aYZ{`hXg`#YKx@Vi)T0Z%q+>h_Zq5sJl1IH69hpgVDpeySVP
z)&t}Sqr?>G=sS^HIEHTg^eeB{?3MbkmU}(|xilD_yTy}F4x$UiA36<M92L+k=K_5E
zU4kZwW1yD+T%~KD;n~uWCk4Z~30}*0(@iatg2aTOOo>Qykf4zfs@_tr($XU_B^0_<
zeL#fr_-1b+rzL3TnXfIF-~StK40YQ;Xy$lkb)l7idrsov+8cwm7mZ(Yas&WW8PsK>
zKDVG=c%4Re*B#vnRh_dxCHvOrC+m@}X|x$NZX!W4_8!Y~f>KF%bvwM~<3feo3}oXK
z@+|_MI7&%p>&a1JK?aR{Ev|~rCYbf)v&I3`i|vmsuH8D6(Lgt4F=4v|Yd@7qLeS|y
zPDV%#_V6m-^}CoFDe4#U8<$Rj41H*r3Q8nQ%8!FD6aDu~dwOFq1l~#d&2*Bq1>hX`
zHMBQHY+w#3CZByrt0T%gJv5s3OK~pyld}LD`1E$ROH~U0e%zes`7|}k=fwL<bBSdr
z=!P8~#iVx;UbLiv;-I^sn#f?=otyn6J2;{8%aytdaC6JTf?s5ltY)0Ef@vpogBuL>
zupdd=;aAG+LTw3i_ejxUs*&(V25(xMle7M+elIvAf(VyP)fXE1(+7eXNU7+JGye-Q
zr#A)|xa{^rw=Xg0**YyrpU$%<l+&4X2Fg#qIm;){ele&NjZ`XBAIeWvoqQE?@}e?1
zzB)@e)&cLLKXD(1Ia|fL!3Ow-06l^($>V!kuwmAq@Jo!Cdw`$K?5nn7TQAMw)Hd(a
z2vKIUuiIn#Hqx~tHn|-Oan@SqDU*w;x5pRQb%(nSx(9bT%54iUf(AFc4LHspS#a*$
zUTu9K>K)`_qH^5=RAvGHr{QN*^dYD_boAv%#FQJ2@SfCLMmFnJ1Lj3xsUt$F?a8_Q
zzMq}1G<2xa#VQ<Mu#CI0tPSzi&JCmqJjHKt0Hm^6`@^qJPRLgn|1OOPpgAH5Mg*RY
zRxtlr>By0KRXp3p1nRnNDub5!z~0UF)GU5SIk4e{hj0J*rYyWk3@T2baF15q5q(V3
zCO2O%_G1oFe~<ET)qg%2W%O~NYx24igvLl=nK-9wHjW{_y$ffkxg+f@<0{AErOSeB
z@1OJ`feKXg@qB!qfal^Mysmqz%Y53H?)`DeCW>mhVQ12qw;<QXkSStHGbdUrUfNha
zIYj*e9-?mXOk?o_Sk&I*brx3Sum<TksnUralb~=r(yK>Y{r`h+fyis+t2j=H%xsLt
zz#=t{nZFay7XGcWy%$K_Oy$(%KF)$OAw#W}!r-rV%B%0VPI8;kwxNSl7ox3Hb%%jC
zScie{!XqcY3dnqX=J|t3=Hnat>XbR>Dm>eY_P2j*^|+*;HrD(_M8TC@@9M8^_KaYt
z;WO6{eq%LY-}P7?k|SLY`<v4_ptsgMi*w@&v<%k(W32yoOO{J_6$iVuH}>@6c5W}M
zoU9$@xDYBBUR4Y|VoZnD`!c|@+}XYxT?zk`tFP8ux=oU!%#~dymtlOHWnyA#a4WyD
zk^_jrI6-U2Z%z3gs}k3Iw7HIe?8pe%PZThGf7nzyo^#0H|1nIpcW>dYBGdMf>w5}%
zFjqI2_`yc@uHANK;zE+A2~E)?C_n)ZYi3)o^JrLm>!8)c!64gK9rl;ERDt302!QND
z!p)#I%290r*#W_swk8StfM6iMcAbC6!hoBgFOUq7;a9W+`x7KDThBZnivZh(VCQtD
zT;S4pQeP_R-ELS3BrgMO4Dj97oA!^E-d)YXXC=9Q4n&OgCbMq$qO6Cly+{QbzSpPu
z<uSy`1&r69uYKdM-c2OE7v;TM>#CNz>F0F!4*Ex?ob8ZV_mmkU+q%sVy=qmJx=Ctp
zv3fS-v;Z9Q{6tc0RLy2nxfY+F5yl<+P#?IAo(jjTQ;HojNZ#(*3ZRBZR*H0;tmjNU
zig!?33zR87qV=L@7ICS5@mxI>?U37SRI}$Ysu{+>IekwVv^E+AJcU;czyS9vn;<F=
zoe9ktJ~P==#81U`o+?(M<gdCNFo%=e;RbBG-bdnB#-5%ncdH+G-&GRISlntjR}cQ#
zzol4M-x2zti5(xTQ^5mSGMAINaRbC0nE=2Ztzkn_k@_|Tzyq)9iS&vjCbpHWQNRC5
zU$Z_)D8gWzb?%NDR~tH;0+aF%)_><j8ODFS(5~y!DA;}>Lx!krJ2%Q3nQbSq&K|Q%
zddw>@=?Ob#b1FOatEjC%%g0`8p##etD7DYvH7EL$L)vwXy$Zih^xx?CZvS<+xBBuq
zim^x3<!rB9+vjr1KnERAhH~2LprU;5<`--0GBG$fhcTR(nwgyqlOMTYR#J{lepjfO
z-Y`}Bi=hokYmra)_jT>N^7^o940o{iE65l|gxph`put~$>$i(`B2TXYF%*F2h>=P-
z`g$1s-Z^BM!11X><=NX^o}7}uR3Cu=Cz<S!!X)4#;?1&ndBuH>-29}xVwfQS?56+S
zE=fUpEJ)~Fe)(hui|(i`#-n+ac|0U@i5b}huYws1>B^bl5CmXLR1Z9CivQm~a-F`m
zFg}Jej~Ga_ex|a@>K9EQDIW4DmC{j%%4V>bpN#NIlA!^<{>M>gn_4^6Py93=8=Cuf
z$?Sa{2OP>M&!Ke0rwPAWI|u6(+_WOmA*G7!B1fTN=xGED@~3O&h>7;z!ucBk8p*L>
zV%^u??jv9MIiyEFJMdn>!QIeHZHtAw`uoAPL(c3xX70cX0}AjtXM#oy)iv`Cjm^<(
zt{~MGRT?Z?`nboSDe;c0hh6;f8K>KaqB4b5|0nBx6?+w3`HjP_Q%@)M1oGagUA^;2
ztI?8Gs$;fc8=t}}F^N8!kM|2^Eg9S9IiV8&kG<@_V3(^l^IVVXl5B*R25(QaniQX>
zS8i(^;5APeY7?~Y1?#j_Gw_#2&ruxWv)Mk(MUe2_1IC#M;-X!@k-n7R*XaJ^7CecT
z=q3^nSIv-77SXnM%JshdL(o4v75y=X`75-?XgikLH>upLjYc)z^EcSY$1X&Xb0ADu
zKp{0=cXZ$Mlr$G8dLzBSlt44xXn$?IR}*jf9?SK_OTOoiaWHvf5<+3F8o}Qt0!Ci;
ztyUTb<OU};i*cj|MB^7P1%<x%Vts}_Mm3!z%J@MuG3_b6ofC&ju`kfbBi^-XF}M8d
z<t~vTG%*C{C??=={cn5Ui`B!o|ADUhKP71l5l-q<#GKyT^<%7!ulKEdaYuGZ00^~a
z9Km%@So8;$NH;a`P_~J<>j>7k$}5o$bkA6{lf$9$)%SAUqN?)`Yuj9uAaQ(f5Xj-?
zmV&{1BmL=e<64mgK*d~r2iP$y0nZPxe{S6qQ?eO;$l=`xuD)QBIXFl)@%}Dzw^UWy
z-Kzi!FeH$+DfpLP|M*+lOK2*CpOmFqRf+XxjIp9j`nt8?ozYVHm)r$%f%d-!Fq_D+
zJu2jmFHEDxe_^)Hwk3T&Gc3Qthml8`1Mr7)c!Km68$ahk_ddjYd{i`=6LX$L(2{hs
zE{nh~`MB4^-yAcWJ`<LGgzay<S8HBE*@8X#qPU+W<3zVT+a2^!{fIMMR$y4|vccTE
zM(&I~sUTyyLzF3UPQOfrg%0efffcjIz~PLdj>`UQOihIX9tml_o{!4u3Qq2jr+8r(
zaFiSn$~6ykfJie4$9pwn5H5BW_7KNlx8DLiU1T}Nr|#}y#7GG;wP7zh?dd}I%y>k0
z@Z2YiwRy0_Cr2TAx{QLcz!1(gr@o$RYh5@diCy{KaNK6ChZQ~0qJt51>)rr0YwoT|
zD-aYf`#bFcLot4j*VvDlrgpb@hY^N5v-rPj!gL)TrQy{Ln|+l7!vt)2kCF=y8EZ48
z80-3-hP5PS@OR1<Afs$a?BR7U7UXyWI>IbK&a3|220O7a6|YX322_OcrxREU&cR;!
zuGgs0E7~96BK4dva?>~)T&fB!?NA@81C^+feExV;55{f1tVXe+q^xG8B5d7S2?Usd
zjvAES?Q5xH(Q_V1Esk)yw0x;}+GZRIa-*2`K5bX>gdbAQvf<UOk!N5kRrcbzaGLmf
z%lSA-WE7b2Y5bLl3jR!xLAa6dSQSB(r|5zzT>Zazx#x7f(>CT#?Zs~SE-7=Lm|9jp
z;rc&G@=Jc-YidyT0n8wQ&<l`g2T8~MUlXvB)9)DdNnph3dquJZFDv$)>vt@4mH+RD
zbu|#`8`vCWogDOv7bbzkl)h?#p--FHT6Ol-1>fbk9KN>XhmHpEg>DplQdDJ<%zyCr
z;K>9thZh>J%=t=RU1yiK%^T6hp*;~X&V4@>1XpPZ>f3)%d^#8`Di{PEO2DTtJ2Khx
zJv?W=4X&+#SS6?ePD6mqD0Y7CRx<VTleD4cuk!13MS35Y?r$B{@KxFmv-cRjzsNo1
z6&ybK8Q@~TJS8T2wq)~oGIpM-q){Id<q@Ai8Fgw@)d&a%`^4FMZ78OolQ_7~ceT{|
zUz^HlCHyq?+F;Y6A6_Lq6x*Sclq?XLifhNGJH|&twb6%WCEaVyl;~hKfV^b@YziUi
zuG@1J;iR37?+pEbX6psuq-*Z4Nb;u6P5Nt=K(wuhNuyD_A0p^|-K!RUkGc64ekLAP
z&<O=+K$|QY4c#jMX6*wH<-A{8ZL;9Bq<24Tp3$)#fANT~de2qW`NL>s^&pjN*8`rK
z{=&RxUesC?Ws`cI+3Yp05fOs;0=k+dlEiimWiEezf(UlYpPgb*XU#n97<B#Jl$qLN
zZ291)Q^2oXtNjVr%e{8KEkGYAidL9`qKH8Tw3#0VA9HiK7P+^Ra_2lw&N39#P18*m
zwsNs`IBnHfCha*J`!kv4xp9WgaNE~dWm1`yl*+JjX_9ZMorE0y>&a9z8rl(o2(0TX
z@>Laf?~i4|!z_o(k`dT<=z4!I%B4c<JOit3u2x~c&KUXYKKn&0FO)2FtLfv`|H(UP
zmUJJdK2S?(7<_OSWGu8|yfLql?@~sEE1d0eoh>+!Bot`}rY0Nz5y?(Z@m*Q?y&Q~8
zK!9Y|G~j5k=!N3TFJNz2%9q*qcFU7pNcz-H&<guu^zwq0Ps=N%+>e&k1Mk1DzaU(%
z4P<K?#FbdvCuqR{C!OifQ89l-`GyPN1uIMUBDuwtM&(rSVn!Bgc|qKsxdmyZgOPIA
zSUsAn=<k0~FzUnzX_@*&G<i!#rRNW9eeM9V3&`;Vhv{5`X41{lgqcziHYhT6UM5h8
z!g3vdXLqVKr8}f9vExUDW$V7_*h0UVvoh3-xBpG}h%3Ir9v~)R_yXYfC{v!Q;C{v~
zmP^b*s49z!=Y872r|bGsHnTZzx`OZVuDaKFD;(-PJycBqovcq9LcTa@K#cYPbh3IZ
zw4Kp0#vaorB&p*gELl-A7O=3{t%N#x4*$W4B5lI~&QzTl9yX{q^K!-g-{KQu!PNRJ
z(ZH+yOF*QUBVP=^<nQjMIBADYd@<iJ9`hHR8AY!VC+=tWS<LQ|Rl+(&l4nmnHM-yz
zKH9pL?3>j^>#eYx*mr>WW$Urf*X&g-E?te?G7W&+g`x_GK8yykz}7`$KO#p1<sF{=
zea`;u@A!z1$SvRvjZ6#)suBtR=*9U%dNY(@!$1K`jH6iceg*$`IyPt+4gR8IRS9(d
z7QbcKV9*Q>h}}9BkI}p46WYorb6p?h+J<Zut;_gz>`fic4J`oDg)?`8AH*b{ePX&w
zI>_8DIxJNW%D^E;czJ%bD(#);tv18s*t@M+>o0Ha5U*I!^8U=4O!pRcug`lrQ5D`X
zrOJ=5qIAP=sZy7%o<TFRHXi0A(WytzmKpSH-B^hMzDX%*!Hz3pp!ciSok&>RoPF<>
zWN@>{JjSUY=?A1M$E3&mviCamI?tZKMdttPvUxFgOFjd?Oy5jDn62BV#xw-x#ACyi
zyvmu9c1SpkFyB_C^vRt(2D6cH=ia08b?Xf>^%N5)F{oqtX2nilMiVEEz`K#Xm>Cdu
zgEzGM4+mf&FJ=x(8siIR|8Z`*xs=||3lWIR7}ow3NiK$EG>NcGDE+hH(H$t|bsAk@
z1B=4})F~{4!p^_Xr&R01%DgvUnXT*II_%P%u_Un=55k1$J(6l8CU}l$yD-DbvZCCw
zpR?_7xW$2ZNAzypXOvPyi^=|MF;TD!z;y;7fQWeWz{XJnei`DLht?P_Pp}yNYX+@B
zv4PpN4<^bek(gQVa$B(yYYqE;_7P<R&%no6fCx({1fzhbY?-sIca7=l9_3W6Cc~Ze
zgvX3vD39=>;%a;At2V!UQ~s_9FDoxx^i;W|<k_|GS)XdG^=+U1&li+X;Hfg53A3No
zHVqu7urAvfd&GV}1CBE%Aiqxj@T%KOICR$d;FWi0)WN;*0fk%O{A%u=u8OZT#7ds@
z9uv!ZnTfe0vK|*DShZ5h<6xD?E*mUF@!@_BKB6X=hnfD1!Q-*l_Q|^T&-~A76$^-8
zqz^XhC@}X(J^>*dwX=2m)iN<XGfR#eK}?Yjat_b@*9t5vAH!w5#5%yik5B=Ij_nm4
z4Ly<}I~8I%`<jM{bB2(Y7i<lN7X_&OF0}`yod(lzbMo<|yvm9i1XN-=S3OVhH6OM+
z@Aq7Zkn=6KvH{yzu$E4A@D6_~|9W^tp38GxYt5$n6x2Qw*eUP;#xL+p0I=8zlgj!&
z^5sqPig^6|#i8H(Uhl^5u5dzgyfsz{7|>9FESyGc9h-MY>r@(#zd=URFAMGoPuF}l
zw+`fOZi7*js{I*b123n51)MJ;mf+XX=LW$qH^?%_zl|kVF+xY*xT=Mz^5Uxlwd8SC
za4ntiPR#Lk>v*ppPlD((&|F-|w(0h1ZWJndx;Tm&%2kn_3QKWJy&^1?EiZG2;_jk|
zbhSFjpl7f2km$?38nhDmZDKnQ6TFxsv$9L?oyubzAi1h(G?E7y(I0a6?V!_O5MiUW
zGd!baZ=qv;dB0YCoEvGajEay$R_A))_}f=ECy5+701W`C=y>JOyYKwn>-))yq86~;
zoSa5UFLp%-`oDqa7EGS;etk?ZFar2&;8X{Dqe<q)IRlN9gdWdrQTo4IqH&0W-|pxr
z;?MCvr0(1O63QVCe0bBIMeCdl2{l+uaqvjtQP;#WhhgjBn#qfCjL7E1;#copyvL{x
zeW*t7SSXn6T+g7a*RJ)e1RsiUams$L5}CJw^rdxprsWS&>WKi6`^gv$3oz2&DtGUQ
z<go9hJNErvF@4AIM@1rv^4P2GqHEuD%h5JHm&Z;FGL_-yV9br13TFawk-qf8iVb(=
zwisp?Np=S1l<pp*t0ruM><<UmUNQ)dp@EC)jra&h@nOzceBGRHmqb4-PqDzw=E4Hi
zAh5x#)JsSK^ea{q+y%#R?AZ@(Ax-1c$t)~Q6p|1_)QNaLNo-z?+hecm^tj=99^5;{
zwbHVkqev&N>TY-cOLzVN-0ok@!V3A~P>c?vfKE~4UrRiG!%*5*k<MIy)R-yl9p!_Q
zsCMi*GU;=~CT!kzc=cf6KKvS^4aO*g5foqp41L0ZqQ0&wwam+*V|d}WfL~%cVw)i0
z&}eS3lm9`imD1fZIN!K__1cGDw)*rku4PF3ZI+CQg3dd=TC?eBjIHWJGMuu?Hey9k
zf<aWhA?^FdJl{9XWc1WJS#hA`GS%afWg;Gjv#}V!S%Z?yCKl-Bt;bQL@De&qi!=X$
z1@cF-!dYqcOGbVmC(>f4sE=PCIo_0F!tVrs^cMZiQfy~`ILG?${+Ccsh(`6fLl)c1
zxnpXI4v@hm@eK?IE-t?9yHilF@6_r8Eg~e#?+mJ_q~XDgG&o9Fc+U$Xi9Vlu!V4x!
z$;?shu0PvxbStpVsoCm~5Dx$u`9?={_KDXFv+njRheE(rEDa5T--s*OeC`H!4R{bJ
zYvV}6*<8t*`|+2Ts-RWkjSi=GtxXMmg><|FUija&_7Qd-Ez^znE(^iuRFyFqnhv*F
zo9+leEbbOo?Yj<P)UA3E*PZjUDs9~$^U17=w1-#rn4~>B!t|3Q@S+qVlD8Sz49Y*G
zS!1_d!t!{pqwM=@l`7fX1pEhalxTPm9wGc=ep!m0v3Oyynt}fmJ3y-QgpdWYLBDZy
zT(&#l{6|a@uxHk6>QAHWgE{mYBGpk6i1nuarx*50PLWh{K=MU}L&?5Td^h;#(N;#X
z(;o1pumGV!$C?}EB<dTPOw_w+ba4FSZs_KCXJKZ}y4g7YbrK8MKV#S<Gv@zGUE*=A
zVEgCn*Z1lSezfRub%sqUJ~eEW?L}Q;=#pD`+ULE`t(8Ps{N8d7IMJ02R+>trXH()(
zZ7aa!@n<1bh-O<+zrlzWU>@l}aoa1FBj_f+6XE%@d947-`2M8^5<FMAz1kQWPN;~Y
zp?WGaZY^(9k*VFME;Q|aNXq4=(kDc@cQ)JlBR%)?ug1gtemMn?2Bis5KT4YLd_RBk
z_sXceQ*IiT>H1#s+Ol*cf~hi)QT7D=jWxs^?W+@)(K%4ZTz#%XnRz6xzYc4C$K#V)
z&FW^#d28G6@{K8lL&0UQKr2=9*_nc*IM5Darzd6D=XK+pZ@mE|Zqr^4KIPY9dTsMb
z{bjmiPf`7O`vpN)o}{kyG77Ok-_dPVi|bJ2w)Gze@A;3N>{@8b#SWEfeRPQpDC%2i
z=!jI@b(=}yA~N;n!M{qNSgXWORQBXVAge&F%90Q$SO5l5vpVI*B*W&8wu7RT_~`&x
zhs~T&-o1u1{k$_*ffgXg0x&c|SaI?OjWDt2(K;QG=9B7->L<>QGfEongx<61tQD^`
zML#3MRD;*#6cJU0mCL=Li(dma)w%r18xF{Mgcr^gWREgkua0!8x#$N-!#b@Z7ziQq
za!i#A+Q(?WUD-NNcjXchE43KQgR+Uh%Wutn7MFREUa_kLUHxCK{H(Mp=penN8&P2y
zy~jJMLn6+wlZDTdMv0UGhhiR!9ND=U2diBZ<>}c+u7eo(oZkBd{NVfBW6YIKUq*wA
zMb%Qp-R-`}sEd~Ps`QgdjLb7jIDFM>iFVBPjaZXbp0F*;6HtP#{o8_bd>aj`!6*ms
z0k0t&3Is6}FfKPfYnK<*-J518ZATVKvmw;nqZo!;);Bpt3>4{9Iz1_z!IW~!i6qNz
z-iF<RwztHcdSxx3R<Pn_<*xv32mB4%n{iu33jdT<-JV$eyFKomW)EGwnK2<?H~uZd
z{djuuh%h_4FI)vM`%1ag<#@-zf&54DpHWLoy6KXod=ZL~+}}ZlBCr>@HPEAsc=P*z
zl^X4>g-M0q>9%GBX(R6Ye-g;Ex3T?n8x4=9ErdevEsGq+Y8$7$z;(uQsA5jC$z@S=
zBTC(%=LvW{{c{2D4qDTh3BUW8MBf2nSa2CLu%=GSzZ@f;kVuLJ3Qi-R+(9}pHnFdA
z)^bZ(diL>=rfqU8yI}6h>iu-vsukMID@Z{Ts<ONuAH97z&L#+93o;iwygxkc4ii89
zDyvu9Cz`sOV<YoSqIKL=vfjxSML2$&$XbZD$U^q+&!<*LbDMftUz>B!I@JG}11`*B
zy^Hcy<zJHhcF`~DdwD1NPRMf}SF1Xij-CZ&WvGnxr<Ixr(Vo_<m=jN}9vNXe>|{QX
za2_#i@-VX28L}bY5?+Nxv8J&*pS@;nyb*~VB6aPg*&7|t*>uGPj%P_qOVn(}=;d%;
z%SR2m{$G6h?{rg-xudkq*Jmqzti!k|YlcFtJL_&z^3D93@X7gzt%Kxf0j3HX)f%@i
z`}Hp>`J1;}C@osJBAJ!sHIu9&7PGiL;r&`YReO<SWBA@`K4@2<?9I)AQUU+)Crf<V
za>5~mY*1Q)8*Z2BsY}OUmO#kZaErn2c^jPF^9w6{nC4fR^U>H{4a3KF^$o+L%#qgl
zU=P$_{f;}=uj>UC0@)rZXe=mTa+p<i&{$Q}+X#$3f@G<11D^2>t5&gI;Xv+O1$$Ey
zphQiHC>B5cX4$z*K3H>wnQ*Rbk>NFw%caz84R2S~acy#P;qO);P1g$Mrf(7GCI(TB
zW!v6c;;4p1oZYH}s+-I$-+92VtGD~eN+{+9C2#zHOr6dp5wk#=?N%qM-2W!JSr>L>
z>CU6MEv}zD;+|eClg{sPma6yB<<SPoF!MA$y-!8ogd4fUymGs(S^aaLLO|0^ItFDI
z#^bo+xh(}B{D(mDkMLS=JAzLeYdzHwOz$7-G2sSNu~{zAGyLg=8674u50{g<6CiUm
zQXXIK?7GP@G7dSK96ETmXpjrZE&`3D#Q(+M@_et-cf@nmdACUpwfsQf^ZtZa;GsR^
z7Yhe77olH~45=_V`3%Q+C$Puv$5GYU@xa{mI6fL6+Zh;!DQ^6WogrocT9#k||ID<_
zzJTR;9MwiT5OEi<bo|tkogw>M>GqiTQ6^7(gj}9$bp#b=4i;}EOkJU6I{LkgJ&8^K
z3ZH{a7<U)NXrR&++eJP1m6ZwsQ3n@4=Y58)o&4z9L?#(0f)S{=K;ng9FT4=*&(_<e
zxT|DgMz)m(U9JdUyXNP){pkq0Y<$a>Erk(O2fPqiXzv1%7iARtc&EBU0Akm0buqc}
z(eUSVQgz)2j(cyREz9$kHWEtV(%9B@Ks~yaN=BWjFs`StM1F<z`=G@=prP&X;|R4F
zFQgvM+c0P=jjdl_iS0>jt_0E~Iw!1$BX{xrI)Ti1om!^Ee98h`8Tt!s41pgj9cs&O
zEV*(EQgE4<|1VO{ib9*s!u711=-Th|y?pSXa_7RnqeP#jo@3oZ=V0>9s#SFO<%BTM
zVnUOn=UzQB`<q-jSPZ<Sg}^2OOy@}(b-hcn?diek(vTh#`diV7Q5x-jbzd%%{$H-e
zI7>^<+v3^9j_%l|!l(&<u7dt%(s0bTV4KE70>LrxZP;j>cLzTPlTNm@!la2+omRX$
z(_p`+kXK&u_XOaN0A*D{h1>Z%zC(QqoO-7W+#D>nDWLa9ccIo!UOhst-pP+kRM8Xr
zWG3kAIbo&B;^Es(y%3{3aAYjR`}PqLOAZFsB%v%+y;qi-IokyraHpP$PTM}s?Sjdf
z_({To5L+){?MxeXB^^C~YI_$hK7j`T%5Owy>&5Yw&iiMs$FCCY0#XXiD+;#zgw_N<
z4kWTN6VQjriuPLS&Nqlwt=^L}Dg7q=M(1sR@ZVe6Su|}Z7AXv61p!B87e+_v;7bG1
z--wU0`$38|tT;sis1t)FiA$5fSBul?_tIDOh;Mf{3|Q&`BFim=f<a5RFWJ--GVdDz
zFfS6opO((#kw&@W_WWYzk1N$^w0ln<*3Ny`CWw;9r<p!1)QRT-m?Ya$yd&5+5}6@3
zkK8gF!~C<Pv6g^eXqz29*F^|y0eK>NUB#Dr?<*=oW}V%@#%Cp@4!nZK`0VW^9B9CS
zk(HUW6cA^5474ck7WUwK<rdSLOR(`{eDg?m6aabozQ^2@1dkMXDH-{xHqxSvf^eF<
zRfnJX+LECuX1l!I7;s)7{?>tB1I%aw3qu&?`*I`PQBbNQq<+-heHkkkYa#LLd=tx}
z0$*ePfm@#Xq{98=yKm61lyC!D|5iIL`AZ%0pL|=;HA~p*(gOiu$JzBYgTgd6ob7oI
zMrXCu34TJ&f0jxUl**W))wqR5((}UAm2~A|vT}`N*<!Za{$o!>L77{h`P-X4_E!QX
z1*7$>ZQsfLrdO$M*Fy7U`GYcYTi&yV*#GP*Z?mv}92A$e%Nw$1v*q&z<klTj0x5jZ
zo19FZobTmQg+CvgM_&+1FoSA9HdbQg-{TPxTB2l$Z+!~C#0Nhc&|X}^(<N|?3eqci
z<*Ev`Tkm&p5ck$B?1|&vovGL%YWWT_T>~65tUnQ8YExs2)=EWZ99{vV!V>^S2-bt$
z?U)_uTsw$?KCNb_f${Ecr#bANM5$gCh{_vBDl9w{N9wkz5i}rq<29oPPlxBTh2hAu
z9ipqe5CL)60?y*A$g2$2FAH1UhHjM=aifv?4(`b_ks{yg5NVzaQ_5=^p3~b>!}>Nn
zM^;FEfz3~%PQVFr+a=%NiO89tl}mgi>yIpw;QOK6Un7B%AipzLR6ApX3WNpR1wp$N
zwpA6fljVgXN7+y?V6n5eJ3)$DfRIK_BK)cpE8k0wz!7-FFLZG!bMxX!8(G7e<s(jK
z#t4c`2nx(f<~R9mPccj*$4<v|-x4(;30nf{Wu8m`m23v(J<H^{4;j7H@pCt?k8-CT
zDJ^Q^o@)TeFsCEY@hyITQp9~)1on?i;|`@AldTDEH;sxr|1;6^4|pM%%+57l_yEO&
zKx{dAKXO~F_RP@-&nCV)%YWXx_}B8E((O}fm1-n|^N7WF_1v!vREEOC&;DzJ8LJ%=
zW?z%c8oy?<37?(gw~%MgGvt#sQtpQCakXn6RM3VUP0LpL-GAYNcZ#-sRX}Ea`6Q{r
znK$_B-UX^*z8ecX!+$U*%Fc|;D@M1fL<De@u6AI1Hx8?`hB4+%AcO_U6!}n5Dfw*5
zAhBfels%&xBy9unO59FSYS}^tQq}R7#lg;kIE*^K{O!gp+D;Kh;@ka~T&Hf;WYXZ|
zyM+ynjw`%{N%<}{%Qv2LcJ@Fl^Ke_IO>VDOu<;mO%{MTk`1oG1>T;LhD&-U$*NO)c
zrI1mfk1RFbQRtn0pp;;SGE#tc_qvjS?zHax^Au^1vl~UD+K5iN;4<}ea&Ra18~y71
z!QpIs&KM_;t0Sm*HohyUcr8)L2IsEyrU^$9U0pK?P^esSIS+>SD7yy|I7)KL=0K)B
zMN_0}M!RB^6GW;_0tX4eMx-4$@ssh;K6V9JQaS3pT7sC7YCc4bc4=vNr+<1*8JfV&
z=peG{GViYP5Ri%yQz>b%JM)n7H1%Rd^rhzG5U^6>uUI{9xa40YNfr2w6d_SyPk(+V
zU8r0+x-L_lJY&AI5EaP<mcvoGb`o=1K!}Mu?`e@P^6iAdCd(F|E6D>i7Fa1%r!TmK
zgt|8;Ha*xaAZ)U^LY1DeIpTPh!$z5t2y!873C>u4NJ}##s$!jA8&U;8)sIM37$yqo
zR!Tli4kRR5@!(78A!=-$sUfd)E?mXKH9o{1$pH9}V+T@R#8;#A)aNhK)Vj8KJw0`q
z+3rKH3pNOSy=Ly?3rYvfCD+|TcNrwDFmI7z4U0KOIl|hUN!SJ{t)^4l1QuJ@T!1_2
z){`%Jn>Kd~fs_xS3Z7h@p86y}=e<L0wLpynY0q;C_<CL8JGxDope2cc#_i}mLe~hM
zao1tRE~aaFjFpQ`;nvEl8~X0j=jm0*s^cu?gOgWpP921hc)2f1r<PsxUq%|}x0SnZ
z>W6xC5Ii0~9ZdpF6)<W3@f*wZoE>n+_gPS-y<(_cEL9y!zKEcKCgn$CJheOeX(BmT
zHV1slVQqVi!ix4w<=gndi1^bix%%Bh4ary~zhO^27U=4bRH_~e7>qZn#^#?~(`HMN
zVH#r|#q0Kvw2h|`4U6|nHz5A2>R#_zg~gfsufLHzgm=0<LbvSGX?diJ@-yb4f%-BN
z*oI<jCQLJGqzd$TQ9L`f^sg9J9Na#Qr>GmyRt?*7w@B7k?2Sr)hmQ6Y>14KGne4(A
zpws@DT~XEBYM>q`k9*}aYU2)j@O$sLMdR=9y^;e1&EVcbb=IxtVxuB_As%uUmMVXf
z+hWpF0&+$zqg2qTbJ~J4HNh1g9h!!A!2-}cy&1f>>#;iYF8>|qA3&@{2sMO)?frxw
z#WPFKuj|AxtfDS?=Oi>PWrYXoPE+=6k+53D%&Wq9L0R)Pc4o6rR7LHze`v*fkg3=H
zL&EQq4-w2*h(3SrCBaK=o*_$T35@*XaU(vmbEJ&7kvKx!Ng7m*I>*q<3|_BWM$NjF
zWi$gw<s$yz*LKp0g=KzK2#j@<uWJOiu!~NAr3RF136hO1!SJ>Gf-R5~^nTVWqSrfx
zBvA0`IiRhv5Zo+_p2Ens`S__t;|OPd-2cP7JSjx(75kp!s+0%*rfZiIG!QZ4exx#Z
zxdj8N8AtBG54FQLmIg7IDW3ZABALyE$`iP+c`e{Jhl~(MNYv-Ud~hGgYmCoT26J~M
z&#9k$fswVyqzB$W(gRFm2Y2)5%WlVu-oW)O8i{tAvG|vi(&iBZ|LxJOXP}m_E|QQt
zOeC8;R8g9T*N)#qv7K!vu;JZoOmf7M=}=rHbDCKL$FyG3-0Paq(dhCyQeg&tGp8BT
z-$aP#i_AT+)Y)>=?%zxG=)U3itax?gvX$DS#>)!_(v?o2X9`zv09RK(?tu;S(~aEf
zP1`p*KfPb8s!nu!5ZU6gTuDKiq||M8#RUH3oA3i&0aR0k9SBeJUhXa*HlzVbCHDic
zpDK50HmOdJ<j}iJ3>`fJg1@elv8JbDvkz9|K;(lCh=0YTDQme)dMQ*XVXo4v<nTvV
zZ3{ZH%B;rYtL!_`8QhD(%hUQiuc=NgIWE4<{u%w5byIm|uVr){|4j@*h3eIj^2P#f
z4icb2ekPb+_Hh@APO-BeqeMz(x9<5N87^17kY33K+9;$~hr1J3^v???m6vQhR(b)s
zUUw8}TseHSIgvgQo&HCW-s3kK9Ee`Rtn06ok{jg1Ze;gMridCYmRP)ukPJty`Y!{#
zZjXX=cqE-w{ge(G7EPUvu(pu<jW8^ujK6PPX(_}^Gc$o#{YE^{PT?&s^1@h%W?WWL
z)1KiOSt=JZzlrnSe&7T8UOqa>b1^QuUkD+(C(>mNty)Ref;$D853@0OO0ZA;7TO@s
zTM$o88ipbD8kaWWUrccYBNaW%sYQEFCB%d))yAe#i+{pHyi(s@zIVo9>8J)8qLiyu
zG<o%nl@Lw4D8s&~v1)1vWaP27uZ_6fcwWbmc~$J18|&^C+6Knc-}%d_^qAY#_yHBy
z&;~9u*p&#U=6i2{np(tTuj>Op>241N>>>2iC@o`o<)0m%&mnJ34|RoET534xhW{H|
zjhsr3G(7FLy-L~)jwQ*A9*e^cQG_XJ1O%hYf2#W^X?XYk2B+_|9<MtrUq3a}U~^UR
z-@3#eJ16ru!s@Bj9hO$HTt2H@xr2s3v@{DG<!54LWwc1#94(4w$Oo_Ne+s@BFJ~#0
zlXPcRxtaOAuqq3Glb-{JLd)^qVf60B?qT$2CL&g9<P_yz<j9nIugag3wRTI`zLt0i
z@t}DT3@5$nS?IuYpx|9)y%I9EbpoTzS-PNNXAE(Qym>5HLb^OQ!cHX|8M|4UD0l75
z&qnZ;IE+qBlqbHuY6TmNdN>W)Mnt^$r4~5tkE=^iwL85v*J%@!4egxh{RgqfbUC-@
z=dHmHuIAZa`il-u{HH6L|9<IUq2oaBA1XXZ?pI&-BIX`-x`i^ydujm+CNZxr^nF^-
zteSoMtzKX+xbIu%Irh!fDfi5}XDt`RiaVteQ*gz<sI9P|B%QMVOSOLP^>N9p%d>(S
z`nBHu(h(!0fn~>B@0REtNCcO3H-CgjXWyYAwD*0TWL(`@Og!H$f*RsTat;3Gib8-j
zH%o}mXcDM#ugRMl#!L4);G@sFvKRg)p7FNp7ql*F&X-NQrkV;L%jy1gE89#vRuIzY
zC@KGONr{&*bqoKdCm^{KX|)#a_-U&p5ViAC5k^moHlls-DCD)r=TBhI@_;I+?GtY$
z&oDDJK-uppe81Ba6&mR~+!Hy#ZJ8h{sMwQXq#I)-HrjCujt@r`$o1sdp<3zp`Uywj
ztff&sO!}tO`l3UX&teq|^%UiS=~Kqu({L*5w`hhs@i>DavDCr#4TI?OPN`@g$DMuG
zFK|%|$+*}-EXla$MQF0t2ktD&1k{1z(a|nj*8t=NxhZdMs9e0nC!4pv9!PvWyJwl)
zjfNYPwPiiSPm_6*w=r`pU+~A#F*=odN<%ReGK5WaSWBd)U54okMQB7C$U>f67T|_%
zqbklGwBA{c0?9{_AOL`iveLadQt2a+SXt29Q0`nT#M?6~gi}?~<N~ed$#a%qoXeln
zCk0H9<Sh7SFr}D@4v6v*ho%mU0>YN_F~j2ES#_3~71J;td9RJ6LW4KKA&g_^x+#R$
z@vqlzpX;v(>h+Ni+_Zk5)P4r^jT870hpfaX<q)ZO4?U5W#L&2~5iW{RnujpX_;|@n
z$|6L`-To~@>uWkzaa#F~GECyjGIX=C7cM*vT@or{JL#NMy-vBaC_Pnx^G|u0wo3W9
zSDh+Un-^!EvR9isf0>uTlR26%A#cO1&;sF7muU4VQLTAxy%+Jfvc%p%vy9k|hX9vp
zz%|PICkEec!mGVz_7le4Ex6gSpAS3U8zt?arSzE9I5jdE-@36~am*%`G<EWN+Os1%
zI^J(|a;(1o5X2IL&3Z1%&|;K&E+M0o@3#arSq5g@S}LiWKq6hu4ZI!xP4hI;m?V@A
z)|daYZ@=EeXU24TuRYt``4%W+@24dwT*{YGxt{)I=`CslXaONPSY1N=q`N8wd}R0@
zE2Xb};kpN%*O`hQ9a-u;kUu*MAK`aSH&Uf^e+7GCcN_rsk<UY=MVJoGfc?U?^{0tU
zUGBXr``iuliR^cca59v?6tu8dz%r9?0y9G1Sb#fU7S<}aD@7KX#(IK?L7X|_)KeyS
zyX(*NKwlW<-R7&7v<NSR?#C5(g5YLQ6x^rfUmzA*-A>77HlWRo&C%6bKDF-(kPZhP
z@j(zZ;_N~=*dFbJ1W9~Xw5WccWgUg!LwzeRHRuNtOt5+EwI1pE!GibB%G+`9Arz95
zfe)7LlusCPy|o@2dGT>M4X@WR^b{`PZkngL_OIka;kBN6ttd8V3TN73%UI|bjP$MN
z`%f<lUq3~#X*F?u>_G!m*44z%cl#f@rlT?0_s_%)VPZ&X|MlE2Gq0r3vZxyx?lJha
zQ}`>)dQo^eIYkYc&coA2V_+l?EhL|r9{ox8S@?V!op;_U>UmV@1tgfAqiz*5Z<0p>
zOn!Gew)K|EdeA?R>*RAjQ`0GGSpSf_QgYtG|Cp!<J?Y1BICoFOL%Z&`t8JXLk}RO6
z0gz*yJ9b-CY8HeE<V+PZFme+<ThD!IFXk{WL-$cMT;Lh~vNt)|a(8;p{8Xil99ZNN
z)_<uRBzylPa*`X%&Y3!L^2xWBAMvA?akxW+H)n)7`X{P;;F!91<K=&6`9;6;#gef|
z5#(1XFZU*6&{U-a@sx_o;HZo{`{q01Eb4jj<2mzRKJvZJZI#8&g1+G*Oy7CfAb}vy
zQJwLg`{o8E4D-@LOUJ_kqiZ?-R<O=8HiQ2o-YxSv(o`|a&8%gqpT!24{-^0D3jNW*
zC&>oZ6Um24Zv25qwmy$V&S+Tg|CFQ+7pyrKOm%CMsUcE$CJr@uj4-S^y^*%NHaae4
zz-ta1>RN)|cPq37tKID6145qy2lLykN4>hV3a-g-Ya|mhCZ>LbX4e*>7UZs#{eovD
zQv#kxpx|z9_R3_aTaKIK?L9jg5dq47HmHGms5_JX=T0kI9RO}ZJ{dXG&H$b}4R6wm
z@o7GJ(0?UHFtD+BdSQCryr<V}KMT%hXA#(GnmZQB1P-*1TfdhDk_Ro&XdWFXh2Uko
zQ5{~s@1)^-08M4-p&qUXBbg4MKHN&ic!qGEo^+Wh1RU$95EIDqtW1T6a8|<@tRzxX
zC#x2ixdp5Cn7OMlai7uAzc$jafa?QzEWkmvSnzxi$+>{(Ac2o;`sc(`hj7;ZyY2Kl
zHlCeLq^tdQ&acNBn?3zB8Kmom+f(mMT@#4>^G}ssS#x*}aN?K3)!g6JoTW@}I>OQo
zN_Q>kD_2UlSrW&x$SH01Ub7qM?@gXf_k$KXFe43qMx@tHz?aM;y@KV!WNP#cm*T`w
zTHCs%jy^(W9llQb)hufpXX5=$HF?%INZF6NZK)XX>3&fQQpmkdo>6tYk?S5pLAc4)
zl)f8gt8KKr4yha@a=n{8;ZNs|HpbykZ$YV4%aXxlDDz-zyQ&b{#w0B)bN+(y%OZd%
z=SVi8jGsprx)Ooz7dRVD>X+JRi1G|y69efi6aJ=xlJKxFgQZ`*i<$;VT}egr7DPr!
zw{r{^+_(ma$HB$i6C$KN9D~6luJ-Jwn#p6!ck!(|JY?P;Ze;Plfm}`KpY!lyCL$}(
z-^MI98p*r(z?n85bc$N&;dmz3!>s?Bc#3j7dv1G!I-dQRoUM*Jg+(EiI`ISCD=7vW
z>7(pd)#zc3*I#)MEkNA#kxMMW+VFcdwNkMp|Go^%vpdt@=SdzMbNT4Z;8WnmphRaP
zHptV~>?2RV12k~`u^Zj$Bryj%9Ts~zhS#`a;WqsVB=AB+`~|+h?k<nOx)To`|HFiT
zc+d0PY=hj7f3mXoN&<JdHrOfG6m$D@?}s}rG1ikCrrn~p%7<ObEA@{F#2_3q_LcF8
zD*W_H{!JHrGpfpjy`fax;VOJ3-|i`LAzi7y#CaEev}jxT*PYSRU!*TXopAD&?C+?U
z<yC!I^>sapW}Rp{`E}hhMciH0rR^YC;S7h`eTm@m!S7bDEl5!^_dN3SdSO0RZzGn%
z3Ep^9<9d5LzcmepF&#)fD8EuLbLLXJo~5F3#mwEsf%-cby?0nX(sghlQl@9$T0Y6$
z93`i7W;>-h3d6j+U=&)Cn@NGa;JJF;Pt}*cbQ!rCU>NLlyb$N3S;o&<w@6ramGe^U
z>^Mx)B8}-w=j($m7=U-<T<h}~#n^4um8x{LwgEqLjh9}MgW%)sQbpT2o1&_+#^N?2
z4Ha^Bxpiw!8?U9)R5aEM#W|n3`|&}BPb0a3Z)1|{IGb37X8$+mmJkj1ub@-}9hdVp
zQ6iyN{iT;b8_057wqGA6-HUp(f&5awpxV}Z{s9ewfWzi5`F(?A%-fU!*(q=mB&Vg2
z1`Abi=P-5C;(|^`X!BrJUWP}aoP6@-Te_xWpW4H`&hO=>QWon^=h22*SZ2aQ!0vzL
zs#L<f7-5#*fgRKBl^^YXXh<5^z*L9Jy}pd^2_jP~GePp}y^E*Ctj!d>eb%u4G>=%e
z(gDdc=DzO+2O=U5A$j!B(#SZc!T2b=CsY-{V{jXrI>BQvzb2@Mf@n8F&|H50uap0j
z+$Jj%es9Q6nLBgi4R8l*27{M;R(|1WFR{cm<FSHHmBGpKpC|B9h&l}1LBlX*Id{`z
z$*qWm=Vb~`lV~l6+er&`%qTx(*%VbG(~cd3o2Pb9@DWJ2s6%tt(Vlku!z-&m&=8gk
zYO1Gvk>eoT;R!!c9=KxW2{raSDw~(ic;$9&YfzHmD|HIlo1Qo*{4w{N5M1<M&Evbi
ze5^5^b5Gt&E(~>PD?m$Kj96!7x*C_oqbz}C2K6`Nk=4!B{i{Z)shns5lCWy5x#em4
zbtwqqN1RZk+5H^^1zD;Nk<y@J)*jQ<^E}(~F^Rm5fZ43dP5JPx(@TQGrl)6H&+@p#
zd%RGQ>kuW1py{c#Z@@4){fmZj6QLYJs*am<!NCS5c*q!TzcM#4J~RimtQAA+pY#LP
zTH@+{;T(Iiep<clU$^a%x!)k|<Q+C12KlDm_L#ma5xlTRlhQo~KUhA0;6b5t4hd6a
zxajy;WpfPo)770E{;q6*vrnnAQJ^^k4iuUIL$S|n^+u0vgWn7JRxy#iye7p+o6neX
zr2%>YM=hj@2b$;pf|lWVYs5{Zx#}WlA*nS-l(FV!(<NxK=av=OGKN02+ccLju<x(n
zUC2q;ucF~F<T_Wx_vjx!MKP7kDFpaGC2Y-0E}Xl^?de@ymj^o3x%?)cRT9>0ge@CL
zPHnlXYvDjp?Pk+wG6L^eMb#_(-<t`YibjeS)_W8x&vWY}|39wY1FFgF3-=z!f{21j
zlddpG6A)>UsuWSGbO>FVk={!vBUQRclP+KY1w!vlKza|-3B8xl37zjG%>RCO-L<k<
z@J?7V$(wWb+535Z&x3B~Wa-GiW<8W}@mg7I#7Z3Hq((zKvztG_Fqp|=F$W?;(!e$V
zXOf4x4F|aN5B%p~+iT6Ux<XMt+DtF{7MG_byW5n%<7wHeZJA#`Z*FNxX&+;zc#nQQ
zdQVM;Da(Y3R@^=q)y4+i4s%UCw+jE=NTfZPWXbGp^M<1giZc`8motqQv0f(J%M;!A
zjl2&1V{hWpDzBzR?K>ArJ@9_kb3Y9y=l*(gxL?*P8L<&)ZL)v8J>71yo9O7pp}lJx
zhjS~lTVt(sAXPl-4=ydzuxkKZqT4YL9#qF4mBYPUnp@V1XVG@bNeKs-ihrh(vAt5J
zg^PsAw>_$uhnGexJ~;1dU-F49oaN=JWG3bvtB-Rp#kZj}ddFAtDO;mGesP=?=2&r?
z4wP}By*bb8(vnjH<MeH8p0a~h8sOpGN!6e~EV<+!9D8-6xTy&h72ryUyg}@5zHa%M
zf0t>nVvyotwnVMOnNtLzwB2l5)sR_5W4<Qi!qWcG)R#Gvy{&uv&lTBwqPamCyvar_
zxa{(1;UtWv@iFq=)gny~#r}#%R6Ak()@@>()Pho1PoQ%FCaJC6XGH<Xw}mQf7n$3c
zCAM7%jsAEsyD&D+Y@wkt*9?CH(as0$3_`!yg$54PDeXLIY59-LX;|WP4N2Ujmd;IZ
z31YyMh??iE`~j?kKu^iIu!fuADxK&^o7-O;I}cHKIVSS^6822F-c$ABJ5pAKB3LN5
zZ)`#p6nB=IG@_!`BefM251K@B+J9^<Ja-@Sq#^4zXBiwvf$lTDij!Nc4sijvfPHyj
zq3N+x0i$E?Ejt#oqUw>>tFmvbAFxO^@O;1K%fL>|ni$SCw@=D_?^UvOQC-f=<B#Mb
z9AQc6fQBc_n{`v%A-E6RRlZ60FD9#mTi;yN7}(8|fso$x&J-Y&s7f5#-%zUwKEwVc
z{5JG@YbYx@t%Ql_IlK0Q?-ktFo+Q_bAM*BmDx&^q#lVIipJn;>6mm@*-OJIR4Sj6~
z#TT&7u|UFRJ<z$jjT=*Dsno2owqNBR(x*l`U$pb7?Bt$$9bpr4au37eHom@rg$~F9
zV-<ZkJy3q*3|-y*lCJMpf_N>FDPgROs~}gd2xQ2fLy`q+kd7q5d!2L}-5uYTo;R~w
z><=B7nbx7uS-y9V1dU~pN}%&nCFYOF*wV^b4kf9|$!@;~PK-%BoEG{mp!TP{jpM^K
zq59pW%`ZHLGs_6^gs<o?3>7(aVt#OIeOj?k{5-+uz1Jn3+ve^-<}+Q?@_LJ(;{(%R
z?U}r}uw(-+&|n3y019h?o~n2L+ycd(9=K8SkCJ3e8G3N9otTu0J_v;OffWVi&|40N
zuiOw6Hw4oXd>mj!O3o=}ooxPmAghsscmBqbTDdWDkJDw|-&EWAFhXM~uEsT&?z(v(
zKi6n1WVyVBUzvTQvv$J^?s52<!-fz9JAobBv;NQ6{Urrp#2MJZ2ABQrKL^`2-^OqY
zdZZzoAtP`H81J{kQ&SGwP2l~kIrY=i9o?!2AiJwq$+`dmt70tO>f-upOp~acj|zj#
z##$t$O2XXA@8mf{tVR)qcPeo%M^)HT<s$vf-jWQE3&*LT>p<cP?Hlo=jLtMaRD)`^
zk|1EbcUd{#&w>EG=`{n(1bPMcoh?~5P6IT0@-XyO@&_9a_VBH)R!CCDuurYa^xah(
z15+TVaI-OOb)ta6f9DQdq4}SnVq0SkSk8pL56s+Fx_mu_y^8id_CBDEvrF)D6!wj;
zYa8o4iG9`f+|)-_NljD4MRh{kA8AINk{!!Aa`P1{JwIer!G~v_F^ZXlYyij|h_o6Q
z_>SeoiJMb0Yi(9ws0a$#5K4g(u~Jz6S)NkfEYL(q!Yuf=e%dOM=3j9*X!CYiVi(MJ
zv1Q@v{x9^;7xa1rUs4NsS^L}!dt~4Sv85dvyFq+GCIm{29}urUP_#6&@mltcypH-g
zmlW=@>PgQ(Ac5#+#I$4}uL#oCli&5pW2@_xq)ZV1tw}^X*SfjV^deJ4X#g5u3TD2y
z-sVy`J}dGA_IDX>pg5{IFkGXjMi<SUfsrH?J&^qOqgC?`pQU<^_s4XwZ5MUvzv&Fr
zH7g$lQki>6vK+-qR_>F+>QwYA6D@-v6$R*XdbUPfe*$?5_3(Zsz@dSAG_B-)+${g7
z>&yM!O9J4^o6gC?q%S?yS)oa@{xTvvbk!kAm_>T6mQXEN&nJI^nMiEB@`QBV;{4+6
z2&v0M-~xT@_b3CAe|NurKN}SdZn}Rv@IL)aoD!wZRSDyibO6l*n!Z=3JM85*aHb_r
z$L4$V!E?eWx7yWsgumHL3<&E4e!Fwd`)OW}@2BC-_7l*7^II$e+%kT}!caSt$vs1k
zaCrg(g^IuAsr8ImUYIf@Gt{RJGRmy&m#hf_+q@4jiA6L%-yHcx=a1v(%G@M&!AA5n
zDbV$}1=3Cd8bwz1)ZhS@={0P3{sba)dZc(m!r5b8YE3DhZ$i%vLVZ)5@?S8F{nkf&
z$B!U|qN`%>?c;Zz1Gj>-0Hy5)iN;c_D`cBWXO(42bDzt`orn6)QT~Qoi_W@9bL8cS
z3Ku$<)rYfK8@bY+6`>ii5exTm*6RYPsvct56!;Bq{_^B(+j4H|r?2LwXnP)zCrRA4
zsiolugu1WOcN-1<y`Jy!ZWNMz@<F{N8&~Q)Qr%fgF8ixfX=IrG_&;^|;n6=j|27MI
zSP?yKjt{#Ys4~RvlWi-15_`Vbk<PhI<1&_<hVNK`_SJK3x6W<bB1T;QLd|~YJ6p_p
zy+Pbf{BeE1-*!Aocj;Veq$0|6Zf{j-;{`8`-ju+HfiUlatKIfCf!CQd5)iv}h5X;}
z#>rU4O$S21(L)sDJ+>Z85Qe(JlD=HoB=?vR$dy4zaYk8<%$|r`mWzR*r@8N;w6KRV
zu1WtmU6@w<Npi~aAwV4B#J#cM2f~^2#!cW}xBlmBZ~{AC)qLBs!+mm}QfYaMa5(dx
z=9mx5R6)lr{5)>CK=p!-)zQ&J!9fXkp-+@UNU`a@#lBKpdqV=;OF8j+Gu!g%fQ~~z
z6cNs&cI)gD9P7_BcyTcF$MG@V(W5t`PT@xk6*n6wGa0kfZz#-KIc)u2A>ulW&0JCY
zFJZeX5J-bB=LAhvI)f&RZv}rr5UzySo7~)08cXKus#O8Z$sT2N=oW>aBmH?Oz)Skr
z*FgdXfOFBOoH)l(vcj=(qKX8EzQl4VaeudHjUD^>&r-cJMWIRU30E@Ox#(H4^a<kn
zsiE?9a{djC?iRL&=VAAOG>$izxW4^dllHf|?`)U84-;IvJHIp~ynVj+#DN+CX<=)g
z@rp4}gUiZ^jn&m|AgwE0;KUfvT(xrw1kUayn^<cXRuHcxeUvnBP+e;Eh9Gg>LI)fa
zX(z6mcNo|wa+ZGi(OZ&+QUPidFv>fO5X&r?PIe&x+-v;e9w7pQ>%%e#W2%qta*6+5
zOnDh5jN6cb%KD|NNwtgs_V#2vtW_R2)(ezH>Q!0t*5)~mE<!n#<@{yJ*8kBly(V7m
z5?T4@7>Ue#*0@Ams{X3)LK*JJ`Stj#)0`S^SQZDBOevDVG$HO-%0b9+oAD%zcc*C9
zRUqk&Nt?PZM*#NYU}G0vv91{{Q&!wyv%s<L=$_fiw;5kk-JPs|6+_w)oq1ebV_r!c
z)@I3*B^K%0o7w`Lonq*!d39^BINHb1$cD_lk<MFNYucbA1*@DIF7V62n+RbV0m4gA
z@;I>_NHzt=|M0mblsr&Of+x)%g=;WHS=XB`9P|$`m|=b;-QPzl)9Sg<m0u;bD;PvN
zJL=8s+-K!<H$x<2&4sPr!9m73?!^PL=JD79FUlB!&F896R>YINl4?5f=-AZcI(Y;F
zE9Aw>i9USA@nx&`WNx6BE#tM%8<ZQ=dF;*p4?cw3J0{5eLU8&+LWUa$yh(Z1idzyt
z9fq&}P7L1lN-G4m0?--~RhZQF%US`Y<d3~S-?G|WcqYWO)N{REVCqS$P%isxUQsEl
z)Lh~><#LzLUkx?#AO1>SF(Q$J0VoMU5I=0!!n0@V%N%rg$>a?<*aFZEN>7f1^Fv7h
z>H)EZ{sS(yy+hBC)f|6c%Q;R`T=1%luX&=@zWU_ht#MaX9Q6Ig@^o~6tg6pXBnP9J
z>$b6lBh;_2KR<Akl%YMsp*BoVX<)Pf;p|1PSDLSGa5><R30!dYO7WO5h7bJbq}XYV
zW43VhVWv4R4hY1|aW?Y>$ijrq46XaMy|*+DwxqcJlPqN-I(%V2E>8hNtBg4x4qUi!
z#C}?dKwsy=6w+;mWI6HeNY2+*o)4Tn8zv-SxWT_;T`#OmtSf5j7WOXQ`;(%g#(t>Y
z4Epg<yDFJY9B3^<1eJr{YpJzermB8Q!%Vp}1ZNkXF3|fL=Ch`uv&mL(!XbA4`3tj-
zQ8AlF5jlU74O+5D%yWZP&CZMoD384oPUKB8JnWT=5UD|yWE<-pO{<@#Dqgua_L6a(
z(qg4<e79W)RPhm{(CYHjthasJ905{UZ;gk8DWq^^9vS8r5pHB;{2>9n-0%Q%r_!$~
z2|Uc8zpUR{+vQjMi1SpE@pW}&T>Sf$LFDI%*aIXhj8~^Wl<Q}$9gByxA_o)-Qv{r`
z3UGS<<T5p$(iQ4nX)Fo;I5xj&+T1m7EnnfS$i4?IxDMH(q2~uXviNiKAb1)+e{sRA
zyGYvA&738r+8j>*fyUrydupUba|8sL;#^&owP|zA-Zm1<Cc`~XnOPNGC~2Shi*6Qj
z_LvO0oK@ZeQr@?;7>tH+S#!MSz^P?bHICW^hTD_W$Sw+V*HP&qJw7UtA&r-^189E&
z3=)SOd?}y-z=F8k@RxML5&Ol3v&=<yv*-o+i+(*dvAgw8F?NAgt$xGn8ta|-9AL?|
z6KR%Tkmn-;b<vA=8AbL&i}Opq<Qeu&IU`u_UvdwM<Dys`y_O&}Sk#5syVe`HT^P(=
zPy2xVmE_HNEYP+C19GQyS@W{ZV}Myd>z+SMO03#>&;7y8b9nYWi2j6U*b!Otv|QCC
zF)@+EZ7y#I5|JglZPRNvI3Z1M0Y3q(={0U|-Y9@2ePBCqeEf&1u6M|!f1f^Mn~Re{
zXh%slnot0PsP-C43VN99uET>VrPDEK3Q!uGcw#Y$QB4rm`=Yfwx}4-DP1q;AspSpu
z^*2?(Z8X&7`M9OlP#CM1<lkw|c<;Ax#ij(~Tdz=fM}(b{=?m~}g@Ju*!^yxG?Wh~3
zd%Al8pHFuxHRitY1>D8Q>c)1aY<$=_JA0r!QBGUOR3lu72thrNhz>wSXFg)aeB&Fg
z#qzT&)Q3b}2eQlp4J8g$d7#>iW*c)|`E*h0*d8&}GD#JL^!|lhX6=4JPH)z99{wtn
zo#dSw%uEuPJ$&K>%43<loPvP@EMG=qV%wO8a(8pM@OkpE$8eF+X&L;_M;><k21{4|
z^?mY2(J(_{Hw^zeF1xLbv?XY}GjY_+J>mG9r0jhE7vrmitC5XOKeMz2ZqtjRHLl>^
zv9wHoh6D}z_fXsicsZq(tB@$x3w|aKESPpxy>^lc%eITZG0gsoW8K!xmJdQL-<ebX
zS8qu!uit6~+b_P=F|YuK-g5a_)OfR*N_CP=d5pc-r+vP>lZ2hk(Zh_1BP$~D!c?L6
zzb8}YtSS$zaO|%t<3&Gjm!HMW&D?g0tSFX3R0pXd%3WG|+FUMVc7Drq&<8)JHEot`
z@2DPs>d(TYR5Y7*irHPV*Px>nfIVj^308IRVWMHiikN6>??#@CS&=pao=u?q(S2;W
zZk6tQ9TA(Zok@nS?f0$he6Zn2n@9puAe*kK?+HSj-U1VMaVSBRj21yipB;~1gIFEZ
zp)GDPh>Se`r9EA?0)ph%Y-eKywdoX}IU46Q;h}BM)GLSyWIM}r-s?Cw<cyEeUBjJ`
z(n`D$)+`BL#ZF<js_1lSAz{vtmfB@QP#`Erl!uq9<{Qvw_vpmp?0<n-3%tFU7In$v
z<+9`oN0lUSlfYgd>9|7edr%F!{MURO1|NcBEthZ_b+vR0uea7~B~{#$fSoLuWPQkd
zZ%Zdo-6K*SsP4>)ikqp17(zl?LX?D(qWq^l6BnhInDu_}d6R#G6c>tw{)K23oHA9C
z&6IO~|K?KsAD_PYcvOXh=03wqob?nunBghba(S+;8;>uuI0gow#LuIjb5zcMk>o(~
zZByTNTXE2&00p?XQHBf`j@@nB2BJ!$HuriWtoGg}NTzH(O)}?9?xy`Z>3!nT^$|ol
z70vGXaf%hSHw!7%?S{M25WBfW?2g;or(L$C7|s=G!s!7=4*(%A-GNBk*?>L^X4$N%
z(p9Ho1D7J<zh*KLwQH>peVb-dMx%($<>QV%OF#sQH$ETk$>iPhx$H@<NJ}&MRg7U<
z2Sfqg8W=@GQ4P`#QQx+&JK|cFI6Ck`FBgdC&Zkm&^4ASb9)u}FstKKuPlG?8&N)iT
zXQfuRuJ&6%zHUW2x!^hJ&zQ~0iT*u=mdpSCoP(d&#?sFn^2|TBF*v?)In#|9O3UGV
z;FGOPMRY?6wh}!kFn^=drr?pF*m~T%Hne-N9y3?@RjcE3Kd2g1-(t$@>rI>qBvAg?
z`Ibz?Eyr(nD8TwiEuA%zH<AnQ|0s~)mFo0uUo;O@{?0e*7|QwXi_0^RH@T%oJEM(B
zyy-Sh@4bsOaqK3u$N-Y<Ovs(lRkJnK`?P-!qyo$zLV%CL6*_dH0(f6NJ|WAnU>qeU
zCDzN`H)i9yT+fra_sV4d_A_0q>W|0u2#j<eAYyRbM5Jh}LW$>!>rY{t#xY44Qq(U{
z)@y$iwQ*&V#_9x>mQ?!8W2ll_Ax~&@?h2aoUe;6@J4P1Mm-+g)=s{8S7jiG&yU&?F
z9ORU4$^~$idEr5qs+m}g@l1)j8SdsxADr2!WG}b=NtVgL1*_S2T%8G$5S!_qWC8bB
zT%@Xq9LrQ``Og7M#mQ(%<Rxz4XgEAIM}B6BT@^R(HC$P5%*j)p6X&ua40rPZZK#G#
zg3$=nB8$NMu>=;<UnNqRmOtWm7gs;|h5w{|ua~kDlt2F1@3M>$+ZP<S-Z6;6xeW8)
z+&CK^TnIu=dr7-u76roYP>LH-J|UN3Q~|=?lb+Xy7&nc%yWNK-q-Hh%t9C6=zQ1)a
zx8>2A#+f5HZ~^W|lc+EK=6GrObejXWDfl&DJw`j|X}Wc%haVciO<+?4d6lwzYsb#-
zzTq(!C8!A|Qm=}v9b99LSte?;9NTufb_7mg=liGYv+$6F>uyv%UZLhI>uKy7f0H3o
z-huj@xA6|9B&X!q@NdPHiKS7+t}1$!o2i%@pc^UZ#P}m{B}JgTw(p&86tcweAxK98
zko+ff8)s?SLZXISAQT$shk_nwrW@zhaeY*la@_SEFvZqibfQKJ{G}hYdMk2K)7<)x
z+XQC3+kL3Z)}J}UrtVJoN6-)OR;eG7TRSkGzxrH}tEPaI@`-XOMKv!RG>jd<39KP8
zJfQF8o&U2F%ssEoV+yPH&w-!^#v8l6*Zz#hh8th(j4iuj;>*v`E$!KJP6CRHVHzV4
zs`7Y~ITL}R3lXk;pY!t5HblzoT?sp6R(-Cqr(o1r>WG6|;WRA^fLcgSn7^ZrR}i+Q
zUz+?lEiVP|J5fxu;gv3~Bip;nxc38m?vD|_wrh1_c@$>}f)=9m1PZJ}m6>FkQUOzm
zgSeA<oPPgSOzabFvXP$dRcxNIMafZcI)(i@Tj%pIdH=;y-ZcC&Zkr*QW4rV?dvy6Z
zJBaE<@IMMUNV1^Q8FqhN_>3pvyeyHQK|#hER$VR2OG89bY!`K-tePgJMsoW*qOYq3
z;HZJUZ}PNvlePB9Ann1OwW?ryUENQ|T&24@xZU~1vI$LV?{CIa`6u{xMQGh#xq9Zs
z9IKjbOZG&)zpxp%QsFqoXn&OKRM~4Yr*cY_^f>GCSLc@-?~!jfgTzw)b1y*Hz@2*O
z<VC7jux&dTAqQ5$fG+i@WQtTgp$N8;a(I*pA35fu8<|9UN)OV7KTrmDcXc&Y?`T_@
zpYK&Ot7fY3*-!VHshHwS%c|q`c@_l1;c3&ap9C`%{vHMYQ}L6d;}rYB4ijKV(oV2x
z5%sY~BTAILRGG3KgN*tm+C+FV@+ukkX?>ch&xXvtRxad4{0Pim{Rm_gH_!W#fhVN4
zKwRPdH@%I<<^vjuDjQpQo+be18+uJWX+gSWIEA+S8_9=Ob$y^J(Ih%>l@6f!aOF7J
zaZ>9zO7sk-4K$vzLOBEXOA|&$)jVGGIwdKHjt%oA3uyfb_AR{}mwdC)9KRn%@x(^@
zzjEYEh{ekHZF<ieyIY<E!)43~sqk_asx}&zS!O#)Yp=k;2%9!L89OBPwZOYZ<6_NM
zg#^h-mj4lllPr6@75PSLHE3kf!#vjzZdMpG4GiJ;RQvl0#;*#^@TgBNRFL*g^|vG&
zwznVr+f%P1NM=>#ZzVH-hAg89k!`Z?xY}u&X!&mZp0>~!^a(pIJ{Y|rjfFy3ruAzr
zLFQbor9W50D^8cu<k(K@+5#rdI1qd-W7d>CHAe@lbWMb(rrmwBBp&?ic>`6pkAv{+
zUh){qzM*HljJK1p#}f1z;xG&66a!DrxF`Z{hFfMsii}1kXOhe~t2e0>i^-Pw<ZLS(
zjtAU4ze3Wd;0Hfk1^oVf)_p70+S*~@+YFn4pn8(zYhxfKYoE)2K2<)QJ1zpw^eJ`7
z$h5@TohZxgyqH0JQ4n9$ZCwgZ_TP9ddG+kLlCNEO=X$z*(@>?vzBKEXVqUl#bc2og
zS<-jL4z)Cuz}NAeA`1`n$vf^oQ<qU=jd5Fioqe0lAwZF;^8vN|(E)U7v%Cj+vR8|p
z+}y}tFh?(G_a+=nT5Q$|L{s#Np?^A!meFloSG}yJvQKI?@^VHzRg_>yXmRcEn~EFe
zbI42e)nrb9=)$_qXWU;20Qm=>6joqHrQ6Pgg7<MsjAzU)@@035_I$eo946G0=8(W!
zcy2y^L;G-55Q~elRl@0UHnVLKk4JgwIBe~HsY0KcD(QS@5!^4-Yj#a<ir2Dn0rU7X
zp{MCt*QYKl;u7)G@|~2bN~_~6y2m!HTemN#l$czjkiF~++uaf=d}o8RDl3o^Rot$m
z2k1}ue~5^__SY>7yCvcno$J@{AWJA!q8ESagUI>5w@^o?l~yqcx3^bicNtDzPtqDU
zJ0f_f*}AvL=-IpB>r7&ko|H<mZC4q)U<x6v!s$1pvr5+IJUOPH@Ay7FYEOY#?S3+e
zq8EsGOPvw9W60N$gE8CvG(Ug--<20Iw?RT?g1^B_O83xk)OC?kAfj)#>&t7W`UwY@
zT9HtNy}jvQ0uzm{DV)a{8p2~-riUk~%yQp?C2w+mY(x*h6$^9{XZmSI@)Vlmfuu(M
zxcoub9xtHWgU1SnB(G%FwZ{EWXA$FrgAS|g><@mIBIs-K&U<s+99!UE+)ipRhEl$?
zTDyLmYvt2)d)lpzYfT2UDqLXNlV(|F!9-y+{|!PfQOh@J`8QV}xr0M5>gZQgUM=*v
zoy7SHkI?Ev;m-+rTIl;amDPK{Q0>#JL*nd*h&<7<G~AYF{KtZdir>DM#nxibg6apj
zw86%+`8rY9E6Gd+r&EmW=}}T(Gup5whkRaT<2?Nq?<5|onQST>QjX5%A=R1SFV#t0
z@VXf>quf+$?Q^!g9$8s!$M;{hRn04}na7xZQN<zLci++@4H!Z6)7$aw-GdH)<TgXo
zTrRj)a?;@ZlqLSe?K<_5ThP;9`eH_DuMK$)6;`|{N@lNS&OB1$Q2RR`C4M_1;%AAm
z>tW8X*8sa~kfd~Ds6gFtXX6~9`LVw<F~09jP8T&f&fq{G5eR=$X8*P-5B@tsPBRU^
zg>214$!W-eR1GMno<TZ$d~L+&gd*!=cX=Uc@R1yYHn!fQNp#)sT>%=X2=0REKJlZZ
z+$8a)s*8ouW{QE2(|C$i5%J4|p<WYtqHw^%cqin&-}{b}$2d5T87P22yenIbjoNgj
zd4XBJMsBW&j_&)VoEWnM3VJQ*(M-z!fRCKDbIDFCDHgQ?0CuuoFQBz36I0|^bSj_2
zyF|k9&Zdto96&WgBX@`&$xD$6owf)$Ow9Y%=k|aeHYiq->FTksa(T@Sruc##+%A=P
zhI4ZQ1yX+wH=~1I12g;GB#ihm_N?;5Z3Y|dN-AyLjce7K(F&C<LU6qPw-q*%h44>}
zrAU|q!}IoSjJH4*;%pZ;&N)BAICjAgGKQ>YH!97`9GN&iT+31@Ob@s$3KLGPHedNU
zF_ixALgiGrQCBjgBQ|~8c?o`&Et(dk%%Da25Mg&}0EX*e!%g62JkkNDW84q=Q+a(R
z`RS4MlhZ&p?4GFRCLyCxJ>n;7<q{R6b$QsueQk>7_9nu;k*&QphOHN8_j6{u$|g@_
z-Q28gr4vg^eW_N2jW-MfaO^_X5+L3<WW#-R&0ay9^L<x3k&y9;yDOAq_cf1UAme8&
z=5MmiodlSW*YRWFUqA3gKr%nz%L#el17Ps?$9$qy9v8^Sm9fp#-SiLN`r<DGb*|j(
z-nZ1DT{@06dh>Zoybo!==)=2k3*aU{6z($}2zrnKXC~7^i`?SH@90ElF)U>!j)XzJ
zH0^$&so`)7oIZFmC!}nNkv`yAflo>Ua<*rRQ_TPe!$G`(YI&_}E2m4t(4+CKL+|_g
z#4b^sTL7rUym0k9p6-^0*o105%e>zpS79Dcqb*R;L14dd-byW3d8F7nQYZj2jvfPI
z1$sv_hbb$O)~0pr68)Wl5sb}cl39vDGO=`G%A*qnDzY3q?zHq2bLAb`l_uDaOW?H+
zP}qB@+c$g@<i)b(@uRYv`^K`{_jzLIYkuf?^vdLIQKY40?oLvU@)z2Y40GL_!ygY=
z_xi4eSiFlm9(f{+Z@~I-VL6Jnj^=+Mhg=?2B4e?4pZh3IFz0EM<b{sqmSm&{1G=5!
zBSqM7Fxwx4)bBpL_j32oH(3)+3FZh!V`5D{H{mc-@@~Dn8)s8{*sR>$qS@Eiz;DfG
zl@w4?Mln=rF}|e@dSS=P>}_N(W7awyfEA9df48YEWLaD}__`>MLQ5WhcY_JugsR(g
ziY)%*z|y&Cp(ooOd?MQtaZ6{2`$=uK`U){kf5F*$0u;qtPMCqevo94K|LHgm*PRGr
z?nAflODwI`{nllNBRZ|iD>duR;F<rmizuxNh(on~{bOsR0b?DY787ba*7c(A3t22v
z=h?!m=YpK?iIU-!C>qq4{UQL}yeqU;*EIR3#6UuoHsL_-eLEp`gzxd`!5bUuIc6W%
z#aGStCt+7DEAs$KO=uW22d&ie<_!@LzrN=b=GPJ}HB$$_c?=sl_lZ#?by|V0+yk5U
z@T)7$ttr2#KF-Qt13IC9m|{mKU+~#2IY5~3FV}DRt@&+@mx@BPS|6=vQ&3;7^IB1p
z*Ovz>WPlxdf|E1xl_~kUPU@fan$Ur4+di8q+yDn0l}%)Sh84rq_8PuW0TDGG#B<nn
zz04_2d~3z{e^+X@IB04z^p@17ztv`y>@}<AYSBzq{;49k)(Zg@LyHhNO_yxy+ZSli
zrYvr{nAzC$e@dY+4Fuu1I1z9MpH~eVr)$CLiW>AGWGMw8kXIH3uw9C7o%*kcaXE>B
zs1A90c7AMbeFyRNrIXv`F~vYyRJiptYwx&Qqi3a$#&RK-&mkDJQaIdcr<Z}i$HJvM
zl4O2jw{|Jy!=E5d9lKZ2gxyj&u8YWOk471vJN7I1dLRGh5J#L2LC^d)yDmOv@c~{a
z6XZ!``B-asuvz;mN=CYgnR8uAQ)LZeQO2;YJFe*HpQvk%6)NiWDxz?3tCk5-0KHMa
z+1Gw<<Eh|@$9<mr+7z02td{CUtc>&muQKS3V17LNB|68D38c_Jt=?nR$(KUG2Hsph
zUp*9-5xh>_>>D^Z^O>?2l1B^}kD#_Uge|mw&JuaBb151nMow60((K%DHOJ!cz#r7+
zYg1xV^u~pYF_q>uOOw)aReEbsrB!*Hrx2_KTltS2dtIBAhoUY)yj2s!_3^BekaU`*
z%<UpCnV5-HS&a#!@|y#uo*_37$@zske(eWK+P<jepwZ2(PYRBxo5ImFJr8#7pn2b)
z&oGF*i@K3Io~YhF;NbEd*DO*?lI-~l8$a=fcD;@W^CR^QHVOaDGuz=s`ql_NnuS34
zjR9Km==*v{X;x0AG8p}jd0f4y_Io6ws3&=(8?Ufx|2d+-3ICU93|<_ITz+}7HEd%g
zN=2{UY$4Xh)6U$#PT}GZ!Jl9ksO{T#xOhDDkk1Pq?L_m5eZ-Wdew}vhXF~T_V~RMw
zW_c!!sw4nH?Ai0AVN)65<6kJFU2{!3DY+@(Lf7+UkzpXfB{qOne~Q(&VJv!H#^ieF
z6U8wYkzdj+Og;+l2q;Ur^AkerO(wPqf<%Qp8?MMdId|8vBYrgLF0kwz!p13T?;#ox
zh)eX8%v|@Wwh~Pg5y>5qyGsj{5788<BU!hw_lvAO_1^(9bh|%5y;5}xKQ;FoJaJ;Z
za34~I+8<;JU`f2;J@jK>Fwv<AJ|j8r;cF(+4DfVOi~VY=UpSTtn6GN0H76KFz7HQI
zMS_xj0f;;r4&ugM208xL68;GEJKzzU55HA9!TSvK(ar_e&1Q~VcG0dwJga>T^d#~Y
zx<xcG6w%momPNw;JOU_bt|5wEn)C@yNAXDYJZ89F(8)!CDupQcytbXzWjH$J@tN1X
zly<;&4uX(-1!PSq_@ib6vM4yIo%Pi#H+GkMV+535xBe+-^!p#-jI?kI=rnMIv#qYZ
zbaG|`PxT2B^HWyulyCXDP-G;DpU!-~K>4dhw_4$KiIo3$nf>aE5q)3(<@xKa`x0^a
z_B*lTuchxX>NsZ*f<i&jKQJoMSBDHe%uv~DeeZ^ZgFTbTpS|ETfsK7(4jG*o>-)4D
z<p?^%(8uN6qD*oaEwedCXJt)ckMDe-x=HJ+)i1gK!K>TU*+%*@TkXMi2H{5UAbBA0
zCBXmbt$nBGi!yi>gDHurb#c}CAauSxHk71$Sw0f%(uptCHTG8K<9J^W9Y-od(6c%g
zy?VAcH#RGmNJQVqgl!@9C#m4#aHj<8Eb0Mb%CV!-`FMhLHDlK)RyZ;{eW1-F#5~yA
zF>r4z0Ux*qlHcEC`6ubNMVJ2OQ_0G0({Jy$9t&D0zu<4pjC><07-M<>)skmwLe~{<
ztOO^gl5;%0r@NO>X%5*~OmF1IIr_i_JL8!YCrT}Uams|C7p{gY^HnGrnGD~d=R&uz
z@)x<De-m7o>~7TDs%LThV;hgwA*A%Q!fO0i6x<Ong>xMJ$XTBZzO3h<4IMAfH%b)A
zgeE+Hr8Tp+k_yrA6y7mr*Q-^aX^BRKvdyGr<~#7U63#3^9`W(x))%3b_}SZMdM@O5
zUS9`5-u{A03f1;V^IVq9x-~O`qhex$@t5bhDR59VXJ>=Aq>VxRX`{Qt>Kk$WlzX%i
zha{R=qy}YjncGVQW6Y|!_+O^XFO@k~)G?xv8i+qDB+kr-H`BeEAn%^)j0E-@ztW>y
z);tTgRa26pxk%lW8{9|Da+cKO;&$13v?NIP&P?ToT9)zV?cllwTD_8>JoC9R%@JQ3
z99CWxb|G%_ltK6S&SFh9_Qq}scI0Di!Fgf~T$u4<JGF7(d<3m1(5mTeoI>I9-X;i<
zzNF`?8D6?hS6VxD%#AxP_uN}}w)CiN(p@nIBsJmzm4+{7VC{cqYjS3{_&@^C(bjC4
zXTdvc2i?5VftH!1eo01#Te7xoK-P5Iy`v)f=F*~^U<=cJ{>joJD)57etS5L;Fk`=}
zW?6AjlB}F33l!BF6n}Kj=>hvM;s-}|$#h@5__K+QJ*OwwpUrZ)M>8Bx3Lh)<6khd_
z#X%<j8LBAFh}0?;0H0T!_8W}I8#e=zJ1TRX|41sT(mn?seo(NMN>*w!40HBAo_6HC
z!d2Nb_6Y>ESjl!aa|o7NyUrZytaE+}rk^L-Ts0TI0qZ6XgJ=Vn8t4b8?>z)(f@S+C
z$BXJ^dnO1Pa6Fll+>kMWsA2k?7pa~~u=bA@tM;A=m?1<(EjP$s1Ek0^O9l&~*-dtK
zK#J@UI!J)k+uE?Nlr3ydBzE98b9uMTSI$45J;#pbRAy@?#oTR(WJ>QBAOF#@94?+e
z^Bze0!OEs_G=83fnxz;YJ9*Y`Ac!#pv?M?`0VJOVF}NX0GhvoFngXd+<|8XdQ*+1y
zMhhS<(0$C@V63$0)R)^j1I5^&O$ZXp<vuVN4tJoI>dP~-FU1e%rA5=9f^~^^z^G{X
zPiP9o)UhWoJEvPG4&40~daz93{AuKR`M#HO63C0Qri*|(vsf=*mlG(Lef<RY$C(~G
zbBqi1=pPk`gZRK6w}@%Av1qO`<NK$_mi@Unbt^D0oh_v@iU`+CAyoLw*C)<4q=P&T
zD>WR5dTqh7jh?n;x3c6Fb}b%?WCrW8HznWshx$x4=<%VTbfD5DHKH9;KEn0hdEaN~
z(!ll>YP%Fv=9EO+f36acg{#KY#*(gRh{<k35P#E&!PJ-7M{~r-V`41hh3A7F>Dsa5
zZP(+~CiUjca<0&4)nfr_(8IP?8oP)1Z1rbuDHLKSD-YSbvA9W`<ATa=$>D)&Zx-I5
z4N_6ul$`(ckblL#eUVjSTdeK;`Vnne$U=#UBpA<u*OGjLIdQVuQI4I{dWiGCP%8QU
z)gWob>e(L_fL|4l%YbMc=q*j6po9P7yet^ipopmv@Y-L%<2mJaez0geX<mB4F7{);
z08mn3)={g`4&nZ~m)*0Kg6>P1ADimnMo5wXrX?gB<Ya&k)mv!ES{_K|(ufF0;Bu~j
z|0rhVrDlA)sWaRrQTNrjm1WFRMCAucdU>uGhN#YO$hp>e6&Uy-@Oi-rTwn~zZ+jCH
z$m^wc-2W5#gnzzzQBO{hYj?Dse|kA4Rj@Jk`br3~xvTDq?ajz@sCz&T5I&x$=F=Oa
zA2(JXTf<^0lAcQgyW8k8^V;+m?#);f3NSPPalVN}tdy8bd#a_ZI}>+UkN&c5U!mUE
zIPA<e-XLA}=b!xZwe$APa)-Y_9+$MxJ~h1ck?65YG2V^y3ng1D#&nw7i;td;rcJT`
zvVqN4-zB4!J+MfDof|8s!pPwJR7%SQi~2*APuz^AC!et6q$`0IsUJX(8^A&}5-b?N
zjNvFDkdxpr8OQSn3dila;`8dhZGp|PhSV)unO!wJ#`0kay{NJLb)t=FrH7Y&@y(sz
zf2WYVR-&_R)g;Tx1i60;LRYA4pk%Tc4j~dhaEI+>dLo+w30ReD9l0zXZ0U!5pT?(u
zS0{}xZ;?_qUO(A_ptrZ!?ir27M9|Hl8tu?1^Awm&=4>xbooqL=3V)-D;D?2uqJ6sO
zGeHLlIznbO-DepVIpaQW013T0{-%Kbhm#F$Kow*CIX_k1E5&$Iz;?=C>TZ|IGu!%F
zMdhI2Df`pc*BTy4GqdYqcT_7?>#|Bprl@tEnwqN@w@Xi;XWGDU!ycwz#heB{C-og&
zX6InVBN=z#r<BJn0;;gi#j$xtxN+yn6ZkE>BAwG?Q!7#TxB0qoB-pCKhs*Zj*~V~3
z9-s1G#Y-sCbunuZtl_xKTO6SIE`5JFe4Kf9E0CUo{!(3*qSJ{n`Mwj*CBjP%<k}GL
zKZ5Ao&p89~K{rITX9$yZ3#$o}4R}zOfL~*G4?0pIS9boi7+iL@A97iFy=1ETfK~Nr
zj?7AJ<#OXf^U<~&CWhFg7oRQLmBQd@zSO##Q#F2MhnG@FoRGL4a|<1VuP)dj?~~?`
zABJn)>vvX75+ib}av<+y?GjFAtH!mvyLFVZe3~YDzLlkfFP7-GGfEw-!!`Wg(()jH
z)xbUJgG2A>kOtEO)nJELj1bcPPG2hwl^(W*F7(F>+us1>E?%#VI1oq5%S)5gSqEZ@
zE%MpR!u@ef10H6+mNyHn(*UI*>zX|u)2pSU;(eBUtLN(ua^@&(V)#PMB8Rj~w5cJH
zLh!nu8RK30mS|8<0Za<6tN=IZ&zNRDSwBg}w>8myYa7qG7;YB(U9~A7ijc+qF@8Wi
z#et)1OAz6EdIU@)Z2BR)lRaP{xt5q<oBFL;wV7{qrVg>>rLB?WYM$S1+$;>oO^81B
zfuwUS09LsyavVEZhVH2vhecg!ID6pNH<K22Yzw<Tn7dj^E7jlW`TDNcA4dW%h3Hq6
zk}xg>fOGw>Cp@mpMLk<({NhtV;hN8tM-nUH4fin%o8#fqTzpUxw(bUX(A3cn49`wE
z;iBi+5pw)p8WK)(5k(g=y*#TPSCGQ-sUPhZun<iU+sQ(a7dY#t+Kr9|KL=tCMvNA%
zy@;9sMb9daB4FXU{yhWNK=Pj`ek8vDk@^&W=_I?`h3bZxy);Rr`F?%rm-p@?QXE0J
zU6r`AIeDVN(Xy|3lQOW4Tn4Yqu%pkv>t%VJqX!l_9ojGsA7w+IMh=og5Nnn2Aw)zn
zS}g(iF&*Bd8C8BiP<2jVsa#{zsbW>^Bn;nd^YXMdruZ2Rl25zslw2Vc;?w68vP2qU
zFqX}$4bQJo(#p1Rd5>YFmIsGALXAg<HYr;9d$ggn!*>&cWL>}N<<z`u<)4*CcJh<P
z^mQQ{n`o8$=cwWGg>%%SqbxCE$ViV>cdnh5aYYO#cS|<Dpe_MiFuy=apUdicV&Nbe
za6mh+fcB%;^M^W{opOA6oya2|Un^2kS6Bkw@J%I1<%m>%s=BU&W4UozSu5de&z(FP
z{l}0A1v|6h512uB`j6BbRSt~R0YrgXN?YG*tJT9E3~FNTWmp%V^0#E~FuiID4`;x{
z=UcSfS7&9F%x{Qp9KYn-5m1FXqRX7m9#$1Ce@H~%*H$Vm)#m|PPt_zh!^Sw8r>}P9
z%1+`WRUSeD{{dRm&3hRI@h9@E-QK@ngPk6#YT0ZShF;5jZFyN>tRRxDU}Wk!8Xs@f
zRB-XpdXtZ#*tGgaVioagMN~v_9P|QV8LPv<C=$ImFA3I@mtJ9X|Lnx%R^*$UX76K5
zx1P{-eO1<S|AQ>M)ows%6dRt(j?7{ao%^EjjV$XfN;VaQ8kf~B-}UzJ9hAP>00i~}
zDBs}NBbX{K<88XF1-y_i<I+vN`s&}u=i~RG+09bFC5`W<b>=IEtHQChz9CRb(Eg1g
zpZSP)E!R$LgY5_JVF_INv+_%7*+3K23HmvrBmVg#8m1^m^%ZG*=Ou|m8grX&i^lal
zzCdv3h2zz47&dP?EE$lVc@K0$36JDhz({r0u~&yhyZrDpz7SE}+`5k`%6bP32^qM>
z;$g{bQXdhBH3;mJRUMG4D{MWVZErUAPMgL@ig{hs<w*=-UawTjpgiix9Y8}E406FB
z7<`&9TgucDEK~BytzS%>7t+d#k@%$|j_pdvPh&I(e}hdcG>F?R3+CBl>dVW-vF(3{
z1gYd;E)%Ek|64&WU!pNwcs$c$=iIAo<tOAmu5WhE{#|-S{dHZ`336j$xWe0<-1F}y
zSa>QWT@UN=HM2m<oLH0nSI#P;I)kP58<j=a`a)@YzLG?z>v$<-=#Qq9GUSVPc$~K8
zLtMs&BsSNuv(|Nex9p5Q%V@<xqa9{Lk(jcnZ;_Z1Z2Wf$5%HrU%B=CoNA;;+W^Dz%
z2Y5ZU)yMa`e9RIZIOOpP1oyXe93uX$&edC{a!1569l(Mao}g#xpXCt}k2BRWEpYUF
zt=(OIXY1`0c<VN15<=x2rPaH8I!STDBT_UmlRKnf3*i)Wts?(&s+SIEX9{+qQSG1M
zxl3+)(;1wXgi*Eu9_)yA*Wuing)$5?XeJ!;1JX3tfplIKq$@M`2B0*&PrLKt!=!Ia
zh{rEtQFf<eX1&p3NXSu-mT(e<_a->KfDvLy53DbBPG7?my3JZJEpG`L7X3w{T?36<
z0ScE@9H=q3-i<qk<xB~F1YZ6%V^HXFP8O8$_A5Fps(_UXUx173*n#IXel!)-V^%V%
zq?yKEOi6W(emS`~`m`wzlbs`pHgmm25=~KFff{N(Cr`F*bwV!p4fITncDxMgTbBYs
zE`@zyOxR%Nyf1jsq(?C8vryab%_B0G2k@kXG28_GrOEeaiDbr0_%7V!5@XF0_ex=~
zx~eoQ@>h}pF-bHW#J$^;4_ChPI8*S^mW=d-Z~#+o(z9fZn)IKkgv`t4jSggiOMaqp
zIu-u4_2rTW!A;--&c>=8E^F|!jpOI+b*+D;;GCr!$A_q0%W8dC=&KIj{@1WB;YJQx
z@kKgWV?GsnSLn#a;jC?fOER#3j~b-rdsk07VE53*(1PP1z~cder%`t$h@4&fCDxj<
zy^j4wnz!k+YrPX3e*EC;e2EhT4WJPe-S(f!2#jE7@><Y(qF4?GlAMV(t6uvz<pvua
z%J$uCWqE0Q=An&ER2h9qJh#NnLnRzqSOFB(xz~VsZ3tAYCYv|Ke|G7IITSq$VqX(O
z5OZen5xMy^*#}F05_xdWl$F>1JtOyX76cRxu_1ys@9*gOehd#-APdAjcF?WRN8B|w
zr!FN)vq>^Hdl)LuY-00@<1pDT%FI-3WeFo{yT&H2?F*E(Quvrh6blF*u~chTvAB7`
z=+@q(sEaoqQ-WkEa@uDr3XHLF>?2VkYq}HtTktO&iij|Hx9qPsYmdlTuK*{(q#a54
z%HRLo{9=`<<Lq*FBY0hGi^gHfwv1zDi=3wY_rx}sdvQQSSSEI|S3{4!`*ZO9!o4$O
zfZys&l3CsRBoa+Nr9b<N>g)klFS{MVPg1t?Wj0Bk5S$-iGg|SqkuE7F$>?;-fImye
zdYAaln-^6TVh&P{vlYi(_hgx5SV>6N)=^4-5D_t6>BufUx&E%IoDP#U&%{2|(Qdgm
zWwr-zAd}2L`4CzOzb1mXi4M>!4Yjm;CX-a)X$%!Qge9R@K3z8tznc`B0s^gM*D}p(
z<mHIMY11H_wOhlpMw`S0iZi#Lk|puJ09LBQU+LLtO4BjpD6&2_9=G+Gbmq48*derp
zUC&?ONS$tuWgTTxv}5fS2b(1}5Pc%+^NqSm(hk`5KC@}_wkwYRPVQZk`cJdCFy&l2
zATX1Akp!;vv`2uWRD6%qf<^-CUtLE8$<z)GjPNsai}Q58CP`Pw(F!V?r->;=x3daZ
z=G!B{s8Bl#rr{Wb<PPQvmTV8+mErg5iJcwYQJ0AmiJ`{Wz2h0asIt~WvXf^4sny*v
zZ_=PX?%T+GZu8TzFAE}9zg&!u5@)bo0{?OZ$D^&hinzx`E|CDla)D=KR$V8f{hv8g
z(l6;Zi0>9DTmci+Ihx;=eOz4*h*9K7g8Q#X5YLUlu?QeRI5wZNdB_yGd#NvyAu3<o
zPnvne7fliFY@YjcKJ$k#+#e+O0(i<>39q$6f#C>@jqXQxza>n2b3R$bErzNXx(9ds
z7DEBH)R>H#x1}U!t|3$z*7E>RO9$duWnIVI4YEgUe`*U53T#n}y9RMWJU>~Ty@K}?
zWLhZi4+Q5;MfOta)L)J0g<#Aj$MY8}81x|9MByhZqQ#cQkAyH?V<o!hvwEdZ000!H
zO$Xpn`ydYxbe~Gtx#H4*o{NbLyV0I?mA4A+7#ej2Lm%q3Oav9uwXd`yXW`VFJCbEC
zt3?)N!J4kpcI#=T#g70-Qjh=QPes>?pQQL6desD_;Y^+B5cYl)bvUqX$($L<q@-^P
zk4LiL)l>f$C-B8`#j%X@LhGg)5>iw+d$&q=DZVLXMNGiYqd2~lsqNBE^#9LcK{k+B
zRPN*DO&gA$_ORTXM~==-eB+i{HX#wq<-o)K#W}KB?Kq?9c@q%1tCjSc)g00ZCnSov
z$IvmUC5^rXSrK(jue^C^9!GfCbAYirzS-x~;E>yER<cRq9H$JL>tJl&(Dy@H-bygt
zo`*cl{k;rS=ptA0Dri3fq16A%5`6q#bdu)UV64CVMPv5tdFXAhaG6Q{_!`Vr)7~TY
zN|glm8Xyh(8YR>G&EyHijb(B3kiF1bVlO`<%b#b*?L-+<j-JiuzT!=sVFg1BT8Zx=
zn6m5tUOWt%{gYG@Ft4&16u3_4GwnBeQ02-BqEhVIqOEIUx@~9uxpk$%FW~c1Xg!U2
znw}SoDF8GY2*V0w-lg6ggFKM8v)NI9ybu_Mj?ttq(H<G^;SH4Fy$9m$lDU(t(Wi3*
zN=3U}xEf1RZqr4#-Hw0UIN6R|oE^RVETr<Z;tHbrD3C~>AA4KUWjSCj`VvWSFyQLY
zyLAFze;svsn5i&Yxzk#3EJPDt@>|0pe3AIoGgHNtvgdCCQ!TG@k8HjbcFx8h=kxg9
z$-3QD{Z9iI0Ut7F+ydw}W|i|}1ChPQzh?TFDO6>R|IP)^gC)QdQ5+|SNGFYh!|;l=
zXCZ6|ZC8-$i~xyEtyAxWul47aFWFL)`x~4#NITg^pKxhCCOjz58$Mi=<Dg`6i<3Th
zrt<V#q?4E`b*w6VOPd$by6N}#P>wZ^Og>{N*Y}lUh?v-LJsH@cvMDubwCv3GpKeQN
zv{JnBN4zSpN@u{EvmnE^?s^%@Q4pLC7pxPTe(5{rTzB5;u0&iF#(2hAaeU`z87+Bz
z+0ch{^e0hl%pX4Iyd32z)~}m{ZKwA%Z#y;LV+tPd)JSo8a#gtI*o3<PI8oqML4$8+
z$AopRqO;fjw#cDY6!}H0*-Yxp>Dg^zy<(w1`kne<R=RGXedv*z9Tz`P?zlVMmb-Jt
z^@M+K^+6o<j^234RE4*ar^Rs1PA7?b%$#DCf?YQURmEH@h3d&20XgL0l(raA$O1|y
zq7HRl+thJS**<<__!Viun3q)n%8ANvp^*z={7=12@`IP}g6O?|3HdD!V2Xq5E*Vun
zy`z|8*Js>fwR}udDS{RrV?i3RM$`6gu1PC)@hz;Kv9-6sy$Ks4<+x%N`w1n0)G;!>
zvG#p4BFwS?S=2X4bju&-K9QGd6{em^6S+x(H!#YEpPcIL=*G;_O4M+$dHJH(W9szf
z;juj~>mT5Pn6z}ECn9X&kEHBwD)-;08r2NsGMZsKGykLj+DACGENoZM3L4w{^{7mt
z6J$RF^30NF9r{zOq7s8)mM88xGCeoN;*AE1y0}H&ypI+X`I56))TnxJbgh#OoQk&N
zh_g_!bVm7+CoHp!4W6BLmJAbr$~cR#CqsrujJOgLpq-YSEf(*E-v;*~P9_F+Hcswh
zGy49(VZHXPw_sHV^R?3Y8c|ZK$qJW~oxs2)Si^|)NdYuYCI_3ACG8@dMD#B)-~fO5
zhr9B%Mv`ol^t0&LJK~kD-Umy0mIN<w9nm&2W*15Vb1v~_p>M1`*Z&1IXAa|tzLJRL
z*vkJV+9)GRVMs<kNwjd5tE?PAC<ru1MP;tPL6{mVmT4*%E2W^LWI3=+V@Wfj!zjgt
zR|<+Hb!3IO^7S*@rg}|q0&(@Gbk7#9@CZcttTg9jzj3dx4aP$|q7TGjgS3i?MuOE*
z-Mt0o(8e1PBi)$O)?yCNV&PStO_xrX0#utv4@cllEBReLnq0yK{)={P-kqZvqULT)
zO`%Ki3CyNVJiTHx+1mwNcFP;!cuTk~(k(h&CfLMVW3nr-2+I;qntA?b=4NlO^9w?m
za_goqmd9iKR$mA3!3FX&*PDG`*zqkIF5+G0O#`UO+V!V~_J_SLJR;=4q~EjUv1Ohp
zvY1tG@bP>|9`!6O;r!phiRhNU<#D;wizW4SUhz)r7fWYG9x_^Mg<;uzh)Qof$Ss<}
zz;*t^&_rV_rdq4jn!myQ=I>&G^S0;$%$i3`yYfuqIOjxqRlZI|^Ck<0bwj!|g*AEY
zDMj6mk0>)a?O3D!TVNUihtKR~BK9MlW+L{P-jB84(jg);cCL!?1lm7JE`<E=a{uMv
zHRP-@8uY5d9P#!a<%ArKnHlH@eRZ9`GB<nJ%B=hrp|Y;iu$j4tarE2z-awG$R4c}?
z@N>pLMDcwyZDg-L`pa|1<!Tp>#ZMKj;d!i5Ra-aU1uSDWNYN4CRePHx>6&`w&I+cX
zb6mhAyzLsUq(MYoMVs4m?gs-ZVKoj6&m<#dMJFC%@yW90&OhL&UDSY@Ia`8;-_)T)
z7oYCZPobt1O3bC2lp@Mm=5gwtz)l}8&-Iw`(~&pLq&pH0+7E%1ZmZ{xjh15M;|mee
z0gpc{6EsBT)sHXaJ@l5wy&N64Nf4Uduz^Z}rtW&rf)V-b4YNAhJDDOzn6f&Vuz{g9
zkq@`!SmJUE)g2tiAmiTvTu>t^XyZF$A*e7=W0oIxiI2FngoJ-4TJ`y3ZA@q!kNmOP
z4$-GIoSgTNf|<<i7vgc(0rk5_SX)^J_8ZrY#yxT)+NO%c7%%1c7PWdPR0BPNQ*Y7d
z=h=hz>gU4Q_eT-C)6LpLBpf=PeZ(b>BI7`_LWEs2_U}q*9*_srbHJ&e+G;clU8z{o
zb|!p?16qKnHEshV?(FY_A10dY3~<~HsQW0LMqDqK8(RNu`!$^Q97}R6YC`nuv~qym
zcBHr1v7-Op!I*@-#-MxG<mDFXJ=w0W^BVdmS4NIQL5_$dnl{ueW1d5?Jq+0_8lB6{
zIwP~NCdOmXfolJ*L-P!tMaf`Aa+?jHGLvGFhD046t!FuXsP=yoXn5f`q-M`oeOINz
z527av#qU?NKy#mrHde8hOP@P*Y>%b`sQqy5e3i_jTdgqFa3+Y+oQZ-l9g@Rid;2W!
zH<IuC1?)5u^%g4KTb8LLX40*VT2R&4vCO#~)o@=F7Uwmn$30p2Oi6@u4>6mR50)U{
z$7e1v!p2_)Q&n#p>2Q`Zsi*bHTQ)Ye%hJgd7cq<ES`y*`xG?2bxQmgZolyBBdAq7=
z7xv1o2CBknsG`_iGeyP28i*_>AFiDHKkX45q%)weU12<Cz3MxJG;PWWhK@RWToM<S
zF)dT=5m%N_k<neCacUU12^4HbYk6bJ4(HFG;_&f~E4+(30>nL{6Geao1^R1k(Oh*s
zMRtd(slrql!Mv}o%Yd2tnbjy{)Hr;9SOo{nH+Do1NGyaa_o7AzLxKa(+~q$g%9%?H
zh=OQDt@q*8jZJo%@6C(>$SvasU~xvO*+IuRY66yOJs_Q?Gmj$Ndu!b!0@0KsoD<p2
zGR{PrFycp`iL$=<{$_HyGcE_VDr@WQazYm0ck^6z2Qt7MUEzxO+IM7)b(a9B(hc#J
zSoiJE!d5@xrUV*e-TM=<<0{<8#g64Ko7wzwC8kqWww5;b14O+0CbL!X))Uop3ZlQx
z<dH7d@$RSF=KAK+KJQcGH8=rC4P=d^q~76V=MbLMRnuTE`Xd*|02^pLEJCl}#22Mc
z;Ye#Uy;PqN;zC#&sw)MDcHIxIV;#%6M)X&6nhAi??5L)eFp$@I$*p*PoNkD+{6SgI
zo3FJ>*kh*zrb>KYEfwm{&a9eYuzV;Dtz8CsM6Tzg^;Sf%L(xNENWnh&S$Y)QuNVIb
zzXv0HbF9n%KdQb0tf}^m`?X$F6hvA|<)urcTR}vL0n#na=&lh;h_pya4FLzDgwy~j
z0Rd?kN{#Np=<e@1;Q#u*@4C)~x@(*4?40Mh@B3HQcFv8yz9Kp*siA;A#AKl2N*4Jv
zU8i+7L+(4Jdy($jH_GU>XZFWK4UArXVZm~h;}~Pl-z!C7!7U$mTbs-tJ6bq^O=WFu
zz2D?#O^Y$Xi_NcHCG^+#?NNFWPY@&8#ZAuyKU(p=$hJ~h!7tCo9ql&~eZp*nXg;Cc
zm<lDDFU3=b^z|`!uKxT<;d5FzN-qz5@WJJ59&6)11I8&65wO$*b|@0v{C(Z5j>^8`
z4B0WaXR;rqgk61Pf?DxSWjb?!<({do9_CqHp@6yP{{Z18R)>0F?i(fg1e}TrKt&e<
z$osja3d;6RQ2SctqyQs5U3Ay43O5K>tlh&1s+~iAvsJbxTIHKL^H`!dD1sh*vUEZv
zc&i;{Bmgl1DhNX<(W;Yi`Qdac-8c3tO0a!>#ddFK6;Z+EVgMUn5(Sw-SgA}5#wJ`V
z>vlZ^Smp%taf^LGQV4t=e~mn+*<`0bSB~wTSzrQxlBUY*jdnZh9<{8+DnZUG08Cm<
z_q#uT59cI@)MisAjItS<do)uzu~3QTx#jL5+^7cTWBDuALBc#M#FxR-#~!_7*wA=}
zGUuUppafAUP?7MSA<g+?=|qh{h|3u`3x2tA24nefZe25C$}@{vlzEF#DWztgQ@NJ?
z-Cu<Uwjr>MB+KC!V&5^qa0YzzYOFDnaxHi+u9cGLG9!8{Og=n;3kxz2foC${?uYZF
z!4;NYS_HO(X&i7=$Sw6JDl4hjZxvX{%0WS&L<Sx+@a!SZ&6-!aSRjf~p1Vx;!n0Ss
zLc6-p#p!z5yPmK`dcEIxiHwV8uxDD&tEv+I0k7`)z`_Pr-fk**+Ub^)DTTa|L*-l9
zcy)TAx#&f|RsVFBU@bv_7gmhB_shsYgUzcX_(?%~68e+ZF9CJ=G)!~DvEAh9(NU}B
zZ7|~O0y}JDOY<;!{sJ~J<$q?g^+_^e9J*M0#2rYlMF+lE{6?7@Rh#z}@`@dtj_9H7
z>34CqSi1gxWUxjuq2T0XfRYvWU=T!b2LH-<FL!LX5kC*`mLZ3fIG7*SI-oWCX0;dx
zvS%`ut3zVirLdfi237eI1TdzdZ8R$^MKSKS56^kyTZ0%x;E<kuU6l0d%Fg=B(5+5_
z4hd3`Q~RQSwcedZd5jealz4bs(Y22a5fPQ+mmNVFO~JFSl@?|&TG%pFPyo`9fu%#7
zofdxaO__IT&N+c@r82v!lQh$1k)j5KhU`$J%IlO>4;E4Ht6p(;lTeti^0Bad-50B`
zpsF4P(Evk2t&Hn3le#AvbO<p|tbp0|>-T}n^qw(dg3rY~K3;@~-?~mmpO3nD!j~9I
z2)yYWBosmj7z`BcVb(6UScG(Dz@{eA1a{~zf}OWx#uJ}9ea9+|&CLDr*qM44dxO@@
z7cLEDasa&Dq@Kdxe>0uO=?)jP?}4z7n%-vIR-rHUA<5bF2K6(=(1n&osGdWPPV1!g
zX0yt*=!vi8VaRvVE)lnr!4Rg=RJHTHKpo^>A@<GXsj%N#o&L9AX_r&OvoOETy2n&$
zE0U!0n+~^tA8U}IT-Hpf0lU_y67q}9JVl@~PZ>a*ffoOD6#>JktprPh!Bd^?YpBVU
zwo52Djd$18)4JilzOvNGhDo(>r}D{U19;`kdJOt;(#f4+lIo+JOpRoJ8!h1a42UU+
z;zlCZU3{p~9mh8)U^^mghN){R<xiijB?N4jl>&&qVk>LOAna!^+a75GO1p2a-bH#_
zrE2Ln1E_iE4E#JOIbObrPn*hXmuo0bwG`rem?5%qojBwh-0}k|ke315c>^U9bd9)i
zdf1N%A#D*L)B1WV=x21;on21uAM)i&`@T#4>zme1b~!T*<jf$Uy}6;$mXm*JuOYfM
zU<1;{1$9aJ_!Yk3d)RcZwCk5uXu0I_GliL!)1IPFJ-u7q$*O&m%YRZpmv+Wng`N0S
zPzS>bMM|mvW|bE>686MmDW|7I!oO2WBY4Rfh6jjf<_O%1GNt!A=9-KfR~W;c@~ZPo
zNz_`)N%Jl#>zAJ*BOtL>m<+RBV<!qZ@LJC4XPo=QV?`zohU4|S$b*%-@c2S9IYp*`
z#`mYOQ4;&SRk}M7yDmv2vA{46+W1;12heKcxI2jze<{}rEacUh<VMAnm6kh=I%)E{
zqDQQw$i9Z7t~>73%1#joFj;mjw}~=|*gGC1lZ#;OD%y^wS;j5mcl~x;-R=sP<UoF*
zACKqy?I$7(j3!D|fTn>ItPX|}g9k<CtAak^LuyVI%3wUYwn7<x?Sv;KPGX$rxm@$f
z!|0b@lQDH-O=GyLM#~mTV_=0X9s)Z`Lt24kkbFCWk_AXLphXuGm9o-xkHzn(o%!6P
zickEDfd#^)-mct05ycb$@i{P<6g|yJ)%}GE>OvK0i3IcVlYLYQ)Ava|I-QeyEo!<u
z2bcOO*p{lRt-`nLIc&n?f&y)^?83`%8<Tkl3qbFBa;F%Cz*IR5<*u5k0PPH5+weEB
z#SY9!ECRFTz88h9RiH*}`@v}HODEL~2V5L=uw{t&UqVa>kC?pJ-QZBFeuJ7IMi-$h
zy!UF*zt!)EFx{e`i|h2eF5(@uZHPu?V>&<zOLqr{H_GnoFKd&1u>lWm=P(vmZ}=sf
zCo%q;HDyd^0Rk<!fjMox%&k(vo17ra#R;+?Inu%HyxG6DR4Vl8u;7sUQ=daptE^*-
zOK|pAy#DXhpzQ2m;BqL%Oqsj(0CA4QTaJ3L4#mi=-;NDGO3NhI`IhH|lYg?)07qv~
z+4BAoBs(7<_T`n^v1T(!TGE7_520G$V{)Q5u=44W@`4imNDFx>zrAk_5>&3R&GiE1
z;np{6>l}Fbq$xn)ka_!gd7FS2alk@D7BY7fdviZDD=Z7c;XnQgNC5r|Pyq`ngAdZY
z+jSMT3#7GC_*}r5ul*z0^v-3aFq)49oOwCN3ZsM3%PClkX~}{ajBRW99CFBVMfEb0
zmF!Le?9ADT&Q2i5YK(F2Oe_FkyNUkw17)%{f`^EkcsO6?M170h(@$rZrE7mn@7vr3
zr<UlyVGL1}yOMFp$vaI$9CBfed}JX^0S3d`8Jr^@E45fRgQ8BSbvKRSakRXBFdXC+
z86s_j+q>uL83D3aAn&c$J-zh|!z3(q%Ar%P^O7qqj~4JnMLR@tem@ORIZpeSR#~^z
zkOX&>ywgv0b|}G;%1VO#sD>J<(y8=I^n`|E_})+a2o`&o4Q^1jt`F8~zkLEMr+%5p
zJ9a$-ZB)}^)y-CA?`#HB@D_1X>hh>v3vmTYo<HC4N%Ztd2=-1q8)!bYu@YUf3hjtb
zXU1m0CwsQ`Q3OML9>RE_nT-eW?`rf|IO&dUzbv9?Bm*Mp_hHEKqI8|(cBHzZqch1$
zAg>MDHu|`Uhq?8~>K0XjvifY>NaI}4Q{Vlf??VqZZV>(6hry+2ZglXZs#h9R>?xOD
z=$fW-SuJXJQC&(#BO}v28@Rih4(V-ao}BclgfWVPDvlp9=03UMUpO8h-~M+nbiq(=
z2$%;JJ^fF(Q3l*A$hv7|{qE*fQCgQX@4bxD)7IHapF-oN_-tPLtB;?n1Cc+_`g7?z
z`H@<f`pYN)xMaNN`lhNZLtjX3iKR1G4jw}F<3SQSgIp0i_pkSvYrOh!SBTvY4OoRa
zqZlzg)VINu#Vn-|zW2Wlp*;3k@Hehd7EZqVJ$8D%!29dq6|ysPRKi+G8%y@i<4QWu
zvt$}1#qwd7l&0L+j5fl>0B{Dt(-67YbS-aBt^APxi+-%YNnkBn(>+_bFN2h5Ks8Jd
z6z^pgB45&<XX9EuN=^eAg$A|=HBdq}(!x-7yzNhU{9B;m*`^rI62V}*gj>tg^C(|C
z`z0RAYOHWM4{u-6g|f#W{idEz=4@$&QT{y&Bv{%2ax~S56i;5l&E!|HYc(hxa-&lS
z<Xs%(9U~0GOt)Sn6H<UGO4cgEuuX=^zjl9qBqc0I@T6rYyGwM|GQqK=5LsmK%X7xP
zU*z8B@t=C%_7dm^OQ>py3eB^$xFHunV5Mzlh_es@K;?-zlXX!hs}Pr{xeO54&yfM;
zN&;n;)^Jhle(7JsXw39wklNa{Ed+`_;PpwQpe0EXe(^zMSD{~ql@c?UL4Yd?{QSR1
z9IeA|1QA!7-)T$@JvILOnp}zNXgZ#0ZM%GbJBVmlsr<XggFQ8|u=Dw{rDN8gnoa+K
zK~-C5-;&8_$EF4Idh8w=aLv`~`k58+ZwJ9_#wsZ1PkM<X!&~%%0ER#;V9?nM40>ex
z08s(ReEPT@5?w8ud_4@)4~27B)heG!O4XsRNw_c~0(s8I&|MUwe&^9nK=AY1wS3%T
z`qpeZ6)-dE2~+FKw;mF{BZ2;+l>z4=3DS@%yKU{hdY2;uSnl6ab`%c&fGy_;UQ_s7
z1pM`QGA#T(zQr+k=-4x<0~;Qoj%ux>B$s`4&6nH!KIj4;tt~fQUKzKD%?nzjI1yx2
zOP&4%d^`4j6lzLGGOOo5y7tGT`&zkPTeSVj;2nK7B|y>u6s*$HwLT1_IS-LPv9f|Q
z5w37hOR+ER8mcO$YnQpU5u>q#oOJemO*@v2tCFS1LwCh{(M0Vv&pW7l+tc#h+^Rd9
z-D8+;rzTL)ChU0hpQhJ%8RHy3FU__e5Ahgu8+OzH?^HgJQ1DRez4i@~!pZgLNbZRl
zi_!e9Z|(9RA0*b4ljK~gMd#su^#6c7EG?zq@?rk}f*LJfc(hzRI<<)g_Qf=G;Rvzl
zm>LGbQvlpaUttq>RffWr9xVXjLFb1{N75gs$PWH1uaVxxOO!iy%b{79kwfnWHAQ+i
z3~b}G&a9h1TZtXG>MDrkrgyb#ZBB$hMkq;d4fAa}Uu<?qmm@SoCsGmDiFP{<PHYY8
zr$jrMdnCx`K{N>~lhtm`pC9k3d9)^8Whd4IZn~F?-J6vBvpa`TIp?n~Alz>1cF8_2
zY6BxKHCo;@Grg6L`%lKq-_|(iLA>F3_nCjuZO{D)iOcX@!X@Q^He3;pZVQzh9S*w%
z(%ry<qkCMi^Ha8Bmp(+p8vbGITlnbr$Z|wq6crmp5^r9>EG_FN)FEMD89dr{J=)K~
zs=`|vG~HD|Ucwpc*d{L@MA?>?ZuUWzIXM_))gaN^W>YcdIXEy9g~}PXXt_8s5^p4h
z(C6WZ_>{F~(v-eFu=*A2(E^nTK`FPl>^|{0Sm|&~!kYF^$Hzg*RAoOFNBBk&$gd~j
zau-LN_Ho1>BB4l%t3)7Q7SKExLWcHn_zqL%|9PQKG7(14@C#J0cD8krzW3ME8I?$L
zPZ-5$B=bp6KEB_jC6XMvcde!S2`A)<1LUXGSofF0hfKEM+o-wizR}1XvL=TrLyLWy
ziFlynGkF0pN3iAuXHN2<FtEZXatQ5%XT#yE-jDBSm3uti2({EBc?3-owM7w`tj0Ov
zG=dobKnC8T34V(fdUvu5VK`&xa@7Phn#9wVC@y9RZ_SCp`v$${9S@9Nmisq+75vuj
z1y<Jq(NqzXH}?JU{B4Nt#T!4<Jti0l6BKF6?mb(Xk~XI8!JGw_G!pPPmO{@Zcssov
z_Tj-Gh*dq?lC0IRnoeHF@p9_yByu;EOU0;lj^0UR-==kn7;Sx)E$J}8ew@I(ztxEE
zyikXF-eWVeDjs?(V$4aeolW5)hb{0e2eXFQ?w>g3I#j41vqYy2{Jo#Y=Q94*?m`nT
zCCt8QKI-|NPcP=bJ6igid9I8-jw4m>9S_GFwu2_g+$R-Nnpp^?ir_w#${f5T0}?gN
z@d<{0A=|H8|8AlrE9(TTT!w#2W@K*G?~v9BUqxmUi@m(VusoA&V0x#JSj=&2cxb(`
z?|lf(5H8oMkR+{b6@J^AY7ygLUrLv4wOeO5pa}<Eb_k(hM$%i5JEINt+ys-U{zHw|
zGfw{4Hyt}RN6Rbc8mF+W@6}-`LkEF_Sx%&cd76(<Kf38$lJfsz&4EA2pLkv$q{$@b
zx##Nqt549h)7{msCC#s$>~VvauTq~=Ck_6;zxFg+`;ytXwVyf5=MS*DdMPvVs(SHK
zrn`-K2c4`~V}BC|KQ&ecU?Id0ONL~apmDmHF+De$zpW*FVqJCjt1y4Quy3t+a#Rk|
zIJwK+jVsXe_T#_)n%8R*r!&s{QD65AB{eP&f2?a$OO-}^t#)0ztT#J5jpnIo3wJ;G
zc!7m-Ki6SUtv}2(6=2FUAzM7hx`AK;Pe+7ErCM9UHbm-lT4<V*Hm=C+$Wx3vxU6pd
zD3CZe{NCG{!rQ0%@83^B%nQ|+Czb%$z!#|rM@Hi2bOPSiMg{YmdZH^}#(icvllCSm
zJ@jS7wB`L<5cIDrnr!XjR(Cb#8cd<RiS+36L*iTE7XA6DGnRNW7VPxlco80TE_WU{
zv8247Mz@z^w+Ib~L!=XTV_dG%aT*vSBzh&f<L!$nYI}QjayFUN@YQbUmU;J3vD(x5
zsp{>oQiC+xxVWjvgGY{5FsB;@lN0GFPL4Md=|)q((w<xUY&&?3=}M9wLTPE+RTD^^
z&3>tprxXGQEy-1oT#!~i^i5}(6RXHZ#C6-naPkKg%-invu-zMV<9Wh5JZA`lE7~<-
z`9Tm%nmx<XxgL;vmo&E2b(y#WGkE~(mop_QwYJ)6OcacKK?|lGS4qZ8>tVE<1MIbg
zAQNX`yW~R1ap&>mLP6uHN#%2B8lo*q|9hC7rFB*AKgB0uE)CpZl9A^8SXG3&rmbL-
z4Ke{oeIiITJ8T@<s$qBByLnMQBFECcKW<2@_M|L36=AVW&y9@mDG6P^c_m9O!8V$*
z77as)cS&fujYLkyl=@@<ehe6|0maO$QRQ4%DuT#;ylG8)BAMeMLTb8}P(B<*%{Y(L
z67%bl2xgFPH*`GO`b4ta8Jn5-MQdYWO)F$b1Z{hSb&pbl2g%(PzZ$)k%IqG+<!~CC
zW~v5(xQaouH5Wn{;yY|@?1C|AUpzRnoj{^cZ`n>3Dpt&WJTU=g?=IZH_`MxWc8=}!
zmMkWT?}Z%#H;S58OU;$%izhW9IsjtPb!^)*?=`)f?ECc68@a#rxt|Kzg$>-ep6S0J
zT@5-J4*0&n_?U^;f8s$kX6s}inb()|;pv&*5f|4{YRolaOEYOG%8Y6Lt7d|s`PHu8
z<|Xgh-G6?qR26TH2(2C)S`8g8DqYVAo)d<|VmccEX|~ZUe|Y~I%><H24R2pqzSQxx
zEC6`#;E+p#j}m^CtjyfsTjawgJbzO-9Lskf#g$D9#0-Ac-f`18*};$_z=wmH+_an9
z11?DuO#Er;I!>2ISr)ffNn{mU2~1LNu<RCJLr8iAzyVh9e~od^B?>U9MeKW-IG)le
zrXcPCx9!i_Rrpt<#jby1`X8|sIE4tPTu0;Jl+;s41C`>9?`n#ExKo`8`$;YbdZ2~y
z4Xsjo^X^R|jJIh+?;=(%DeM<KKF|k&cS%<-%iaIgEJ|HrGdNvd3HTs<vxG#uUw!7?
zyD>PFhMh!`#NLNdiZf$j-GgO+uI$V^+_ga24+mbns93vbwn`+pId%qO&FSY#KA3pB
zJ^J3e?S6?%%=)tdfl4aheNaaWau(D3*yIym$+=Z58HDOHO=q=uV*E!+nDNEg6V$$s
z61v~MixBn-U;|mF&}Dz;=(T`o$D91p#5(db*s>&kv)`~V0ipM^#C2qw7zj?ZgP<h^
z9FZS1!CC^2WO>PE3Bgx)B5T!x@JSv<^UI7!pJ!fG<v)$}LF6WGNGP!MW>NI5<LtPy
zvsUSgKSUXc^^9;~b6ryswqCmpm-b*nqR}6SPVQ8UaGuQ#O%Hy|=dtOI%{>(hPC1#?
zt^0x5zq@Pj&Go^Y4%YgnkyEkpokS&20lJD0tjn;O-!`b^T4v)Cw+LRoV-f9OR`mun
zfm4=rMD4RJ-}SIeUu?n-hp6P+>wNu9yL>m@h3p%sV<3d>9oxwc?CY9bq|ibWU<&}d
zdUm@f>kW$DKQ%NbiO;vZhB_AQl;O`fE^<%fW>BH5y6;Hp2%`4KXmjGv(?pIN*BQkz
z@F<4K4E_cgua7e`A7j8G`<3CtgnIGTN<;MZg6ht&R;QMeF(oH}b=_pqBMjRsqwByp
zg-7)V+_&xN;xy=8dqyPQ$d2E4YxxZ#+`!ql^u!wm$*tv6(qpJYCwaeLjaJ+fnaOuP
z(x1I5#Ys1+7bI8wa@Ry_t%5g=L&KPu$V!KnFLgadK>23SnJ&6(-p)?;6~5&==(JJB
z@Y&a>cHcXp2`gLqoxcM54n13LNGRk;*^Q>grc8t)lAYp7Io*U!be*oRC(xYS>a+h@
z+N#73L3ux2-Ej{6YEr(P65$aRjDRK%0-#*$ruLrfZ0;WNWdi{`3ve~@E)<p|u@`Z@
zb#9Lq_x@O=8+Lh)R4{L(^<ZWRO+nwPA;Bpg;7g<J`N=5Fd@@Gr+oBSYaqr<N=ls4o
zG~fdH;lG!#4@jCzY;;%z&L6SLJyaySP#inrk#Y6onLi*!`s5xpec)*ku%}be1-`+#
z<C2;p&m~7Hoa1BHs$1}`YNB?Z((J<sB+Qf_&j*f@OMB{88ifa80#JncD``>bmh<@#
zT>-Xw+Ad*r8O6jAPVjE3Y2`5^E0>*CwbzF+J@Hp$-1cS;^vtAT1n(L&%a%JET}KBv
zI0R;Dw-e%1-fI$QSF+Ix732n6?z-f2xMKLYhwx9F-sJF$0o&mpTuwG^`odQ?2H6-U
zbA?HZCe)LUxIlC6Hhx99?_YM1Eq5jXH5LkCB;f$8k5uH23da&_tegLrivuLA9}n+2
zt@a51i52T&6Z}&(P^`3lG@OC9=G^^b;ys*R0%+I0^gO?_F=tUL_tYM~43Z$rjb>GW
zcS@Cp+}|d-0y#hP$4APO0$*mT=>iEbOr(*(e{ossD!op7EmkE^Z?4a#%$(#u`U(xb
zF15Jk1(Y~dO@JJ&RL*)sH-KoUYT`gqHeh5X#(6?b-6WzM!}k!7unfPDQW}(By)CrY
zb`T%JHB9d2kx{vG3m_nWocM$7=OJGeAbu7e9`#EW(A&lyWC!r2eiGo}a&o%4^!%M|
zTB3beg3+|-w+!SvPR~Lr=R#-UHzRANARl<o(xapn@_-C}mqE3Xls>@>dyCsjJpuk?
zXHnoQNdj!c<FeglZ$)O#vMX1pcl7PucJ~(h-FXz8DuBLG-p`TO`{$;|=aGdNP7h+B
zIb44Qqv#8BS-uBasgnsHi>%|124f7UdC^(vHD~1#o}HlkAJzQms45J#f1z^pAHcpX
z04!HQ3kR`J3{iI0zA!S7MxH9ad64?4(OPR_jV?BhFwymg+Kx#9Mwm5=KQ5bp^mrD-
zGsny)U-uzpG(ii60YVKJM4<Ohu71_tD^p7ts$%pb7_(LNG01i<S~LBb)UqyGCTiv~
z{mG=6+bAswewMp>v+^KN(i%Xe*E`{rYY&R9LFu-?NaR5jJm9a}%?{Jt6+b3@3tF`!
z(zjAZX4}^!E;30;2fs;}scRg?4(HBU^|Fg8QVKW=yZpQzB6D^5qyvS{+W}k`TIq$w
zTVo_b*!nl$_>bzHgCHh~Ot#e{Yrc+8Se8RxIY`_?<PklkX7`3VwKiL-#kUEd6EKBt
z9n-3B^;Hh)&XhZyBa3^XJ)fUIo%sKEFj>|hOGW*h6K!@cF>#!^@4HPC2ctaFrZqBW
zF7d8dSQ;AG(ivgP*@B6n&G}Ns<^=`YKOeMw$2B9*XT6iF{t5aBR-z2I<qH{&pzAgK
zzHUgWFtFY??}v@$Me*}PV;QI*S2{bO@}M_hDzf&Q()!c%sR*|ET%6meoQc)wlXr5b
zgYl@nFpC|@1c(Fq89q60_IOW3=hnI5F><%mPI6BsYKm-FjH|Ri9;G7|FUb`*>hG;U
zvm2FewtNwTJS;Q2|7@c!-{29y*&tTm`rn-Vq9-3APJ8HG1GJ}d_S&}=Q}-{LXClPZ
z89w0qOFH7=#LWQ-M6lu4Mx^RR5+z#KU7$xE-xucEpA+aOeQ;cE9lfDt!urt%H*i{X
zDRVvV=CYZL;i|LP-DC!IJl(B7u-p!$Az#n7n@Z=M(~1l63FE(;>}xq74oDKFXl0Bd
zZq7sHY)&ZOzQeuZ#nc!EjM{;69XLLu%MBigzrCYr|0dq;IbL6Pt?}Kzd6(I`fcC5c
z;Zy(CRAdD;mVyB3Wn}X~GoQx<6yXXyJY&R^U=Er3<|xb4g~tXn$xS5k`dnP`V)rrj
z=$FHic*r@nEn(N(C|LPxMY!g@!-|GWB-?|^XErS8j9h+ac~%g*v);mj_49C_GeEoL
zK`EDyT2HGiU9GNA(hZfYSP;))<V~-mpn)8huNRK!OVLCJo1yBxLqx6sm1c6^8I}mg
zZPsKqB-hHSJ_fxxkX3Q?Wt5G?lkY!%34=w3<u5EHewcO6Fcz78JsWUk3<%f6O7vOz
zIdgzSp^`XlT5g~C?v-$ZSpQbjB^Y5}y?yYd_A9Z(MR#<^vc6~tHbh2oSzD1>bWB@)
z?DQ2eJsZA^k=XfusX@d<r`rr%A+wCQPSlPYzh7j&kwkS9`eziC67iCmUN4TcP$$s1
zi6jd+tA+|`bsgsvD2hbwIS{_`**m1ja!RMRfTKiK2mygN(reU&Uw390Z#<#}HD21H
zRQ#9&2ZEFcy(YNv(eJ{YAejosw*pi<{=bI_|LK;P7W%3^-C)&`;9h!`F{Q`}V7{;o
z6{~$cW(r9A$iRUAg<n?IBnz=*g(>*dXgR@;Qm1Pe)oOd@i3eW~Jy;cP25Mel%^FN`
zhWDNSy%z1?I)|zRLMewa87qH(u|X3)hc~m==zN_KHrMGGj;uf^_IXbotGDBzlo4xG
zZY#5U?iEb*-GDcomx|V<JKB}FDG5-QdnSML+88xIG_w5e`e<*vugTzN0kQVY=S~Hn
z+MLT4DtzivB~@;hqVITp^3S^|zu5eHFM3LH?Xav-;O;SN+a3PZ_31KJnI}2#8hB)H
zXTv7oE_G3d^|SrQrUb(;xr7O9>T~0sPKz-N68RqvULha+xEZhMk>Td^JS3_-t8?EF
za0C-)0*LWeF5k_}aET3IO+QL(2!ursrmW%Svy{$ZH$>agG-t>!%ZHF+7jjG(X`TF_
zU`a4;!V`RUGohO7q{?O8P87!5xV{8>ROz-Pern@FTfcyMs19Tat(1u<wt1Z~8~Cx2
z(R*49TGWTmC2`OXaW>pS2}`Is0_40SO3<#o+@{nY=d>{~hW?u5*VEI$_;uTcuOK<I
zs(`h@bcj_?fN8#<SVN5)A_$gz){{gh{+hC0&aZbkZ>jSA2^E$si`SSyIs^xi0>LF(
zkl?E|qMw(1d0v=JIPNwofBBIOdYdM`Dx50)PYeBM*I}*saXGmhOqDi4K^d;K;T{1>
zS|gLlFCO}K^Y!yab~))_mjhv(Y81-lw{6CZzp_;R0}{<4qHN~PL<He0wY;BSgk9R~
z0M3aKP)=bn_s}ZTcjNWlutg*=iDSx^Wtg78K?LgHLT@wDymijsyyZbWy0>v%rIj#T
z{_WhIAUXDm^uV>OjSwkz6GLw@`7ZtP+qWIuA33>i;hXv~j3j4HaSN`da^MUS@Scs-
zqXZR**}d|)DS;k$UGciF+M!{`%8(nELOHH=@lBP}3Jr3WD8_V*vfo))i%W)<=-yP?
zzj3LYtVH*Y1SOoXyzX_vG~zAn_aHA?OT_^?tCgg!@3Z>fE$>6r`wGjEPm$Y;HxZh?
zVKPW)Sep^&xsm98ELm|nejWeMqen$PW9Z}>GJ1Xn8{V^c!8py{owXsu-9eG^yrE|Q
z&D0c#SRI!;Mwwl@wRm$CDzijpuE9_e2<sv{XN$&pMaUuqthF%%mQ1wOkFS^{Ulc_#
zVL8i9Kdxs7;;BAE-39Q4SRt<w4%#OsVI@-DWVru}A<4p5X|t#^+{GT^&h+)oagIk|
znVsSrnP0$xjW_g~lZl6$rCSmEXj}o5tA~T9Ws`?Gjz5n78g#j=WoSeyZ@0irS1Wn*
z^5kQfs^1LREcoM&h%D$k7P8ox7|6AN{?ewtc!hE7HqlZ+&U9}{o~#Ac*h>*68l2-?
zoB<uty$ufL1ia42iuAz;%D>!K9Xd@LGSam-sUSSg7-zL}$vu<T^C9(<v&hY^wTi?0
zHn^BE^-f*yp8$*l(9HApKmFp&;|GV=Q_0pE7(KJ%^CjNncCj<;eog0=7(QO%$v22C
zFX@5J98wXXh{f$~qVfAgf{~h#3&NWgg{Bj?o^mHWb}o`L08ByhxT~MOevo1L;u-xB
z*fs1u)<gU}OxLe0liM+Osj^MXi@>*D16{(J11RlqJS(18<S~9Nbf8f<?RThFBTk9Q
zF7ao7$^-cofoJ8);rkt4%wk^uwUHS9>Xsj9=V;nZt@yKmGS2NA*g?a)zu0GK`T6&?
zMH;UEp&>gQNVI<uEmI4=3U*<Md^oN}$po_7_(iNNGPbxk`3j{`fh#;`XxZ2GJS{w`
z`mXu@Jeal}frMvijqM!I1Dzzu2kq-)m+%g~s4^IVAVO2aYpuR)zf@0-6$2RAGOHXT
ziQKq|-+v}&3?lD2`o!T9u@xltjs7>ltZEILmP4^Pn2L$Ex|LPywIF+R+TWmsa`>}*
zAyq2?F<j!=__dOx)vPk^xvJC?6}MWt<ptV$T9jbov+((8Vfk42abBSn>v?iP?WEQ9
z<BGqN$&Bpo!NG#WJhznRj#k6zPgxpQir}t}D|Tc2!+owBgOq|Wj!q@#dZ5Ss|Hz?P
zrigg_M6#W(t79a3U9{q)ECVhoY%-2XCR+7x2;1&$`jd}3vwURT(r!^sr|>&C^H;p<
zy3vV7yDixOZ@gsg&E{&tx`$u$@PmYT)Ygs~T!c?hIC=oBr8^R9OE36rrg7ea^f;F?
zN_gtnyfzJ;u5$@(ki4DL2)Cmpe50}ag7aogy~OZIAG5wWQ=e4%rJP<ADc;XT9HI9$
z^*^lwR<9u?r>m1j?h0QeHN+#;P5r;7vPQ+FTqR1%yt1-!hl7RX`>IK#BCu?%k8$3v
zQW3ev<1D<BLM{gk7Kd<=jClogJWE=yg+^*V$mblv<szmCbq8Dh&ztDJMMnHv)t#dm
zW>@9EZT0a9nV)*nE>gbIa_bK(mLk+otQ{?TKB=A-)EY)V+t^`3b7ev^)#l%wEAsa&
z9(?gt=e}L<+x?NLUxLRD&{3)J;y>goCYPmju4y^JvM%S$u@538w4GiFEE7rIu_xX+
z(;huU{b3n)dRwvlyF?faG|!Ypx?|(l5ku7?LZDHCXsM{5e0ATPVWJ4<S^0|AoiaN|
zjbt_>M=;`H2HU|#utgg%fqG`8>%FfcMoEsWN&^2wIqUIN&B5t~`?KX#Maa0*j$1a!
z+qK>~0?L3l6aobz%N-1rd~DV1%kw%nfhs*ME*pQ|=|7}fg{;5bD<Y@6(_!RavW0jt
z*`%->XFZI+fK87i;6aNK;f_M={Lv#RKl8+Rs)kpD|I0#_2eP~dbXj08Uear5SzNB*
z!5sdTBCU0*KZ;?9ul7r#8*}@=-NgvC)^S<O;)f~yvtyyVCZa@N`X}F!YUJy+SnLNt
zS>dzAS5|y!xg-B2Q>J2%`gxm>2-Sr6eGun1zqOY!Ui|djlQ4`%yy{9j*M3O4|4+c=
zmWi5(z>#PTVOaIt`&cOYfN#{592T#Vqb>ZfY^3}b(vgwV!j$2(bgK@7cE=v>el0aH
zX61&IF(iFMLs982${A<5vGXkBHe6iC+c{I}8+P_P-A~Hqt4XyRl=S=&(ygts8xvU+
z^h2*@xWohgkaCJ=3cb<uj6+za#Ro=?m&l9^y}PumZo>|NmNm-mOT3jms|_>K9!p6z
z=9CNxM74DT1?1UE&3dQHc&qZN?$##zulRnuogo#me{_qc3CViAp6tO*m`1h+P4u2R
zaR*!pnJv93(I7)vMddLtfRvP&)&!$bp}n8-6=yy(invRwgZG8uz9W}`8{OG%v$vez
zgzk9lP~EYn0-WWpgs`Au$I;eiYd@aKdJg*hAYp23RMYiCD2PqPxqf+}Pf4|JFEbZc
zI_{4Cr>BuaMc0d{?Ptr4;=qUNHiY==@1S>mmzzmo9<b8%ILR|-$X(^>8O)g9fiReb
z{hSgIzwM@MC1bxfFyQ{e5Z*-^6ta0aBSsA~k@B>IP2L50SA45O(qG7OYDY__XyO-}
zO}=GKpVSbCZw;d=vIia#o<EYo&nyHjf<W3&rh7sWs&Zx$+h3lFqn4`@D!K&=lUV@y
z#F-t3opWep&pN5zY8O7uEv@fE)tkR<rc6}2^sd_xnJ$Fd{C2%!#CSu>YuNs~rGvQP
zcWTQmT$13-&&}vkZrJ$?>em?Ws4aLNT<LFmejffhazH9(AEUhDF#q{IZ;MaE6`3su
za>Oi){~Ui6#|N~Lvn%h6XL6TVN3nAV#*rBMWx-ks0${)adD_CN+|iQ%^}bsUt8|#o
zP+)cSocvU`KtCDLX}3aS*VCAC=sm;%7Z{_M9I`mn%W-Oo*#JqO<je5%0XFUj|MJkQ
zN4hCD#nb?qvXWq#tmj4}ddFGouCQ9|#Iya@U)|x?5sgZPrfpiZLmangc}XQa-lZ88
zY~3t6DmHFf53Ui@DbjZ0zR3tS(%~v(-?OAvN8j&A<W4W#CBfxRl5(E8oj0f-!LdP9
zCN#zWaMZ7^MHmKF7ic#RH!Oym30tp}fSol}|0)b6aJ4fQBe={V1Y?f=Q(pDf>)oJ4
zmd}^Q+|qo25zEdC;XBAyDE-Oh1l3as6JX2OwH^=GUi(AL|I&hI<_~T4?)$EFOoP4i
zEWE9{arFX2KOseD>9KJW4l1|y#q{)eMGwp7iri~nxj1;)7%)?AjBgyy*15sDR2;Q^
zcIez|?&3AN3n+h{-ry>rtRBHM*WeR=6--=qUcK;_noS`%IHu_}c~5Fs$sHOo=9bp4
z@bN}QidL>p;qF7F0}k#>8>L}b?0~2`Ne4DjfWTwZS9`Ua;H%b(_hTw?xXbD1J~qK!
zEn4uF;`y0{EHlT8dB&dEjF|%Zv*7%ILsl(uP!q(5ZJ+j>tEVsxLe0aPHXCN~DOAYw
zR^43w=PWYD3z?Aa`FdH|Qcl3G162gwLN~g*>L_!(XS?o+a{+BK8(<-&@2eWTvD7}D
z`3|B&!P!uQ_c`#Pu4wo#T0z;$YM_#dk{a?}3<>8h%GW&i(F!<R)kY9xJ(O2)sOXNP
zh*YvwGXhMa^a;=jiQW4~n0ivGeog`y9v4WRK3-hx9?Gtr+TyRLMlLfaV>aaEhO+(c
zpZi97jAgEso}4B@2|0j`A4g$$lw!9SqUW#nTFF=SAO2K7mq3lcz7j2M0c|)eE0|#O
z6KB=%mwK<3AMV<5&En5Ng=#jjo}>{N@|~SjVpCd6Df9iRlLj+{KVA`5J#}#UNf>QA
zA+n=wSvzf%PYv=s#ogV78?!Q3UB#Uf^EWbgcXC_SfLAyqFLXMbN{jM56%nAKhX&G(
zn01W-icrs!NeRF!gTq1lD8I|T6PFONq~W?QdLT?w11{yMX<Asj##&m`=X%PNhvLN^
zHnvL8mj$LOnL4ttR-S`g9^eai2FClA{9^N(Z{*2>?g5~G>$meaN=m(LxKuNI)Q&{2
z-ZGd4rxBP~e6=c^@o|zukT7EpW}ku^0yGaQx){`l7`YIso|M06|KW;yN=#0U5|!ou
zzOM4I4B~dL=kx5own#JMg}3izsi4znAW?QttMd7%#m&k#4XCjHpccen!!uhytN@=Q
z1GIGI56-WYp3a(ZIm>ej%ZaTv*gZHkw((66*dMg<ox4W2!do?Hy|Ow+`6Gb$j9jEu
znPpg<KR0u@$w|WAsZjv<FV5ux0ZeVBBo7HarRAb`(OBvAca-?Gv@@|VkZ`f+Pwwd`
zpQXzMMHzmtp1CI1lmVBRe738zlhR2Qs5U3ZlsMNqMwmZB23ys@uU$PeTgr)i!69VM
zNPs1ZhTaLF>xI%X3o|3`%&rITt7L7G=S-R}wAu*R#pm(|Jc9ps5q0m0&oIS~tJ8`4
zx~r2<`mXCb!wSyCNA7mDvE2L28pHCN&zeMLu68|nF!|G8c$ZkJa<3#%yFt>UggjOM
ziRt3dy;nz32WaaE2OEvo8d>x9IOO4P%a`+dZsjdf@sM#+0;wN`Mo_vec?Mi$GGi9T
z<6Cm~cJ-5&i6X5I37bJ(r>T}J6#6ttRp)7bmVrjR9twgB)+^cP5O_yb+seDWui}7S
zJ>^*bOHTubvLhX)$;%QgSS~G)8a=I9xW1&8JdkSVE8keun6C_fuzP@6o|B@53zRyd
zZMKO#!i{S1#0AL>JcZh=8nM8-1(>NGx%Apgjtu!yjxM_tjvjgL8erQCo#wmey_V-_
zMqe(^Y5d#e=;v~zF5kz7HqAW#-Y%hyNPx+m<aoNktP+BPvk}(;Gb?#W#mYh^1BaAu
z#CTz&m<Be(62EO7kcP9kQas&hc<ws%WU1~P%%@xZR#xM9+x?{Hz~TI}F40i4df>3s
zRGjVAeHRqsyhvdkxyXfUbR)hM`FA!f$G=5!{M|5L5jB0ehqN?6x@4UBo;&Z72ltp$
zj4}m}ZKsTWs3|7=cc}l&>+P;<ac9No0{zfoG^Jh+<g1mD-A)tu+qF?GKPnAh-6nPy
z#}qIV%w?-e;~-!v#O*fK)sEj$cvn+L<IBIqpTE`VP(PXVovrD~n!go-v?4#d!2jrK
zQpo#H>niMUSj-K%Olh|3&uOXUn;(X!#*s-&lZlX+%M(+}5U4(xW9z$T&gv5S_SA6c
z*l%Fy&!5Aw-DAW__x$uGr_oYvfm?pr&M=6=*cq17M5AD13!Cq*S#b(qd_DE9RjQ}W
z2AId)RY}#Unh<EZTrmHG+yFmU-q!q`vz`Pn>0WI3Tc*5dxc9Za_iB$z_ueiE;0AkY
zxxapNo<8K-zy8Ff8^1V^|MNK%#bAXyl`@<PGxYD_wyd<2*G>LQ4C%cxUiuNyJfCVx
zpnHCT&1?V2D~zBA<=-{zU#g)o2ZjvMTviQU?CG0t<I8jW73~|gRf}26H+CK>CpdQd
zOmfX3Ti1RcsGr#?KWr7`D1VafNXj>&#`3Zt`Z8z(x{B+)h<JLlnStX)sMJu?PRz6@
zRx3|Q=>`07Dq+#0Q4!uto<1lWw$Ll51fP}o1d~%NlQs9VWYAM*@8ae1%;oiU!r2p5
zg1JPL8!J~uE7aLj^#MM6{nmj*<>wo73~pw@6!EPRZg$Syr#g0~y6_vsvy*WyUAqa*
zC>~Apb`mDlIf$UK(chR)5_c8*dBbS%hDRM1c5mS8`a7%gG4cIQb-imjmT49o#wd~5
ztHeP>K^9N%A9Xx~ID4Q0L`LGx3>4BIRB}XF6#{DCqO4{I1+93cOVMv&)}1hM9IZR_
zvUY;MY9A`8Aj)|sr_o;0EW|hudHost?L8&G8t7xkJB@3qe6!(1-WO^IM?^pUEUbJ=
zubqyPIx=Q@y1rB}L=e<tt^P^&)Fz(&qUzpn&#EfQRP-lL17$~`bP&m|KA2s&thL|f
zh52fvNo&fEDD})^^c`4tL6W7?2mq18k{z-7f5tF(*FyQMa8-2cM`pp<tDPWWC)K(3
zWNi3zc4CCRGzfZUlk@yI^KigvQjSTB)>vWzvpY|#S&`#_8y{h8)4mg2V=xD_5q$}I
zPszBo?Wk2%#wC2}rHKl!l}~lNy=?h(%O;S0q$T3c&Q}xa7%1-R9h;qjq6m(h15XBX
zQWOd6!=zIxRWwRz4YTPpL#;*J?T#g{t67$q-QUi(o4^o9Xs9@f&F(aEQ58PPJN{=*
zoNmX;e3W|1+dw`+=)b@LHirpuUz5Y-+*156OC>n;{e%Cd@>jg#OJx6Q!`d@at%ny-
zI1g3$C0eQMRG+MZRY%WHmco88k&-l972Vpk^2`tT!)9{q%2k*!iaA719rqHuqLSRJ
z=Sfu){PeCm{bgwsbxm+cqp8Wb{Yc9e$Iiuih06`w>@)IL8azv4^Dc5P_xGUp$>*cC
zRf$4wdF>V)s=WQ|;^g{SI3xt9JW3ra+^D<8k}abD<ACTm3L&c!I;jB?M_c<YFX2s<
zFK+?a2hGi*7c(E7!r1^>82FogD?P<%IgjKXCQ4MUy^*WljBavQh+nd;VzR0Ijn*q(
zZNa)LBn{-KfGL+;ol6zdm%3r=XJnR&B!H#EWuf=l+S7Y|Mb@#)aVa7}f1w7Un(w*W
z;7`H4Jy!FKf@0M$uiUWU7oKE0rGhv_ZfB0EiI7Fw)RUF?jhs*FbjzBG-wk3EFNhUZ
zb3JrNVE7E|LgSVH3uxpc&tb_!$ZI-#9&H5+3`VAZ@4RkgQZFKIAqHZkcHQbej^0b*
zyY;4%ekh<M1PTtn5rkFdrr;!vUTmF=x!QFle1eL;*R5&EZxW3QrKJyZ*||k4zhAg@
z@j8dcH}QLPWo;Xm&nFeJKi2tf8P4xfUk!$|VXqxujK5DrUIOwhuBR>0@+%A`DVNu~
zwYFF{F7H3ubFSD>#O^9}xup>oUHb^lk***AevW?mwxtgH>da)3KsvF~i#5owP{)#O
zS8>PZ*J5$8sa)xlc$$!h@Cct|Js=kyEx7fi8Z~|t<}cU0*;w9Eo{h+Efmtc-MnJ0+
zND#y-e_NoFsQ!}yA_Q^JL?AFKKm5*{fy43VkatQFV2lPWOO`K9Js&S`b21nyarKT;
zBf<>s^%(Zc>zpOtz)?_6%DFkb?)QPJNb0w#y?HT@L7AEd^5Oys<j3M-w+AsqamArk
z+9f1l$~hqkAm`HFt5tr>LNovx-RpdMU+5wn=hG#JehFskK=18W&`i<s>wPUpo-Hp$
zDs>XL@G7v%nqv&7hsgrqHPCaAd#UDTt=;kA5sELoK5e3u6C_YfQu-xgeS-^z1F@GX
z{ZAqrscQf{k*NpcHlSnz#dHmpS2?7ryclM`e$AnO7V5kqCYWAi`DBcrP}^eWdEXT<
z3M>Eq+4kg`COCPJ!Eu7GOV#WN+<WsLxq|%{BU=xa5}cB4n}P@A4=lOh_#GkIEl7T}
ziv%QU{?nTIYOGUJ`xwEBJr9DTi%r?_PBE5QQ-ikCw<o*$kz+F%&@NQZnrk-RvUQ)b
zi(rF<N`RO<K0l0qHz0~O(L!XsDF~)Bk>5Im%dYV7$I?tbR=j2{lB|YYb8I8M!wb!v
zE9S~k<GHs|k+?q(W;Di&CrQN@*k9T0yd$28^B6qdxvf)b@=btQ1$q%k3i)Z*uO?~h
zS_U3Dm!p+em6|CifZ7WE{nO#eapS+}cM=(ai3LRpzlY{RbpDrMY1cc>tvWxTZ`K-o
zR-(EJ7Q0fKHnEku@@Dr?;_cL44A#|o)Vw-bBBN*UiN2EgsqeYw$6VoNb<fX@xs`MA
zw%6BN)Y&~ldE-Y6T9HpW=7h?Kn8HjILFGRMi?>s3%6fCN*h?2$?Rm4`E7g_o#=BL|
zN=LCZQG-~*7Y&P}VRu65zG&o;3H#02=p{3&F`(!BHejpRO?YdUGWfavo7cT~#lun^
zFmPdEBSFG*u&)_!rIwF*h|65+!6jh1RqIZQ_|2Xo`iqFr`FuqEay(Pav|=xL>Kl-m
zLTqec4ab(>usqaQBMHb3ZrX$I)R0g^hJ(-geC8Q;e_f-LBITQCa0&3gK+mUuwtk7E
zKvR2DOMq8HZ-9?Y%DEzAZSWklX}x-&Lt*gs$taDl((337ATDQj5A?6Wx(AjhhmTH_
z79bLd<ijl!{N@CFn(~t-;olRobGSw`sE{?QMe@h`jzo}1*s6PquHA=W*I?xGKFYJ@
zVTxhi{PdM3zQXmS>1Dchyv{0Jd(BQMVU8-#fN*u7;<d-OY9AKRrrgc^r-Oc7hR?2w
z<J2R?WZcN=vdQe@yP9{@kQ=6LYIk01gX?E4pp>M~>eL#vy~TBH;Vqiw?@?<-{ivL3
zevefd-dzT@KT*LZP|fMsR+X#e^!reMbn3H~70e6FB-;<iO6%yzrM3~cs_<Su2CTPe
zo=8`eH-uz1vMKLn0Mb)-{9GIhlmTF~eAC0yNbg%vfD9mnbd2goh}4A4o=l(4%&d9r
z?{4*8(?~*u91`cZJ^CbkDQ4o<{qfCT43(?&^s0XV;4jS@wfamn=xe21f<M+-Agg@p
z#*+YGggiiR_L@~}HIzX(o6K^Hf>!F`SmpasH^p*&wWuHWrLLO(C%R_!_4dsJU#O$t
zViEtQ|Ds$*mFfGDrAzq}D{Yw9$5JbA+<Yf_4rb*$h90T+m85Y{OWY$XAr(IqMo$1#
z?LwDCG0ywDL}1#P4&WP1mOZB+`BMrS2f%Arcl2(_&2gQ1!B<<m@mOErN`T84WQ#X$
zvS}n0n{v95^euJjgY<h@7M)6;Z)QE~snTTgWcP(R)7+?gqI(~t^wt;+X(=I5eKatK
zA3tsr8JJ<^<oUi`CFZ0vbh5n^)oV5M5G(lt!mn9%u#(f-ZOZojHWJ7FkZ}VXHM;!g
z<II~h+uX!s?4aSzFb8L#IU}fgfAi|3LlHa7BQ{@4Tl8caV+Y4=?N?%Zp;jHx$83+(
zv7<N8I81PB0JNulbJe$et0P)dvq^of?J0Hr{)2+5(p94QLA>X7oddgPLKJ$eot<!M
zb~^8oIy?%ZLiSy{$U$@Ci&Du&)w2!mefyChho8D`UAp<B{cnqg62&a8qPrRhLvgQV
zoIART4T?!&-6D-@CVOAA>iHm;^~@=FH?=1QImti>)T%&`*HdpiuV<`SJJgB_9}2)?
z^;#1577Y8>Wt}eH2O>Jj;b*&BgvUZ_A50JS$c>z@hjxh}L~?GOQ<`Jf>GJCBH&l<<
zM*$X@14P`_UB4oi$(#?HH1{&Wo=8b6zs^A^@9x9ap5Hf-kc01sS8v&i^$?1tnU}++
z1&oGkU4_R-@j;l<>T3c3<a7qBREPn~V6WYprw|QPeuLrBSbpD@<gUTnu~3gCFv8&)
z=}wEDUJw-fo|Wct4s4riR3#tgNT72E#nU46R04a&J`3jj8xn${qHk;T(%l1<2tlI^
zybI`)x;wlj?bEuBE!D{^C$`WIM_GEyA`TbY82aX`D2rm+v!zS?bgZJ_86sz#T98FO
z_kn_*DCanQSS7!GriK~<ioQK59)F^z@!z<<u4v`k;ZBa7qObZYu6G=!93yZaqZ;o$
z@$_7pVy+a*Cf^kx*j2>(SG_S6^^q(FGF@<<QvWro!3_U4JLUgS&r5f;t5n4iAi3r7
z0-Z2xqoY(MAP58C#*0jn5<5ojlU(DXXgdnG%V^X#bLAwN$}1ZocG1Z6O`VL=&3?Qh
zN_N#BF5fqh#haZKJMtCtEwz@ulb5UTiHl;LSEn;O*Qpsco$9I#v<BZ(`#mGd(eg{#
z$LwB<YsDfXB3G2otqXJMV=3N><x;b&ci&O4y6%fQ&s<UeZ{P<*T|->9LgmBmJ|w1C
zrl7o}Xe(P`*_KnhXL7A{K`_K6!d|b+%%vMh*gK_Y+9`HRONx$e4;;SgP6QA#I7SUp
zBZL2(E<9BZ2i6f_wy4)L*43QJXILF5AASWqLZnQ{qoZ#71g4`BRl=(bU$3)oZL!W>
zyN7iNw>Gjz*w<@x4<YpqHp-Y7%H+QvTZ`QrW+p^ZDA{O<vm*A8P3^{DSeiTWt~Jd@
z&s>HdWE*-&&tz~~1QC2+4Zv&}b9oQ{*Ao3*IR1h>VGy8~*CiRVFi)=JxaF!m{ZL)|
z(W+0a+@*+#i{FxXauUybN@CL&>+kjA?<21*6Lw#?R^#S0(MeLb+}H$9jjvo|!?`hX
zX0vu)e^$>c4p`9U_K_W{9|k<gP34Do_cS8dUQa+Zxa*OblMI(p&!GM1O6DINRydzn
zhP>Ap@BBoL+l=qi4zRU8>a+UTM6Fa_X~*Wb<)XthODDBH%)%x?{{8WqRW_vDuNtyf
z?K-GHat>gFuK79jlMh(A-!TQ^N1(>9K)5bDW4R*jX@x%keZFbXgyZh1eDRDFVeIH$
zww)Z?O%E-4V3FD()-2{XM<?Al8V@2=iG8z(25#e)$NT~&Zu|^zxiNk)I{a1C$sy8s
z<F#Y>rzph1t=1P6=~Z>F&7Q-fR3|5?$p5lyOx1xHy0)|`E#M%YJWOo?S->5Yz}lYG
z?bYajzi6(GP?flTMtiV6XsUF7{ZL=0bw!CyNeSroxm|{s1!@+QQxaq6xT?7{{jcZ#
z9=@n>Vngs%{q2>O4=+-s<2ElK*A|aYi+?ze{1Ujq83xwnE?y0{AahR~0bxFgF{f~I
z+>}6*!-&DpUOTSFc4fQWt1WR;z?fZ)?L_r!HN7_Q6{)oJS;{lv^PPGrCIvhoKimdH
zB$@@m@k!)53<S^=L4JvkTFtwz?q~IP{&>Q-6q$P=7VD^JUZ`m&v#XvAyqATuDU3$m
zNE3vVIW&S<M@!t=BP-wPFnFH0dkDXKV6?6Pk05z~GJ@Wq1A#=mIZo%>jabE5u2B1K
zf2g#?SbrqP1jP&XROZX$FmqeOzW!N==0soB-}cubna4v^A~~uP7bi1j{AM~)FsDxc
zgq)9dnLgwOi#5*7^bO<a^a8Sq4Ar@QZ>6=E$fWk}TX&o;?`{P8u%nIUecub0zesHS
z8-zt5om6HH^pSpGdL<2ZEPw3|w1hEw9~AE7Z8IO#VB?6M49%+HS^3)*^=9j)Qb&N}
z=&bK6=Bg8}Dl)n)8(X=I^MP`&*(z%(#zD)`Su2(BpL&wF0jh<=GsqRMX{+<R=ZK+g
z!Hey*Z>uLswojsOMt?>ls4u}me1I<IvnOv>HF3`j4RP6IjD2l7o08L02cTc=H`TL=
zrX11j?usU#zX)nRE}CKN@H9$}IriLP1(aLq`C*g1`2ox84KBzsl*Ng;i=H*JK?{XK
z=TdYz%3|-)={|a%x$M6Y7gE|4`m0^~-aiYKK0LKJlo*MFn}IlckXO#;A5Kbo%$=9K
z#fO7$7;N!R$|*jl2lVc+jk79$J*_DpD}0l~U4|!R*1qdLqXG<XOr3;z%XU^ck*LKH
z@XEqLkrg<Z%Clm3TsP46>oQMF%oVx>a;z5LyzR?F>tTf*NwtyU{H8e1kldR|BSDE<
zMIYV|9DOz`!EAkWOO9{A;8a-#uk;_GI=H{FpSic%TvFE-J>74dveSmYYMU%d1dfop
z`^Gq1Miv^*{F`dVxX*#pA*f9c$zrA8qwj3wRYqx2Qq7!cJ9z7o5a?TV-0>V<2X2^+
zR|1c?!20wWRl+Bi=wqzDe!E0YbkAPFbhA~UW=KZz9pRhDSltymVmjk!b&k%Nr=AN)
zm(yaoFVj`c19NdXXQhB^H^xhL#5Jw@V#1)KoVN19=U(D_E$TZxbNyD2q0Lc7iLevw
zmLkf8XZI|Tz5J33a>wQ&SxEMAas>X1o~?@{PI5pj#pILo^=|8KG|Utp-?S|<d)b%1
zh<RjaBt1V}?c^gO;~%*3-0oYfNgBNqmD;)_b|_T#VCqqoZMJX@Ex0iFvpsL#qt!nU
z2%3q`O?Oeuy+Nh6@7NN}8!w+O3KQ`c^V8jU`+vB451^*D_xt-^8!8H@6zM1e(v{v(
zP*AG$-jUurgmOg`1pz6c69f#X^co-(0RibvX(99$={0oTeS+WLyz`&A!zHMM2|4@h
zy`Hr`>rS(u=W2FZd!6Ze9OXFQphEoSer}#6@ZFVM$eC05rWPJQaMMZ&7&qydjXPRr
zOLOzFu$p62OdUYufg@(KbzX7*yw9Q6Oyb!6NU+U4r{*&R2mR9yb$dJ<%C0J+;J!h@
ztG5{!PbV^nxc5P*7K^yoDJ}v)i~UoYqd4i|m#FeFBbN!RsH(Tv*gWnieLunxK?$8S
z+BH{5>@8?RVWXb!AGr{UWQI8lEDsks@nvt@plB&T7FDcmo2@dMY&}gcfhALElms1=
z6(zdu_3d7DVHH@gg$|gf9s(AimoNKrL}I4I3%7$3`zujX%WGc&{S4HM!M(>i%-ctQ
z%h&Zjmd(AXVt?$U{1bAEG%a!q<j_qm#4!tGp}<jdxjQ9S!-lFcPyaa>4~vA5bUWBd
z&NWU*uW9<(`pueS{8f1>bBFz%H>(}%<gZ(g&cl2QIYJYpoh}wm!FKW|wV-W@M-O*J
znE;<(Kovdq5@8DhoA;*Bfp67nkM!lAxy!<+YW(6OoeC_{Fz}WZM1SgLNT>#)m#_jU
zxVPT|t8Mk>Sd=K{!UX=f)oq8wB(Td+vVPVOGfd3sdt8ye!}u*rJI&<6kSgk;tY#1Q
z&B}H=bQJgP;7BL6fA0kU(MZoCdmdUJHgxgA!tEP$ukKTeVQF@)*i=y7Yy{4i(m~QQ
z<{h-pGv4$z0d)YUQK>gL&f>mpC25-TDU9C_2xJROLZM%O_6zvD{D`f-jvhi8pj^2V
z_?i8PP(mn6meD^%FlUan^>jD@as$2Zg??ZgznA$9?iG9G?lU=JI$O1%Ol$BP(%E$Z
z%=Ec|tV}%E$u+noEi&?v?SA(nw@9dbkGSJw^*UDTx*WlvpY4xJSj2TjpH=tPzQ2fk
z$-G<S_K}Iv?CEx(=)37_4*i0m8soE8T2%rOO(dA%I5ueIdbugKYFSvhHr)?+Ccrwc
zzmQ3H@7VG)MPJUD<&L7>cd|L|2vr?_D39SO9W$~00W5GzZK(0aU2N&|6y0<sB(!`r
ziBfBcWj`T`i)6=vin2I1>}{5iJTxtBz{A|jAu{}ASGykF7dW5Md+q-ofID)yf^MVp
z9crUxVr3l{>AOcSdF}BCqnfc6kN%SE9kaIi>J|)nrZ2C(z?Aw^+JR!I-ggQyr8?l1
z36qPc*0;9;V(~?&z4>y;L6U@X{8})iab2?rWyY)O?DBe9T+9Jsapd0dx0%xJT-~_<
z4|x*<)mG`eGA%?uh}ic^!YH0D`$h>?v}+`Tz`CZ}iG3RzwM9dQrDL$HVvu<MIW1$y
z-b-F8PS_Fsu+OETL&ZSaflek*eA7R^^Ybi=6xT!lG2kO(hcq8uvARuzj@qWJ)5;b~
zu3*A@AxD^`IHK8SJ2~feEr{HMZS~R8<;Q7~VFBLMsLh^B;rmbiO=R5CTN_4ho1!uK
zPm%rCo&aP|4!WD22PqsltgqPu#!Ue6(BsxDB4M_1^-W_^!R^pK<EwAhvtmwb>?LQj
zj=eFDTGIr#9Bh*#^_>(49zj{ct7_dtm#0k*M*0PGee1cEp=V!6EI>8JXE(Hu3-|YD
zK$3Qm$L@e$g@7^<FADi}nr<LigMDJcVl{m*O*8W0%Ed;@QB!+?Xh(FvLh(!&2}<tP
zh;Mm&kWkBPc7O1}wUCBx=~4R&RUmRR`H?+h%$N536!Fk#OD51w!SdFCh-7^Az<Az;
zRXEt)2WX3PONifaQReNp@(++1^onnc0<lRN2<VvfdMZO8TVk#O+$-LOZhq%)qK$NJ
z*FpqwAw{fd3mpdPJ<$y%U5ktLdB>0Xy%2EK`(2A5ya-j*Y(BC<f_sK^NVnJUuieF?
z%`4FOu;|GH`*=rr9HyA(=t$LVs>)h32kqI{PK9R3(k;5jyE>9+A$DLc%o)eNX4Glf
z|0y$EyNA&sO2xH%00cGsGtjbE@Yf0%9Bn^Oe;5bL(N6mXd~Klz{>c<5FOr1V3CkUr
zybuoBfPm&|8x}2ly?lHBExmF;ont2BQ0XwDACX<HL%$3@Tz&g6t?Rb=(*ANxSKgJ%
z>C{cIJU8BA)n$1ym^;En482s~sEG^V(7tk`b44c)`g1S^4-9f&3D~(Bfs;MSZ(YeY
zwU%d&&`P$@2Rp<!E$c5BfW{3@iJ=zNI}g{~+ZCN1cX@T*w1uSv&Bjr2kD@F2%AM?s
z)SH+iSBsFgHG5AKEoS@@M*9V-b`=bg=f!JxINtpHHg0;c8ke@llR?%-l@9u6w^z^=
z?P24h_1{<szqIZ<22BC1LtE|>{_tF`V<i>enK6JY<p2rQy`-6ibuwyG+#+SGsv;hP
zXL91op7`*`Fe9RQZwWrQ!gpAgYm`KgGj#R;ipPX-+<;sUc#{PE^F`!*hEB|!N#uY*
zv6U?(gI9mvWbo)&@9D`K)HpN$RJlewa<%YRlnalYx#l~@HYnrYAqwoNZ2KQ#c6j37
zdR%0K)#wzrE09Qb^OdYO(uZql^W%GYLhf(LZYuFejn|3AhA+=i+4o8Ub@1JV93_qP
zqBO8)b2@if1s|8)=F+pS8QLV7<!oG%b_){5HP}xhP=+N!3%YWY70bhK`^24bE>E1B
zaZc%u75uRmgT|FF=AfUC+=UChB!#^Z!FHAJ%O3mi5VT{;9VN&14x%nx!{V9enQ}#{
zm#2RgJ4(8c_}9G}RP&9t)nogh7~3wD4Z7iMarw;QWA%?Mt$oAz@j$rffbnvU3_aPn
zsbO3+hbv#SeSXZpbVj(6&7NI&B{atpK=SM?O@*^{_AFuN_1{+EJRv?yTus}CulP$G
zx(|d2MqM!ov=kRMyN-hY7}d=9yWh+%Zfp|JwgC$|Kz?e$5;{4_@o>Nt$`_AHxEf+M
z@iVzKBx=mmHt+u}@V>uYBGx66dSsFD40LTW62oyjAwE$f@h~VP1kKz;=FSWXga=F>
zmA1vIj3{r|0@v~lP#4wx5Vcz8!>(+NH*rqx9v|%)-G&-HLp8dSiIoQW%vudn82*kY
zS-Fd8;4V`bZL6;$SXr0L^gJ-<^Te0-A9cn~l?iFn(%_AMCrg1kURiK16!_ie5oJLy
zjg=+90mMX29oiHZ!enh~MMyHT<aLsI`-*fFP&uk>$4-5m4tuZYzq5CL<P`~d7wMNN
z8SqPWm?CH1GT`0Ebb;fXFRLSVNbW`o`R(?L^4nLf4ITS9PhpK(fV{&$OKhxh)Y7%p
zL4pZcXli?p8m9dN&OE@`@;Sl586(=T9MDnRzQILbvzM}>hSWO51lT}=*;FFUcIArN
z(^F$hJf!N}WVm0|$#&DD#}h{S-f9rbrOH^_Z>;(ZZ)3muw*XywdT$Ex+`W|)Ok1Gp
zxJb4Mr!K{#MWl#CQ<QOo`_o$`F53^02AXo#48KyVtOo-k1bNQ>s!Ei;`70*FKpFnC
zBk^UU`P}aw=`@VHmMtIacC-rbLPaKRNfmnZ%CN!qqquAsm<V_4Guk$r?Ef6oH$AIa
zwN5|L-HcOpwb57IXY!b?rDWu;{kCo8DYgBN{fCbgCv8{7niT1};V=Uz{nnxN?XB7Q
z<LdsX*5z&i*4m6xim?0LW2!Fmf$7yZ&#$&%y^Ud)f}gou2-aOso?hm;j`m1m>D=$5
zGHD!Bb)63cJp-;Ob^*4}so0}(U#wD*cIHQN;ye?$gG*09a)LYueLdGMA@lf8GH{@&
zxIXFmG%sbKZrhL>fvNyc12!@9S-fLr;zxR3I3Oeu&SwDY5}|E$3riOvfV@Jmi@k}c
zD_;RPve)7CfyMt_qQjpKvl$%P6#^=H+Ym-AtN-V-IIzBgjt<*PclQl0-OYdmJcVvX
zuG%KX&il1Z>9u>WkH2ikI*qF_m;VXWJlTt`puH_wp0)E^$=LoS`W3E?e)*h5<w|S3
znaxFx{!B|59LKPPv@eyuSW3z0{PSjD{=XAza*;M*<(qyn;@3Cr?%1KYf?(xu;v+@a
zDV>II4n-a%r;qG!Bq{Gzn`;%>!dG_9w<C49iYV#8KKBNLiYP{mCO%SI6!g&Bs)#l=
z-4^gxHd2(UIT`vosU&xyHA=s5C88>S0kL07rN2tO@}S*K6-ls@EIw}~&Df%W+6bWw
zPbSE)ORPPwT%H*~I8rT~u!`NRWebS5nq6+6_o7P@wQusrOk70HMNgC69WlGL6f`#^
z$Dz{YTOK`vhy`TMTBAen@gqve9&M@6Q`biFX<ALX+=@vZ98}hmH|-6#5q@vW1FjdM
zM-WZgso^icopZ8LXqV1wx7~Q|-8fLg4OmB6=8NXOm|G~?0F3bW{)ULix5(0pNFqY&
zok9N~{@)u|e%;-|w6Pq>ufHXKybzo@pRx5AsTlg2Ro*}}P_svJ?41j(unSPz!H1A{
z2n?F-%{zUnLcnLeL%zV!b#+LM+S!LFe~pttiYiqj5-4P2SoF-PL1`(3N5j2v(f9i;
zWQF6ZIgz@QsR?<w@3YYLRg2g;l)ywIX#lG;SE}f)E3yjvH%J5p>(2gz8@@cR+;(BX
zWivVEViQ9NBV3bl=A|W~*B34~kMPZTUeW#{`PDO@+mdgrm~IAww-hxXFUvSfjvIU9
zEgszyc@uy8A4La|i0u%PNLm}^hT!p@Q4-YI{B3ORvi;Tga(2IV=odyL6UwP=u!i^v
z%LRDQ(n&J03DX%V)x~8bB0^M^g_ti_lrZnZ&iJ;f;(ah11Ck+xi#t*W#Wm9R)YWcO
zTr;BOSH&V_+H_`0$TQ`_nvaZWI5E$=3s*6b_Y3l`bcaZ~iM$a(Zo{K>T}&=t3NG#n
z8)`Qgv!>d2Z%6`T@Q?LtNzLs6>SD34m?%=I-%nn?@I1W9apGZJ(A?Iw3t`M;pn{;l
z`wg;F-VxNq?mFg=i%bNGFHxkFyNcY}RRL`SDiS~1r;b-5b=PkyC=LubAe0!Lb<(#8
z-HspG)1`jKQF;fIGXjU;!gDYfb5SzV(69Tl+4a8?fL}|#FkX&Px8@8A{kbPO#^$gm
z4Og0*!?&M6ag{dk*``$0M-}m}G0LLeswLXpVc@b!MO3($MH?ywE&^ei0?J@2ENv!g
zp)Q;^uI>Y5AaE!G9OPf+S?*6IxKGWU)ZSEg3<#-)=B5{M{Mt*{7gA+Aq|?|8Qyu)g
zO_9EiEM0+TEH=I0Z6}zqUnB=Lvl(k0gsHw52%w6aH`%xn>0M&hFbO`vh=6c-oh30R
zz9&9iD^l*rm??5x*P_bXZ;6|`^36AabH$rXWI0=O(u7YqBOc{R-0ptfRLK#0he~~g
z<n{FJZV*#>+C%rk($aZomyb_%as5bikpAJRc*%(D*;Z+PT4E_O(ZT(77sFpG_4_D{
zmnu{18(jqsl?)Ij-1n+=h~oGn+=R*6JZC0WnB2wixL!3ZEj+dYHNq^xjhZ>!D?Q-d
z*pu4d$7qq?wbM9ewi4MVI$kc{-OF6dGv`k2|B)QSPEZo7D*g=a+-IDuBVHQu2aS7?
zIBu{tl#EqPy(YqVT};U5{VH|+>fXcb0fxwQ1wE8$X{W_#sfU*r3uj#zum7-<Cr6jW
zV;@u7xf~dY_YvTQ5jKZg(QFC+cr{nu(I9-EbotfKq@i<H00)?62>Z^_G{kC^6Ua$6
ztim$<RX38`B6^jafPtya3rQ?KjTvHJ{*NWb)C3*KFyUisn=wGYpr7%i=BUhw9rA?x
z-o6|HmOa2NNq+SRY#Pd%*q=5hl0Pv8vuWkS@bw*rSS}>aryDj8!coJcuL%oeGGcD;
z?3D2p%)xqXdGSYV6^6${>*Tys41w-lF6(lL?Ak{kSJo%H<K+r|=Jz3{6USs5zE&yI
z!p9nc^@8k#5+HvDs2oUQ90Qj~myNOr9ys>Eq5;;tnKquUfxK+q&A{{~&-89hw1H;j
z<!RN_72*rvAaP#>e^~am%0$dR-JDoM-FDyl_0#sce%9HF%c`u`xcZ+pB*}p@F0SbT
zi%^H~wyBc9?CgKkY63IYINdc;W6_fz5OBLuD_<|y@*A4^cOj+&T<F9V!$m5DnfB61
zo&-)O;FoBT$4Dezvw6`FnUzpj5xe;Lc*rYP-S%446R>3QdJ4RiFDrz6HOYM%J|Yq%
z_T}QCt@^a~!3nnq)SAva!YNc7^!(ryc!ajZ^|DP*jILso2Uv)&fczV4zN1AKm5i&&
z<-C&qjYEM<<V;;;OA8po1FMinxoiC4{F=$&?=u_;U~L4M6=T)s=rL0|slXdd5~Zxo
z;M97z^%&+eLUk?kAEj??KV0K)AcIVtsiO&}_<o|QoC@m8p}a*O?vo|QQ-Xa}jVeh9
z-m5gMc=F_padRS@$H~B5m>ZL{zn+DP=-*3&3furgTg3vqW6%0X8#*}^rBs2(TsEEU
zHOsVk{hEs6J);Wo%-LB6XSeF#^B1|tWO0dOV8+c1s5vKP$+fC<wL1llW)M1*NXB~R
zF2-qNt%aS?nurtE(OpeCF8P{c%j?%7Jye?2vV0`H^5x-i!<3<_@ho&arwo~z%NbU)
zC@~=xx6`Bp2v7j>=Z>Uln&PdPO;m@z@ZqavvG*^Z)A+&Q7vO;*0NvSZ{f?v=VBq8c
zTet0FP%l>3>PRUG;g~|>S6847BPX<BB)kx!IPt<SJR5v>n~%T0VJsg<hamYf%U%-a
zivDC<wW0XiJaqPgKp!)D^<-fJ?Guek7a$hmB8qga@ge*=Zl(MRob<_d*icz9_WR^c
z3+3fFXafuCB=OeFc4G9d)fa)i7@WixH|tDq)CJ`|EnM$Z8&BK}Q4v=z`<UW5+AdSj
z|Ep~M#Q-+4=p*fkK<C+G@DF%oVn^gDUQNCss(pGtsv@nil-<$c#y5d(KEQO~kRX#O
zymL2#qeJWGWadjRHbYyb0tIkcL_B&ipZn1z=kq{pg<9NiTK&k<E4(z9>hp?iZcF3g
zMz6yHJw)QjtVlv{wjb_3^V@k`p+?Rn;v?Vj;6lz#Z~`n{Ey=`8;!tAzG?#*zX*i5E
z<Z2F0qTGX~6eJjH_9W(G!uV;xm6fj(uN@8d37i6a`(JX-`DL*$3508J(r)jg%UtbE
zhUzDjs|6C<Wuv9}vGJ$N@?0Y4!@W!ByaUF@Bz=!}`&Fkeu1wr_YwKDt;Q0#hR?bT<
zmv0z(h5UYfQ1y8_@L9{pcGgt0D$C)6M;$B#jR={==2T|LN3#(&7X!^l2Kq6E#<MY4
z4x^W#*ShL6*txh&GsY{vrE*j+-u$zS%3QzeSUWr#h0GBsZ47tmrt}(AA1Aqk6O~=2
z(LQpKMgycaxVOb+qt?|D;&zSA=w;4?#*&_Jk~>rBocXfv&ZNlQrK&-MxU;*0=v8vj
zK<vj4*^<WL;RoBVGAckDJazo!dYQxA0C$zgW|Sz{j>ELl8fx?hh3_8vf82?H%vGrh
zxyPUB-JhhdYNuWYR8x?b2(K=v$Z;=a$IWa*c@Lm=JWu#qA*GyKZxp%nHK$BKPd`C{
zc-Kg+M`e%~_^TikV<N_X-r-(*1A{uN&lM!HXT=;5FXgpDLir9l--7LfUwa%S-Tqj_
zwI5%hIiiMbfJ%k>aOKuCFxZ~yDQdZYw6D@K4i(TLSg)Fvc;IOVJ?|gv^BDYhzsvHu
z57|45jHIc2kE71@5IH7bxDd_LDyM-j*ZuZdrU;FpScvi~JJ{%4Z?whGnYjHX|KRK_
zLsVaHXz2s37gNi3K<Nckl$<IF>WEv@U<O7p1bmfByq7S(%WwG)J-^Q?wf||QQ6=%3
z7{+UPhJTHhXN=yhcx5=jH~PZ}bCOS?By)_)u{DeEA96^lOv<FTSdr7%e5|@OoqHCr
z_EGK=zPq&i=dR1A&myg0sypi^c=KiVEeRwGY|WC|O2jdPox7%_1ia$%fo2Mv?#YTs
z&yh{WRi~CUmAwwIr?Dn&U>^v{QMUEv_AY3$H$8iC+E$tci?&nQmT3~9U%ba@HYp`F
zE{?N3I@-@d?6SVzi1E0{aCpj91t8o3TV-W7625|jiTpKI5;ZX=Z9OgCU-d-m&^0yH
z7o-)A<_NcXxHZu@b3e|nejI<@&Y?{!F2CqR9Y<Mj5cJh%jowk?&TS0tsd(=n`q5en
zAfnzCiOs#^xk97u(ZrD(-VWN?LD=K~RTISlMe`w*n=4Joed5je{Jr-)yPv)igt&g3
zcymWz-yT2bZjr&>&U5WB+6dUF3KxOEA5R&W-PhP7pby4|KBl;%*CQ;X#N?qdJ4OGk
z8VGX<Enun!e{>fuQPf>HPg3p{vb<clY?m{gm;>@&2g%pxZKWf|dpU*M+Dn%seMRO;
zIsGB)A*h)t^zG?itZ9n(no@C%v;|o6n$wP_qK)ai<KG{B0T(rB(b1`7j~C7^rLoUL
zn*GXsz4GHeK(>%_*Fr<KCJQ=gh{C}wQRab}S)MNrI%K9wZL&q?zWM>Yppw`>;aTZu
zc-?XI@=h>(<td(|xIp@BU2c;<Egmmuc$#1^#CW#G@}U&7SO&STvCkp)tzT8;#P^$N
zFiY&wrntpy3^;0Jbz&Z;y4jVF1hZXg`xGl=K?7`^c+J#R-})5q8}BkVoC2RRDV&w6
z{8A>nkm->vtg3i?7tF>o$WKXpnMm`rEfL+!DA9N36?-Wel(iHK{h)4#$FVe^twS#C
za8(YejBUz9iQlSlItPfXIpC5UJHO!diPC8B#Akl|EO4a<H&+yq+9pH$=80rMFx6^$
zNzTl&y-gH1vyxn7`7G<+%Jq)RP02G{RqW1GQ#R(u@c1!9p%Y<NVdy&y3}jOog=BRb
zzwc8TqDa&j?=hyD8lab!;65B$x-0Q*@opZ=^B2jdZXW91nxYb2#32oG3;M6@yhgUP
zKYcrMP(B~X%6}y2bW&HVpDO_7u@A^pJdGYb#Oz)CRyDb<)Qr2bR5$PRu=$pllvPS5
z`CdCCjh-AUA&ZhPprhCLdNB=hw-d?8!<=gazG}r!la03Rh+~E6ed4%v&-n(|#q~bU
z&02oMt(!WGl|&LYi0wUE@#|oT2n8fuWk%^NFZK-g2F1(t0@&pT+0hL(!X(@wZ%^B!
zejcXlN4>gV<ELcgbdE4040&ciS|qo862Sw!PbMVMYY&<ctqRrt4sCCsw?1%ktp<2y
zaefIJdvNJ47=B!kXSyn-v@ECNGYGdxG$eeFF<#L<!BR_~b=A3H(Ty?wZ`dC^64}=o
zN^UX}Y+J}Pa3e&MW8m=h(sqM!P|zt1I&vO;nQXYTn-xQ473bCC|J(xogO=XoHGJso
z%xRsLJIpd(^LXP+F|?0fL`;}CJ3c*~Kosr@(ASCe9<Y8+$C}ggo=LQDfTT}PKBJ<j
zAl6JuKohskXyKzROi;ejtNDnpi*E<=^;uWco4&p5e@FuPFXo*_Yb>k$IVzo<lvW$A
zm(%bwhhZuQ|C5-%k-8N(8Han#>0rTKds4$GntiU}VK#YdqC$#tX+*hc7tX8I(3N#L
zxaoLWIC<39xw6E<q~l$?hIyP6tWMC0^iP0I1m-mxJxESM?p-a|IOT7i$Pu}uvs<43
zTw|vC@r;<)6d(nIQ{k({kbG|Dt0^X4SK;wziqX?U&yt&2K3eYf>|aFqL2j|kU!5hq
zyN`NJCr@3HdS$oqqa15`fc+WjZo8}kzuLzBV*;;ok$1|r53Bm?Q_j<cQ=^(WfER(x
z#i*JN3GPa_Ovfn>XqAI|;X~i#(EswM%<{e`BB>0l3RU&dzWPOr|GD{q;#X1Yy>+wr
z8*g~I%C+MAKd?78CEf*<kh|bOsHB$s@Tt!y%i6IIAAY9a9YSw^0Q)=^3${N8DgQ*J
zt2r!R&((K0=P=T&tv59v;Q-ef&zf0pZ6#LJ*&o-ZU?({G6ZRKx$9HF%3kwVvE@tM6
zaC3`r8%<?d0Yc)h>I#HPkG^(-?dLO}heA{Tesd(_nJ}X(Mvcj_<ohi0HntvHYP@L{
zzxxK^5i?gevfDa>z63G!GhmTl)C^zK@^y_1{)B>5Aa_D(EFN_QR<p=RoNBhUByH8m
z&>b`-tbaEJAHQOF0Ua2m*(ShO`L&e(`&0NB$sM78W2jf`9{S1qDsrxHyTcrwtkrbG
zckfzi;*WwV1vFAu*@4+lZ)$YU;uh2LoW3jg9|LZ5kD07l*@)ArGN<CWwV!LhYr~?{
z7;`cpsp@s)(z7FGqGKsZyDHw*;!(8GB9tBLMIyfqT;`k$1}sysfeN#25*5FZ13=e;
zQj5*ZtEe$?JAda5f&4Rg$X?^W@i1%aZCV9Fs!;`*e^_CY1fRbiH9Nbn?ST;VA8>Pq
zLI{xcPtJJ?7*>Iz2%$svJ0fSuzs|kSi=(2m@XIf0mbKCqev@~MJEUxCqVh8@tkAA=
z)gH+7mxbC<=>~*FX=HM!L>RJ*W!#m3nJJP;#aTY%82hXlgl)oEjdm2(%msI4os|w{
z7<a?DZ}+D}mS21g>GroJolk#KUTYX!7!EK*0?7%42vCE3cHW=z-KKF`HCiM`I+?Z}
zEudQJ0T%VP_BbghAOiY`{psW$hf=n#T;DLMlqb*ow#|7ydYVoHLYN&W4`%bjyb$HM
z4?M@ys+Tft#>5A+2aLi>Hc!@Hc!Ryh+chdju`O7bP}+WVVWqaE(wmJ~P#*9HUy5M^
z@1J&%x~WySpNw@BveK#k>mORJt4))9x`Qb0(A0|++70oq7TAaP2_AYRru~`QapDRv
zlJM_dvg)<YTe<NDkT}3eT<ug!NcGZh1WNKQbYpz6_`7tOK6v|ApVxJe6$PiKC#dBg
z2l2h3QQcxSmQ0r?Y+ux<aSQOWT>(y1D<(3USC399Up=zDbPjy82LAB8>x%vhZP_^g
zge(WQ9_`A|^LMuWC<5Z6eJnU8Hde9?UxuXBblEjLRGkYYPx-x(ip_grnQwEik}={z
zl{}2MxTN_@^P392d=WNpU^A)n&oZ~&o%xVe1>T~H{0*Y2lIjlH3;C-IRu&2_osey8
z!K>&|tdvQ8Fzg8|s&tXM&Lib1uvg)pfe~NhR`}w6E?SyckqG?SK}T&qub?SB1AIV?
z_7am!#kGMvoCeB^cWj{4Ln7PAU0-amOjaY?RSokVhy$zWZ1y+z-M!E0iluI8%39sC
z{oQiC$~^;$DP`q&2$|BbrICGX!M)`JS36f)?H`JC`V((8VE+U4O(5h0>dKa;U2|a_
zu7`k1Aa*qDm0jjYY9|j$sRdNPX24K==-|bkktKz-=|=TWxiqR@$o@vX-+5FK(5k9A
zdj1wbVY<AfD3kj;-Rz~!`y{ykb>$*R%@F3DzA3eN5_c3&7GGi{DaGkt9R;mq>mvF$
zjqE6fnoa5l4<5+%9c4ajNFAg6jYH@RBPZ9#y7JS!G=p#nlo1P2r{QzN8!b&<f=J1M
zyx~=dY?G>h{vN<8!Ce4agAkN;OQ5`{!yfWsYF<Lj{qjDl;o;$XWc!%Dl@!U6aIHv7
zQ{a=DXdJ8Y>*tbHer0oY3KqLBK-L1-9FPxT_kk$}Y#P9NyP{~~*tI(P{r8|EmVS)H
z+-s=MMs|)E_h$e<yZ%h4qJ*_$gd-H>kAPp?*}E*(vz}bf6;#&T&<OsQ%0W?)C{(JP
z-XiSGdoAG}b3LkN;+t`*Bsl^6y2a~UG8Jv)KmYZAO$#-6>%q4Ir~4sp<llUnm@C)z
zv0uyb`c?)5{{|1%mi6P!h1PlB-d92HALsj3XHs}bwi}%4CY)7p<S03lALqx=J7d7X
z3K}K@>XgMLU7Uwh?S$z66x7|tw5Js7Qz)Ih6d92{OY#3Y>c+lM$8sW*46l&J=IRI)
z(>gZ5&gc1N_bU~I5GjzfL~+-;Z@S^qVof_=Y2Ra%G(mdM>-6r7WcH1(!8`jmyySIj
zz3aXktp@{7>f5%oSQ9^erfYQ`*Ogp!KN5F?hS<>7JFC=^Dpk%^Eh%H7H|XL?E-tKp
zw8oQN9*CmrPP+w_TbbcJa&P5b6^1!>nY~PxeDd$*kg5NexvIhvoa|6RaiE}+C|<>0
z6E({rT2qQWA&`6Ti#i$|>{R$C^cSLDlF~Wp*q54k4}bUbL#FukCNkOM|C>8e0EP_G
zEIdj!Y%B<s12n6v1Pg)KzMXMf0%9{o5&!DiLKU&uyYYrbYNPNEy#pR67BA7(NP%oR
zu)ct8kFAoZf<-%kw17QPsVI^D{&#&Uo{RF6o4kev_`UQ_NxyTA&*n}frAH-ycUwe@
z8VvtySoixfcx6!zRtdG!NfwF)s!cljg|$+1i3eYtHfF~b<(GBuf!hGbO*+1pXtW@2
zi>BYJL!J-pMqB1eNLBP&V`9G`UyG(l!v=rVM?t>Wa4OJ2r0Ft^rIc)<z{h8mU^PJ5
z-59H;{-Arz+)3LVlyI@HA6hufW|3R)I5OR=$^7eAtWZYTEZhk_636eyhDLrP_~2qE
zHu&qhxb2e;VQb@T;^;MVrsVl}^tC6au17DDCpI(^8bHACG$B5k1%;9<S$_2s&`CPv
zv&$xrqVT4x>9yNWGRjI%MqgV9_#LK*j`{a6;tq%oT|PT<2HC6zj#=7s#tyF7I{UE<
zblZPF8->GJ)32y@u%W>vd2V0HNB>u602Q1SJRPt~wv(!A0Fm6jVvJI$VQNChp@H1Q
zuVMve4XbXwTBTdL>Vm<mkPZ)am$Vx^yj_q~UFEAkaZ%enldxk_<~;wk%w9p~+B{fq
z0-H@47_~HaVHB^yQ6hn2h_wUnmrC`n$x+DZ2RL{eco?ZeDJdp0qP0L<D&wqL72xc#
zC1hrJ+^_wJ(&wFzyZQsSgfd97IKl8}&wml|js!yP!N;rpx<R%z8ARH_7MRl(?C|!Y
z>Iw-VDoDqWaO6-GRPzP5D0-Wr3Iqj#cC%6B9XP*!S$M+gCRhN#mt@(OYExd!V|976
zf52+Y>FxT>GK{P=Qi)l?u5weVD5e(my`m^fI#9oX+W|smh)N*phe&p|avG=kQqHy>
zMQgEMN!(RV1=tRCLNv)BH+%lE4w=af<Wr&(tA{Lxn$4p<%{$>7vo?Xb=7-CxSOq-Z
z&++_mZOe%TEN<h&!m=3DU;{})l1*e9=KDN;$=lZ~n1F|>KZdBjc!aYuP<m;N!byJ{
z-(FHZIMLy(^37nDLQP*}Uj{SWrNZFotL~Q4RMk$N`f$2&kcAj--cZ%PU7*}TPo!%R
zmbW>f{pB<C1hql!|7?{lH_Gx(tzY}Q6v2rMyniyS?%oT{neL1Q#(Wkw%YeiUtDL%t
zoMj-^{P%WdeSf-W7C9K-A}_;C#z}h4^$45grF&D__Y-mh=zpA~am&tAr-i;0fxrCL
zt|wgm(f8egn>o@NZ`a5!ys`$oSUyl7QZba_=kbO5w@<+|fp_bJlti15SE)Wm;3XoH
zu*;SFb4T2cWNk&D_pkn(ri1N6%q!Q?@Wq12;lrmc`Uf?3yEYmOD2dGF`0W2w!f|_B
z4pDsHsv?@>7Q6o)?B^4+u?}Y+`FU%BzlvC;W<`h9JItM%S%hzTdn+xU)Mj(<-@zRP
zC@|LUQFmzYBhHi`Z=|$(_EN+clgPVKl|?LQ*oF}tQb;_$!ktvol-pftBec~$!ZC`E
zl7?6gDJpRRcx}LEa}B|2wj{udYt1ScS?Wy@i)5svZ8!})I?umogJxWr?(!r0EF>Y3
z5&O##W@gLKgDxi{kaxiH^?#)|WMZdV$9{Awf!MU^z{wDn>1x!lnX*WL7fwDgR?x^X
z>H(wIJI|{>Pq60Rk+|iRa2hptKbN-IKSAQXvmE$1Mu&y_&ShIXbOTjqt~|fnSMf@9
z?xCLv9zBQ_7z+F5U^o}%K!5`7kmz<SBj}{Nx6NV=?1nk;1w-}^$T$e5xq_NC>6hu9
zCva+8-4(0}R~5i~0RQYu1N`WR1ri)9rrVV1Zvd~wyJ(i9x~Qu|2r#4|w&Lc?9s^$m
zzg?BNX9d6+j&(0G`gncb!HUWf{?{N?b!9tvW`Xr%FYuV1X9?YL;@mID1lQro#gROH
zOSTtN7f<8Ib(O2T_!NmSIu!!?t}4F4fRB9Cz%s$EImVdvVSH%W+&=0a!qh%W(*+pI
zZE;pzo&Ec^!um8|z5!&asSV43Qjc*9i`)oh0xt!5yqYjqDiHYbLd)`sR5O}J#=^D$
z3R9PjCA0Uqwi_%7N<eX#4f>HLJm#eovY0j>f*QwBrY#!}%PL(_bx(hvm`S)i$?Es2
z=<600hh9eBK%jG44W08_<66kG1;&hQLAJuh8;H>gAX)LYKR6*?`lUjO*N|%GEg+Cy
z$az^9*cGVh_Jex7$qUij5T&Y*!R+lPYg6T%!8SaDdPm2thAM;aLyRPC24E49VZUsY
z?JJDl7O<D3^fYi1lz=p-sTzZ0GT1vN(r>y;50Rp4;0V`ElC;4pNN+UIY-dCfnoW_@
zd)i$g+2Hc?PrqZt<{?^N|MpO-SuShyLpcyonG1wvFBN0l4>@v=-oqWOg55t{j(iw%
zlsFo&Y<luDQRCh8ZRW6{xEreoGKu47;wj<6m??jiJ7LU4_eqd>IuTmY4=EhI;Pip_
z43zVG%3j#2+<|HhnTdv{<O6s65B}2OVmb`W=L^$^0+~VWa{U9xB@XeAl$UsgofR|S
zV873BDL7i#V8p8EG{6yz1Fu~G96Wp8QakQbz3yi&Dx%}1sT;gH^i4bV{fCPd3W(t!
zwFZ<+1Ej{~OV^_z(l(QaRF-YA)XIueKVQOGDurh&U%#@)52f2owByn;;NjKerucne
zZ($md&<md6d<H!Ij*ic5H;BP3t1Rsf7gX6EDvh4JRwX=P%x=z9Sstk+bRiVQi%q;k
zKU8+Zz0G6CMe+OVCA!E*pF{+`4Ylltmx!Z@SBV=g_~V^dK1!vyK8pU2xcd>4$hpT7
zwlUn_vR?7q@sR=X=M(r!k>BUv0D3!yef{c@>xFXw$XT>cpN0#Z`j@|B<DSF?@(yc7
zKZ93JbwL)Ky#UDwa;*ai^136Fo@t}K;rAMh@WU*t>$SN$5C7F6sQECw9>zV4GVP!U
z^q>)(=D9m)<jX00i)Csm=>CLzekkp9#pgu<R@vF-LT&}mTY0{k+YEo>_<UX#O9nS1
z=`Fw^7yv6?#dHLC9O)phUn4bH)Cek<Jy^zG@p@JW1>PfZDbgs}gY^NTl^-&M%wca|
zUjG`yTYklKZ+RX67n%%o#W37c&zjk{k|NnNRVE{Hdb@9$rVtJGBsueMtBfDHs0Jyq
zK|@JbWWoxK`T8tvbNm-*g%Yij=Id+mSnu2h?!qT7DyzW*lIe_&7GgC!kC%eduBhP2
zLHgap)<Tl_^*CF?%p*`}UUK+py1n0;JB(A2;K0R`2Rfy5c>&4<@Kam`ow}z1RkaGU
zoO-S%ZF_32f<xGv*H36s@9~cEg#%R}4Ed#E4l!b=lMu#bayFkA`MsgBI7BD?mlsTu
z&fxivWYfeJh{PWz0FH!Ra_<z{Q8J@;ky>CZBhfA1$w@<HaL)wO+GUb!4U=j!QB*((
z6DbOF`;Mmy+S?RPAv*#Kn<W{cJftkEWYavNM*w7pW460fW}>iQ77u5HNpTpw-?D;V
zkMFFP`g*&xNlvRs{|oML5H1S)!(q<?94z!#!Qm1ZStJOZ@*vAIvPtVLs0izE<4{qA
zb!lu7NtNvw22KTx`*oLk9G%ow1Vm$N-Z+|^iIzW2l$Loi*POyMF0VPT!aQw?)dcg<
z;7_}K)xqsEFU{fb?lD_Z^P@OoC<nnsKki!A6+2RzyW$`R#XLbV+2XN~Dl4h8UU9^?
z*=ljeIR=S}ALAP8kYmOax7_ABC?N6Y*nl_^z@7oP1YXmHt-wZmxES2JU)^&N%xZED
z#}BZlj<|JTxm&fY`1aUwwQ5$94idNSOdF|ij+8!fy__LQ{83I$b{u8#cJlX0iklJ$
z>dOPq&r&!S^VPM(RiRP{Tmc->ZbpaG<g1%ENckf)Q*A#_YAXCjb@q?SX53wBD|2*5
z|419(&&_9pTWwPUAC{zdh2mJlBzInZ1cAV!K*9*in_Xc99pk6F!1~4<PrDG&>hvjb
z)c`+CJ{Vio%awf#93e<D;BrjrG_Rh4_Xgmp7*}RnzOp;n)kPnd4*niripNOi+Msk4
zCraCg#vGEnE8GMYo<oIdFKh_jp*{^r93m4N?UNk(ZgHjXM*Z}!vPqZpFGKXK9((N~
zsy4iS^IO?#%>q0$2hGMp*%w+9uZD^O%mu1m+vC)tt4jV>&A_*$2=)dbB!?=EV8&yK
zvs%l+;5ik@6Cb{Ab9o29@#Wh)sc;v~CSOPdx8*A{@?5^pXs|}bY*hV80gmu!l;~(d
z2Pec{0TB#PCJ%bwpf}PBH<vfL^`-^>`hAadLD>n2YI87*O)+J=Hkh!{Yr3JC?E^k5
zui`ulCRl{+&-nCB;dZD@Sa#^D?b;K9BUC1#+?f^dE>w~CGL|U6WCvB|jH!L1sTnUO
z!SaiLmZh(@-rcx9sKa+sw!M;2ql&}uj@+WsTbip;wMXB|IGdl%P6S4;P4}gZS-pb&
z0T;y9<JF351C`-7IS)wEd7bK?3{jy>Hm^<`?Y4LbtP`PA<9psMQ&Rvi0pMM3t^}5Z
z-#Za}(mdr9=8k?jr0j@h5uH2-6JeqvY*Ly9IWdAiwnuM8P65;<fW*Aef3Rs!JMes_
z+S*--GZHY5CD>1$w>ydT$4#-+P3iM`QLh}<I###`K2IHL+w0BKyWi)wnH0+OjkY{`
z1E;<WRb_NP@+rl<S$New=;Y0ShzF1F0|Xr7yvwCVEt1yY$F7%Tz*%93p&<8}TXfHH
zQ9;*$j5~r79B6t$9>$7^Jo(iN5Zae%;&0M7;;MT0Rue_@b}!G&<YB}$-kLSulP^1O
zRlg?QtgZPG|F!{X@Y`BRjjl-+)E18qQ8qFoc*cL*j9?F3&h}?w^xnDjinHMbj!jb;
zA{Jp__`JD8CLaIOSae3@ES#r1I?=#uaxwM(KTgqIL7n5V3V}7gr26`{-3;J1Esr)f
zU3>l#R#!#DO;~0$-9(-1<OL%^=Nj(us*EqYVkjHe#QRct0lyKIGYy1HhITt)SO)uI
z=43WyDqOdTtjE`s9rfaDJl<5mSz&oywhW`3-cQK5H}yZRMQC?Y7>dqnfFB7O(Al0^
z!t#+Bou5*l>dC68>Hw69zeD<6{E6ApT|DI(A>KDDhd%r>_t;GF?E!)=$+93VrMdY<
z&9zg=o?fuv@R2>cqBTA3U=A|2R&Gr}ku%cd8;IOP=`ZT|pz8pJnIV$t-M`Pb^eR0-
z^JN5Rae~TyM$64m57Umuro{ffK4NP@MG?5g>D3t<pl?7D$6k#Asbve5AicNgNXM>(
zVve|^RU!Qk{J14L`b;9_j98?XSllx^>ywEo^@5FhMWyT9UtOTsQ)}3mak#~Vu0^4+
zGgs&%j)!UJt-N`QuL_(Aq4_5oDH<vsxa^81!mSl-?G6XX#P%&LFjGC+o}2;y#HR(s
zvrvr;ad$~({mIdFiyr?y?)2rQe06B}jdw@#@Yhnv@Pbm7G!Fi%XG@aK;#AO{J_pt=
z+x3iRGbl_RKEoTHxl|^r=0UH*$)FXvW^eO!XkYeepQo-j8)x-N;XSGbOjHtb3$C%u
zCI8p^pJaGhI+pm%<<)fDnviFqp8D{S&^a^Qb?I-{@)b<m5mjGp1bl25(Iv6V?&ami
z-9b3#{Dh9f+wxv3mP>2!?){qK2y#$snaY>;pxf!IRd`sd$T^S~B`;*}N0-zShwZxC
zOSaq*i6vfpNOIaMmb;F=Der8dIN&mD;>D<E3&z4Wwr4*994JYl@#FJPh@Y$q>w7BR
zhon)i+VNl+fznlpq}p4I-^YaioPbV`cmHn@o@|CVqaBge2}Sw~;DH}HK*D*7l0$7g
zQT>9XZ47|Tjp!P0^*Vnx42#9E4bLLz{ByJt&P>&8I(hyPuR5G7G9kxp-&RhzLzLah
zCAn>roc;A2Sl&aK5<R@6Xq9|~Q&G$1wl5mHpQ~Q~(NJ`f;v~7_v6XaKm+;%^`yzGv
zmU#eA7r+d+Tp>x?d>y8bK1ze-4XQx1FbgG|x0!7`kC#Zi6@*?<!5iT9V#Bz)rdLmg
z(Ig5Mw%LEJt796rm5>JC5A3jY7OoVP)*DJ;(=Xiai3Dnb2#xY8cu(6YHTTpR{Q40U
z*vN|4g>F0$%{4938zgWDr^<ujaE0+f;LYgubGn)_Bl~T5mSLs8e0!F`zptfzEG8wK
zguB6GNS>z3`e@b%>}TqRr(Q-zdu$KZZ#X=GE+eeFxiie`9<JU_(7orG3jyb_t%6TV
z94qBnMOVtRh{)DWf}Dm&sQUubXded%GjV`wVV0Ww9Bkm1^^}Qyy<%`jiR<a?Kf8WH
zc^RE-4g2@4L6a7^IXxhY;J_v_iGA|02ri02s}4Q(?@tSnM!yovwsd-l5q)QHY>zh#
zmaeOiom<YNU#8JL&@YdP*RF{}_AJ|7jA-y$b9(s-pl+e^E;<ukG0<<^@OSaS`MyDJ
z)zeG!-UO|<oFs~c_#@q<^U+kbTPF4VNTer2dF<X%lTQ8~r!77s?MDL4f*YX~)h7-x
zO$Dm22;r&cL-Cyf_Efa?ga{$>r4Bi*JqIn&Px$Y$rz87mq%DxeBfPnO@d38;?A(7u
zzr4_I<;@#;?w8nfLqok`YIUl%3GFJGE1xXltVM?axVTHN5oN@oZK-R!pK?I+^j**e
z@%CC-R=2}K&?R@tTxcZ+$wMnz@jsm@JFs9tS0xM{#RJ|O$%f;{zsNVo3H^{XeD4_P
zVPl_r;qoPrOa*41fr<^53YgF@6)C!!!>dmCC#|dYuXN9NIQ2fzUqpN{)I0~mUSMj5
zyk%j(N$-UJ0kLZdQAdxyY|7HiKWuSXH@|O{IjrR`H(G2jT%;WM>qpwGhz-J@Lm%Hz
z_U)wPg1VeQu&lj@bGJ4<V3rk>KEKe1ZiXj<7H_(Ye=}A^KqnYT;y&Rl)#=Sw4wye_
z6K>U~$rrE!7*9~GxCs)Ah)n2FhP9C2po-;DLYsPnsH=~B?TLn>J>yP0ksC37*kU)U
zcb`qtp#gxyyMdu+p_aaD_K$mO&#b~(o<w5qo_M*TeZyT~)%FSi=`!D7Zrxxizc}Nf
z&%ayfo@HdcP4Fz!ia$FiRv<wso`EQX-I??v_GLoad{RkXY>G?-oRxzCr?d9)F_)^y
z{JTIFd|K?5bkRe&>S=$A=21ibQ^a~{2P4xHfmu7)jUQ_(Sx^TKwV8H6rO{m#v1{di
z*3E!$v>?K`9_8uY+w%nRDgFIJB)~6yJTgxki?NxbrPCnLmXLsw#^a8dtg`-<x71zc
zF+RJV_P=_v|Gx`he};x-BJBNz(3l|({+a@X;^u0D*7L-QFc~)SG}~2b0g>f@{-#Li
zwY`tXt21VD{=yaarAf(UU=;3Q8a9RIJmU^OF13&wH8j6|u;y6nv-xmB7w2@euZ|{7
z`4yQ@rTSp<xjj3zlIL2a+XoQ5A_sJ0B6E9FauRyeo(Op;@|&ZyiTe37GYf0oFA1eL
zp>r8=d`*2*bo5sTC7uhRuuBGx9`{-u)UEBB^%dsNt5zUwMcm4bXf>c)x}54%C=w1*
z1CUR35s|y=)bMCFfkh59DbNl_bg~U`)e0z^&^e2<^?7UL&wMk89PNHy(+-5fn%Rm-
z^1FYQ72bm`MUWS;W;*!hMl?UJwrfF@9i21@&;<*#Jn2^ym%vqjkd!($hc?CK$$G<X
z@T1LIG|%qy`Ugc@`9?56vyq2OMr^lwr15y2=agQGnf^x!FkL`?KKAkN<xAR|BlpGs
zA^gDiMbR*;w(}2~zgkwk1{IRa{KLCHg?!F)GWL!E1^0SjDNnls*jE$D5TSX>#4qee
zqxGUI2o4PDEk#s1@kQ%vA8jwmI9DF0=HQkaD7`lB>{S(BITLi!j4R5o(C<_Y>r0=x
zIF<(do~@tStFFG0l}3q=kEGO(&^tq01`wf4imsX21Ll5$-=(!wm}5T}Io(@^$W|Lz
z(k`pId@7yt`&!}6&H5Avq8Dw7PNcVUzK1b)=+MdBQ_hA<KwLMQI2oqPs!8UB(?3*s
zisga`Ie?_;_}31S)YwrMky8I=>dlLV?DZ{eK_+Yls#a}k+G4pP=fM)Fuq5_0X@bhJ
zU2Pt;sh>=fYUr<b(GL3QxfZY`h=WYLr9vCp9qR2^<>B*lI??u&>)EbKe(J0TDQREJ
zV60R+hLrU#Ay8X8HyJP;n&6yu3}XsI**+k`_#?o|Mcl$AHay=JTQ6BD)5kA1R382W
z=Tx@Hm>aAG4dx$6K%pNfR7pttkmMjx)H(MTZa3>iPF{O59v;`=-W(n`rBkjT{q}}b
zMXBfI=a;yOBsqXU4+aCc<MV&wZ$d6-U9oZNp`a>vGUpxT@4o7;B&0wxq!6bJpG>b8
zZv`?l$TOJXOn>D*l@mBD?Euk}q#Hm-Jk=4}4dzD+OnNE$dl1nwG<2<mch7Q?R!rSc
zrMJkXJH^VL0krAUA0k<Z>km^7$BWO#0Ya-i=J2i2Md3s_4rrkVM1hiaZP5{_Rb@Gb
zj>~6XG8r!jJ{&hzdd@T97R14Aj}|az>Q?avv}@^~0H(7%^r}MYdVH02Npv*8<bX*k
zX8%y-1N(lbacE)=<bqL2nsxToWtwOn1Ee$M`fvsDDa)<0y*bswhyTk}8PPEGB;H&p
z>e_f2#ZKOPeRKAM#&TPe%LZn5*6gUwY<E<qa;*@2{=oKm+tM@`1N%h)u39d42|j(A
z)4=&L7%l?<x8>DSADAdSet90Wvx4OvTH#G#_M%i=Z3z<wty%yR2jt)QTGXx{_0T{`
z75nMY(g_=XW$(1W=34azj-5Ndwh*q86Rthtn3UJIIl5hDGuA-ClcqA^`-6mY6Dwzc
zE1L$Ow>tx;iZ~CyQ5S<b<Vn-N=`iE~aS*3dhyO&SIXc6v36|eya<WZ4m@PCu-=5iL
z67KA1YdC%jvAln4xd^}(fA|4ID<py0j$cuA3BuiCXr8k5bNX2-F|}|dDwV%+AdV3c
zk3E57?UcR5s_9lH?nyOp58w<&^9L){b21~9Y?Sr^1f(AOc{+G89x!{kz$vb7{lTTc
zKh;R)h#sVYn8l|_Lh>VjNEG}2n=H$Eh)MyC1IlxHHC-Rv*MM%Dy>Z<Foqwz5^fh)+
zE!nmP02zu}%UP_&n~z6GWEN=sS22tOK~6(qrSj{~wQ`qxPPcp&BXR3xuk+Sfe9Cg^
z``K#4W&N7jLnbeW_`2y5cQZ^SN9luRb%G~#oBttf5wsa|L;|DZ7=Oyn57KKPedXdw
zP}m-F6*>f<hhtRgkaVg~eBw!1C9Jxhmzbq3D~ta1o5{(2llb}U?1mFbT8o_J7Yvha
z3(V;D>uF4c`NgYriw<YkR8Ea_C9%!sh|~GX^!@@XvL7yQu=CmRt@GdqOT7&MGZY>8
zPsrEJo?%jP!N3&FPlk0tuZ;c3!Hd49{t7ewF<$-x7&R9@6sM*5kA&j&m~IlRn<SV3
zC!+rV&ys`kU9hlJ$)D__je_Rxh+aSdctCc%QLQEX)S1pqTv&mh><TG-Y)MSKhm=FL
zLcS?;E3kYQKb3iBR|50adD3^4nzYc^L3n2v{eKgcN^2eom%q8X_4mAryBA*2A*P0E
z9QH`wuzAGPIioZ72PX9P-}Zk%5X4{^;{U0C-F`xLD&B)BPS^H>HEh-{8G1~kh4$Ip
zy*IpMB{ODNb4EE)eQ`Z26=7$_c^P+Gjw8e5s{}TR3Tqx$?Fm(?jPoaNmEB(r$T!{J
zdiXM4Ph8SVAednuE#{2|uzIWyUK~V13KOIh{RxeU&7CIm%Wo@RNUNazdNG}{Rzf)x
zbXd{CHX6Od+O*ELIxC_-g?9S`6&>8P2(eS1weUn7GR+Z3=~y3tQ~uB2+$gu|r+kgA
zAXS+AEz=KxH#TndsBLL3Ov63E==gz*6Q6(ZZ^I`V#>L6R%{SaA7G|s6)!c6^Z){gx
zs{)2m!;98Gu?vqd%!&+qE3U*<!S3)oA~H^!!#M3PH>Ew*MD2Ja6kB<ZZF0OeuB=k4
z#ZzB}Bqlly8E}%3Zi(xs(*X6xIkj)$nkCb9F&kM&oDZfZBWfPbDHl9$oi=(iyT_mZ
z;@<es6yRWy$zZ$^?vsNik2U}B;-_0J_PP2Q?|{t5D)O@Wgbs6EIcH^yjGO*!>mEuU
z$)~sws+yz>COd6fE*x`V^4wyVyfq7k`|nFGh>8Cq>6Yx>{Yh>ucNe%BVp8n+Lk@Ku
z+#1Y8Ps5Z0A7e&4pEcUc4ONV3xXgnbxvkcz1@-g55fZ8tXkY~fGrJ6?)X!@y7Qg`v
zx^;mXR^QhuV^z;`wbnE<(!%{sgT(E+>gt-z6}%p~uZ_f_!V~#C;kBDv^$6VuW<j1B
z81J6lmEu@%qw|B{_q)CuDwYp^1yJ4eYNplz&!KrKk8S1#Nvof_D?zV6aw#$D==khO
z?#<&6@A9OL)~4*+;hI@aQ2v&l`q%K<XfT_~0lxmtT~k##XLC=(jR<jygevH#4OQwJ
zH*o5-hmCwlk?a589(_O`Q(n6`lCgXHEn!nX>ZjH6QXBXbJD+bx%w2)Tvmm|(5^epL
zfBf%(#iaFWGMV|OAQpCq;%@l8qc3F>JMnBke)mi~!oSz<SQ#}QH~(VPe0>*TGI4Gr
z&^AE7y0h(SXsa2oLu+D;G-_^F2;66ZK^!^I?Bx9lo#7p14ZjSc5nwGSuGx7zb>p~h
zZ1)SnQlp;vCxL>ED^z+6x!lxL>ai<#9nBp}ivC3-dcW(#3!lUr#?`<4@RnMP6>=mB
z{LJJG`hKlAmO|AZ!OSj)wXhOTDxA=uWX2XViZ3HJ8><BMpKJnLNPzlfBkb|BN^4e#
zXvE|J_)sybS3!m5&ewl+?;h6Kn9?r)n*15_TXKJH0n^Xrx1(*4%nor6lj|x&wa$gq
zcU2&h2-mZXFABIma{r9;w{ozhs~=GJd2~Ctr~5BIHD03wk)f!3uI$uLXf6D#Fz_a@
zjn6XJck0X=(pYdDkLCOH{$#2?5X(gYKDL5u`z%#@8tq72srE+FB*2lm6T4S7>0z1E
zUq7S(@9GwQ-qKd)tpzZ3?M)2BSB>w!i|NuC$*4OsbmEL7(-oWY>7*0hLSqhq9^vIJ
z4^}zl$OC>qPHZ&s`R0d`EAlL!;_%hN)LOsK;Mg-0S^h!E)yfh~O8w_+SvZNw@o)Y^
zHkl19Yuk$KH)Te@I#bdmzG}PLRjH;cimGx%E>Z(unf2=&iavKe6b9^js6oA<`jNG8
z@E3`mhye;CON9y-o$K3qKl+SNLP!>!uJZN+mvx?Of%=E0{{1(0$<iinKNvf9g1zIx
z1y%@N>O46fpgGS0oivj+`Nyoy+n?98{CAK9%qzEFy!^iYtlm4~0@%!@H_0Yb%GjD^
zNe$k9;Op~3l#g$NW_7)O6DfH+#bhhE{69^aCT0o-64Hz$!?DFZAX9Zs-I1VUxULWP
z=Vhm$L*Rz23h+|V%AzhtfL=OTyR0mTjP~Y;n92}%vuEevYB#)EJ||)I>|zdC@Qip#
zt@O9%M_&XCwu!+6;<{q!CaS0`kX7|MVLCjwiJ`=>n~DUPHVf4c_|fM%%O8C|w}i<!
z1D5`>x4os$Q)`9KE=vV4#mz^yu3N@~8Bfy>o5(>hhPCH-L*B?GS8K6(WT!UVcZfD?
zYL$8UrstkH5&8+eW5O`YABUEciVf{~6-?Qfp5U^I%u&y8>CzIWTXgYYuKxcq^%YP}
zzVG`Mf`A}WBBdf-A_$|Eltw^0M7l>vjZjiRK%`qhK%{FlNOuj1kuq9pbi@A{e7^tl
zJ7<UE<_6ol_kEuGzV0hBhX~o)mJRDbU?L*kaa}kKEvhQIL=v+H!meMKcs>ssbc&j5
ze{k2J=D?AqwLv2J4|TaWGW(f<g&sk9;1ueicRZpg;$%Ea>a>*%9#37XUX87P*eD>3
z{$6%^9+*!>C$KE2^8z&gm~W-<q8RyT11Ll{QtEen^u+PAYBql{`wrJho@C8sBM2&;
zX`-_{55Y@0+X<Dnqt)vZmw?q{{*;Yy&+V-UxqKA^`xczm*$u<;elc6i!<|YLeb&Ho
zB!hPen(?38m;orjK!D9-)oo*AS-gNgd5Awt7{;%VjIFLKYhX9Ho$<;uL)BuPpe%G?
zyAEW=uzP!cS4czl(OKhOO+e+~@yKA=otpcA>zn_U+~s(4#DHxAZe(Bg3VK@|$Y(yv
zsFRa#y0}SX0?Suiz?Tn+F);gJKWLIokd7hOfm=!BW?4+MOt{v9`4R{2-}m(aR%wCN
zmDcYZb*?dozNEq-ylLO>ZF8MueXN!2C8#y<2%~ft<u(uBP}(*u-AT6bicVh37S=$P
z(*38$Gn&?*={dQ&W4|;_zq(8kXa*rA%N&raNjxzXc4n^&r-iRr840*<-U85BRdM}P
zebBFI!wRSF2_L%SYRzjVQ^%l8yBu!j3_V#oQwY{@BwecIgiH>3zu^0Yf||Nc=T-#|
zee-rMWq$GN)ET@r<hSvdS%1zic3qwxnHCnaX_*-Ra!gMRq?MWiiMyj=U#?rep;^A8
z--H-!j$SvcnD256By;mwN?ED><lIA2e%KNgA7$!rDU%$j%e$NA>+4lw#Bha8+uOzm
z?NxEeF_--%8E1759|<kkh&@-Dy+ap$?SP}Ar1LZ^B+vT!Su1JUBlm#7up+T<^S!g`
zuTgkum7KR0SD+U%Bdrkk@?XOVU_c=a?Q+tx=Vzn-SC6;j^awJg3cO6KtD3o#q))=i
zN@Ok<8Q8Hb^USYaFw*rVg_SXT)CN*>V<MF?V^8u&z{TbUFbCFl14cG!6zeM~R#Wvv
zRI>Zzk5=o*G`bL53pJa)^G_xUI;ZnyJ8pZL)P3+(?H@}tl3+EdY}F31OkPGO*jQiR
zo{F@otjWRn85cRZ<$geA=$hPPOD5#sl>};y_z2MC6}qHFs-`lx6J3lhdRL>aQPK=9
zd+`eST!h2<K22CoPPe-RL@@`cJam=}X6WrIie!*xPFEXKWTbzhLS#uf^pxa{v0PRc
zN%Z42Xh+gx@vKl|h8+B~nYx%&ZP@5Z?aWU7=1fEXl1Jaa68Dt7yp@!Zx(#81A~d?-
zp~>tUlpqaG_+hhNzBL!WcT2(l;&aYho(kolgMv^v;m;fx*_5>p7fdJWub5@5DhF@L
zGBdF^)e}?5POG{sg)d`JHwp+>eh+PPm!N3I?pWzs@Y9PNma-?cT`tC%ScNkt+$G<S
z97ibh?B_{9dw%DpjZ}<>^U#f*%c3WzY%*6|oBs`2rsNE@$&TM{)09oh*Mbk1l$XVP
zEj@HHZtD*JjTExoJg;lb+%&0UcnnvvqH|<K@{K@Gp&H^0NOm#c`VEGK=IOm07%okI
z)EbXb2l`}T-v!>-E$JtY*6r6#v`wT83awu&?Fi7A;AQPP0($_^c%J(v6LLmrl5&18
zD{Hb>e?+;9Z{wnM1!H<gbX>SMMkk#tao%SJNu<ceu)d7=cI2Sj)5|wVHsh7hdsf%y
zD9d#a_%VI$@LzxaV@HQk6&Rb!)o-XatMHLt0&n<c>HGShqgDIriaZA_s+Sn(SLVI!
zi9t6W4=*}k1L%rDG*!;5R~0El|5VX7t73iu%lek@`u^L2zPbSw6q*F<BfoH(5;jL1
zB00Q_-7S*~zybjtg<WRr{8b@gTJLnWWsN#CfPhiYKH25~YrPbZj?#=<w=#mgRXNO7
z%m>?FP|$1@hOR9G>{{C%ck66V0oPxe1;U;T`|=c0&|EmF^g4Fqgqye+Ls5>((K^9(
zb~@|pxm#Ao2)N;(hB#@=-G30ow_jFxHNdi1S+ecPTA@r~sjgvzu|A*gzKeBqw(T-y
z*Qs;ti?#pz>!_o-c!BU0TbE$k9>iz13wjkizg$aX30NC+nxohZn}uZ?bBr6eGSV5%
z;!d)L3pr1JE7xWQ*!cV?cSkN0y*(Y5BD@$awTWJtQX96E-qC9SbfTjI1DUDEd;IRM
zHLmb3waYGV#5?3|mKQ_C#E)&DO#!@OjrFe|&a^*#ihj?Ep#fx`(h1WbxHe*lXZ9e~
zaw9$xmkL3Pg}}^6n*aHXXpYmmj(#fJRcC*FEBl)x`)gEcyJn5wdl<v*$o^v0zvifU
zcR)1)wV?8{Z9xvJ9|5c<PdW`_i6{>0(V6>i+z%e*vN$(?6_&bhjWqPtdXIjeo5uu4
zhKMeDgfL$m(Ag|WU3O!bU^*e){_X~UO!kVev+cv)O#6+8Spadv`!G=z6lgm7tS&Uv
zL6C3z)9a%_>_F|iawYHb%dcVB#~A;DjmM`>gcz^(m&=DiPgk=ZYmo-Mv}f?q4fj8s
ztWxKz_z>=&G_XP#sxy>*#-&uA4r5YC1*sCA6Z-r67P~F5d|<c=BqEQ>G`d_~$nGz?
z99Zc<lW>puY#TFkDryz*6z9?f=CqYRm+39}2tE!Tnk*r1YWCT*tq6JgDmD-d#(f+g
zGD6yObR%B=ne-&*dwgr@iv-k|a|&k`p=R7n6OZc9XQ3>K^%iHfx_;jdnQsj<o|YEW
z9)v-g#U}ieFK4jYVEDBC`%=D+9TS#v?9!;M0Zv{UR9jT-`iU~fw_yAN{ow|-EY!_5
z?YkkD@7QU($32%;sjp_-QWG<o6F*2gYO<Q7!&6K&f5A2w)f6r#b#vThmgTAEc7q<C
z?HbnRmx^vJ{kl~fBc;ouKi=S6sn-`=9|t<$s|}XEJ{VttJV%J>=L%<qrd)T?dSQ7S
zP886w1#Ud%X}>cY2x9`?QlRFqZ7x!juQ~$2AD(gHyNO>jVq(}D8l_s!r!ufa6V@y1
z(yU3NQtJzZpiRtw)VhCK&c%1X%-`fv^lKNIX;InugO{K(RDU%ss6Ih4{3)xrh|2nN
z=~BV8t4A&*ow^^EcqYvFCFgICGr-1ulu9Cx-@_)_md?x*hX?=q<_CyfHTIV00XFK=
z8q}%7${6%EP%L&^&)<B;TkFVs(psyag(-XGT}S7>;yd>~=6%KQ2q(ZE9XMCjTmQqd
z8#p2O33CL}1}??~Kk=tEr{0G}Gw5@V-FRbB9SEF<7o;Dt5Q00G5JH0cx4K91Gs3D=
z8-QPtA)$1urM#i2qz=6x<GeHGeKwwFU6{Puap$qDuIncvWMcW4dz(MyWUH=PE>jqh
zmi?EGBh}oWF|6CaKPQQntJDVkAnor?)u#VI?fprW6_C}OCd9s$tP!;^QW?`ZjG?h9
zBce@#_GM}(MSCQQ6|$owNCpsKW<W5ROox)smf6m7G|m3`H4-tD`$2hQU#3qu60}Q;
z5e3&@Q=4*Pu2hUUpdyZF`<=^c#oRlo=7H`zM~$r;8!NQv=w64Ax&Sf{hnzd>-YA`1
zhRk>zF#KT^^-~;yc>PC<q+U}l{QEb{^;2UntSmUX++Pr@N@Xl5%a=mjnkY)XzJr%6
z3akJ((=ur4Y>XaLyZYL&T@89;590}rPum^W;GQa0CjHhM%@##?KU^EBSiQDyi^dIa
zImMf|Ds3tCYi~vJ6{E4hvR$QS+e=4um@B>666*iGFKRswhh*uGF0><RzsnMJmNDh>
zn20GXrwaA@mSJxm!}P_})PVF8WhzxiaP*cF1+DohM^p5IQQ1kEXJHlNldMPmvNhqq
zFr*y$TTM!U!&aR=0RAN|XCOT5+NS359_|3l9=?%+NE(`?)Yd=1AC%pC<+ilWr*s2P
z6-SOHANt$`<P+<y5=5-)0D>?-M^R3id!wlPRsCg;S4Otyh$l0x{1FUf$-aTgXZK{&
zS>(alb5YpnOU$&CDf4xwjFyTQbVedkia8X<A<GReDv*hbskkgM<3Ia>3xB4r_OE>n
z*nJ9~gKf*sDK=yU{VDmmT&lLH;5FBCzEUT&<c=xHlOvDyjHHikc(x}I#LB>p&&RQ<
zZc72C`^=-_moP^hZeODwv~5><W2Onw8L?6GU<iX00v5iiqt|>|mdhMp`135%CDSx8
zaIn8?ZJhPdp{9wk@hGm|zC8H|ThzItQO}|c;DTBHfE<t-LzckBE`%{#a9ExAnhLBv
z=<QyFPGsl5@Y|zIiL=5<EOjdSo-}2S+W_n7YbR;H{t@L=K{x?7eBX&jgqK2oBSw)d
zv~;|37j5v53kD{`tOW5s7Ts%4dB=|qc2WbtF>AvRY7n*|!kYgoa?p?1^C6`bn4>7I
zN*S1u;qJ_N^hQb1qLZ-y&#bK)&)--9c|VMNO4TB@r$lk+XyY1YM(Tw95rG;P-RP2t
z+h>X`XSt6V)jn_K3oVHpGk<gR=6{vm7&~lCkhvk_Me9{{x1;Ymwz1{<R)kF_L)fl)
z9~(+U6`GWi&*(1&!jzhtS9ctN&*Slt9y~?u;cS`^ez*#6&1civZ+d5WBZ3;m(WOpn
zo`!aruBkK@*$n5wqwD!3g$cPczV{5dDhPaICP4gGCTDe%<+iWMdS2#xHVnnW7?Jqp
zwcl*>MgSf0tRPa{{7v23W1fZf`izRMEhC3WT+wE6TZtxwMPV@VXsl)k7R4-t$~`FJ
zs6YS94A;tqG>L->Y2>;yF6y{cQ)x#T>KBNj5+++W9vvQP_0~EmRf7fu{>2aPt<cV>
z+l>~6>A2#Af#jdr*<?2b_4|$ozK?;eR1_JmV&)k!Ic<7?u+0W-znvNTarEWK7Pt5U
z3`qyYE&Kw3SNn9k^hBpk{cIRWO+g*Lk<>RVPg54T$DOuY{$@mI2zExMV{#SocQs?X
z`pKw6lhZ%g=9&AVUk$h(5~PI)#VEgCuAC$t`M`KulCl2m>Ur47x3xy8FFJ{>1GO+~
ztkaT;KG&T^<0Ye6bVOBRJHNt-t<$@Gd|nS?C{e)`al0}MuO~&{-|nU&$L02yCQ3aJ
znn0jE-<O01xY&VNa|WD6sHENY!JD|851hS6INI7n6bl>epOaC68Ug3cxP~F3(`G$-
zXt#9k{QZYdDEV7H+(eU*&Ni8bsXVtBoLgW?sSP*`U6MTDEdJ+XTth_2Ma0x+uL5N;
zF)hgLPp*m1x07_3p5>iC%-=7WzzyccIPJDt5W`&Taxv*mNvMvL^KYNZ`KxfGlI;rH
znfo3~LkWCiXDqo(jAz<`0oWx4KCNuG-!Y$;L)W9*4V<JPq#Bgw$DHAd*F$Ek0@LX!
z-Us=-jjKYxUOIh$Qa{b^!e{2n)GP5+NM1sWo9K=xam0W;u*;>#PPRja&(5|KOZ;&C
zBtb%5^2b@R)=M>v`2o!=EOPC(nvj#UmBTtU5%TU&^C>WuWno&u4~z`aIo^CJ*S>l)
zH!lnW&SYmEd|+>aRJod!2=_10{DWH=$=Hnw;KvZy*6ooOlpu&kwPd6`vVo&RM!Wa9
zUknFAKd)ug0(V(0b+RO!bk|>@VhkW-;DjujwCJ|M=?s*9<oXHyy3oBJ_c(n;a0KNe
ziK*~ANY=R+@xt|{A@P7z2Yim0*xk<8*o3^Sxcsjk@B`6a!;ueYEao^BS%$u_Eg$6M
zFU>Vinb5ST?v9C%1aeh5eB3i+vv;}Ss$B^!`>?d5R5w|@g8^O?#Z%me+}#tr$g_&a
zw>}1G3Os#j5p493lHCE4ReWU+9_%E?RGdAFEz=jYFKTU|vv5Zt^Uhr*RT@`qi1AW*
z<(+RF7Ke;{ubL(<cIcF5zs=B;Cyv^mw$SE<h7{QIC_+d8Q;{IEs-pY+2Ld5VaE`|5
zJ7YYn`ZT0j*eC#NEa@QrM6Z4$e?IEom%3+yi~R0_t9@VbMTW!NP*UZ2g5o@724eJ2
z=O)WlqVjHKOzpk#(-E4`WA#tZDBsj_2|$-&X)*u&tLy{v@Z#cX#(^aW{jyn;<8IAg
z{;vL}5TY>Jl#J8eq+;ao2ztYAy>bSYVS^M%@xz;`FiLUF$tYgR=8>XXXf*%9v$WmR
zUsXHsAT%nwEF}PnV`&yH$*{RV8xlq6r*nwcUyk(}QWZfh!qiKV1xlU<Lmfd6v=n}6
zGxlW#vHX|D<pqAbko%!j|2?XdG>D(#NotfHF>LYQWbbOyz%+5gxUNXb?yug3E5=uy
zslkM<h~8Pj|9^lxOPlmZ?CN(44(7>M+(*zPY66lI5h&06n03ERh0KWLYkL9^B=Q+i
z??CKwGy)H=ZRFc*dn|9?5{3Gb(<PTzE8w+!ZB?EOCkz-vaYd`;M}A<S)Mth*NI}61
zo4-w0`e#L<Cm&}*xH{?6&Cg0kdfH|wG{!T!WPEe-<3sDR$tN<p#^bMT#Bj#;xkxJ~
zQCtl7=S>(=d6<U31d<of6lX1?^903q(^o4$N?dBUqOzt)zJB%@4x<fvjX7(pFGRO~
zmWUo3;)VYhWWpoNq!?=QMZf;lSB^#(I+rRxeUgcZYVZG2a8`xhL4wfu3U`r{BCW+c
zgRw?<oF9A@IrerW3DVr&Ke=4V@_9<KF|tZbp%oVHqW9W$I%)Om{X(eT>$uN9#Bte(
z8iY8BtN*nm4e%fAZstaiB4&^X2E1UpEF)mAhN!PA=|`tRv~U<X;bO-U(6C4!afXIH
zk&&AmLcDq{7C9Kx_?48UdRyVY>FXSBlVB(Vo--uu$d^!SH2GBp)#(qW7AsQ)Shv`y
z3sNlpb2a$CUd7)cshiw&%NKM6SQO=!a92VqoD`RrcNd($XY&B+++~djS~5^~2MmBh
zy1`7dk~<D#%1FUh;9WAsrPThGT{kBQpTC;yMKar-wbbRzmf^;1;J|b##)Gq0;*rq3
zaly<8o7qJTtzdEU)>)CkF<f=m`xPsimFTAeb<x96CYuE3N{jKqEDPzxENXD44BWXV
zkW}M6OZKVXu2f4Y&6~<7=-p~#ve9Qpn~RFy>UU+0hQIUN|AhE6DNSlKe2=3?{q8h+
z@|U54?eM_DK|>r)?T}ZgaG@rYV8e%w=trcO&Wn~*Dx=)nF4;rscej$Ly^Y_IGv8s6
zd&fvgZp?+4zdb=7=9g6ir0C>oRc!=ykqdGq|0vT8`4`d_5PMkd$sk8)LVd39qhN_q
zXuIhWuGaj`ExfsKZA}F!56!v+DwnJ6sv+<F3yRrZYAeJPrf?RQD`c7!=G0fKgy{$U
zOG5NTuLa0XzWZ&+P<GiKmCbZ+(Md(oa$O!d9BQFcV`u9}d~YCD<WvcLqGZbHI%bXg
z3hQYlP6O8_4spK)??GZiXrV)dIJ2>3n~%t&GiYZ*C=D!X6y8FvhuxC?!qj)&gLbt0
z9;HEJ)~La}Zr{nG?+oNRftdiSVbQK%YDkx5-><lC$yA6JK};#N=zVF(IkTYX9%sW(
zlE6N2D(=%7OSW{bF{n%AUFs&Bp7#jz-jc(98(#e6J!b5k_AUGjQLwjcBwkd;i79%#
z)Joa|M>bd!yt^WhWM+!XyXC3uSw2TDW0e_~v!t?Z;AsFt8bvWmENUSylSZ=rVDbkp
z2%Btnj?)Vc^mkUAXLU7t(Q?j-h6-Psa|onyHIfpn>a4I6P3H?%4t-P|tK=fKoJYsr
zRvDk`I}d7OLKVI;YkI^`>)hHrbK+fc2zKlj2l%L(7herGmF`wZem}{Qia1pB`NQgL
z&_Wu}xXX7gIq+xtvyLK7;V!?;Lo8bPuiLIcwF{yMKeoi?mDqD0e$eCHzz{+R;+tSI
z6_PdsT$M=}-!DM12@%w_3DNd;ZnmPh49^m`kX#I=r(xWY6<nOz_fU1(V-2lpT~4h}
z7M>wV1)h9N*2jOytyfCM_h^m2oF6=_anC+$|BBN*Z10@uT~y;A%q|5cq?*u<vbTg~
zj#Do7F6^T>*}cHDGm0!2U-ysx;$c+{&<|^u^lYhGtO{x;4j6kytJGR2r5f7MSSBLt
z2a*i$^isqOpvzAE%f7p8ym?&pGXSkdH8WdCV{P(TR@~z1c5KJxzU=bKBDfihIq%ml
z%E1+r==Z6PUcFPCY3Si_bN7;CC09-edg}_&(t6Rw;noKGP+V1vNXi3(l>I|X%DdaK
zLT9(z6SEgM-C~yS2_DU!k?Hy$hS!eyM3P?ZBSi;z><~Z6i}RmY(l@UjLVu;h6QRun
zr-*{dau_yek+jF@XkUjOb7!mh>C_Rt<X~T5Mt#3Cr+uu(snd?z+Jv^^p&en=Asx#Y
zR_XV8*vVb7<wPj7;>U&;4I}G0S7hEo3d)JE@o}V%0MeL#8l+xN_Ig_#n<}cK>Ly(t
zOAQ%@rE<CZ&n>kLsfMLSMvIratej%Ajw9HZT*Q#-`;9A{nv_Zn$F?JFs+YX&6^PU6
zgM-NNFxt8QMuwSn++V-gq};VnR%G{}q3nTT#r)jgZ78sgUJK?f#!+;s@f1%MoAY9F
z{bVm{0gWjizsoq&aI^FhUX*8hbCC>Tznd+3aw8B#F>cIa@WtHo@st>X25;6H1jx(F
zhY$}M<cia<2W%w_w0T;&|J}a(EmvfM$uYww@$sRdl_2kHY|gTfi7TqF^cl4%8&J6&
zR=p<2ph_%m%fjl=gw1ypxP5VbAJY3`uVM9%u+>t3gVWk9+*ska$=7{pVX6dR5HCUL
zA>n>UjQQy0h*vP2V@za%y!PXO{f@xR-uvV>qR$fLmhQh9KMON;>i;pf$GhPc2m!R0
zGC+HPDz3kB>NgHNVMXs(qV9>=hvf%`S$9j!3!lqTR%bI}G$>t8-OXBsVa*dd!#Usq
zZla{1<-$6bbl7bTy`9^{Z&)x-s$SYU(F}ScWZIs7=G{WtDjc%=@B7D%2CPKZa^S0R
zcXa|<aurNUHe6pL5FNAG@n25qeqD<;@~L>cmS-tu82^>I))GGagh84|&=06jFm|8t
zL)=_R`H>WxI#Ja|{$`-#VRcBXmj}hKl=fzS(eTE|iK<0FAo`JzN*dU{eSz~eX!VpG
zwx`OG_0|P{0VJ)3C$g1+INBWl!c6U{F`Jp?Z9^Mkky)(slZQA0(=7uO4oDqMG43>d
zv`i~$cNeFRZqm0h@50}v^-+eH_H&e4zoE_ZfZs8bz_<b#?&DM4O&fZ9xdE;*3;l6Q
zO~_yFJMu(IK+WBAJ&}^E`QuLy*E1q<8PbitR9RQ$_-HImJi*Qn0N_kd*Pe!&R#RL1
zWAVbGzTRL*si%<lESAvt{P4Nl&4g}Djg$#7vQz;t(H{*X)O>RP>VctEXa24Uq>XMY
zB+DU)iT{|nh9Q>5DNKRmQZkE>J)(MBvNpY`V6nBK6%K8@oGq4|$-za!d`z5ydJQ9)
zU*!B{B3%CMVa<5-LojjxL<yA5%oJ-eLgM<nu+76dc5?hJ4aW&EdA@8X>Eib!bONMZ
zQSIvwXk_UyR^lU!@1Z0=E86Z2wF*<K{xJH{>ePo=SykdeeVtS4uImP{ss&&T_Q~Zw
zmzr8ari+kLas7e>B>mj^^NT7w(l;0Rg1?o29tWTaRl|AG72X>P`y8nl@V?0DkHh!9
z<^60A;bhQAU=$7O$-|I|smWb?W*VAqErG*-+twZYb?<rSasC^Dl^#%p1tN7YfXw&#
z!z$5yN5}K9PbjQ_q<4dyyz{r_QaFX?e?*3r?8seoL_2$3y3-Tz%Mn)0eQ>crOjoNV
zh=-vExGifnphPq-ERz<@2IfShE-J|d4InAk46&cv%ulyH!cVn9QSJhHp~1gO^EH*I
z)koa%h~mvX`66|J!HEM+R%(olk=vNYF&lSGB&c4%lhf2r3Ci%KaW-WI9nVXLJ7b54
z1UBQB*Gp3T2zR&6bgo@zsmrwR>YBMAx#66db>nx;ZW{NQfy#nA{qN~r_&cy>zjpmO
zKdD1=VOEaor8c%f=h*M0=e3ip{eglmrS6&4gJW&%+@~5z;$eU9HBDro?W<5<B0MPD
z;d*OW5_U_1>sT$9y#Ef8`jeNu^K5z6AEYqElOaSbehEAB`*`X8jrS~=V;yyWYGOeD
z<*t6=8@L3?@G&HJ%Iev)t^H+$OS42-_MG$+6&g?`1Jo-p#`e^<u^<oNHx32a8cu2o
zHLdabNOqSH?xmEiLQ0#B#Oaa-o4hM*0VXQwg_AtF1e}O5>|dtc;q}`NpSuhg!?DZ=
zzFI97NkEVKN9tLAZn<$4M(^2K`NGN;nPE%1m}Smze{IPehuUie^XeW95$#OCbA7}_
zWPw#tq5Gfj#?F3GWED>M2@TAF_n_CRdYU{RLMKB&VKFsy34teURF{zYhuOuOUp)Y9
zr1rJ^o~#NdM$G#WOq;tt@>jg@$R^5ubA_f4HaNqjKluD=;7!lEYKbD1erZM<*K7Ph
zIT5a`zCIW$jHYgfHE48Ew>z>nodv(^fasVog(fp)M$|<G+&f1Tt8ZC9eK2H<*DvK$
z&+YZM-U?EaycdloqqPbD=W5_m9?a4jUw6xF|9B0-PL(~Gyj>9no-}90>yWff<1v}Q
zc1Bldd>pM`stwun7+t{+(ar>w>Zb)CR@zcq^K)01OuwNgm&I#MbQuLU`{)uG>nCJ&
ztdZ^HmbSO~fvB(WL^+%y0ALvv6=1FBsjk%<?C5_+l6~@e{dz4O1Rj~3nnk!*PAQKG
z4i(S(*}2Z!j8l^|9mb8{%C*6yFYePGnuw=^#BV+s-Cu$Rq(R!KTY<%5$CzSyx`yqB
zUKWLvM|&c2ZyDyy|1~De&-6VJ4MPlnm=v!X4Y2iRAe;U4t=Xl0?;Y-FmWS>?90K~K
z#JyJ4K&_hm?|uGtxTeiT6|mu$vp2L<w!S7T|MgUu0`hX|(6&1}q@%j*fyZwE%|cJU
zi?^;(A$KH07T23<QR7nc*;#UB@_@j>M`fJ<=cUx)NOO8_w=`1DM@~@aTPvA+`*y1G
zR^PexG+xEXrZ{|ltL85zzKpTY78)D8H+~X6_X*SaU`7Z6l|(O+8)&HT`W~z$?@@6K
zx)~@JR_BK|IdsbpUAn<#^^f!G&`y;<(V@L_mCU%cQ;qZI3GDtNP1Ra7T&PT7RNCu8
zZ@u_KYeXGpt+y#n6)EazOWy5*H*AfEqk8mx94B)cq@8hj>}e-{-oY~4zfXl^wQ$WS
zM~2fJcJW%0Nbjp8$sV0?PE6r)uIRw0^8aS0AP2SD-)3F1MbgR;a3o=cEAnld*4?#&
zC@_HqUMOVVUIjLHvhV~r4ZASXcR)JsH@BhZ-Y|TSyL<(g%5hY+N0A9@R9MS~m=>00
zi+|hPD9`<Z!DGcOFmE(0*H9_2<>Ji5jAj{FGl41@tIkL#m441Z$oD@cb4bIgnT}86
zG5Q82Bi7O}NG@fcQF){ZGeK^A`k^8EzEx}M4zavN;{wRL@BuAJm^ok0Uw77fLaCuu
zHR|ihdB&#xFq50Zv=JC>46vMUqgb?PTokr`lY6;2#kiOE4t?X((R96<#UC|{6fJI?
z>twnmUb`V1wzEHFJxkGdG8tU@O<1JE_Mrx{@0aK|&sfd7zI=9_Qhk77hoQ54b0E%_
zPONbLR#$uZdriLe&!_Y|Z`3@C9qIQ&JZVfTTQ+SEe|UTIUSR28m8(GQDfoh`7H{fV
zvDtXKfVO9pFjp4O(w(N}K{@lj4!>0H=V|=zcL*K-+GdY4$8krjpB($BcKYl{QzJ)k
z&zkwR87HdVs_yz&J;~sv=~sOS#xX=RvZ|jwYmoW1e`|lK=ieuwJ)qD~IA5;eb=*j1
zTh>GJredIK0Ic7YlQx<(YeLj}kZUM_Am%bJa_{+nGbJtKWm?GlR<)BR#!QcYI{vh=
z&r;$~;unLm%o-gmJ`B6Qjd#xgs_5l_ch7E7&v^=|Gd5iy$g1c96uvm=TJURIHGy~8
zi*X6)nG{_b923}IBDh(0`M`gJ%+o0KdChdTjTDJe8*CA+qU818tpA0cLR}U<)m+{I
zzQRX-%=``184zN7TR{eoMWYE68(gONVv!b!?>KM2Kk|x+=Q7)LtnY1(gSOBq4{Y@}
zMj}q=w=b)8o1D7*&q%urbwwISr-pDueE*rSC0drZ*;=K)PYL*}90T0w-FOVBPEQ{f
zpazmqJ#BmjMPbsPX1RK;&FF|Q$sAKV1I=p%ao!idta|<v*5FT9)VyCQwaQoHy^nEF
zG;%+_9b$1YOJ)+XvYdONtrPW5!Hn`?E|s=SQFrisV^6+Xhgs16C~&V-QP*PO-$;-g
z1`}d{e=soVeJ+a(>h>;xG8X)JH4?;HJy<Tb@@QkuUBA{*0V!aQmHh%PT(*pe=Vezi
z`%`>d$RgYg{Bz;?&HIKW*){XO9|r1FRHZj{B1{t32KYe>L|YjXbPFJiikWxo`lNh2
zyn8vcZ{>@^L&&y%PfCWYmxhp?W7&<B33)Nsm=iU8)hm1LHEx|k1|pqFQh=db9t9Rm
z$08q5>&yUg2u5`<%Q{Yy(}bOf(Pc9c3UNPdDE_-Z-%8Y{qo>cZKd!}*{gnJmF-6Ku
z2D}%2s&Qe%UF$UY3hqQen`D_;@+!G~orwJ6h%6O489pjClHF*<KSTCzddDU|_6v#%
z#C6Hb&>h4-IB5R2Q3Khym=_`Drw6bT9)GLOVcg!gJ1L2Vc6_%(s6-uPA^FjJ-;SiK
z(e6{X$ME|p-?WA)Tv``%*Y<^_W(1-gRVB^)&|oOGU&}m#6llmvhb)m+DMS~cM1&vg
zoO3oT{8Dq=ph$hwK=0z?vp}bCNlpc0PUc<5uv9TT%mjGjXqVC71?1liJg0c?%n9#f
zUP>7ZluLk0#W-0_MCmlG2okh^CEs^~_@Q-%;g2~B`2ow`oFItlCvV#0>`aSt8E2S*
zy(uO}^|ZGf{^zcu_u?}Gw<{4a0i~&&-lne}U0;V#W7Rdll?r0$fxk`UYZC5%n?-@t
z9esNf=4G#m6mS3*$c#;(@Y!c#&EF#)O}+_eWS|^dPTAu_((yM=Y)~j0!$5G4mi;Ny
zrvLN^CH=!^kcv0)rB34(3H0f^zSJcWPJ_4PB=n<Rln3*gRcsAmSL-xCu(NTdoLLoZ
zuU@>B%NL!I>040>dkF@8U>io;AH?f^EQ8MYOOTwmdor+5i-2z;CXC?2sitMt-G*NM
zoXKX8YTD?yFq4_NBd4%Ft?^!N35aHnN?w%X7EmL<g2I`c&8OMn75_|3*ipK9t0Abi
z6=~gaO*Vflc277ClU?B-=`4aeoyw<rbwd<1U;+YICRpv>CaaDY@H-<rmsWkQDkWwv
zI$dpb&Ujs9G#S|wd+7P|>3xn*2z<iXSFTUR=!jSdoBo?LbxQW)@s5CvXT*Zf`w#bw
zS!6h&J4yY}2DNZ|f&^o`h#ez?tYZfe*xpY`v=5mt;jv{3dOg;c%T5zjRf*W7T1Bo3
z6>+e(DHdb$CzJw78_1#AdrhLoDkoxiWUV_1888lfb{JS^)f+&h?WJ+*(1lgZp6-qm
zuW;ODZN2qy+iEg(n_R2Ee7>n}{iPBHMpBlo)Nt&c-qMhcVTYY8^ud80Y@RgPCG`el
zC7giN0ZMg1+$fBz`8d68vvC6@P1?W{O=vt~iH_R~!dXl;X5_t6vSlx9l#38;VP6yz
zQ({1n0byFLH|Ra~i^irxJ5q0KngY6!y9po4DYa!wRbE2DyqW|BTqwGN8azkU`8ySJ
z8!GGz5rpc@_v$@=4bug33O>AQ3P6bY3bxLI>(orCPL%%Acp_x}(1oB__PY0uv-8|9
zr#dwU@z)Oti;q$7Og(cs6)7vFgVJt!pWMu^AM-vmoHz`@Hn7pD4W^SK4NPx#PF|xQ
z{Tstfsc;7r9Hf9b+iwtSvqi(*&wEZH{YA=$PRe(W*cxYxLF9dGEXfkS9JJCgqEyJe
z$o1C#`L6TlQ|g9>jyKS45AjulB%#rE#kbLm_nruS8C(c6<t6hnHA<t%Xu7E+hdpga
zNGHf;9ijmmZTr)o-)Dn(Imlpc%%?kQHLA2T=Mhsm8kf64*l}e@@I8Tl)qNX(uEvMb
z8l+wlOptUmof!Q;j#&8ku%F@t=Q9i#-6fvJ2Q2FYOKG3~$50YwJ<T%^$cSqxvFQm)
z{5jEqjfnNCM5wsxdR3SAt0W+mU6*MLK9tJAy$&F`^+bSU1Y{%eCx2HbZSOFA;66~s
z&^}aMWt?x+imGe5RLvI^kLdN~mi!bUel>o?yXvEg5_cjPVZE)6x+RoQy+gyRi5DjE
z9fG||TXNuv+G&87(ilMd=&_`q;M72<h|%wcT%1QTAdG)~RGAs|-z_XP?j1zs8)fiS
zM`#Hkb0b4xvO@@9ArVMN1z_@1`CI=n)En|yT;HkzGMkkoI-}O6&@=(IsOmLiWKGNe
zpuvN-;2}`>0*vzUZq*%Lk;o;6${LLxZjx!5x={yOq(SwCc9sXmhT*a57+Ovc_wJ_G
z3cF(WWxQ*q@9_arIH_#$%+B!*Xr4h&_ib^8Q17p{_H+3hs-T&R509S-Z8NXZ+@mQ@
z6juC7g8~)Y+AOr~=S=;(6{{zZb{S?*N!OPAF536ATl%r$!1IqAC>IcANx)0Wbr%W$
zqml%iFr&x{w9I99Sk4V~(t{n7K*B4lN^ng+Lc%TXnT@dGqEN3l)F9DNl5%96+Vx^5
z*(PA2?jVGepRulHeExl|YHORn5^2UbYhDCQ^8m*Rd@%r;FdqM>R-ACc!S-*CN$~BL
zUl^ao+S#}31Rkf=b4%2&{Qky@{DWHnmeqgBR*Yz|`kj>L(ab=8UiQaUiZwJTU5H9p
z-}zMc8jdLWH8IECdo-?D6+5ga4$;w@w%HIz*@7B9_qI-T85;a^rGjrlW-H%=YvTM?
zRF6ljCxXC)Jq_a6RVTitA9}LF>jxTqoK^}NGw%vvU}CzHN6mhv;E?x<#f1|`?4BQq
z_Am$2fe8D|InVmCLAqLpKTQ8AY29s<67A{h{zcm}qHGTq=|grzobq*w{@`JV8G$h=
ztN+nQ073cFw;=;w<e-HYpPK#R_el{42S+!n9NLDu0<@H#>l7~Q4;e35uYh2CBz2!e
zW$P>n>gTKVW!odw4mBuFgsA@)?@cp8*V~j8RK~zpA_gpKVdVXANzd9PVa5TVGa~}B
zg%i6JqmX0t@30DBIC7~uY0MMNM@qZPFX&535iVu<6Q?m<<D#zL*K(d_13v$lu|N_d
zNCm)CkOQgKJ_E`QUVJ<~dknps0moI-KP1Cm%}ZMghAJGGN4{Oby_-fFh-sq0didW!
zOn9!J1FN)7!y?g|P>v+$3+GcO2W>j5$IG6j%rF%l^R_6o^7860Exbmk6#tdT1Y7Ll
zV&}*Hr-FC4f*!dqz90sZwe>rMeu@k!@5C7ErYl^2U#<%Wu4f3J@H0st?ujnq-2o>d
zc&<r>d54#OqM-!)Mup(=3OS#)5kF>aRvrqa7M-L!9@%OJT+S?@LvG(pLHGpGrPh&f
zeyuy@rPcW^byJ;2rzqe_oqbvK&6b%;BX32+hlE{S*Db%4H9lurX(MjSnP2YEmkBz~
zD`;NJgk;<MI7&HG!(>z1@t3L<IC@^!W^ImjIy+S8ggk+*4DDzxNRSJ7^}LRvMamr+
zb}pw?<h>SxcwDH|e)~o_ITS*}ALk3(UQ&kL7PJ2WG{s}2E`93kRU&tDK(ihLBoosy
z&I>lsi%tLiybP55k&h!(be$tN1lRkdo?Nw_6GDy;lv%U{CDlxYC#w6;G%kYzqZUI`
z4dt4PcD|R?>5!{wn{cg9(P+uDh5}WvUmya9aN(6J6Xp&RGL_GPF(6RRkJlF%m?X2_
z+)WXhem{O;J=4Us?o!{P;&s|_)4AvSWmio-1!=M6V)$^LNX>mo&*Q4IPa^$nJ3Mcx
zG5R`~tFGxqoDY4l(h>gwK6cfodyYzW>hu#$YFB0Z$ts?H8PJu$7LPfy<k~eaGUvCW
zN4#aOW;<Hbqb!?e*#%|ia#x;<#~&_=&gCo`%u3z{V0_QgqRcz6ABUf0L>NS+U#RF?
za4MDEPo4h=SC^0RAkhj^@{o~cW!R};t>xKawd2<0&PuhdO-a1A(&fuH#_$oNFaFA0
zKgM4E6{qgV_Pz2ui<nannZ`^lo-8zi%_f$;*Jr<G%+w89u}Y<f%G6S{I7hwO|0RR4
z|I+J8x-?ml+dOMAYn8vxLXr`@WE7Q~v~IT9Eb*YB{*N|K%hlA`e0Lh&b%)&6g@ZT^
zCGY3cJcJm%82>H&+<UOG6ynZAprlHbLdNz>;A_g_Ox?uqZ>$O=*Y08y(!2|LCUC|o
z=O+s;Q9=jV+A~|;s-V$}_mPyD5g?UXcBJH{wNmsCs>k&Qx8(fvl^dW>@V%yo3WhW3
zs>gCOFnGl5yy?^daLbOZcEeh#7jwMSEC>`sE1X+bD7MFm*dp|W2mJP>)i~IkbdMej
zK2_|l)16{i!$iQOfs6xU=h?IYG1Vaqq?V#;BOLD?u8xU7_n&s2%m3?l)&+>v59(_>
z)G1`emn8sX;_%xA-n`kc<+F~)?BIZ_S$2oVkHg`YIv@v~VQX;>M~UmG41F573`-Id
zAL_cPaK$NA6j?P)=+lT{B~ZAe6Y=XSFTbc-^7m_V=O9ZE!viX_D-`~cizs*cmGniO
z-hQoeE!#z{GRH!|f-T%AsAvh>nT==zG8{&a`e1-8mZ<*Q(nKipmhJSbnuA;KTFH<R
zxiLBMEwe6A@GQ`NlMSfeL_z4oRUa=%HT0dt5j%VK;1ch<=FheJ3156wD{<NXsy1Nu
zF}jdZ)OJlRD{6K4=ezd%v(tPg6L+sRYtWgqVzN)bf>^e@kmwm^b(E>a1exNlD30>_
z;2&L)4ZF*#JH3(Y5w~8H{h@tqZfnr3W`Y2AI_SCY>QU=n@}Ua(>mcawy(bqcO2@xD
zruZwRJhdlwq%ie<Gs18aBp>eb9)Ok~1AxDHIySkt=}RTbezrvd%aOLnF96Bm7f+^E
zWQFL6SU>X9Y<byiQ2C|e=d{MDWB7evEYiR(lAY;~8DFqb_fBdSy{QJP2Dj~W7`4!L
zC?Zl?a49(6fopwOXoiClYq%lDBm~;X6{<o7MsFcPAHQ)nUstoY<B~c#GhXd<U6Guy
zCn|s#X#>*c9}fil-dRYsj<oER@6%5?+6giFUsLv2MweuKhU%O3CAge#WrNt0RTD10
zn9UNkMzRZ`^sVwk%^<_&yT)H)%5u1tQt<w*zn|r@O_)0Vf@KD>wByrDb>*z($1*qt
zv@_vX<|;*5eT4Yoe}fdYGU1p@S2^LJgDwVVk48x=D#jZ5ewh7yF%!=7W%SH)n0=64
zN#{d|$gLYnL)z}H+7Z}d-^-k4rE+cWD20~FAu-pcss*V!(odLrk%sz}BJc;n07GiG
z=c9Hh4j^Pwgc#|2TG^8zIGgNFU=81VQHo+%PANviV0(Z=ptNIIZ>eXslko|4G<Z!G
zZ;`P3m#l%oy9r?cmIeLA?;kbQ9Q+`(TlF<JN#Zhpe!QD5e_5c;EOjTR>?Ou)k|n>V
zLd0>Fq88E~CVBel0`3Nki4suqc?&cp=PgIJgurkOqn~)5onymxOum=jn1pdO1_lBI
zJsaeVktcdmU`&m<`Oi?B9fX`&Ms8+cJ_NY=gr}B;rCO8{cJJ3)BTl5dEnbb*!;6>C
zcFXP_otwK*`0(3o!cvT9yDyL~5&ZcphYURyUeCJSyIR5C;lnK?Xbn%ws-vR7P}aaF
z>ZEni1k6~^YRoJ=g#nl_?B>n{t%5MYyV5Zh4zX&0k#%yY<Z1YV@lvfpaI{i7GQl{x
zAf3zqCPBO&t=xH1WywGP+r!_03DT^^a)HhW7M1Jrmp)!Jmuq=d&Jgo?Xx>_`r86#u
zLBgUNKhk#4Zqhb5HOJB<;HvZWh);9b$%juxadHDu#J*KEZI8qxKP$Hyrl^rUl1@2#
zeY|OWvSAUZQHow(g3d=BLIb(8%QoMjr<I>V*kfxzoI{G$K}7R54EZMa@|;Q#otR3R
zHI!^tO61OF3PYoTz$Tp~l8vanyMd|^kSZ~-rd;-ivg4KcUu2m-Q~TT!V6aCu&*!NP
zH3Cq*o@-so7)J^kQC=O(5Qk^;toko42D`4SJJAS<b`{`Xem(I`as}L(SQ%Z^S6Lc>
zRu(uaZ*l%lAR~tdBkIXUbeU)bApVWUDp<@CH0LQZu890(2t1uH5mEn1yats~=hZ@J
zD?NSRAk)1b_jzv7_E4I+427=*U`q4mj}6NfgD*8Sy2?jM=}I0qROPbzBWmraM4N^#
zMz)MnqV$&!fj>G4NCm)J#U69khQ8`nS-U-l-RaZsy~EILAv?zgJeQ9!&Dt?1yqR!a
z{yJt)%7WC>xf{Y2IHDK#ZeQ7u#3<>kI4O5}l1p<oVv^48bVhAjzol8bc#k~()iUiN
zsI2fX#T5IOR|669lO>RfhW4wtD?-w%_TI{L71~PlzoC*<PLl0#3oG?{)xSm1DI_f%
z8l-nP%b(`@P)Pa+psoR)*3-oOznncf1JOxKuBzFIh^R#%rbAP;#*bycWglbWx}U@^
z`w&DJqD;yEltPIgrer{xfh~$cBBuj8W9l97M^Z9oB<a5_zndi%U4RdCm-M_=s_Q3E
zJ>^>*GnCa7EMY`NO0lj#lMEq!#<}%F^ZKmnkI&a-(W!!buqX9xU#iu7P|9M!i0OOk
z9r{~xx`wx+zC)U&(GTyRZiILIPTKKlp5+hbeuF_o!iV93opV9aicFCRlt{(+G8wgc
zr&PteePhZg6l}j+s-lL&H7t^Uvl2O@YLSEa94q{se~_UmkyI6}0^2P<+>>DGIi;L5
z6SL^d;_82cx!k}_W+|~D8{rd8E($^^Fs#Q+&uzC^y=z*jT^I92gh4cE^bYfz)y~la
zpL7C-cqekjR!)QWe|<&7aj_Db_Q)DVvbhgz8AZxl^u$F62GvFJou}KfufKh~>G!JY
zWZX%T5#~N(w*M$^>7ZEQd22k~E#Uud`KXDm;XB*Li$*3Y6VhnIRDIs3tbOQvRL|)T
z^ljdbpX-;Jihv>!AR|dJUZ)zY5CS{cp5p(kMa!ndCZ2}WI%Gch<|w<9Wni11dp5dJ
z@mvior1R{U<l6Ic+-Y)+q@WjkMq#7t6@1OjxpmDVpijbl=B(u}iPqaoc3zu2Ks$y}
z_xG-HcQAPCABAvcBS@CapF*NzYLF3ZqM0P(t{g%>TH#2~t)F7lHJk-JP2z6%Xa;l7
z*6Ur1&K>@H<oi6jxrs9|laZ9;`12WGzP~xuEzc6Mf~0}Y$JyFOau-cm&cE__G#WAP
z6|`LWLZ2-#eZkA+<uC(WTTAAY1ANV<3nN=~`l-We-DoG<Nu}@<ixX;Dqe%?_%kcQ2
zU6RaBsAnJB65bF`!B8YjH=XTF_HK#+Joe!R1>W6*Ki=I~$sXkIwe733{~kuHz=bKh
zo^LRE+t@a)OK#Q;tugqc<gI;%?q_;vGsg|J!O7=5W3}D`#?iebuHz2{33(HB+1g(K
zLhpycWX`0Km9!l0hWJKm_6)pW0eE6oYVsywwHBEmi&t=3M@nuEj^+6<n6EU^297Cs
z^Tla?h(l2wU}Z0P2)1M)SN33IJc3}w1b?9>E6K(f+ZRS_h@m%OW<s>*ZTm{!_!7ha
zw~^EyiR#EjR!*H{nj1X@*7d&GeB%nihm!-gDonjC3_=}5=cu>pEksjJ{!>4cAM%TV
zA$wWbrZv@J%G;l9y|&$Tl<~v@Lg?}lp~0OQ{ddWz=tW~mFqAtuN&*kbQC2D<Ut3?z
zRgVsS!UcGvv!DK@2p;k@Fo!kGQYWb_^w%0VA|Dhya&;e(a~_%zJtv}c!I-xw_U1Pf
z@DqOB31w1gd@NB<B>CL=dom*1sJ~lr1!J!T_ydu-rW;y~v@#eg7iKUg$Pw=qo?9)b
z9RyrS0Q@=i&x99aDGL->BFSDh63kh1(8hAwIl2{YK@+<fW7n-5k17#kK8|j!6hqJ3
zrR5nDIf|=We~#?%J_Od?fQj7I&}#lYwG-<rW~)}yp3~xuCCm*it@2=k5T^nAitY9T
z-q-^T{9fk<{#bG)lx*D1R}obnt7N=dcLLAepY=tXf&jwyGt;oP%FR&dDGp&K{{|kR
zuw(eSe_<|WBwFJ_QbqGbRLnn19c#HJBmZD75H=B%fI7?!R{g9ZvQA<bch2t8Ftl&u
zWn7$z!pGzxlE!Mgx-J}R+L<d|Ir@2(WcW)XZ1&y<1fqJTfokOFWO+6n*94DnGc$0V
z|Jvr1YRS?yunr*(sQX&fyRZXmBW{-Tc}^r(a5BnWNmI4${L!CBQYfBfV?H`q`ULfC
zfVTB#Jv`!SHb<7N<tlNviA|^{gKDdxsrh8>I$roYJtbJlB?1iX$QABwqSJD$7e=P>
z1PTFU<7{fCB0cf+q;IgNNl8vJkg&YU<GG`PZAS)cSK$e~#9#WG--)9GvF)*ysJ4Ks
zNz_zhP&nu03A0;f+_aL}ly+z?+&q=|*AU{<U(i~KGpsYVDul9I<sC%sN4|Gu>vk7q
z7IpS%s#fIPxFvJJ%pkTx+KPrOjTKO-3@sUK=w~H=|67X%KS^Q}ZdUx0j@((3=uJ(8
zJwONgV<q1H0g%yH(;E+jJvRGh;#E%-#n&YMi>Pt03|xp>)&x@#VG>ZUhM`}#)oh~L
zR&O5EDFT}(^u456`|THS9cp#q25Y&gqQ)KdkUXBv**krM;^shjQKSn@h-m;i6lF@_
zZc*q%Sq|K;N^F0jq%%j&VxRj6K3wc_H^d4GJM7$oTdF;jd1(;_+-4uAOY0tZt=;l>
znc1h)2n}|`A*Pxk5=J(whsy3Gy#m6J0gM*|aT*vChHl3Lf0)CFP8!<rO4?qR@UUo;
z>+^aeKgq~nPDc*+Of`QPw&IeOa9o`*l*{3?RS+3}s1{hEUb;^LjL5(a=%e4_bAPu6
zqSd80iP(^Uy4ZcMS#G5&Ud!|$uQ*Mel;mW}e~q0{!o;5J;AO<pOA8C?edc?IAaLpF
z9JS(sjlFf_dXXly+Rj$6$BzF|P42{;pdcRbB*43sjj-(>XOzPJP@(bVX5$J!C^BE2
zyn$1baS9>zah0j~#q3#Z=7&a3M@0Xnl}tJBDAAbpv-(l*mv?HbZ17NqBHRKsk5pAE
zpmJ(9s<N32+UZ$*JwKZUna)vvSg1m;ir{VbH+7uZnrX>!Eq?@JMGHK)8&@fW6@q;B
zkXbZ}Y!U?uBXjM@wWAtgyA|y$H2$W@#Kya${;-Pu(7{r@JWr#dGiql;BxT8;KCvB6
zEO6p47~p>9%&`=AJ$5(H>At!WRvIK-|Cv1(TEMyvMux5lGpsP?M=QH|AHqSs1D08!
zm~shfRuv2FX{R>l#C5Ba*4!!p)XF=AqtErIddt6{L81oF=<_CDD8WVLk9VTNt^D`q
zgI&(BSxsGjbR~afo$kFuJ?uJ_Fmt=G0?x>OMLPMvKO^V7rvr6v>gaibGcRhDZq@q)
z4ssUvVd3r`7Fb*7{WY2mE}6hz`{nZA+=@J<aPJ|Jk;F?)4oP+Q85W}k=s*Q#BACzT
z-4lCUt)xjfK8I5}l|SY@J7rh}aU$d|eTF70>^cgjy3Qom$O6Gs)<Y((!p<l+9(QTR
z_H3GT=_?~(sZGODack4bC6jr_ZoGzq5gU<ery1SaEhhe)Z4G&eVD7A2X7Zl)P$k6>
zU&rG;wr`(}wb&hL`R5{M>`rd;AADKj?=H@6yUE>yNCW3GuxqynWCY<$6L`H>&ZqRS
zLItlz294Z{lF+ve7PI?7M<RviJEIG1$;1L4IT6Cdl)@Fs>ql3_qIOP*m!g~j^fW03
zppemlDO~9AEHc%qhuO-|wy3{F659hha7#Qz?-vK3DTi{0yfkOs5@P}yI4x~+c>yyk
z7>E``M#+cc%<#S%x5>k5{)48DP3os`l^AHACH!wV<J6l87de>5<;=Kr4#RXLxQJ#n
ze~mMx?wiqqsoU+<-6LOC4#vl#Ybt+`XYt31qNHH-Y^S^UAuF$;ZDoJe#>a4-*Lka^
z^!nN$6yEb3hK@<6D<Mi%mU4moUh*8ZEE~Gvk$6>Qy>SKq`u4t;Jt42;-{r?Xh9&l#
zc{eJACS|v>W{uAAj8LjGC+gxS>4wYh9B<l0hTL}%pH-<?q!o0Kidn0xD3932!pK|V
zn+Dsp?Ur4`^Z1-59SZgW2)<c+|DKoz2)b84t+n@~!$s!_+RtIro%`6qYJXxhEvk}G
zQ|KIOuOBk~YqxU;I+(kBlhSz{g15ok8H2Cmm|b+5{o>AsP?`oQLxDE}g-8|qPJZFk
zBD*K@Uat6;RMBagl+Rewm@qLPm3l<9;;;A@_%&-?YxMztFZZs1Aobm^UT<gh7fF;b
z(zg!g#_xRA9ddluWg68am=|XL2;_vPV;3r031l}m%|?`#8Mx)IAGNNBmk5hqxw19y
zw2h}294;oqflTJov3+}%kXJ)Vu#zJrPlx2Pe63Gw*rSr!JlQiq9toGTH2jNGP|qP6
zSxeaq*g!!w$8(oJkTq}p8dLRz`sP<$#mBde&vt9ojR=k9+qp+HZAvkC%va`dyF4v{
zUz)AcMj()$5_lh)4~(r<wZv`|A-sVT_G>5;y{l=duuZc3&$!{c4)^IIAIkV`yI|}D
z(&~~jBO9|#$!k+RAT@t4QFY){{KaC{P#zfTfD|CAq{>>3=%meI5OAF&dir?@UV<fF
zeOf!|^B4>#_U=!#AJ_>Dh7~l=R!oR^m7G8Yt2wq*M>?iE$zdDPa@eG?$kO_&_PI>e
zxs%Rwhk(^xIf)A-V0y;QE%o)@4m9cr0mf6};Eg{~vWkVkmZJAH%visnVP~e97h6H=
zfNCRDpFt|~G_|l?xWV-mIHC}?Y(Nv;`>B#F8?rP~v<F&IOw~cR!Cjo9m|eAra@W4f
z(x_~ko%A!`Hi^Ljef4|LLtY@1Y~&^rizWso7tinCxv)yPmzQEj?>P9q5$Utyo4cQh
z@X0PL!iua&k24D)Bi*qcycxMhGOjZNf8t^bXgL6q;qk-`O1!tNr>wmj1Pj2C4Oyu9
zUbdTSW$h3x?>6D?)D}`|7IJ!X=DaCtUulw6fpxD8EgzWa+>-?{`{6?;y*%e!OY?LU
zI`WisXIbxbA!X5GaM)#xEAbK$&_0i27qJz4K~QyM#0#tsSsBK7L{i)*I`R8oVjRiP
zWhJzwTqH@1Qc6M=d~uPK97QPkOQ?uv^S8H7ow!IbONvq+PCxp0;KkorIqh?D7_ZEg
zC{D;JWa^!wWpC0;P(OhdTUKsF&x|i_h$5rlf8};CPb-tXVt1(iN>uU4EiT0X9gQ2A
zT<*+-W<L@GwTWAdV?*-qq$83da0#UgXYdSk@ot$B^cd)NlPdkIDfwpP)X}Roo~VOt
zYEC?GlPdt`bV8E<uc@nmYO4MJHYtb_Dy1SKsnU&tN`r`WNjvEpG2*2JNdXzsFEKz#
zX&50OAUR>ujIIfcl+pa3i|_B8|2Z7Q3)}AQ?(==V@d+>n+L5WF5BYhx6F);M+k6SB
z7L9vN6wgA*6_}<#pB)SzZe}pQZ(Z=)9vTp7gBejVJ}J1pKEVj6!b9~Rawjd_Dp;q9
z`>0dfBmR|7f9>L33boQ^Zj_ih4U2wl16saSs+rwgcUtQf<O5Ban{bbABFNMF_d$7i
zquCy2C(HOGdeu7U1n1~2%+^z5?P&K5!EkT?vyM;Y%NKv=zrA~-@TmTIhQX?ic!(Qw
z-}Mo-!|n-hoy#l0q&gXB-k&9r&?!(n9ryxSiN&`D#1xBMaUo!L1OEJ$>v*%#`)L$K
zjYY6+`XKN4FPZuobMA=Ex6H4)BOJ$R(XUxl@{@4!^blG)=>BTHaYwG7XUh2QyggUD
zlm1^enz4vMJY0NNb4-l<!s6U>$M3JIy;7K!pIx@n+j#{&(2T1_6oC^cl0w_c5A~fT
z(chs=zv=LoTUDF8?h^fCw?_UxgwxHNLo&Eq%yd#~G)(Q?CYRyD?X==#M+A;MVhb1R
z%O<OwUOHK+gVJTw>UF}SE#@5B+$;S7X(L<ce6Iff4T&L3DWkula4kJ+qg)U4sx2;+
z<<2m1T+BteHw<Wd9>*Fj2q4};EO0r_=^EyhfU2s!@|Uh_9hb#?L)dK5+`ok<c1R)2
zn{`AK_u(r~fCNNN5~C4yw(i~mzfJU^a0=2@(cNwpSlMJl>S=BU>VTR013m7u4#oS_
zz`E<SSIX9|+e<n$X<YgC>l=LXh;K7Vy6)u|K;WrNzp~mm@;0<?dozI73B1<!JqAf$
zyzf!kcKI)x^Z^pmzm?MJ!|tP&+;MlOrc<4nc;Sa=)rH@YtHOFbqAozKdsJqVR{v9N
z`yWT$t10L{D&>#Q)ihY<KCask_3yXiT@FS*Fpxr<%zF1r8NJbCLkW6r-{){+nPdc_
zi(6l9<ASvsU>_8IEDrO;cvlCzIQX?5_?3Qo=@i8Rz|2|Z$%upVYBxI9*Z*GAqqI0X
z!m7`4enpZFG_vL~ntN6G-Nk`?iQh%k*M)IR*5t^JQO$fd99S!p^`?}1+BFSHnm5s~
zh}S>!czTpDXz3A@nll`!2`&FbO=tCF1RZj?(8Fi*Z`S8gsA^uHA=GQ3wgFmvP;q54
zYB1m>xQdZxpH#nc@o@`&NMuw{SZdX4OjiqHC4lOWhNbUHd-QFc|Jd0DXQf2v7Knm$
zry2(24!bQoSF-Biy{hIe$f$(NWoAl^4y@cJr#9}gPm3kBOQJzM3aUxIgV!)`{JQS3
zd<lWpa{`3%51e>kHEo9Bs@#E_fT|DlsQWhp<>%i<2C_q@6t4X}(=U`_8rs;YqEk>U
zwN-G7JKJk-{4V77oerRQjoSN4T)lDuvE~b;E&8G(q#X84d6D&NG^DSPi}I^2eGuK8
zbxm!>z_BT|vaYGr-Eex_R*Ls8|I2TRwrc{fYCY<8strS1>oXZpNE>&)3Wg%h*pkOh
z<W|i^)w&SyIG02^ArJYL*QxhttpTw?c1eJS$Ey2}FE?S2wAjW*V*34Zs{s#yBN1OQ
z@dqzrfGe)AXz%`A&R!>d!2WtkDCI%u9JrF>G@SsrKI5a`g5swRw!*yI%#VJx0lNwO
zQ{cLj?Z#@5^e(HYGV{`D#t<8hxK>(?g1w&zQfY*{xqdSIZenOBA{Y;OA>p>>%XZ9F
z*b|H$eEd$21o5pZQ{vg}Y?Jg>vMxDoS<!34&=LhTv47)`V+4Yc`Te&C?o~oMFQM2L
ze4KY&W~eT|+)zry1|qd4XM3OR_jWKYNpDnYVeDPGO6BLH#WJW!h`R%#`H`tV^T3aD
zbL|Df!UfnKamo2~y|7;1@h%D2*WtJ3Sa}Zp*36kuPGG^hXN}xOKP3K{AGw?3y~p?c
zmo|oHbp&_w-*)STG(G*aKbvYM2VxRJM>(b=MPcm;#<s~<@E@a>M<Ns)?*ezCn%CNk
z=M$T^md#sZn(5jjeyIDEe);XX5j@ys0JY$_3Kt8Jq5ZY$so!B1{|Q8C*ZsVz;kBay
z*D`bh&k++^$B^`A@xzRrcmb_Xz|*tWUuA8y6s|e#9`Owlb)h@?mgG!0vkU{}GLuYO
z$784fe%xVv<VW(#+4~v18gP9I0EKXK#zgyYvCw<^N5E|MP)Ur`s~Mb6hE!Sh>7aSF
zwNWJJ=f=0-$p1xq7{U`ltSra<$i~DryPE~HA;tvxyiJ2uXK4Y`km|P5*jwqnx5ccY
zkz2jTgGCXX&>cfaoDgi!al$9)NGben3XKbRWR<GU1}G;;HiLi+x<Vz{ewI5ypIydE
z?aqBymoyzy)u_SP!t$Wu3$F+akssdWAOi3OIWA+W9mpG%xH-SA0y~k@W<P2V(`YWi
zF|9@2{XgxzSnGvhxaQ$_hW4xfNKRT3-Id9iMS;p+ad*idtF$w^m)=%~RbFIBkxTmt
z26i;q&gj3>yH}fOYa{Vr*9V^n&$XtOa+`O&W48UV)y7+{`cK~P@8g2}9IorDPtiYS
zFH3c*U56N`El&D?2=P}L1`w9`HvE}+XjX{9QmM#oBKc6=Zcn4wk2ZWxWZ|raV@DT>
z(+@IMu~BgrPivKmv8Tp+VmURA9sBB{dh0srRTI6jRDPw>4Ab~*ge^@P`Kiu<7@`{U
zoki={fDc1EY)_>+*S+z8uiE<Vq3AF52d;;CK`(ABrA15la#>=Xaz4H}a<Qz7+Gux!
z=dn`ZCg~~h;vo>~qzlCxLd!!7xJ-rNZ|zrnPLe8elylOo9#GtaW=;Y$gUsfSR(%dS
zRU=?SrQ>ipu(dQ3$42encn{_keEo6?5Z5oo|FLy0a|wQ_V(&anqw3M;6~q+@uH9@o
zV0=O40_awQ&?@-Ra7G&Vn6W3d-MhRz%p7a6U!=Y88A3qu9<@>;*d<OrSe}wxc$lk}
zY%0FO`oG%?azTxp5wt9^hcY@_v&^#`uw8*XhpxDkYka)ty<{6{XhsBj;YxU)eD>P8
zoefJ#di@<YbD*V=+b%fW8{7}cFe4r`^xhLWZsAULbj}his{+Au($M^1t}oQ2V4Mx;
zEouV;sY8MVI$$2R0e@6qc#pc1YM{89Z!9u`iAtFS%cOSO3tkn@^JK^{TCM4J&`b(q
z@=yVBuX$;(N@D%YPX_259^vky6OeUIPucaw=}v(@J5l>Mo>g^+htW_<rwxFt!ja{J
z4#$=!y<sQg_YeJao`j!!sFvGU{N}lGyNPQ>xQG(aNNu_8kTts~%6rI^8@%v=190Vb
z+sAhD<Qh1D?!$H)FJod}K$m(I%(l9~dRqBh-=`aJ<q-ofe_qur-fJsJ=k>n%O2GmB
z%i0Wzg_nAh!+$yc>_73!qUU9n*6ABHJAl%amj2P7*X7cD@4b^eogBkX(D|jX6<AFb
zQ07IQ2N|iIjnZq$iqb)(Xdr!od6(X3I)9tCp6@If?|*Ja$|2VPFEUlw?eKrbZpOq8
z?FIbzul;t=a{}FDA>v5?Bgv79WVe$IhpHa3)q0)V`KqZExAIm0`WtEkWC8Vmesxid
zjfe{g@Ai)2VSuH6+x76kL^$eQ!gi0=9l!$G88Z`EKb*Ze29Jhf{B_M{>Wp}xrPTB3
zDhp}qwy`a9;+8C!{w*!x-fD}l);lRoGk9bXy9`wJ@<|M0>VRNKm>j7cXY{8|3Jt^j
zz3s$na5I&QtC;<GgFJ}$C$I7>3sZI@#$<X9)t&bDh^I_N)eQ~D=2h(%z|lkrFqv!S
zSjsH64RJ5KLvQ_CZNu*bgXa^!w!x(fKt(3C3c~fr`to{q^y*tBiQoU=#O6+U8i~y^
z&Z%cH<8EhwG7LnT99+1YFSgM+RG$Ac?eCB|hFAaIop2-h!{Vp3s-vyidP*)gIiZ_9
z2*L(IOa-V{>EZpdm0om|-d}{`g1pDv82hfsA3iGz5o=hZv*y_F*8Ym!z%vLz2HGaV
zXQ&r?N`Gf=XT}wJ%R<t8o!k0FwM*6hJGBvz@E!o3$w#NyAa@)*Hucr`Xcy;Xfw8UM
zN~Q><vMmrDk-R;;WwuQFK^VbrGo>{#-Ob6&1XNf^3@5@zL`SBN$?I&sK&7aT9>a6q
z8!X3j;Wq^&uR=BAZOxyii8!IlNhxq4;QSSZ1p{p_^Z)}gN5g21m#gQ$VTZ#}8zJdA
zj*Ho5v4#KnN&2j-8f@ZIMo)E`x^YdX{bMg6*RDjNEtW!P*o-|6{)i+6(_LR&hTZ4#
zxp{}t|D;!Q2EzqVh50sawrNmE+Ae>f{s?t3eyr{Z3hWUy(2#Kc%jFW@xm-BYYbA3v
znpQ=%7&Q0hfodY{Pt(2$-jy>C8!SH2;ki%S>YiCvYx1ahA`XPR%>zP5KKH728L>mX
zvJ(`HVD`Q<DG%tFGWNSh3t&!9L!qXQUKSj4Ct~tp^(B%`rvv+rtq1zO<i3WQm656^
ztDQTwD*PwsmP=WBd`G_|_q!9y_?C~uq8@C0Qq2FPoBaUP1jY8`MTsyD$%#CX!dgXW
z<G;yof-C>!b1$b4NDf1;Gz+W=S|X@1<~@F?(<V5V9fpuoUOi-Qsi{9&T(`=!w`l9&
z<{BmdXbtKHWwle3Sa6-Od)J)xe+rcQ--6XfGYf%Y5@12Y?MM11F7DJ8)yIJ`GekP2
zSN9x+2WBmEjn1k=&p?HGCO=S4UyhH*iPMv*Gx(gi{7`?sU9l6l@qnXpVHDVH?sW#<
zHu-=5wD`|1=}-)|w+F~|=<5ct(#afpI4q=8ANK@PD`#%Iavv*?NyE=zHUS_rtA&Z`
zb|wPOUG0)B$}9DARlqU61{N7C@49?zj7WsUa;!ZJWroPZ;@0%3b5K&iaSb$c*1sOv
z{ZRjsVnvODNI9SrWu0P+q`GvHOAE}CW!w+Hu4Ppn`q24kq~d#s6Mxp$m!uBAB9P*S
z9|x!NB;cQaJ8F!EBhtJ&swj?qg%N{gS=y?Nduuwoq2c}Di$(9}eD&DL5fMJNH*a-Z
zencUI=bzD-$}Fe(_AKY~0OtDAT5Wx0f<oG)x8d;iS*_7O-=4uPYad32_qS0Qc<pvr
zBeAznkh6_P1%w-ZCXdips_z%dr#CujjJk{PG*%rn9yb(tye^|&GjWD-B5Bso5g!>3
z?|T{`IH9y^T@Zzbbx};dQkWcG$T=rfXspFp@14;Xt_<@?>VIgln`QH)i67eu9IMhd
za?nR-)VHi_*8&zl9`#V~J^7^fuI0Ct`i`_~=$}He;O9{<SmsDkF>D`6#AIP}r1Sp$
zhsS8zm8Q?4&6*+Jhk17#5aB2bLQJDXGM`Lur$+PhH3P9QD7xO?KJt&x@{sX1pT7^`
z*!+Li-VW1(D*}jpmchuCMOJOjp?%(5{O-X~sq!H)0>ig)N(`YW2}9_Tn6Jep?od|r
z8~y{(p;XFxQCD%*fvbdjYlc|1F)}kVCnZ1y#f4&L-aKVSkFGMW2+foa%e<~OTIF+6
zru(ko@j^smL8+FqjG{5@FErk!^!mm^%?|HHv%5vheA5bMPJXhm<z|Y;HBsN4>JOh}
zg{cfgeXC7=&0ZcOz09{;M2kjyqTD{&*t}maTD9Y)p@{l^b$r=TxA(xzqv_Hlz~GNN
ze1%7CP^k}1>)&Z0TBqBPomP>$YECEg@7a%5OX-=KWt(DjX><FzPD~k58vDceS6~@(
zaD(J%T3K=D((^{n_K)7Bv6}kTwec1IDkiPqKF#_b7PWu?h$o;{!dSG9yZ8>D&r*%W
zL9<<;j=guVP@5?uYIfyRAPm&y>>PH2D3n8kS+e-)1RjQuvE#K4a`?s5S}vNd*?TnJ
zdk{AyGV;8zznil;Fy$&m<VvCBj|nA+XY`S2&D~LlZ+LSI45FObS3j?31#E=dA~0x_
z_anxNF22&#JlE#r0~h@-f<aV}@F3{G|L;4=Dw^3?;Y}+ADYH5=vei44f`ZjIXqokE
zZQZ(z+wxDTtO}_Dz6S+D6Yy~5!xxvXnmT$KpyOgw+=|?u0RRCIW3hh7WwVnt+4}T;
z58^s@^FGNqmi2?s!TX%2dS&S$WmYnM5d|aaBg>nc1kac3h(7VW<u@y0T&hG`7QnhV
zoh_VFHjq08+eWP@CvS5AO*0J>?%wrRo_*~mA+f;KCnZwcbhv_QOWNW=kktEDJe`Zy
zw;7QDQnUl#=aSdCsoXVFG%=SPSh`o3)%FHi`2Rr7g<RCw;sjs9S^(;g01TqKTWPJX
zZd&Xv&~;BVOes7KW3$Q%^sTBz^UGNLu1K}U@CP?(?(oF@m8_p`ieg3X)Qb87lI*E&
z^Y+%Y6@JrWBeTD{x6LhUrK^P&-&W3!%-vf|>#!zl(`xl7wE&sXQ;>C{)chnr;&j|0
zyC~V>FkA40q6%ykWK<b}WDgSAGtDvGT8|gnI$o>Tp*22LezvJ7>T8s=`8S@$(L$<C
z;r%igeDp1~6EhL_s{TxjwJA11G5{*#EzNVXjTD5>?v>MN`UooGc2Sp=MMsI4FdM~!
zg+S@<uNxx~1>HC?fc;>os^Hdi3QsL~^xR`?X)wj`g4-RN2%2AqgJz?CtC!1CX3A5h
zQrdcVD=)^=>o5(+Dp7zIF>qwqPn4`hu4VSm35eA|K1aYE2|KJxwJ50Vs0J8c2M&nE
z?C*=DHS3}8(p^bg*jBuhC9E7EVWz<KbvW+iN}H?WKKg%B1k)MC4_xBei}@iKe(WF1
zH-~w<i;v(j_jc>$33pU``<s@GN{5u|;wyb<;qJWTqLJSD4Zw%{fbZ)&-MxhhF#hr0
z8vWOo8_kp;>0)OD;QOGJ@=-DAS98CjC(#HRwt^CZr!e|mbN{g~_}u<Ww7tgd5pO$u
z;=15se6}OMa$@=p&%#}cpjkxsM051hJV^xr>_tF`Obp&;{{G%?zSbLRrSkGbqx!Zl
zpSOiH^*ZtQ&Q$z9CQu{Vl)5vG7WCiidCi}*(OfzCUBoXN+RvvN$oHop?zP~0-O4H_
zv_ak0^o1p*7<Bi$4%xzPN_}E)UNRZdy|c~Qd)2g--5?2hWc!c-`=sT89e|_qH!Vy+
z+5UND63z5-{V~URBR#D5sdr@T(cX!1(~U&;->S<%=|&(0+|yV_68m~`U>*{*vxcju
zxu&sBP=FBlz<Na=rp6YWj#YppMjkKC733Sx>p#4&M(i$ho*Bv24dnLgD<~S7y90Rt
z$6B_FckRW8)l8)(r@=aq3%zv=+}C0ri~zLhT)GCiwYRN$*2T3UeyCLcENUtKhGXo4
z!aL#XO@9hFM6bnw#nZI3W-G;L;_^fA9@KlF4Pig}BGn!16kp$2nfAx;sN=2CY$Y2n
z4JV)`P=}<zCU;eyHYGBQ#`B_prUW&wQU5VvS7W2nGBtQyKyRg>tIMfJD*2!d0zCt&
zH&$v)6p9Z}L%IB9_gOI7lavbc!y&_qxz1L~;Iq=B<&g@PRW+rOy*G>;M`I+zWvv@C
zHq@C5{qR(ZG+D0RZ^*c(-Jvr+xJ4Zrw;C1PQsaS3%3r{{fIIo;^bRNfA@e6r)z)6$
zywFcsC`b3`YI7kiFVhaQDgz7MzKqtRlaqps8k?*NI;AgE73gH}LB*_p>sNAVx^-yy
z@UOB(s<fvr+rmGE+F*L#v8ScxI?Th!k@cW`p-AUNe&$i-f!<}+mt~&Xa%`g3PXSlO
z=T?Aaa?K^6$-zW3PB+#EE7|QUc=sGqpt!B+y22#!V(Z;U))t_Rb~Og{13k7#C0<Yr
z7I%qnLp%>);l;-66^kyeAphZ5xf~FV%J_eSDgb~s*Y~)NC2mWEB71dYcLNwg@joPf
z)w;YJit4c|nCD?GOtd1=KTcX9ym8%W)$=D5MO%-QWcR$L!5)8$7rKF+_#-l8rt()r
zv^<7qv0>9F6v#8p0fVoJ?SC$d;ZgGi@7U@7PA57I|4r7^e}OMAU^2JkRSw!1|6Td|
zXM%vKSaJ4I=f8r*8d8WxseP=J%s-lsp^f!(`5~FS;V$h%ufEiC{F2TbOdWxcF~~TX
z{N`Ku+a<d(nL-}rmR)?{Di1eaqqn#8KXnsboyp$POgXMGJtV}D@Q=-US;-x`AhOim
z889{V-l+6OqW3Mo>CkA^C67UjaOA6-vIq!F_FRRV+U#_FMBsa~RuiWWDSH_}t3z~r
z2yLq%Z2o0X(*5lx7j?glvjd)I7^)gN$b;w>1z$P>{mO~XY2>W%2H_3Us(tDn;6QVM
zQLVB}#W_<4?62g+dOGVJdeF$K^U&4aEiG-HbyaVr!2+<b(!(isi6`qA-dXJQG#g@g
zzRuN29-YF_V+g+$B3ay`f=)>=WS~tMzi<4;8S4^@d3v2iP)gz-s2oap*R5(FKtn*2
ztJnkdMEN#(@|lf`Q2-P7H$QI_lODUU3v0io<a;K8BHC3>$VP>x_~yroTQsMg>*!x6
zSmCoX&j=m200;%TQegDM`AKK#fRpFS@yTY9(t2l_aAc(KCtIETS!M2FLE$?0cd08J
z?1e9_cGRdVI#jn0p3$?UO`YPCHVV<lwqsH_!+8M^Uqd9pc+w+#HWx*rQ(^N7Dll96
zCn{5kiDQOI2aUO3U7xilyb>FSs~xiDj?z2-Y!m8!t%fI}?QSPj|6y1pJ4&!Fc1ja1
zxfdd70tjsY{m=fO4yLSm#0LNy&=)U$`#C(%@2MLFz<rm$0Dm)DNvHQd9!U}Hp)z0a
zsc7<%-lNo?Oq)R@(;0_MQb8fpw;{;kbrvl+&oGLcCdK9C&_GeXjee_-yOkDuQHZ5X
z?$0)*`-dpHC}>c8C9|B_Aq)4Qtgl68K^1MB3ALW;9WWsUamIq>{lQF9l1-<n!V-_c
z{Id%8(x|HUQ%q7I^0rt@S6-v_dHm%&s4)QOakjzELC!w^EvQ0wUlT7dd|WW`)-#~0
zK}nxUfom{Eu_(6N7hVS!5+Kq(C{ph~j2v8(^`Lb>b6v<;Ug-xX{`>N3$z$kUbJ^u~
z*_q%VnVH1r(H47G$8;$%2j8rMpjsciPr>FE^tTQ0GbHpQDX?n+3M5|&JxKp^SED0j
z))tmBSW~&)Kb&74SU;NTJ<;}7Enrz^MD@L(SPS1zWVHn8HPhl_xb9TCM=&$}E~vsU
z<Ptl2@AEK=Q0X{s|4e-ao{E$jbZd$$W)~%&NCO{^6y(H5H|`A??{fDJUe&YglFu6)
z#Mtv1b8fV-CGM1HiL?rdkL`K9XZ;M?fhHVdTmprn1ID{rDOn*?7n|i8^B+Sqt&3<t
zHQ+@Lf(FI{ZPVv+V2-S&I26CofjwN>*foMT&tQ_R;;$${B)}pmdWob1GHOxZATYNZ
zVgaI$>tl7E{KRW@k;D;ZmR7MqI?$k#$}dwb!+yC;#as9K3&P0F-qs7zUP%UI`*wmt
zWGQ++(fhWQ{{))z*Rc}6mY-&94LtLoiohQ>9Q-^<`q`&e;gWnyOqJsRQw1wHnF$f9
zcF8)Gm!cv2n-)X5ufW85=SzSH1uak~skW0hWRUg4{MwXd-9@_Ps(2CLw}MYQRzW*N
zcR^fM^lUETP^Py?xI65(f=K3BCx-N;&MPn8oIfjj!8+o;)j8}xcdmRVbK-xm{+h9m
z`EEf3Pd@r_3Hx>b#}7ke9(;Wg@I>eKIht4H=Fc;)OW!4bQ#x8pNn;ohRju%?Iya11
zXg{6QJ?>{ck-IQa>oD+Hb1^@vJfpnxG3+Gf^Tm8kAi=RUz!}H5T)f~dzJgm3Kz_gs
zYcxCYukNdmoquv4*i<)l7+#~0ZD*rjo%!|a#5=rRQl?NeD|d?Y0)9QRa4*MneK*QS
zg^yN#`xTS_t@>R|yQtd!GWYTgV8n6j1(VM`8KFoAt`4eH5lgZW#7cp!>BgdYp?Yw?
zRr=K%JDf0V{~bAH<zycvi#K>vmz9528Yp8=6$#Hb=}8o*<MXu^(rP}e$t(^QRx9{+
zgjWvyu6Ox<k8(Qch(5A8ZAe!`{Nxx0-!gI9p=qMojEkA<{GH!(zk)1q1{l}LaU7{c
z#O)`N?tz9S_)?5N;EReoC@#x%g-ER_NaNax*pN3%wfA0a{fI%<xqSx`!}0=M^;i+6
zUJ%RS(F|zUvN!jWr&Cxd)cTzn^fFt|+nPSY8{5g}(vg74YVK0#fy##kEh$%NbjZvt
zRi_U~mXw%6^corw>PFr4ME{nk!j{QR@z6(Xi7$lm^5*XB1M>}8_3*UrC_Qqj{_${L
zcsKv`KQ_t~TgyvfSRCrteM#O_6Du8b%kL$Z?t17|0?S<oT1Fz98|3cA3AR2-dLXJm
z;j3vQLLRu;qurtI6njrpL77n9K6oa})U9<jsm-QwGJ4k4c_8Rm*h_)&(KAcYxkBg7
zP)?1CxF;%o!Xc6|{?^awTvn*$8)DOEZtZEtA6^=Wc&P5-IvmPV^AFwKwrs4uh_Vf%
zrluWOVK?sgE%84q-;SxJ7W2yPZ>vRDLRN05S-l5icb<w@no_tpoOXe0;yQ292@FIm
zvX<vI#d5TSiw5P$xQQyfV7mLJ!=BJH&tkh@@N%MQ?1A9oD=d16mOB&$ew*TykL6EJ
zZ_jOf9n3A&^dw-$ky!2;)`OYlZnO@WpbJ5BQ}y>>JTb2+KRNt#92rd`d*-?twa-v2
zyWjrv<hO4b2}S&l?shG>xI{G;LJ{z}>HIJke;KaN*%-x2LFJAqp5@533g*TdRMJUT
z;+Z{%c~*~zeu=31q+l!j8yB<aZ@~$F{E#HDOfDG-CJxc~D@+6yt&<QOnPMBff*s^B
zH%WWH2{#`-V4zb1R$ip47jw}dr$S6RioSc{7uq5=a0*JoWv!TY-8!-pp%)pTv>}ci
zO4csuC8y_ZL?cQNyEqBr>xeg-T6jj}*lJeZ45M(+rGP-e))6V^<=u_WW*rnA2kZ`}
z&b%Uy0|tJf?if1=uPVg1N=&?MHkIaD@_Ij6jM9?d;FHE8ztb&V0pfg8HNaVPGiqFO
z7Dz*G>Y!$cm@@gP*aKfH%w;a%l*%ODs@yglrLjLYfE5cmJ(ArjmtPS3MD)*k;9YRT
z=7=hyi^_1)VSYl@WL445kJt&D9|q$8j7|QElgq0V3kst&QxZj(Qd4DY$YH(sbKXFA
z)Z<c-6%x0V-ih^AlEiUNs#A&nDCY9Vdx`Q*Sl;MSl*d}6soq|&2!nxG>-Hawo#$rT
zS<TdsFVb;Ho(cYR^<<IVZ~n?nH%Ti8PVQd9ZPEI*Ys5TSfo>(DQ7GrMtNY9INGdd-
zh@*~lQY35TxBcVfiKOk;91?YXYAo3on7t(~#H`ftB>$r*-ITqtmO4#lAk1xEN^9b4
zukEEeT2kH#TsdKCX&nHh_O2tg+!Pk?j|%jw9wT@5d7A+ickZ~}(9&oVQaD#DKCsl{
zeL-h2MZ$>To!T#1J?ymd=~UdzRP4>tsGWaZj_1W&GoBuIM7tiUYO6i^>?5d*ykMP9
z&m<m<VFg`VBZJCVzW>r1j0pn6gKO-%3X08bfFIKpe=BN1D6aT7T(Ky##;?+@Xzm?_
z?cmL-QM<B1(b`{Dg^?5g&V4{1`V|J0xM!QV^s?V+dXhAAi#Ji`2Y>fEO1`oTabK<f
z0tw7?X|7Ldrrob5HJg*FpOyp0k#>puUAYAu?mJ-s{}t78!RKb_?8CUx;k~`*Is^{b
zH4LWnfuYAwLJc(TBvn(-cX8vQ(G2i-S6@#H!VfJ8%*%)|n;mO4I;I>3Zp9}_+>7?s
zo`FIxBqGvmJ?<EG>ruXP_cnFgACcVBcw5iTAHl+N&&M39WK(K$qdzyyFkQYYe+H(A
zMwANr{y3MfmI)cPFVW&wjuZSnY7h7>n1Ltd01@kW|LZ)b6C?2(-MO!jz3mfyNqnd6
zpgy*StZa}8hA$%1USbnJc$PntBWjj369FU1VURSw2F?;#&%wgqjV|AwPGz=TmZo6>
z?>;rQN2(C9Z|yf=OsxSO=&!RBs?7U%{Mq!8qUPxVHF1Kb3XxLX!IImlsfN%hL+O63
ziQviE6F^u$vfE2q?Us;sTatAID?mXR<6y;MaHwIliaHO@7ew+ChBV|4>t-ytL}78|
zpU?B=JbPeU`Duad^s2Qw;1(r$Jy&4pK71<u==t%9uOVH-QuQXP_h*;zyhRT@4wS*t
z5~+TyFKKB(W<7G8DKi=`)~c8^^^@~34qeeo@GLvvTTl!r13ZWR=G2kZ;_}|<?l?$Q
z2n0Jd^TN&SNqZNkirRXuYlX)RjaFe=hA)`_C}KfM)mxKo829H&T-V(RcwKfxmn(FV
zfr5U$=*p`M_50tmF#kXwCAfu_j?uI1mgCkr@ocN}ve3(Kmn#-Y191%fqTj9TM@b(H
z7bJP@{}jx_e9O?h0MwR^>R|>aFj-U*@P>dW^va)MB6W^uZ6*?jlZySepCp(37gqAs
zI?VJvF}@&%v_YSy*ECBw?6@x49+ms|=1h>XcX?1e+)3ReuA@tbNBa$WKGzqtPIJSQ
z>jcLv@H#GLRFev~q^377eHK-~D#b0OJ*l!XF(pRW2*b_6U_n7MCA-7A6w&G4gxwYx
zk-7-pCEGk{r6_b6piga!bvJf^ds{qSM_79PN^w)(wBN%P`leVs>lgw)+9vqi@?m73
zFfqnT%#*;T$cVijpJA=WYE-@5Fm+3;(foR0eIH+H5TiYBo%!{~<9~PqTFaE|Xn2es
zPSQ8^^ZN4!piZj2ukjX&JOSOAj37`4xbFx`h)+4xHBaQ~q~L%yu{iUTeQJNddNo%C
zs1g8RzocAeVJ^`y%DJ`)N;%#Gw~QCQ-7Ko4;yv7ivxN1)j#V+!$OZQ|7!;YEOEVWg
zc)&sNs$H}GV3`yzvmzr>`-X1qXN;`8pRJ95KkE=%6@@yt0%@x7hQ|~BN-<srCjS?f
z|32M5euaLwJXHIVuB3djGd}xuI<lYsFlu?Nj4YqSF6~Q#Fx1#$R_%=M+KmKh4@)Da
z)AFhO@?`e>;TMO|=xrrydXbwRmYC5(m&V141%lK27Dd_M7TEiD5)M;JhR0Xrc}{ok
z?>-4suU}JiN%%zigYDv9QH7r;!RTD>2X!%kJnQHV><|0)FZ1e#3gvn9#tH$wg9{<(
z_K@S(4*K^d$HeOLzR~j}r`88FV6^<(dy*fII=aXEqiq=dRV}X!mjgkv8y`}bj181x
zw?fotNjk!2<(~y=4;ymWZ@rw>+}{|evLN^hmRoel2)B((t!mn!w<8HIC9c)>si|cu
zm~<P|92f|JmaPl76W(B!qUbw2FGcZpK*Qj6DzZb=cRU+WG8D~K9h91b?V2s{8{-fo
zdog3~qkA;1i9BSz*ZaORr1hr`frI4-*gp|8VR3>@H`tm@;l6i^j!V*TY*(3Za!&B*
z-Z<%nH&YHL#CoR7cbcGw5;mr^CEjMVCnzM%N&C6%#cI1bcT~q#svt9yFctF`UYy>f
z6vi(30Sd@XLXpP=?uIT&rCK6VKDl;<1C}-g62>Lk^s;ZiX+sPa8&sfp46?nclY2te
zD(?*@tHMv?JP8cnee_&^{nv+X>@gn<N`B-c%CPRE&p|S07FJ24SswW*)9yR19UIu3
z?;dc!V~||S6jelS@$G7G7-@Xecqw(Oc-yYM;D_nBrw!alarBkGg(#sBJr>4vugcq^
zYNDdY$;9Gc*UcVoRs3OB%=AT$IwmsIQAdcaODDojj?g+&*Wco2r<B{6SK1jdn$v&h
zJSq!mf9^ugtkbnZ{;Wz+R=mL9GoFfq`|dd>4`@v$qraqUiYEz<I^!MNu8zGJ6#l4v
z<9@0NUj^2^?;D4moZi?AeanAcvp9IR_pT|oBqHX{J*g#p!WmFHjG4Qq1PoAFJ3EE6
zWISE(x4Y;N!%f9Ha7~cO*=u|vxZ{~*PQI2W^+l-05DzZqt=#jh+YBF|ybvbfMLwbC
z8=1Vw$^zrCJftV`RXn~0RV=sWvkg`w(l$-~1iTh_Qa}3PVu6Y=J#(Vro6{vXTFfnx
zKuC;|E@pFq*|qd@$;A#yHAY@TzW&wC6KN!1$_lI_7K_*pHGGGvcx%}ii+;@ai=nWA
z@%3V7*L5f>#3e^hi<-}-xx}1)4U|M}3o|#YO#MCO#~l_%!}UD>nRQC3fXB)_^+YW3
zV)r?qs4<~u<>paWe`M#T1JMg#+^K7DyiC=4L)Owgsb-B8$hxn+5Nqz0_zoPlt~F(7
zmN*uy%7*k99DV@J)pky(&yV)8We~qUJVM~*>K)f{{NVgj^@G_smQkvS$}q%oxvV9l
z{~b!}5^_+O<IkNI#d;_Yy4~@hmf<G(-SZh!o{&FvM}D_dmc0J_xPUc2FDoA&M0H*0
z)rcKnmBs)HTkL*|4>@OshcTJ1xafQsobsu$VhuBu7SzfU-y0xWAd3!g>cDvq^gm4T
z+k^ISIib)KGio<3(05dOsV!*HCGwz3Vy}kG^U;$Wbo2W|-@SwHO;UuPt>mdeY+MCD
z-&cZ@%0M+v&6&a+%Hu*%6{^bNl#0gz>2!n?^ty+?L$2~2QAK(tncvw#6CG(EWA2hn
z)O_^6&vle&<c}53%Kh;e79Y~j)$;i62?cn!N+dQS%*9HC28l)+&;aWligPfmuWRU9
zNim(lSr#LMC!L7!>9k{RipZLhEpg@WZT3e6Pw%U-&j|?tgU-)6>^Om1^N4oE>KT<!
zfQmR+d(wJW@~~?(mCo?}l~Z9d0X}z89fsV3s<N&yEU*e@&pJ;%JThP1GBeZ5N8%#w
zur;#%O&{%61hz3I<d$|FEQDpV-4WDtdvkZF_})(OuvI&JN2FNZKTRk)=If(|;uxyN
zOS;kwUYzDH%`nW!j34cxvrrrbw42{8Tt#UIO|I>%-lLsnICtgu(KDh+H-QF8+0F6`
zDO?-U`7h#4a-V_4^?Fn!A%p*}=T>6n+25ERsXShf!Q9DQjvx+W!>gNuSLQR_Yb)G@
z)uLtE$-P6^CMyK_?Tqa&HXIEWk51_Bzur0L=;{Ezt-nW{y^d>PJ<&p+W0=^8iEo6^
zQcU7_>rBK21kR>qiDf^r#8te@hk>VXbA`ny)qcE|p7}L?+n0k{IhXORZK^ndsO_|P
zb#`NRB^Z^rM?3cQ1pvuSl^A+2X9kQ(0&Ut}VeP50W%MRf+sABzSH4yubiuM)?bIvm
z(q3<*_)UMv{d>f{!R}G(K~rK?%xQ9SclA4$UF%(uj=C<px7ROE48O0bsuc4Ir9`)1
znap{oQ9LB^6<iOToW)~j$F?U1vV-ITq~l?Mko9DMux5dkg5Y+{cvHT2I$=}JK#UYg
z{WX&mEMfW^Tu1ZCs}pHeg`Ht{-Xtr9Q+~AHej|q})-&rfVkPDNl^bVto`-GA-L*{a
zT*nZ?GUNghE&MT*u>YFEn%8TQrJIv#0XVSlIAAPLi^7=7Ky}ba0<2A`z|@f=-^Tg_
zz8x6EO#%nd%)Qb+Lm@l5QIJq03FijmRs^ksiQTn#bt$NN1RQR?t@fL-^s*2PM5GoV
z2NO%^{&5&+v_hU%nK&Lwsi3CARC$xFsf$o|SwWlWE_W2`yik6bi+_`<&b;w$3}-4*
ztvo)woWCR&TXFexWh@3)I>)%?5jv$&cJ~YUw<EItV15ep4+P3&_D?im;bY!Aqo|z;
zM6LVBR7r)L<Ghmo<2trB*Sdx_K8d$bt7bSiJJRW;<wLd8|NZM)SCKL0LLRo5#hWPY
z^MzSB;?j0c>Llh-YF+<i7zsO?wQNAtP7bVaM5rXR2^8AZhJXd@7st*2?sFp5MMvsZ
z3Qixh+gB(qh@o1H%L=|#$hcOfx(%Fe8HLbUzR>@>Tl_k(L6$5!D1jE?mI!<1=%($1
zdAwRpJ*}d$McQ4HABD0_$E;`0oSb-^wTSIeI{%0^7|Uu`A(Qv-o%^nMHAi#KXDZfw
zH#;mk?`rCc(0u-Af9Y*|e11dtt!g5T>zZaYt>KdF6^G`C3Y976TU)6Jdp=DLv6uIP
zBqh~G@86BqYK>KS6HV?#{v^$C=2@fjNsOFOMWp4KR@$Q$*LHW3i(S1@Ye}KHa4FL`
zD<oI!r3qhbIvXuxjsIJ5`1#4RoE3}cZwWk=TaqcLCIs06B@=<-wUn+ak3k6RZIn}D
zmCCGXK4_V>_2oV7ejU7Ua63$O;;B$atmp0AusvCcUt)p$_}PR6z{?l(7P*bFOc49<
zsNjRp1?RZxP_r^dfb1*EV!sgK3_n|>HhzxQ`eh*>&q==E<Z7emxevE>N@dkbOxLFH
ztJlN?w8S-#6*fgzCCCF7uFG9s7EX$i@B35oC~8Lkox;%czG+Ax{B!2br%M9@bM!m>
Q;pVbukJ(+e8KBGif7Dp_;{X5v

diff --git a/SPI/db/SPI_test.cycloneive_io_sim_cache.45um_tt_1200mv_85c_slow.hsd b/SPI/db/SPI_test.cycloneive_io_sim_cache.45um_tt_1200mv_85c_slow.hsd
deleted file mode 100644
index 780a596afe53ae11715fa23a417ccf61412b742c..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 747037
zcmX6@1B_rxvmV>FZQHhO+qP}nws&mXHg{&nHs0L-rIX^9lao$&RjR8{0001Lp#gs>
z0D$%HV)^B2rcTb5_I3n}9CVCy3<N^1mNq5?Y)q^K44m|gO!Q0)j2r~YQUo%VE~W&c
zCYCP0SSlU9|9zs@1p0qm8R&oPe+1X;|7{+Cmp#xebe39ZtJZ_Kx?njGG7{^E2%2O3
z4{Q2s`4t7c6q+!OCoaI6(pN|S{_zQOFLlN5%rIC037RGdz?=~gS+|42rjsDhVbUdn
zs5!6@(4c5)(gibE5Fknf1u067^4wf`@7+{yKB-?dzwnuNTAStWcDeODsebvZ_N<-y
z?!tRrHje2<`{RfCKlU+yegJ%al;gjy8~43D^qo}Vd-#7|!N#k1ebpB3-n_`Gn#=P!
zN@#y*>-O+J%ddoMkNI}p<Za@{QMHE~;DW>t724)kRcnv^oreoGKn4lN`zb~Z_uFF)
zHNXbhva6utb5&&@6Ka4C`fB8ckzaYLHT1`M7ixeH((Nm^9<Gd6Rj=a!8F-$@eeO1L
zuDLK8F-E{SFXT!x(1!gq47+_&`JnK;+>#^t7rlwgB-sb(?Gtz<xK;!9PR2ap;k;Q`
z4==wmQ+sr`zL0hISLN;+CcJmdJ@R7v<wLl`%+33}vsUtcokiAjsQU8z5!j9;x2LYk
z*X@}c&;MV?1AE`o2KQVZ|HCD7eLS{%UdPA%j=azJzqt<g)9-KOz2`Fa+zT;M{<){q
zuVA~2xsN~pkr`j!hDOvZ?<PF@$sf;$H|BhdpEtZ8S-R4$e2^#jmq(u+PmR=<T=$ox
zhT7{3*)K7rmm9w3A?z@BoA@pPe#fP^)9*{Sm7A*TRfhR|_oof)>Z3X=JKT>Wz_vfT
z`Mi1yM;q7GSnwjw99_N@Zs6U`egbXW`#TT71PXWo;@1Ad*~|MO;a=|j-U)I1m+s)(
z4-P!Me0L!&xN-LG;beIJ>$sbj9$qSkcfXb!H`F{ee*b1}myNr}u(2b{t@ttYG~4?%
z=ga3S<!~_l(+2Lxw)eupwKbtW+`*~XIlN~#^A|mzt>`Xq`tH}utvuhm%ahYQe>eB<
z%N_K86Fm>p&GtPXp4{CdT~_8dPjovhX+7+O?;G?z$4by?^=b6-MUHQKkh<T6^>2$W
zpInb`HF)k%I;^^>JvAM8?zkH(yp~ZF%0)#ExSH-`O5$R?h15dwm)V^>uFFR|j{591
z3eWB1hs%obFC6SHD0zLxz@QoW#_cO@al*jC0+Tiz%cZ6fUfn~Gnh5rP6J0dRoQv_?
zbGOuWEl;Z|#*$}w;dXj?JW-!s6I|?kF)%k|;@c!o2X~LrE=y)fNrxvTQFKVLNAz{4
zvdoI}i=Jf`^0Lo)!$(s`HkEu^iFI-0e2XVnchx^2uja3-D!N48z;@J8JH=ZXsjI69
zPf+0t%qc0TaS;A2NoWcK?o>35K0PaL2x%U<!c6ArT{?V$Z^BKot?k@DWi*Al!P;}T
zQ1|Z0x!SXD4mZv}=x(ig3{+dM_0IJ<d=JjLu-JBg4!$|t2MP7P&~!I@&*8n8(<G_*
zrErpGIwwuc3{KJS^8Oyz^nCAwPq-Td;DN>G3&$HYf@b8UvHO+rMi*JX%Ln(pZ?-n^
z_0ES@^xgOS9d`b%q0pt?$Biak`n<lke{HXh^n;k*@7LM8hu~9VNQ~M>LQ#DM7k>=y
zzqVM1>wm255jEvH(`}~zsc&zUAjxeLai!fra{@hD^E|(u&K}WvU2pfDFz=@GX}4NW
z&{jR0L)$6-A*bKJ>U-Xi_gw=gr7tj_yDwPCu~BWibr$G%XkWq460~W(UbL76=`vO)
z)Z*MekMku}n+28R%rMZ0Z?J7>&|SkN1#uKygqC#RScRx;IBXEt5NF#0mE6lRSR-a2
zIoe$bFGL}s?*~E5Bs?ob?UIV^s+Z6UfHdOwJ^!n=$0B}5t&_mwip0~x`+>@fg9<6D
zi}12dia5B~(Rf_AG)^s%gl=Hn`qgV#URF;nviNptM&C~oA6`7H?7ISYV%mgmkmT!c
z^GZEvyPfV1J~}ZMH!njp{H;KEPB)8pBR}<?!>73OSln#RZn3A}Ad}x?IfXs!*P(0P
zHD>&%vU51a@mzevyjukL4;1cz8w2dl+9k1B)GwBU!uv@~E<9-flhOPy-*)yfj3+|+
z8++#+5A-CbHY~jB#oL)tWj?XE>m3C&)d|JrA+lJy^`X*d(HuBGfFr34J7^qbo{ZNO
z+h8ZpbkIru3lBm#VPeuDlLu3^PAKrDH+Eb(hqJ5>d1Gci{w|2m-C5PVF|sb|rknan
zz{SkrW?tT#htEOPLBMY)m>P1z5zVd^R&<ZeS+}|o%|C&Lk%M72c5qiNORU+f-u2dW
zi=KS_D6?Cj-tm50NAJD2|Ef4G>MFG7MW@yFxXAV$$vi?6OYKevoCSf@qT2*1?1^jW
zSgA$hglY0pOqec)d{$i|Uh!%dRTEEY>Lt<?M=trK(t*%wEJD$3CBhU(79qp(G??VT
zsV*)d^AWw*lWD+(W7u)A@-Q;FJ7i6glMZrKZmtf5S594%n52e$_8qd5+q&8ykECvT
zF-jT}7LG(S2|nmfIsJ<hCaI(-Kr(SkQJ2(%o_uMggI^!X!~O=pu|er+kwn|{c4>ML
zK<r7MY2xM`)`?iZAF%94iFp%W7PlXU7dPUX_lQ5tQ+?ym3*Y*6sPZ_j6HOS8nUoko
zu2kk5w)kFcpYVqMmvWr-CCPOG_KyCw1^qjGmhpnYCi1LWMueChY1flPIN>k8kR8ch
zNe%06=*n(ruZDF`bgAQ4(~0zy1mnLpzZ?ID()`OzOU6c187_itW6|}e@L&rW=Py#`
zT5S|XU7YUG0&_NxSjM^eRTNx7>lRq+qG+Q<G(k!b$^^>}5_C{wnN2oUAw@)<6hJo^
z4>RC#jO5v|ct$ec1Pm#ahzo%YI_2TIf%6)G(Zy5Z6Y^*aD-Cu!HKo$`>_tOqlVhVa
zFo_03Rn$XNsVg&A2DonF?m!STRA8J8=@M??07faiWbb`MP`!H^bm@sRn*KlmwEf#w
ze0?z&g>?#$um@oR?!304yY^k0x7YwnKB5wY@p$uMe6|jm3?LF8ZHT_rY6jo2wJL_$
zowCo(wB0}3x2`4b={rS>FgAcl>Ph5I7buSO@;BdiH?L<ukMZ6CB<x2*%27K3rN<k5
zUW!k6hvZgAC_ax7-jgW1C6rh2wX1fnup}|XlvmZgNXnbVQQA3NX7Ju5)||~S)%vMH
z3n_RPfaWESbWCHW_Y7H!a3=;3MEDzp)4#YZB@u5j4Pc4{e_l_ow&EIg+DMri0qsv?
z=KzyElPyKgTo^xAh8l7&Fio#ETR0T!Bod%M_m_9qEx422M4Eq!OKiJ&hX9sQxfwV}
z(sgj3BLeGMR;&2~2MxJtxG&lfAIh4xss=L23I1YqrLA9ACnYA+Jp)Q5&ysOvsK{A?
z;~SU+Czj}#26IARNXkhy0U4s7H-^APkeAr9cT&umNorNm*H@k@MWO+OryQ4(Tw&e9
zb#eG{-aoa&1H>OQk?Q%DuD%0E4`rB6r9VdxX86Cq-n@JNAXG?#uD8HYGwo1oQ`Bnu
zLcem==AY5g)@BkgNoeg^VIS8?Kz9)IY?GapvZky{2`=`KMaU$j))s(8p-R4F?Kc9I
z#jj`)b7py1{kkA#WKQ^%D!mH;AFNG&Qu=^>&eK|WsVCt`D=FGF02_k}+4;YS>Td{5
zjP5;(Az-Cy3B!peiu$@qsKG_U24QZx_Igqtl^QKNGuq!%Vt!&tfG6jw7@m>)lfUSU
zc1fNg>Up)(Za1YF4sR$00ynH@OM7+rsv;FDl=f^Ygc>q6q6@c`)S?YDWV*k*(T2!4
zD>A#$g6W~9+<hww=U%l~n?tceB61#$0TVO<lmnKPRC_MbQmee6S?kD_Jx*`lXKr4O
z^8Q#?w&%P9nLyv*LV*JS61X<uV^KDq(J{OGU<knx&>s*CsQ{GGqk^EDIF|=q%_CF*
zGD0F1D4jY8vbnbw3ChjmIZ&wo=Nkgt4D3Dv>{q75e~q%aR=L%<qO?}uJ6Gf(aAx0j
z`i1|)>aYj~Npuqy5meIi!Z2V)EkJx_5a$3!F%T78wI+*<DO%<TGlsA4OE5__SKq)1
z&?{u6hKy)p-7sdEFpQU>A_*4`osBS(on5aW$sv-mIi#+7)`D!3_gnNMPo^zXNe*o)
zGz5-}K%9kb|D+{@d7_VJ$Xgabdq>`552l0Y?mLiN8^PZeLxSP%Yr*4Z2suUy)6M%g
z)4<<}ptwRyZnt2z4!ZBXg0P_fmbv%VcGGT{Z}&PR4I<pHR(8oSjxCzt6;w+?yz~rp
zmVycyD;TERHeR7Lgs-_KhwMow5w-);)oahm!+Q-VAG#4$OI|`OgWw><bh-QkXdp)T
zpI^HljDyvqCLx~&WTGM4Abhbnr_*X9H?o{;C=Ub?-q{33;Hbho5w=SbZy|du0K(@z
zSmdPB8nYzvNUE_cFU2{SYT=GT3RinIF{1u%Ug#K8yL08Jt^p7H6Ywqcd3$FRttxvF
zJ$x6YYE|*h+j=x27Dt;o?3u-G24f=G$mcSNCY;3>=X>GjYmRR*n{oK6an&pOGpvg6
zNF=dw|I3&MJ-B5<gF9><Sb@eS$$NQyGJrj7zPZs2rcfEqi)uBF@X@9QSNQCI7^sAt
zxIfJZUVQ7nW}k-K(D~*8w{GsS4Fm4oJaEX?5kv~CzsZ*9)&7oyK9{Ix`n%wVw$aU3
z<kPEa^<9HrxIQ7bqrk-x_G@0J6XF7_^?f1n4K&NetHHG=1NWzu&`)8Dm(fUM+AMy&
z3$OA$-$Q$!j@QHy@pgPcpozE<a2)YG1cKUN4JM&74A5Jkx<-2bc(LSaxCY`G{|Ll7
z8L{$Vw~SeK0pI6rF@X`fPgqASa0;*(qzG*Cqi&2@>TEHW?tEiLm6wPPKg1Atzbl(9
za6WkgKIc0Y3KajMwO?E9nG`*8;#GjN%?U9Sjgcq<0cJz_=gDCc4s9Im1mbK;CjbRD
z2cV@H&1BGEYN^0!AZ;Xla><h&(?27c#54WNxsYc#Cjk#~0?I>=h<r<oh~{Oog+Zo#
zn-OgnLJ!OZIC3%I%e~cdRtE;)r>qVj3vcKs_$w_JK-q*`c`&1|VHZ=^O;bO4HB7tt
z8G6!Y0SokcS^Rz3=9~Bd9h8VsJTI^=An7So7)h(f5Sn^|+P-pS4P@u|N4LvPCn0#1
zWE|2y2#WY(wrmQNv)mv^tZ*4O(uGoJAkQa=7zR0og<lQCHm4xPS9F%L!a%=RG0}#Q
zf>;cI<5wlnz%D6n2!~c0T;V7;DCfhe*oM{7jt}o>7n`8WAgLe%p<0XQkKJ!kx!1r~
zWGrC4P@BN`JZk7G$Ziw30m$P?s|mlaPT@oT5ctT`p)v@Cf8CtAh-nko)^&UyePtiq
zIQmkY3nH<8QVTNa7JNkmOfR^&q$2%+NV<aqoUBMe1u%@RH%W@_L7foMk=aSWK|stY
z{XtGV>pjySy*1u2q=U&9`7z;4q)ekqqy9GTP5z>BL(e8b6$ny;gxr8F`*xnsPY?%9
z*{>J~_qO{4V1e18NyXpt=I1X={(za8j+fb|E3u{jK|T?-86bj+tRaR15?BrSue>uy
zDYTzlOP&W=7r}M(jTO#6LLRky5KqJIqJ9xi+bNpC*Yt~CeI>qmYl45vzXnm^Ay209
zPqe}TFTrddWrSe)8pH}$co2dQcxa{39VU4X00A@J@+#OpH3$MI3#Lh(qGo;B8deUE
zA+PYZW^#v^%{HUB3#sWOkq1K+v5_W$kP1^ua7}^4({ieln2(@(gbqYUv&K2A0%8FO
zdR@K@9kHIa!U66Fq0)3rB2A8Ag=?_EI!FAJzQtvl&^Vf>LZEAAngr{Uxf6c`K2TOr
z1DY4UwTuA>y?YMml48d7{+Ba3i)XDcR#&`B8YH2Ftn8S32c{T}nq<%}M<5rXMWkci
zEi6?M$(kLO;q)+<w?pJ0|BzKN7~A)DiT8)LPzge`6P(^z$GI_nh!3C({Y6;*&nvbl
zn^?&zoBD(z{Oq762lYW`sx^_R|Mkl`dG3pGkjdpkDpdR!5AH|gr{I7o&GzuZ(Lp&I
z2LS0CgUx5wH|c}|Prz7^Uu}&)6rS|zdVh)1f^i}Eq;5*qMB30Bcu^`8J_b<c*wB_A
zPj5pzjxi4js?_n=ddO;5$QUvGhgQ6~U{JVBgJ7{djlP!kdEa|9|Le?+*FkX4PgK3L
zi6xr)QmHPAsXIxmv@Mb<CWeo|&=?p<O2|eueNVmFu^HjDVq&4ZstRNU0w+MsB;$D_
zT9z1V-U4jL=+rXC;%>W`QY<)`OANJ{mJJ+BzIGPpa<@pY6{?x^8`4|Vu@5c3+R~}@
z+uif@+C8&0v-VUxyG3sr{>{7$?au@`LZBzL0CX6Y8$H;qKze+li@?T9CJoWvw}mA`
zN}y_xWRZA~HlV`tev?A?RSoW9^F}~_G9CiY)pX@mQgm(ldZnciiP}TSL2#vq#;A1N
zQU&T)P(-~x%rP)_Ba;riSWU!WWIKcPs<GUO1@dGArtCoV;9qc7p=r|$?7|p`60`cn
zS~~kK#45+0L<mr}s2omvqc8!xVT-N!@YFfBx1H98FWC4sLF$~}Owv1}Vno4qw?d@t
zYK&%Y4ca}RCa~Z^-4j-edTXTx=)X6|b7vpAfV3!N&kDX#G`*t)L|^<(0A(?Oc7e6Y
zXh2;ih@gxzZ?Yjf&nZMkQSh7b3fQP+n0*s<*#*gwEd|a1%jxeu6Ey$RBrW}SN*Vo-
zWORt0@L(d}qAop2C*B1R86UR|_t}O$?4G-2kSu@>Lqb&R7e4jtB)!-qi8ko1)e6LD
zNF~QQj|FOoIk>&Rrn-_7rMNF37R=17>7FUeKEYx`fcXSz-fbrH(eHmEa`T}=Ol$vz
z@N+WU<=3C~jeJcU-0gg3=NKR1N-9T<SAZw~NFCyCbO&TV0I7)E>Iqm$v`;J`-FO_L
z^IgnwzGU<Z(EJn?B%lTZB?^l1#3&e#6bM|)_CZu;5X6pMV4zg!=)Q9rZcjir$X^LJ
z$iYF`L_C3~Oo5YB88Fl1FsuNdPmBNypm_qQlSwjaa=kT*O29|^^1v0djl)z%d@(J<
z?>>5Tqk00i{%yGF*Asy(1=A_B+4@Ru{w=flqN>UaBahcIj=AUsWLjT1CZDm)4F+-O
zTUTGo+XIH<h?5KYM8FD3sk^iV77MrS-+(?kSed);xW<38mjhH~JAv~T!Td1jl(Uh}
zURLU8EYgwxSGwQp)K3((8KD*1h>god<xI@@ZK+jdSHaZTihPigCg>RNs}ck^n&-wu
zBJ)5B2Kd5fM1SreGqQ`?vzfplw|?TUEYqQba)L32D=&MXi3GB&LtrRdI>ND28pR1I
z6wA~IDKw6V$wxXWtFYA~$Q(&UT-oSh1vi&O^0ze#>V^w0fk{$nnk<qAAC}6ZzaE}K
zwSz3woJ<lcXQ>(B-C9%K{oug?a+Smtfu3mH3&U5mCL`Gdc%LgfD-YZIK_2A>o`!*O
zavmojkDTI-oU3wBvN6)l<t%MXU=&+Y>7YJ2(@;H4(8(5rT+A4%Db=MTU;Kv!EVN^M
z*}iIueijgUV1sRh^>0AwpK0gu?khk-X7MoDx@-+Njq>(7`HS;t2cg2a0&VH1r>2u~
zsLcEqMgF%JeFq@8NGrO0xh^NZ&tXGPE3vK1vp*Sf*`*!v|5`M&ycgFfWm&mNY3~o_
z<RyuHZLRgNoqH`xDdBV%NuTTIS)JxlY<tgWr49eBMr|0n34LhhWU!mAj8M`jNSUF;
z%Y>pv2@pq3Dv1Uq5><OON<o-5DT$(-X`F-37NC=JkV@A;2AVckrK`vXj~0QCsG=}h
z3|FBKNdKAw7zgh>SE6k@>B;rut$E0vb?fiaPQ=Bq?4=EDDQU1g<lslCy}8cAf71A0
zvGrdQKnRhL`Jz%7KymE2Vc*VEz?5u#5h>qT7)V?v+sUF+0&I@CU;$zX{O5wB&mv#W
zu1`wfwd68+H+dZ`^A?~5_W@Lr+Ak^mP^m{H{zZrp#HDCCXrC->6YcHB4t#NgX`xKH
zm>+Lv^A_fZ%0_mPxtW_wW@d0FrfbT3*==NexX%u3UY2V*h3R<N0i>0@Opjn6M-s%G
z>vcJPMM5YZ%MnvTTw`AeI1ONkUL)KpTEmZoJv6VmVVDWP!xJs}hCi2m9vnR8>6$^e
zU=Z=uY+y56aCk@DxeL3J>?7pnLP#BDQRhcJd{*|G?SCHMaPMOD0T$~v%Y&x<DG%~<
zZMAb$zNOHJyQa#EDmNGEiamNj$)l`)LdD5nUQT|Kz3pP^iw7?S?Tcq<8mIV(339^~
zLpvk3xOez;#O0|3D}%_maq%n2zug3xLj&UMru_M2g|CAhTjPFw7&(&s8H1PEy2eaP
zWEw(AE~eN^vjw6>ZL$=Q9-xGS-B=%X&+S)s$h;Uo6H@+XwVo{kEDplm&Z3?B96m!M
z<M+42`^Ce<tBCH-u=QBAgvTAJ#ZtEXcrl2+&*SB@^i<F9I@Fomvbc0<SZhPTec=oT
z9XAVO_e>$r@#k}=ZH(~ReNPY0Q9eC5@!Am|*Bl=*dRUhQo$qQgt_a$6Z%ZAvx%&Bj
z!9s)AzXZDHu}7xp6u#lLE}^{bxK3uO6guzSDi~Q(zh=A0)IGH1pL0KIs_;Lw+@AV9
zRIvJd-wXfGo||+YdCBMOQ_DXsfSyPkpb;hBkEQ)I-n6vjy1BHjNkC1g19(7x?H3Is
z7SdygODts^T7x9L`a!t=%?H7SL{rtCbVBbkT1JpW%u?IsigBt1iqK(1mjfIwjce3I
z6cxkRISJm^*^C?s@UEwn@3zV0C#8x|o*F0Jt_3ubO;jzgF=;z>_zUAjzM^ZTgjf@A
zvG+Hi^^`^H6q7PtJ0`kN2v)@hw}>3l?{TQFkp`~6xhd1uI!)*pJt#3FJPEp9O^2<}
zL~Kn3G14x5F12Q~RQC{(r;#s6jEhm(yo~uh`TsD;YfEp{me&4(d`au|oJJndO>t~R
z2qln2uxGx-7x^Jr8KM~HOHCpuFrf%KgIplA=>$W8<CZ7$C_v*oL%c;jn^#3QQ72oV
zY8kwTvE@cGAs+*Q2hUsp@e0;bK<Fhxr@UOoAe`!iD{gZQs)3|iV@EoWn~_i8Mdp$H
zcB_vrr^q7mC4e^0a@j19h+0FEH4iSU7g`|*&Qh6S1GJQF&0+=@Tu`9f{BjZqVg#f#
z!1ZY3$y`;<?DmuN+4>7qD(IKd(?XsC7AaQxd)~dd?4f{J0yFde$au?jRa3$#oHFBb
zUwG(U-qRCa?r9*<d1Q>XQGkHnQ|p2EiK6J0I+R3A(!uqlZ5Of9K=@xqe-D;}zUZa=
ztg{sf43WVT!$~<OZH)4oWi%OZ<BSlqITO*ub&CGuW>mo4c5)omWoV9_=|$}ffkFfn
zN29~AH8%1Rt5ej((Ph{ayW$P_BYLm>4x;m`%;^6%u-3&)1r>;^E`bnu*tob?)lE<<
zvgcjF2jhgCD8gyznA(iCp!%b-1?)%A2b6`QxAk_#JJf8fE;uI#CFon1)eW85Uv`!4
zDIn{s?)2il>*pG*8>R(#8@&5$-Y8$b+rFpbU%l&HQ3`2Psu&!CC>wD)GeXi;<|_U$
z?g%vwMx2nj=(^lkHc?0+MgafHDu!HWVMNd*P-ZF`3Q@*%Efs@doTV757_${HF33`e
zOeoKlxlFK69f*IhO(|p+DVG?A#FTiyMa_ntY<KY6)s}|5B(hZ#NHhX#Awi>S5GzH2
zOwIS^CI3)pNvj@u7Gjx}oAaA!e>BcIKWSKWkxI#=bs^5@y}_Qy12kY~MIyC;DvyPU
z@TxNj72zcy3aAYN_V=6km?HG|I6^?!ccH_NLl96Oc(4Q!KitGFEuP<uJ-Bgv3zmyN
zU}*63i-Prkgg-P(BhAWh`T333gvk1-^L`BY-ss7+|CKNvxG#CQROKx6Enhx5{i!$a
z`wr&~q`ujf;Fao3bxHXn^4^8T%R^v0fiK+VwHAaK&D2AOu|lkYHL(J?`{Dt>LVzA~
zzJN(k2qINCes%z<4q_b%ww6%;iwP1j@+R_+ktlZ>sKDc%{--oRtgQVSu36)rq@Lnu
zSQ|j5@G_-FiN(1s;M869J)uERh9Xzkvp6Ec%0_zRPdveCGQjrbiK}EUd3}UhV&*qz
zebaZbom>-i#>`s$%EyE?OaLtbQN-fO?3(UnNVa}+ht%xsY9VfWSz;#Ba8b!4LXC$l
z+w>mwt1>K19&np?wyx*MS&f1)&Zdpu^y_ws=Yv?o>nASDAk_#)PzTXg>6e)4B>bu<
zV7X{(5qzye3`W5VHvlQ9lyuYZH1acZ$+Vt0V1-K;7h=G+{O-F}`i@i?nZVC)s&uQ4
zMgCBGQIDcZ%tVP7oNrqsnY1@W8B5E_--L^GCs`99OPap!oe|1RPJ)~)>z|ahR3j=k
zV6eLd1D?EAIfq+J?YFY34>gan_EZyce2j*QNKzbThRrymug{B(P!|dMM~&kfd{CI%
z^gmbm@8o<Vu=Og2(g9-XYx{=bnIKBS$|+&g<A7M>NoT_wzB9pl#7V(0^>|_D<jAE+
zuZHz#<LV?s)<NP4pibmRh;|(%fI0~<^^oTtxFJrj`jF)Wzs~W|q&s4k?1H2t)}#a0
z0lb<P7$U%Z&8Y&vbBK8itgOpu1JGE($-tW+8xPwFI7xg?b`SLj`R4T;vS8MS=#8dE
z#FJnq;3{k-n3J6mf02E|bUF5v(c*;B74Wx^rd_I{Dao<=ZlccLx5<vYnLIsM^X*et
zdf!p=_Z+Ma%=FgsF(_lz4BE26WsbP7$7iC$*k_if<A75amKfSiWrlL4ba+=<Bwwh|
z++L%Wa!8>Kd`k0lXff}q2hT<$_SUzByxFXK3wd){Pc!)g5eje_(P@Y`Q73|Ge7NG%
z6ksq~B8*UV2_?<}67w%$u&&JGn&5s<qvV_ygu|~6D0smdKSYOURD5*iBy>Z*p^_*4
zt@w{ypD>Mg+AiA;Z?=;6DW#DLUbI@^>P=&5G#xW8cyg1~H3e)+O#^8&ce2dbv87_9
z_;Oo%u>xzN>e_jlEV^o22Qi*yw%>}2zAKh<%k~ef%p`0*?_7`Y!5D$+G8rQK-3ro!
z*m;$PLM1`{!0zD80ECWtJjSt9>Sm;N3n#{uZ$E27^^MOA`Oi2#x1ONg==#7qwB7+k
z*yG})vfwOCa#4!h(!xxuo(OQ-XISNG^qGN$IOr!BK+b~($4!L<v85p7*cD;p4<Q!@
zFb^u>V`YVV3QB^XoQL$n06-UZk^OaYe|N4BgENEK79SHhapSYxldp-3OLHuKW-#O4
z8{$`A<}5q|<;VxHL>mm?@IGeCAzGY*Tkv^t!DSry`CMeuMH1>E3<`w3qY{qus9m^(
z+&xL;=cHEv4vQ`<tN)lEn%~?wJy8cG<`1^ybXyk6I^=*5$cNsddw?7<HXJx`Pn3Ny
zPC&#p0eCo)zIc`4%185<c+2ObLWeNJxpru9^Jrm!GJu0PfOh{t=9RZ8`p}%df%x$S
zWB4%};np;;VQbfI+z>yccUQCZGd~)QJlMkHg4C9zEydo;5dT}ge<v_w$<WSY?{nAQ
z;+??~iCf8wEW=2&KrCPmHunK(gG|ub?fy2IVoR#+Yl*rH11u+?=WqmS&~g-{ksjAm
zPoX62W|oaIi8lfK5{RQ&rZv=Cki|{Ow<LYFMT*c%i97d~Qy@|U|CYS}+7~<LP;80#
z6jO03{+u1YdxLAGHg;I$p!H=v=T-{>d;g@#u;{!rCRkLh0v-Me5R6pl-J>608EDjL
zF*z}w{MNxAI$-92d8R)OQDBg3*j-zZV3x38v5z9{SYQ-cYMw(!HKvb*AOdiL#8G!J
ztJe*Y32^ae=j~!^+;@lZNafN`lfNCc{G7*0@}3vy-k-L7DGgkBflX;VDF`j2Rf-34
z<Q_(!KZ}*oaMJ!fN^|tGe+v&KUFb@BW_Xt2-i46V&XQ=c?U~&{1C&P73IWwmpF3aB
ziPVEqED_E6!tJKyala;d2P8zQP+%P}KtujggK0ZcH_jN0B38G&J{^CPF#9Ck11q$<
zC^Gvujo?`Fapr6;sG5Br_XBAlPnXEl<>d12<`&vnmZ6it?~hROd8Rc4v5kQj5@Gf`
zcy`((UZajxO9&>SrQ(KenV+wKs=a&G#eTKP(3hv)Y_XIq-;Ifnn;PnOqrC5|WxvOg
z0G-P|Im-w#=CD~vJp&Y%m5?)AOd|52;VG9*)??#+6yP1f!f+y(`b6zzFey2x$vV@|
zmuLf>Z*<W+VV3OQuOlf0rW~VGgvVS`V=~?=@BLu@JiT}m5TPvqC7S#hupNMyKEezB
zfs#g+Md}8~4rgG?T`;r|!~ye$h+{N~mfAu^#<^y|No1gs!^H(V4yf2cxN`*VycA;K
zf|=-U`{*rG9_v<e!3gQguHk-1Ce_Gt!_e}fmC*!?;FKpBmvIyO*SM#RR93T=%Q9>P
z_)^%&Ti!&Sp*aHfmtuPGY$8f|T$=MWjG5NT9{F<dh>%0VGo;^^ZsB@S7~_&I6d|!N
zTBw3%iLgdNkL%zHK?J1|7b<ZzgoL=Ouay>TEj!dCUSutw?`sY9iALLx>fH0E{v$Kr
zqlcOiGG8Xrk^!}el0dl(#9~@y{=qni4+((YjZ#9222#i$V&SB4VWPAX8uOec+o{9=
zJPSmYc3T@sKG#$^p)f6n9ZQF#;1;#nX0ot6O!$zG2kik?jw<OGV(Q}uYN9NomBQa{
zBgM7Knl4-D4JoBWU+^ud?>S4~?`F?+hLCEUC7g<++gPAFsyT?n>_AK8#k^H3t%F~p
z@BS5b9z3?cV&Mz(JX2A6;VUz@1!X6{wMt?{z&T)}rY24F1soCsAyaX2U*mxx@oZ(-
zClW3+Y#)%Oeq=5ARC->1sHaCfq5E&>^odrrf__|JA@1L&2&?GQa+eHlK_ZqBH-f4D
zp9TF@r^m&KLw^3#WqT6NIu=rX2+PTtA5HL`gBUG`({<j*9EOL@i>=s2q+VSUI%PQw
zRmSs#Lr>-v>VnnBo3C4KzAu)D1tA_88)YQ4kD&XqfQ@6vWk)<{Ig<F|IO#?LvqmfF
zoP4e&M`z8y6-PW4Ipa*?xamd%l`8iCgPD36N1P5AgvJFcVIx(iW~S1C2CL3Io+=KQ
zaUQ%~I<^QrY#e!b|7{-I`0@<6Y^>0j!J#)bF~H?4=1bmX!~6<<7Ri?%t0iJtp`Y=#
zqX^bnon%8G?l~ZIq3<aA*8`W8v%5Qt)fR|RntY`?hh0wfBw|)1gKMLUq`pLYH}~_t
z_Vcg)E|A2Dd6EDsBH5JFB*t|lL`MpieaB|a5MnBUgp$UV#h{Xl_Yxc>^#RGzg<;!1
zLxN2l9Jn?_WmeScHGmLB<E{wDX%C4J=`>-XLIc7K4r~*hbW><b91jcd9vwFZFIYDN
zV_yRoBP+QBc3~i(3uF<9>uq<`x!pLG6C(o=n2x_!#?UD;pB$Jm>bkVzRw2IX%yXeb
z2rl|)3`{0qqs@<L9a-D=)GzI7Hr&M^DS9RMCct1MPQg!eO9pX2_k%(xxv#)`ww5dw
zkp{sieF$!HllXeu9=MsNWTvYjw88thVLp;B>T%k#Lt-?ZI8q@V&$QKv=B%!oB0V5`
z{YVpQ<lumFFHkG!&;iOTfN{zWb`=Kx9qv_$5ca~myT8=Lp_H(r+{|!UpKE%Mj&!Iv
z?xJR4S;QRty~M}9gTU_GM}RhbsZf~`q5waczUP8EfXhPGgjFoK%(%S`c%qSw_2P2j
z_1ytnoU2Qb5nnJ^#g8aVE6c=teJHRzy@)vLRA&Guh-z>c2SD(Zi`rpMkg3<=@_jwa
zqpMcMB*wB6dcqV;Ez5*@!!)O71KnKzxyTSp)@Pvs(|K^c1xMOz;kNCy$Z$!I<(;+L
z;?$#yCR(Z6A|Zwz%Qg%h7ToNY>%T;^F*Awpm&bh;KvH2Q02AvmvA2#!pjb@-YqB(S
znA`Kfumq8&)cg+4A>iu9=nOm@k1auDuoA0VO$$aIX<LHGfK3Jq_<e6L)H(Jbri6D;
zXH+BA=kq$&7aRn5e7z1Hnl<mOcq}ZgE#SDxu12rSZ{Z9Elx?sgUg+Sv!{#}F3kntR
z5O5o|SsQ^iRJzNm0op{h2e<LgTZHK%N8V+*t(oNG1HHXH%<b)a9evrq>n1mv3KQ`A
zJ%*0N6UV2UHrP$IrLr})v8ot*i+OKJA!~(HTM7#wZ`j(98VV3tE`?Yo=O48=O|^}%
zF)zzzDI`Zk=e{I2u$$G53M<nkXm@kH-ASv(JAwReLi|_t&-rN%kT@CtlL--xAuidy
zHscag_8!zI;Qj<AifO~1!E~0OBG^N$N^&Z^0p{ONmF`TDQPGN_!0->zYK$26TP%hK
zA__iJl<7|u69sxP2ebWgO$hV9<+DZ{%>1rw&FOEI50yP0{(qIdH()zC{<KY#n1gXL
zk4<1?&tbzlG7Xu8j0oD&P@DM^x~Kn6<<T_{9otU|*5aD5t$!UkUq;hiirQTwWuDa0
z&CJb4NP!w6UIw}(xASgh*&66?=S{2`M|~<<wRSj=V92v1M4Dw#puOG=`7qA<+_^L=
z3-B>XYUkCE`LoF2jdRpb)7g7AU06PUJ@mb<ecz{ZtQ%zcx~ECy7=z`qFi4Zm&Nf2L
zGKD1jDlh7J#8}J}F`yC5BHpogO}SYeqjT(Dk$d!U)+r2VZrZyQsNM={^d*BLZJ`>g
zV@S8@pf!n29iv6~M|UkYg~sHZh@DIPJtkr(dO_>u_}O+oQi3VpCjlhDtTjZG8#tPX
z7*KSZETVjl5PBWi8t_W-kSg1WJEbnFq#jjP+ER-tODG0{qs;4zC|lnSy(q6BvoTYg
zvE?W}n}qO%=+@4g4(Il!fR1#6Mo<0IGk}LmyLf?u{1plB+c&4nzyR3oo04>M(S!8B
zvEW{o9FT%GkPM7$7B(c3o5JC%4kLphpBTwysfKIDRfz}iBO=4XDjJs0uBs=j3OqCH
z<!m9n>J%556ebE0h(c?*F2))E6@8pBPQq#tViI2jT8<J-0{Td{;SQ^jXeo=LK_Y6M
z6m1L}2_6MTujrEY@!%jFVxD+X{a8V@oUWunNG`F|fbS#J`ELf2SL;j^Fiw1A5WYAZ
z)f7jlr%h8YB73`-Z05I#7z0Dk2@(J!UOD|>lyeDpdTOigJMI7sc@x=gYcQBwA$fGn
zt`wjDLGS+}ZAg;Vu%$O`;s*lC-d8QxFMF=o)}K6C%Dq?&yuYPF?jY#2A=AL^R-#DY
z!4a@ei(W#$2vR6laSwnC8me6<!L|XySRL4KZonkoD&>-rpS4^J&8C7+IN(PC`cIQh
zaDP}~fuv_iYhys2<jEq)<27ZXdxN^L3cATlCdoqn1PU!HeBWR+{>z|>=FRDGD&;$=
zZv;j17P}mwC{($9-CL&ya}M1(*?xbX8YFx-OsH>pSlc&DzTF@tG=j?vG8r=T=$R>3
zqc>(-GSv5K%+LIXU0zv+lAJ|vRa4HwU|@kId=a64_dMN0lWEFJ93$L<44nkEOq&3%
z@XkHtMS$91qa8iDijtQP^e95pUOpZ_5|fI4ED5Svnc5yoHCFqo=*~Ju)4qUX98hdL
zgb|)}LLlvFm5GCd(XNcgJ@1K6pzR?Gyg($Xdb(*h3yENyuWB?QM)Zj1!WLKZ3J({o
z)TEoYVi*?_$ial3{U^>TiZa2r$sIZi5ckzWe=(TS^zLKy`$Qrgty3*7TWPXrB(Zr_
zpU6f(PH4TZPp7y`vorIrACb-8e*OhTIY!LkZZ*eR-Ut}2DL(MatrM{Wytm@UG&{P;
zYDu-MYR-IDy4uA%S+0hkRPE2DIqwswzPD5@w}!uvcCvXEiy@U6kc+z2MFqQAs)Ndf
zBIF#Kop)}7l{iANOf7jCIs#A{L$AXrW3g(c9)po%2tkY$FDhiY)&TRLYtg|-z1v?@
z4PT0@JPdng6I`lCj6MMs#_KgL&M^{pMy2c6`I-cHN*U2Ep1Bw2^8QJb)mKTA+g`f_
z$Sa&YP)tfo#rB!tg}#kaW{~fq(Tk!44GXtDnW$}D)AxW>65G~J=p25^zY&y{uaF`-
z<UH&p=|l)a4ej~q<H}Ik+4hbixMSLQw52H^?*8wW6Vxa5@A$qW<2*;v9VNOSN@4LS
zv`2>aJOo!{gmANr#MOCSfe**9RCwVVPx;sbkeQ$ti6}0pBr*wVHV}?76d}{i5%pv5
zO6o;O!U*M;qW7byoK<zfOEGhd#}x(FRF3psqCI=<M$CvL=pY4~W7;uPNyRNzD{vGA
zats1xKaAOi&GI@dO+=V>9t*ZQ3QV=skZ38E;FjaS$W|svxy$U5K!bRN<wMnvF~gtV
zundaJYlQd4bG&43J=zrvgylq*a2ZKSn#@z_CpsfaP<FtVG%3SjKH`%R<`P)puPcbu
zFPzpF6uH}^U{C#AO1zW(5zDLmo=fKjx8mbHEIuyhEaB+nNLj*B$pu24-5;uQsilmI
zg*Y<gI9+84!wN@!Y^&}zUP)xSe<~j5zJj1-5b10K?bNO5dJR%f9d7;KEL_I5XuOKY
z)&W@X<gjPU_<W$6wQpN>FE%;On)JuWR9gOh^xU=2Lf@OD;Eq%5C{wE%^MJ}2@Df5%
z0V_WsP7L363{XiYSf82>qM53;B4u`=-GTt&a2VY<yFj$)xFbNx%paBuH&;QmHmY_X
zAdYPbO~f9GB${Vb&7JcoLm2zo<7m3^b2U(K;oc#v!191@33L=!YxE9#(#Sx)_(qe8
z;v!|SyGwFttux62K%Eo~Fns~H=Mkxt)a6A#WyIdjAT_12m#zp4_AyntaNQc*Vz~0X
za+R_l+0=5*sw~S0lu&I!>)d<VM7Ynota}n|5LKK4zynn?-K=M&FhU6WQ`mX-i%piw
z?n?5s{Kb;JdvgEt9eb#(l&`YJBQKIPKPMgT8IDeLR9#|s9cqLIv${kprKhQsrz|Ud
zZ#(0tT{Q+%1xZ-5kYqB@^gqLMe&^GUp;m_i*l`!*Gt)S0aK*ms3)LkhsPehob;EFk
zdSvFF#Rk{y*RK17>uMkYS8ExZb$osn@NFHhejr!{`tyxkO4|5He<1+HteIH)b#yET
zf{&nfu_i=Anor%$3+#v`@aCOUbA20UO=(GY3ym6*h;lLm=r(TwztlJ{VoBW1RqQ8<
zd){hs61Y5qzSj3E7PoNN-f~%2KCB+J^S{pR{a%vEvz620>~_RvVl_mYeXiN8;<SG9
zegDofyX*H>cL2`3(>-O0?^b(P4j@^qs-w89?pxN98UfUFFk9Z6aM$fbvc=l*w=wNt
z7gngthI#7KF4^{w&jY#Z`CNa_$nW_sX<wI6y2^O^J`;U^rtR>WBIYL?{>{0VDX_<(
zRUcZo@6f;dwEjWPhoKiV&qNdM6nEV99y~tw#D_y?xmqI4agXknBOI5@hYWfbZr1fa
zQMi>+iYT@J7X{L4mohy9YOFO4ctFsE5)cdR#9&@Ysg<-Sp}Q5Mu%W31gDi$3u=CG@
zSD&$L#0y0Hl_4dA2*>>U$;7e|u#mb6A;Ct#MNew91tZKy0WpKb)Gw)g6AfI?NU+C(
zdMh={6@0zq5$Vh5hpuVUC_=bLKrI(+ZQba(StEtrHvcOp>8>wvIRlxQtTU=mT!}S8
zHHhdH!_Yn;dm00AJtCCG-*S193Rr5GUCXrpNlXm5im67>kGY6IMEmneqs0~5l3|%f
zsEE3IWZWjSXDx4IGv<B<(^S=kx;Z#ou@|fO6Z>bM{^z`lZ@+P@e?gE<kO3^skkvv>
z-F`{mP>?t#DyGSo_l9~&|CIbsQtKc25HcM{ozY}1=TUl-e#;=poN!{o%#E3O(EzLi
zriNNhgEMMl_5c@QA+8^;jd{|EERP+EKd@sZB0x;vizJK#qMZSOkobrp&cJ3#Jj=KL
z9CA56GIFVj+nV#b&{#Y;z3dvfhvh=r=|$-q+8ID2WJzLCj42(%JQ<&vJ;kpF&+=h@
zT3d}Z>YzEIFHv4TESGcg-8P+r{2F%;k}!p1yl-<dKxsLIiaQ$5(r4OJGTLeQ3CP?)
zJ+W^QE0Rrloe=;iD2^j7w{%hGu?vB4<G|ZcpS|a{B7CUrUqCg{CtVI+i5;~^jy8y2
z9*zP$z8#@)>)1TyURx``Mijvfw=&kH^FN!n27$@E6^VY+VaRMe8^oLcTXX%#l00W|
z;4~E@a9Ogn9p%<OU;}-2@v*QhMHP!6e)r;4G-Bf$##ZZ1q!t9VQC@%rq<&)2WD8Ll
zP2*;<XIm+OXewES7}`O36VNCmEs84Hz+VDtqjSKvTTwwT8`;}+0|L~4iY~j3)%DPI
zOD2ze;Hl5OGZqB_pfN0FwkSs(Uv#}k*u{Y6csk^|K??@FRnqvo$OrwUin_nSb&$ws
z<ihXsrteq-M6tfiVtVE_5*S$)ro`g6<H=6gY1AxyBC$|{ioCHQf(%TVMZ&Q*ED$vc
zVxEm5v5>KEgDm`*a_WsygwD1%v|k8CXVe-V*Z|>{`M?Wvcg<q~FRYLT7>@9*C2e-e
zBkmK~g%$|$j_$mVcK{X!>Dn2HScfN4J$DZw;w_q?rTg94a`QW}&~g)9|HIsO4}JYt
zvbq@+Ug?1kgCtRmpCEY8dad?)5e*D^SRO`<F#nAN8YqVm4P-vDyG<fpV3WNT0;8T_
z;8|b>EvUp-76E1gw|*TqcNN41y)Ln(9`aeiMhV$sKuxzsVsi~d8DbZCNQm%1aUseF
zVYbGrUP6<f6&+p%NrG#dyd()AqiRZiNP;0*#F&n7KjQ1a>J5qHS?8bCq@XqQH`>mo
zJiN&l`<6MsZ~PD0q*oTpfRX-3B-D=@Z~OcCfS12A{_(}4$L}UDdiY=K`hV2>bfim*
zx~6^qrD?Q(v`|{20Ry2DjLAfTv~h(d@bqwo8LAN^>E9d%E$-u#zQq2LHQ}Wrdyf4N
ze^b);7BMr;g{hkSY~Y*d$H+?FdDNfD_NJ>lY{{pq&h?pH!*qf>sRicZbPzsL*hSex
zt>Ohx5$2A=N7$>oZZiu^v?LCyI*7MDk1<ed;pHr<tU5tnqh_hrTJ&!PMfT=%{pU#^
zREn(wv(ysL>oZ;m{B<!^z;!zzYz=KgGo?`?VLg1<-+dqhN{Y=V%9kR`tnC1H^a6#A
zC(G;%jC4GI98+}Z^7Azw<!*#4n7Y*gE5(Fu?bG|6(!VRnMMj53^LsFaKJiXig3E<L
zo<yHbs?`;DdE4#=ls}BhGZZ#Ey~sqnteie~Mz7V!5-cL=>XQGHeD66N=Y^10vuv<M
z7@CoH+^C}&ZmE8fjb6!+EMwA)AQjiulQG8H);zaK3W-#Nm&kzt)vR31DFkB(lcvAh
zq7gn$MFVM@y}*xTpz{EN0!qmx5d=PCpEO8~W?6T9-a%4?Uj`nIz{10&#&Eih085AA
zqGkw!8B;Te@>&Z7CQ0Xgg*E;otcg$L5kL;F;@Tq>lN5xBg~=LLLjG13CF$-u08+>s
z3Le#%rHS?E>YrfHfH}B3h_Z`Wl8Mo!G*{6|lCmwEBX4p}w;%+@oh#}Z=1szLsWP}h
zuwZPcNw+AjRh5nkuTYc8)jm_I?kRx=62@BD0pyrpZgfoedVp`lRMqWAHT0Na2lYo}
zK(OT$a82NfNdRk1ML-8XCk}v)D4ViO22uCl@vXWvMpwA@cn2P#92mhV<9|eP!FUZ0
zJnd1$^56$jOdz!Iu+d{n&^Keqa3FK$hi?EjCa7>FC0F}unq!UzgIcbU0kZhd$P4|Y
zwbQ@%ux1*$&F{&2cb`>RGAvc|1JJkc^2ex+Ych+qi^Cc|9qXNt>_{^9pJ7CRGBTjf
zi4cR?6=N+DR3a1@M^T9^8L1g{5PXn<os3frfN!6e*RTwNjlGJd07@KGNJD;y!StrE
zFBM;3y%^;)jWxYXImjG3Rq<<ObB!-nOq89ADb$^_x#ox6UI9S=n=f`DD#^dXmN{Tz
z5d&lgMtqwzp9B(W<0RmS#P!}mYk_^_n^{TODJ?YM@}kx!9aT;t;gYg@W8~Q<q&_XV
z`ZJE5cC1a9j^%C=0{|4|;%8*Y>{=tQP*i0yG%NZ-xu?ipI@iwMKD+DtYq`&u{|B$S
zI!G=2@t|P&lh7O*s<cXZh03HyI9zX~36l=Eh$~lsns9?1dBwgtb)sVKEE-WU7pO0G
zqfSCviyL|0XoXyt75rQpNFkjwD`-EHpu%Ijwz}e`38@&B1d8A__xqkRMnw4AzuSrt
z6H4iS7imgwx2s>2vT8J39rr0QHTl*IOB$tQ!C+g9aPdN$hM7?vEyC@;{|Ebgee>11
zhsIRC_+boJ=mu1Xm|Te#salT9%IRcaU7I^9x=_5Jgd=31ecaB*YJ?X6J|HcR341rG
zlQ5)(isN7>w`p`9iuBn@ApVDH-FW`bK3-gSl}=)?7ODtwD2qfvmr}=xuV|d5EJ9rs
z1=(Yi6xKQ@=T$+_t!iW~9u|yM*XMGQTSGKRBbg491RSlHeS)-^be_wE4yUKOxe`_p
z3eS7$l}_};p3!p({3*XaCAP_O?BhoY+CyKW$aqc`RdaqelsEA`d38H^J-Krg{JATq
z;ct{wGQ4@;&i_c9G}h9zs2R*~u?~c)MhIr=hY2*^D8p6N0KY^NFZjX}Zf$aZn;<WO
z0)~Lel6J{O^S><hzmNPKZul!;hg*lZ-g>{R7)m*X`L3Q3%2t&~o*zL~1FQi1jSup|
zi(J#uyD(i@*#L&jF4ADe)k2X`$#7+e&{ww{H7IHJ{tys|Tyu%#vW2dnU?L}rv;laB
z>UghnuVkgjqI<m+ndMzDFfRSLsX9@!5Rct+G*slSvsv>;rbBo0OS|<m<@$3d{|WeK
zUpd$Cl4u9=M@i){<Ce|&Cj<y22e6=XTvN;0Y<t5qTG4$_T^SFq-^DqcaxHguD6n-k
zsf^@YuKb{2;VM)us&h$`<F@vA)1yPF(;s|Uvjkb>Ynv0j6a}ZA8(M7seBiodr$ax<
zVP8aSNU%>V>&jYL@^4XW(d=~V<uWt5jeB*wrLRGvTwJRuxEh*l08TZcp;HSjw{JiK
z6(GICn~um<lQ5I|C`+LT1Jja{3L15bH9V@v@q`9mRwFD-nSZzom8+aGTq|a#s@ZNU
zdsA%&K7JBG>TJF9o3KkBugE$Te$m4SJR%986v_vOUqnL-ugK#?ERTr1{ws=Yaw1-W
z6YL*`<-=|^GTx;|jB&-9wP!0j_cy?b9YiQ97FB0Y8g2sWQC4oMlyV~+ud+L?k)t<S
zsIetCiodSh-6{tjEsEx{C#+pl2OgVnW6sDP9KAa$nv6|m(g<<ow)}Yd8rw8v(vqZ1
zD`1Unc(;1WsM!&D3j%RIwYID4(YvbC1KUfxcVtvAmX%pI!M0{{Bg}I<UF^Kan3;+&
z#Z7IeG>};A*|7|?$7uC(*Mmtb#W;YP-{JL}Uq|OCGQG_rh!J;xd`=O#ohV(RWuTe1
zV@7~&;%ELqFD@m6*qRVH?Y_=Zk~s-FQOe9?^DczYI%Y#JKBR!W$ehW*I|RcO`iOct
z$RKFqXB9&cKwHHeQocq#N@Nn~!QeKyTQCX{=qKg@B8wPBVU7GB0NFq$zj5k{>Y-8a
zq}dQ>VQOZG>{;2tv&4Ilg>Qsvx%4&C6G{`?D|~}V`A9oYP(I=o_{%>k?%{pPpbuin
zvjZ~qP!8_nksa}bg|@kB@-SR))V5Ga7+D|A4>mAp8NYtsc5TNFRoKj-5BRo_baDy{
z29DTceOvfK4A`FOl#8_0<p<|&IQ9+4{@nA=vc0RAhBW<(@F8gce(=otz5L?c^Ov4`
z`MDR)@9^KrR#rPAC)H!`JsXdG{<-tFVP&N?#g_A)p7B0gH{T^}sOh)~I@EKVW(jF(
zwPz4$_v=6OcCRO25RUI_f<sa&^Heyl6SA%>9LKaTOoD`6LerX#g!E7@OJz#g2I>hj
zugYmLtQIx(rzR0Af?%MG+haIBw5TZasoSoo#+)>XDFBbb_$Z269Ed+MZC&>0mp@T6
z*_cx@6rn&+-4tGeIVG4v#s|v-Y>>;frqB`ucI(bE?d87vB}aoVTb@*6oIFk{=%-%y
z?!UE$zNyhUdCKFW2ti{!LgAEV7!uEuO?YuZa^I66A|>|o!Op}?0Zxr1)f5xNux)8x
z#ef%`O5!V$3NpdIL2-o9G^nbOpC4Bw6=Z^4gQ<Tci1u1mK~a!YkO@LT32YlYPw3l^
zE1pzAnO9EwD5L=2w>@%uf=kbQQ$mOju38DL?;X{L_X0QRffdcN<);+QJHGfE|M6#f
z=|MsZYk09hcyXQpe3OLg0EJ)GY5w1Wm7<+~E};<f1eoths16$9I8G?UJVELLoa*F{
zd4k!@L(CHpb0?RUk6;(i!+%Mw+t<^gm6#zaK+b8g+CMd?){`U#NO2_?^dXAO36hb%
z33Y85-v@t7tI$D`f<#-hn@f;zYTYDfGbB81%_L`2Bpi^N<W=NISSw%oa1+t>WrfC5
z>sey`A>>X}Q{z?|{U<UL7yue_C(LUeQVEg@b^odCGog{88GR=ARm9u_Jz}10Kx6!a
zjYlopR*<d8ayO^iR+y;WKkx%)+Ve~?SOCIn4-XOFE;7aJFjA&C-=wq+JdTYNAVumF
zt8;iRu{sCs=~;dL?%QLee)sJ$Qm1_>QqOiRiPVpaB6UbD$aj&&GevpA_LjzRBL%n`
zQh=}dkgxvse;7Jy`TZ+#VLtU2<4sBwSHw2>=v|D~%`r+jw!tUr0(4cTJ|eb3J0TfK
z#XXY|a}|>*+E_|Cl70lg&5|i;MlXi3=hfyM7uMQ{UKFwm!AnLmo?^)<b7J|xF-`ni
z+~tSg6pY?4hR!`dR%A=2Jp4FjSw@3QN6cv4lrE+7QmPlpW%(6(=47(6)Xq3D{faSn
z%WlzT?(V)BwG1r^TwU$pUwqBGf9$mmgO-*MGk4k`0uTJ*5pvFTw~@KCYeZ4wV&+aV
zcP2Q?+)44Y38KfO;cgSawiK7ByOcm83D!gjPxXxsu>sfJU6A8xlSHnN;%NZ8Dj{Zw
zy?R_(O_B(c0V^X(bbXX-Qao*vSJB^AMzpeBDgJOO(<lLGoOR*Gc+@OX+HAL?{@Yc_
z$fYlCblXtSSC4{TAC>B}e1Y+M;B@1%<x3Mob&c=)ir4+p7ke}yc}q(-T82N2rI@d4
zpawxmRm>~^W|chcSTl%qjlMci%>V_Ey63!P6r^Y%oqDcP66+cmTLIV-p^c8U!jg0i
zC^J%zX~nscN~~*uB7rh~1f~TaP4Qs}mSihxA0Px9P`4L?tR79Wttn>;McQI=5h>F6
zu~|JP$sTj5MY2*h8r?<cU{a4JNz^iYnQbSH!r7V>tC=Kdl`HNi!Eg~)<C$c<J+sv$
zPB+?`v*je7t7MY1^(4jsndD4C37<XQBCUSoo3D+9NEe}RDc&Q+w?h)?>-?Kv9NL)?
zaYNt+MD}^7A?y7IvLUi!0v=yMc2q70W0Lfjml%RipT@1!)NdpaB0+QD?WfgxL)vho
z*FnG&F=jk=Ddc&Jv@gBS3HOS*NVMA?<l8ip38)s!lNOtRoI#DQw@AADby=ik%THOP
zcRcs;Z~7E>*rl#v7Rjm{VSdR0Y%UQA`s5Q4DBJaW;weM93i`A}t7br1j6H&C1~jLk
zPRD%3SO7yE%|NKcI+qJ(1u%42aN`8fsyg{sFJCQ^eV7(A;TS#yKDR~+=q?xwU|_)n
zaX#T+QP(R^odaco7Z5>0%;wja6~KU~l^J$G6stw@bF)RVs~q-JK_ml<zQytjVvA&w
zVcH#ir}6A3b#2l{qN5&cDoIen*4`02gr;<zoc2aAyQV)U>Q0-}euYju>Q3QBhqc1D
zi?Gx5X|W0K1|_rg`cxnO>(ZyomY>q6-~B(o^Z)$FlYj#8o~f!|?Mw83)Tfxq1U4E4
z6qJJDYo>?VI}}RA8}+I454UJa%d~~`GA6asft6B_2L_(hU4=dcI)LaTfLua*w>a)D
z81*RzmrxFZ1kpQ=Q(rVWwL_9Z6z~4P8aj4SWcsuRF9+vT1{yS4e40$39>I>mS9t{K
zMftfiecE*mQLxcvR+y@uUuFg<NX%-0GiWu&KY0cyXe$tRvV}N+H7UE!lR8>-q_d!z
zs^*`-kIJ?tRSJc^Frgw#D56w1BGcJ)IfTv?|4k|QxIbOnlpz0&B<5K-P%)3aSqM+&
zN2R0N0PrXGl}X>MX-|+AgK#`pFtTPe?nsCb19C2d>&f%U{dj^bWX))-EaUO?R)^!k
z{gyFP!q;B|G2occm*c=MxV}6e)t=eg*uuA&R5gUrxp!l_d26uP8Z2HN4Hp0GC;r_Z
z`a<^+BzUu!OG7!Zst{*3CHGKo&r>K8b0xvHBMKPG0wU?d_DXlbP?I(>K{b|?1*Eb;
zo(_vyz{J~)u!cJXW9BN)0uD5_jCu}_Y|)Q)GW8Mc)c6QG7q<rCa&hV`#nd2Q$eBuT
zQkTiSm|?;&19DH0+XD_-th9gXJPU{}M~U}Z>8OvNCd&e9W|7teZ(wniMHUe3x9lTy
zG%_y#D%nR!pL`FfBVQ+|T}Rg$Z%>y7_;yF2NQ*VI`{NUQL33V8#v6n$Q+zXgH1*BG
zw-ocV$?Jh6^8@*}bkaj1vn}3;lY+^%dndB(89UiN#SJD>&ArUe@Y9)J!p?)pwin5E
z=OOPVvYidky!0${^1G2@o|+oW;KkhV&pRFk7!j@wIHd#nk%#(3Pk{mjH|@Y0=Cj1t
zf)O8-LmSsy1y74OwAaj1FPdSbZPdEaZ(|d^)a!i_ecIQ?iJLESbH2#3TU5r{H-)L8
z^PYRdCc|qim6W$jjWIQJ?4#c7dFzwE>6=^6|H{oMeUarANmvigR}e-}LVUM3(b!#m
zMG}eRfg4i6X$cO_+<fMiZl=%}eGzpBrVEYH7n!f$QEfuM1ke!Aw1efo$Xq{I?u%Si
zLl_&y`)abM5({EAuY+M-PThHlObzt8`W4vYNdvO~@t^OR`i&$*IZZ7#qV&{uu%&<3
zc^aJAa>s)U)G?<J-t$rV_p<rkWk!NPj2ZB9DMo(T@}&(TM907X-+#;NUT~rr8H!>0
zQ%7VVrE(y&1dT1iU}V-!x(jg<xp5|#lo%jlOf=(jX%yd04(C%iU}%VF7==o-Y)G8s
zD^jdrD}n-V4~>jM8)giZr>0QB1cw6!TqL+}Fgl6!r>{u*(*)BzR<xXHEa!rxKTQyf
z#1*Gpk>oCh>=YA|EHOMFoRJK&ugI~SEs63X62f$mRFW(%lJ%!aDnlrZ&yyJ*{&5z?
zGptHTcknNwpEP<ozZhIDYAXWP^e-1hCDRd%oKE@L=_2$G_ktF5T^Ds>x?<m5{6-S<
zIo53$>$)5-$NNDalKxBjkjJgUg;?ELr4RBJxxDR#x4tZ3W$wCqggUF1-J;TJY>~^=
zbXNV|XW#LcKgzL`ax+THo6Rbeq?+Ef!t$n^=k?4gEDdV3yhqq@Bq(93kliV5d9=JJ
z9SV#SQ|m@^_@d<nGX!B_$mkP#Cb#7jx#)L*A+)?A3GM+-&jkz1%N)3nJP3!V+f!iw
z2tj3Q0^Ux67)e^j)4y_#T#_VaM@dZ<0LjtWqhACgPSsm{MQ95zzasWWZB4DT;_UT!
z=A^i)>OxVox<HT)gK~I;>x?%DTp%EV#V0x*K&4uKOYsijMpFC>N%Xhpl8d|!@fHGE
zukr)Q-#vR8oRWnl-qJ~s6(2#o<3xbArBdm|jHzIL*+U~dZ3hp8UUA>dQ>nn`1Py=y
z4Ha#4e<Xa)o<<kmn7gtxK+`w#gf~>0S_;s9`tN+gmwmdknMtH)X2S9^I^YF){E563
zDIybGOeHj%6N<&0*_2SM<;)_wV}ff2(7i|r1?8fEKnXueh)Gl_6G6tz?r?@1#r|2+
z$9|sOKJFsA0(K1So#90j6X-7*T>XkrTNH%v>a#Zjev;K_I1~sqnldyfC;4G6R)*#f
z0~O9kPl~_EBZds5t}<$2!FPn?>A48U<9cI_2Ivr=OXBA(6bp(_@%UV?$d_X!nm0Ti
zI{?7D1g#zP3WT9%*6WpJnVE->_<8pie(v4S*gvgT+GbDHUt%637DQ6x`hnE_G`)iU
zlTM5HEr#Xgf+3+s{d?3^BCR}<0r3^1UP%t^6zN%}S1#4cQ+^nFQ0&8WJs^SP1yP4i
zS)Zn7$OL;#c2&Xss4s~huOx|l7(10+Q;oWVvc!2?A7qjrT(PDsL1c+@2oQxOwFiN&
zsrb(M&9$@ZlpO{esGF?2a2whEG)d%|WF8ONgA_a%B;)--nL>t-p*@I4g~dlBYvqCK
zt0Pno^%!grHGgtxsX?cFiuhf&>+FCr0B{l@M`ZEJYDs*NF&F(PE-k32Mo%WY7Pcnb
zX#!*7wx?0*{@Iy|$0R%4ZINtnyRvWoq=gb&<ZwtbGvdr@<pOhIW&5PNEK31hAoACk
zwv!WF3k1Pz+1PI$(Hk*BAZYs$_OOHi078(N7iJU+=8d=AypSsy&FShf+F<IB<k<(%
z*JpfA+^G}J&)a@}Ob!4z(ycSvfGA{KJUM_77QUQ(J#OFX;0S_DA9xM4Xq(?$Y~olb
zmRFFu`-HcDef_QOMhj-t+-OCRQq+rL1l_Z`0^TdOqU3!-$}I=MW{mJAb7w1RKuu|U
z8?j<=Rbk{bK~3~<=h3J|iOih|g0ZcJNv5tI8km@0BPml8L>fb~6K#c=EUcvb)MV~V
z5GfRC38hw@9Ne7~<zY+=q4{xY!1^iQ;kfpbYR}c2W2}N3J=Ie`<ABFq^n2mHhlRBW
zA*!;Apm5V>s@rtb?2?uJY$P3uv!=`JC%j1Z$o-WT2Ryvel28gBZ|P#gHM~(5yGT}$
zi@k=o1{U@D`1BF`Q>M-y<J;ImIFoc3zDt1H*DEbu??iBZX&-&r@>8+@`D-5g;qUPj
zvA7?mw9FY9=J2yY<wIdGAtsUpXv@#NmK<n{9zS@V<Oo$XOFMVwGanGiRPlcgNA!o}
zf|-wAqlKN_HRN;4Q%4UFXT~I3@@e7N<=*ci6%*e48;Si%0bH+cxfr6k#r{_n`xDbU
zwA^~lq3_^Y&9T8VH+bf&qgCY3{PYJu{i&zmnQp<5y)F|Oxdj7Hl=PGwfeOm)P0TXQ
z(z|39EH!q(2`*QR77YE5)SwERco_4h<Q9xuUMYE0YnP~M3FKO0mMPIWcL!wIiVqXB
zOzp8JJ}p`iP4$IfmSsXaE)5HYWZiJbf0mO8G!YP}iSwG)M5d=)GZMyhXp7}Fk#16O
zlYgEp%Y+GEGCUr(o9Au$*&on$i;G_5L!zhd9(iy8rusn(!5}>qC|oS99T#Hy`xsXA
z+NUAcj9tYdr$dG^0$^I{7&6dv8L|kJsg7w2B=pv~?C9x)Q=N(eNVMH74K-H*{QT6j
zx~9jJiw>Ul;M`-tFQJd)G9CJtH0?_Zg3Fel3JTu!+rH#0fBsZZ;E4BzXhm{OIjvGB
zdgY=@oo#`OD)op`fv77)52ddkRcePeJ_Z{=0Rk72Ut?6Mvz=v`O1-$T?4$;gS6L_i
z(MuY1!*ypTLKI=iT+2@QRh*;UE9$&-jfH~oO_G?0N^YFIYjPWGYlEU;)R5&@Db;h6
z6~Zls*kXuRM-1^BUj9Qr@<&g`5UJf9e*B!l^I!vw0;Ss>4PIzB?~Y}1D>Ha#6OgE+
z+oK$u+p%cy0Mpav@PsUMS2`LzZw~LMY@Dwc4IW|=?G5iLf^=l{`4~fJFBs?5Kw^^%
zW-$aXg4P<|;bRq&F@}H(YOUeJ=qj^HwYvnMO)J0iEQWBO0KrrKrJuCm$%=+qG~$JO
zWtEMi3tmhAws;Z`tNUS#9<DHYK*1rr=>Qwz+wDTZ0kK7Qb7{ltk1gKt?Z5Rq|B+Wo
zMLU%R2lRkZVUY+s##o;iTezy7$m>K^+d|=SVR4G+HrxQTjiK_WkQd}Ha^;k%+V_QY
z4H8Af<OmQ8WtWOilY@c|J#sHp7U_<K(YlmcOlola3n@oekP%bDvZCA`JhdW<*`gfX
zx~3Xz0eVEfpvv+K0>BS7*m95;V2&A%<P=P?OvtRQp+0CrhGCf@p#tn;h9fya`o$JG
z#5we;hJ*@Jg56lH<ocD~?|IKZ_=y+21$J8LIl_8^r6?-Btjbz?T2bjiiC#=TR46^F
zvJzx+Og<Eqp5qqbJe8T3=wB)xkn+T14IceV=xqf!f>oznG5VJn$^edV$|vuw#l&6P
z%)d-TJ;)M|ip#mule{;S9w^Im^|(ms1$|Z2f<@gF`DD>-WjhKl;{P%2Xg__A|7QE_
zr|<FK<YZIi+H!5kBI_@aIlzynwdYeio6>t9R(e}<XG`w9I+8o@`Gyxh>J6UUk<ldO
zz$LgcG_D-jE)-44rp7rzWPEM-Ps-VVHbSQX>Mj&t$@nJ07QQ#F2u21}EAkb|_?lqi
zVL&p3ee`&0GQLT0UxOrqEMO-yN}?bcUlYvcD3MK#f@FM?pb+Zu9HpM@Ulb(cYl65&
zIyD5F7U-#5kd1GW+*3)0Bx`i-mXeg*F-cUAg%iGS_V7N6HK{;slFFw+Yu3u&uDhtY
zAl@A?9twMW2efOx=1GGt1~Z4vvpJL<MTJIe=lcZHk6h~XfF{F{+E3s~xAe{xrgv_m
zR(z@Aus+~xabHVgnPtmQ6^B3P^N0W713vh%$Ij5shIdqk37R_9(Sm?=QZpARzYZu%
zez$cO-dK8Jlc1nPNYLh;yC6q}+&)kN6jM=NriN0Wxk{uhT#(eMt=J;;0E`B$1yF;c
zs$7uNsR?Slg;OI}E?UwBNu8P?6giD~Z$JTXWP;LoYEq|3utra-2%-tCxJFW^CV1@k
zXzEdQDr(A4&FVBs4m24_BpFfb=RT>>$b%Q%btmPk?-+a8{X4O79$OZx+__)J!t|Z{
zDPF;^=bpI1A(pzKU+>F77LnLbk0<;$+h;$0kN;--?5FRU`i-RKVin_GkY{P9j8C}p
zb*)Vp;p->Mqno?_a6IBh!Q|p0Deov-9+Si@0BV(kByeNp6EEo>JA2>JltV}kN9K|B
zTZ-!pkMa%#4Z^>Gm#8hDc>$s5n(ffb0|iD2TyXw&NG?ewfzTE}HTn*9tW5aq;c4E1
zatfd^8i)u(J^Do9aozz6Lc~}siM(w*`|^kMJUyi6=^@46Du3dzr4K12;zNo}dr0Zm
z@Q~sVdr0ZmN8urT+Thk^a_uK_|3y|#+S39qYm`+h8Euh<%UU_Owh(|^yzO~6bf=-Z
z5Yl)^yk%*~>uzVm^@4{a;ld7RXUn?Fc}!Kf{`s+0=x#&Jz?ZAhVwN?ZbAMV~uG`_M
z4F{mTuFKlt*kE|xwx(_W{%TxrACGT$b%q#+0F+5Mp0@q?2JLro_Cnq7n(XsKAUmG7
z!|}LYn5Fdn)yG|vwF5S6qW%25?Z@K_i<cvhSAv_!x0N=rw;`1Y(CJehclR~0#<tmD
zFK#8u&wJWC-o)ofOEv5Q*k<OlJoDVWdpw9aP2BZil++)S-j*b2bXOP1Enc0Roh7SB
zIik<_w3om1kN%=pw4@iuDq7l^gES~3(U2qGx2VXFiqu`|5G6sG4~FUiI<7_MyC6A4
zN$`l_T;v&`?~kkKaYeEsCJ5(+I%6ORY_He`Uy+_?69hs1z-T#4pJDd}kWY;G1(_nQ
zksKluOth~pa<&5PbwPT<O)!}ynmr@IpbL@(F~Q*gP9(C4fC6jdkPEUylqBm~B0MlW
zF{CekY)<Z)WSIJed1l#He$pz5+f+jJ$jxB)Bm2c%6bZAExk(nux~K1JNsHuVeK4_4
zc{8$es=6<yu#y%ZtADyAaL=Ci@+ZQ;ExY?DWOwypcEywpsdtrkNmkco%TI-Y?`^*E
z>@+L3lY1cI!7J!^eF+1#f&-Q{W5qrRnM!kdJ9c#Ailp^yMK6*8w^W{*w4MnjLRn0s
z9gUyJN?M7tqaD*ckk+#mz4p~$^m*~AN$Z*5aR3sEBY^{yRUw#_w0aCdljx$}kFaN1
zNh?vxB4ij<=yJ#uQk~p`N!^_*i(jImR6ojwBA{Mrtk@TO>(m4homc8(&YW+F<=pu~
z*8__D?yZrE&AdejWZoWcySGM-&(T{%Tn9LC3=ax#k-100@#uPp?lHPLRu%0LjsTkE
z*H7U}=3X;9MDTVCwg`@b{c_~%F(*)mBI>L0%4fxX_rLQ^UsyRLKos%FYg{@75nea-
zju8n2mHcz}GJ1`8*Dsz~7`?_0g?rB64R9JuKQwxc@aomQgu;<Dfm)TqYXrWC#x;?T
zx7UUd7mQxxP$SVsf*^rlCZp)$MXxc{IpEo9e#BN>=i!+KbB_uXIblPS4d&@3_s_kz
zxNBl}SZk^aOr5x_uM12PeAJSa^XmwiFx6fN^XPwY<TttqDAiS3ldWseTAUs<t#z9S
zAwXKs$+Z?KaSoyFA-s*Pw6{CUBGHRt+-he*(ORExtLWccMPKjmiCa|q%^R=v)$m&X
zjX&}kU-VwD=nM0y*-qs_<?;_ICwMtAoSf1gk&c=3Ps(zfXaF}}Yq1fiLa-yL-wz@;
zkUPN~Z@kv|LnL(-{gyUf>nW(AJ0?@Vk!u5I#hjD!C!Cc6<}3x0xrk>Q5tt?P`8`g~
z3gSWJo!8^4Ri&P=c9&+aTDE*?OeyvLaUb%FPkf1|-ldRvF&3c(dj-cvUh&MZ2uOf)
z5QX|Mx8udaY{ViAEfquw-2gy9SrrZiTxiTj!BE3dflGdB3ZiriZaQ*Gl%q$R#z-*3
zBA^T{cz}Md^JINRP9I2}7hyWE+zcE5vF;^mvi!cj;^LxG#n?7f&DN-X)s5;QDsrSy
zWo7QfsV7R^HkSrT`qW>WPExo;dO#-g?o&GH3*R_A_I<9CBvLTvRO1Htq}<>JpK4D4
zlRRHTI>`iQNjtN|4v>+IRDllTQDQM^k|lOvK9Ppu0wa?GMZuWZ>8lo6PzsFfKu5t?
z4uqHhIgba7<Ssr;OzeQ~*=lzn1u6t%VrQ0tG%E+rP>`NX0i`@m_KW0V3=O3K&+}7l
zysR$ERE;cU1I%<w(>kJBr;<Szc}j8hDH;D8NzVIKXy3{*!JNttew9F*Lh71x+n)MG
zQUs~scmP|o?11Qqj8fkKncisX8`m3w5|sMRfD%wbS?Zm)i>y!B%<v0TD}80Lg8u65
zU%T^Ro}8AXyy-BjUd<Bn(-&ldLksLCck`mziyW|6vm+g5g6|8={efX&JVZAZ_q<hZ
zg5p0f?#*)i(}U?>&>Y7<WhPB>p5s5>oq3M`czfnK{<GriEXO~oigcZ`9RIQWI?wS>
zX2#Z>=lIX=(HzG=SI=zCd5-^hkLEf4<2`y1j(<||Vo;pyTDB_%ml#xXY{|s*7(RvY
zlKK~8hO)m9U{V$Zh9#OGKf4(>Ha;Q?REw;)7QrG%Deql6#r=L7C9}fZfoeX788Rk6
zSg#RG5;cNYsU;w0X08BK%*_RDyL|vmkpNx^mj@W-DqLd;WW?A2s_iYN=_jiFSgFMn
z04k@2<Jo|@xn`)JUqAEuhfR)YEsgMo$APO%ZySJs_;vyPWrvw?f%zuBT0kt<=N$CK
zyDtBJ+458V{nP*CyTAR(4@&(H)Be4(gVG=*AU6t6m%e-R?}_IgWmfnifcurW!06x8
zG+*Q#NN^~a@pGDgP-Xy2g2!bTEQ_HhLp?V0@4@cRa6bei+VbXt(Z8Q91!w-fAxe$|
z`mc&l9sPTZsDb~_rQljwz5Udgf8QSWG+2<L6$~3FmdxOO-5$_E3s)rJ5!NKVtDC@~
zHZ*((YocOZ#w+aknk3+?LQ{?!!Kk*)aE2sVx5UsyHET4Wl-C*KuS76Hy=r+7bTVQQ
z4e2dvvc07BJZf*(oUXMe!HK;5`Bkd;cp3D2aVf<nNz50sOBxN%7Y9AZ2%3mUDG>J5
zowX(oAVUzRn^8tJQ>-T-Fwlk|9u0;81>y`dG_pkW=mbs7JRjkBDBCO!!$6tbFwwRj
z&n1hn$!5$H`)w+X_pz8AUT&mq!d~e4d~<2qM&FmUYJID1Z?)}LN4NS5fAR-E`~UOM
zTizE7y_2=+@jtflZ4Wrt#p%OYXCS&4vo=1Ouhf&)VjQxdM)j%+_X0|aI_jYvkApVE
zxnOiJw7Z)G0q80|Owd5&L;}%Db{iGx(!yu%1-vSJJtVjv8+fXT*%t{uaTG@&Ibbr8
zG>iI0X70st&bMJ)t*trl+(hSZ-nq$c&&AHoNv9#%S^7mxy2psY1Be}Ush@6XbSR$4
zRENWy6G2xL{}uM1H{*W#eM-jvMiTQbLz&5BP;PV?=-G@eLy5YD35QAbSc>xm`2o@7
z3I@zg_G;8^8N9-UA=ed-CI+nT&mNZ{Y#z&vgSU*kom`kTE(iCS2A6@q9(NhIgK&L#
zr!ULq2fXctx4wM$&Cl-kXV2e#``dQb%!Z9SzU#&FH$VNBUFwK?@i}bYebZZ90{f2p
zGZC{TCZz6>)B;zH;$G8{CvH(0B5xSCtHHSa%n$z9?|!?N5vANYf;JrLqW}@W2vc$$
zaEeFK09`c@kuI?(0NlVf)?ou=Xmsn=VrWB$MjfsW_D7*(i(m;3LiE%rZ#(R3&i+S1
zRwgQlhIFb9g5?D`jS^MCfr@<?Q1pl(plQ<BXCG#fF%*lm4~^y0WX@JZ4B2VGcV_T(
zBM#}Xs98?dt^jji%HUa@dIIph0UI6;uwj9oCCHS$dMEVk<<V90)|^<rRO5uM`Ws*M
z0blo$!)%2z##E3J$WSh0A#ql7q!wR*rY88XNCnx7purkgvj8KY@qq3^gh8Q*31-Au
zq6b`%R8SIxs^WCxN#d+-FXX>{hhAt_lfELUAX^b^AebFUx~fB7vJj+DBnevcb&p7`
zyhhG+x@1kWp-KH6@cAjG^K)}3Vv=ADq4}&(<^YjMz!W4Y)0rfp7X>X=@4NCcIn$XW
zyKWGjiYCa>b;jFstQdhtEM%9a*h-FlP`O&CGKvone2D7QpVJYEJN{v%hZTj$^-l9_
zP3Yw<5P1{=5q$wpsK-ld-(|~BY2W|tr@!c9-{V7=WTA_-FDlvMGwB(jE6D-WE&N1*
zj<xTh9ch>NdUrk2H6#cc@UBz@QTz6$&xwq%WK4|O*Y!CD!j(#h96-B9*XOuI@n-$Q
zMD6P;sBL&ipR3A~4xqSdS6o6_;<8<p%mOU~I6`Xo+q}Q4_Wsr<JM<}Dt7EpJ$ITTz
zmffOKPjAVNt4VhJ@?RLv|E?!HBso|XJ+#A$dfY)e#SXIP>SJ1c7F<oX&NB4?$t}Z7
z!;40?6&^Q`j7$|7nUToW*@}szzO8@*!!<@hvUMhio<un{$|y`!8wInX2SAaG>uxKs
zOBO4}qQ@)>JHu4Wz_3r6PqJe3-aAQL?764o-E$X<JT>n_mU+0DlxRyg)bu*E1ee7^
z>3}nmRyvsIl?R<lf{_uX8Zl<u63KRT?alKGk~O6K?fpGr%1b*z*_3y_<3oQsV5%i!
zY5u&WUoqQ)rtlEW-hR}AmL+t1K$BPy+-8ykupFq+klTtwgN`!s=PRXPb3yXwO|Zv=
zHOW7ul!gn&gf57Bh2^#fFRq}xTrehdK?_Q=qA8<6M^Hw?1!F=Nq~bKE1cE5SN+@B1
z%N3HSkpc@9vI3`5x=TECIm=pP>ynjSA(C`x-_X=SR79Iof7MRC=pAP8ATYN*eoD!j
zw9<|Cs%`%N&H4X&!&mC{&Huj||NoOe{yjhUbB?K&V9aczhGz3AteESXO<~1cSAXb{
z;(}X`x`6Vv>&c2r!$Zt<b*Ur)LoQG+zs9W6kV+C$Lox{Tl)lJRlQ<xg#&+44%qk5%
zOt|<22ZBr&HHoa!kZKZjqYbTL&3Jb}k*_rgu1Obb#@hp8F|A2dHC&TIGUg(^*&Sh*
zxFq51F&UYfT2aB_F^8Ty1no)8MuJgH8|V)m&)m%<V>%KHX@V!G*)U}$6mTE^<{u<+
zNnU{jM9VvVg8O5wJQ<+NeU2cxwtRAZE|GlP*@8;&io`ZM&`Pk{5hz6uYy@hRU~GW7
z*C3-_VeIKlUFIC!*hII<`T$H{yc3pmR8ZNRottxZmffN<h~1o>t8sR|@sE7<Z$6Fe
zs&JDyzCVfVV!;K-E=vS}v_?CWEXomBg>J!3V7@Oz<6ti?L1i%!&<_MZps*eE?Q@9$
z5QhPs#)$y5bIl|I&}BvaR+KRyyjsi{EJ_5JeRNy-AjmE;Z0$=4qAbfJAF02*6u`(!
zLi{B%Zjwnlqyem^XFoT}8Z>`BoHW3KWZ|QY?E3wG^}qYT_j6>I6eY@fOX^|8JF5<`
zRxcD=V6}`Pb)$gbw`|~u^%gKOO2;XNTIt*+Uy=NITM@(B(c_B13~UP;cer4zx1_EN
z%#BczM;DCs7H`UcVkM<^QN1NKhr&_h`5RF%)>~3<Xp6}n<%(IoWk|iDb<ahiNTicB
znRsvLfruVR|JlbmssBV<g^?Aaq~iRfLx8;Igf^~qP6PslV359%oM@Q~4a#tvSFw)G
zo#B6>I72V0d;e%|M)@UVMZ<fH-p{c26;PV_E?`(+_VkmyawBzU6MUfVwBlm#ra-N9
z>lQl6XaFH~>K}QQjlaZFnn{8({(%G=oe=9QMs?^477C(lSdZ#3u)DVfA$mT+CsC}U
z{LG#DQOm{h^MD?C>1zjiH`ho{J`vTSeroFCvx$%XVKQ}yvABfBrS7~&CpiX5%D&qd
zgi(5uf;sN-4Q1*O$(q>GpJp7Pk8i|PmbLV!Ax9X#p@_L~_VAS33`^##XGm=Pj(B*1
zU1y80QyLR16vxWzj5i1+HX|wE!scZZyy*3dlR&JK$$1RQc{2MW3r&)}h%s5430mUc
zE|GB~Q~1E8`iBo(%+x?L-n>&dYR5wZTSl!SX^_xPN6(q-Nl|?v%e1x~kGW^U@l4ec
zvs4^nh4a&PI6n{l2*)FdwPs`=Ga~qMoSqD7AG~NoRQur-huRN&uU+kL389-y2&p1F
zk+a(pLei+Yq#LtZ)Qwr~2^sR5&;J)c`ty!{lRS)7zbq?5T|7+dgWfmg|Iu9<jhW!=
z=w){Dtc`AN^m0Uo%#L1WZDlJa0_CRC@Wrn&Lx#-FXpYDbOtV5}i4sDHv84LtE0S)q
zPYrS;t-*k8Q2Z1ZWcR})hpMKrSCnJw)T0TKq4<wsmJO!avSiN#YaG9_I2t=;tYyCh
zX321yjdVL0OgW6QTIYwHm@19&7K=IG8!Aa_oQfr}*!oJ0KGr9+`lu4KAiKp-xMj<i
zMuQaIlYi$&f76eh;y6hI{16?DVi_tZoA>P$$z44PqoPCaa9?OpNkhBqALA`heJDlp
ze!OCI=TkKqL?a^_=a_y<aNi@wZ<Q&s7;g~}f#JTGm^xZ9IAaLaxe1V%AgU3)N)~S=
zie!AFI`P{-c9wn`8X|&2+U=M+b%JD!w>m^G!mv^`2zFN`sz|#9eWr-L;;-KQXUdR%
zinfdqOIT<ML0o9iAU(yrEX&(?Roz<Ax$S48iw(K^QdJ^u*@g$MV@_K#JkTCi%1>bw
zqoelnO~me~^RL&Zy8K#wx&<;@AoJ?rF8hDJ{xe?qhAS&8IW_8GOdyuo^)&nvxy+Ic
zE!y>z9tGK|GK`qYxPTgUs!$c}y3?kC1*LQ;qFqPj3k~=%t(g9<Q-=N2(XMx0L$z`U
zR-i$FWzDjVk-JQ?Hk3y_5OGSh!N`urJSr{?EUP4mcG=lHD%O-?o>cv!HM6rvugj--
zR)P}9B;)N#xn|6z7-g@sJgU$0tcHRK?$QBQF!^JK0p1xM47cFnxGBd%oP+7sB;I%b
zMjVXNu?R8PMvZ#))TlS+Gc1k4mboNTG1%>I|FSRnO&^?Stc4HTYF^5YeGBkk44FbZ
z67|`WW{r~@NE?`-Hb_>tz}Uj+mncZezy$j$&2O)`c_<2!t~SAT4{)-a+IS{)7xG;c
zgPEYh6cDr^3nj%d7bIP6f@nfQ-Htv?ec)VDJT>WR6NC|=VO)TPV-T^ZU|*4RbrM8X
ziiubdHgPQ}uE;T%Nwzg2MAE=*D-eK8l5};FMCi$pRg1A`R7=VvDF!pi<Drpgt;Oj_
zlJV|fvORF#boNd-9kMm!?NM+xKKdRc+2z^e{pp*E=0igg;kj4}y)fJ#<_xs!?C{-)
z=|et^tWS3Kc!$6^L4$-~`%w8Coju+nm<O3jiQFU;pk`~vd!*Piy3U$mZ!Wporg#YI
z1e#(9)U<%KCfJQwbn`<;(QC9nE;fxaOQuDCDN}%mUFCwhh)omW2cFMZ8XFXZ3Oel#
za*fFk8OEHqz1&ue70Myk7_1O90VXNn+eIfRC)a7PLcU4(Y_PPfZjja2a*JY}Uh`37
z-duKzN~^x%v#$o9{pOE<-4A^2sVFuEr#gqz<GC0o`P>c!r)BIF!=%OF$k~`PW~ZSW
zlrI~k73Hb3C^qqBqsUkeuZU4>qRT#lC$EgOV-!1!%gnOVv%t(einXyFQ^?E=4KxqU
zjCW@qni+4;gFrLgsyk{Yg`Q%s3kM?l1R@aK2K|f8S(<|B6oG8;N^TUVsV)QD)<j6P
z(cZ1=GF!sG9dMfAy@r3r?A*zN$Tt_nn2cgy7GqwzjJRz1(%4ZM@lzlB1Ha+Ny^JVh
zq%DNiq0(sWB|b}_F)5WNQIhU5+=Pv}2ObasXLSoh3YpJtK(L0V_NhGtNfX$L?a(yR
zngicU7<pWfLL?Ie(=sABgfpo5aby}-WZZ<FdN@E;vmg+WR85P5bVF^$G%5kcf+>)q
zASr4SgtkHeg;O8*NH`WNlA<<2AofvQg(G=H3y(zjx{Ja%c4qDS+0z_p`ZQ$HiX~Z5
z+nR~T4**z1sQJfXMV%yvJ<Z3)L>&aEqcurUn<Sc?2x3X!<zDlrcAY1~I8vrT0Zvhb
zxQ5Pg@$bvJ*L1G^M9~pbSL5^{^gExJ;>z=s9BfEc%QY=GWqb(m;mwX)!u4iz{4j2b
zD&|XPCvbM!qc>K?0W8T-&h-(PKDb*1_UJ}n%WhF=l(q=$Y9g?I{i{Ca1HRCyZ^c6}
z!><0qe49n)<EQqtiSCKa#qVIK*%}Sw?ul4O)D8xitqv4dFmSQMBSv5dyAE&_V6}ia
z%1d;Mtd^Lcd{P1b?fxNJv}v$R5dy@JB3Z<1lJRBfOtV0kVHXuNJdVu1u&d%&csLK)
z+;Z5j3}z?JMJ6Ay^CQp=Uf|3eFvuh@M3kVDGIl}FSvGx73`96TJq*Eq;Cg{uOE5vQ
z#~F5?2}t7k$@%l+$;0z4{%(v-?>1ZN^|ol4_dRm%H%!ZhX?b;ETK>{Uo`2UbI5=OZ
zGYp(BTZk<e55WLK5Z53P8xpZ4EQhY4L8h(P9lHvpFr@#%QzR{AE=ab>1c73wj<V^o
z8l-+qFhynHW7oN}z9Q)v6GViiv`aMNuee51K_&=Qr0h@)qO(Gfv{Mp9m5ytgV6~*F
zW!b0ZAk!q#IZ3fKuzQ$+QJ$NFOp~PPxr~!}fH4$iUd5zN#f7rxG<BrNJuGOxe2%3&
zNdTL}YUWmG-U^mb5?J9iW(z%L*#tUR$(xNLalLK$z%;Zsa~n>y{9O+^UmxVPQQ(5C
z9fc+K?wwe^j9@6O_OpNKHT`=%ttQ1N^S-U9KMVLE?>h+sAAols$Po0tN+wEosm3$G
zS-?j`9?ZfsNaNXx+6hHCjxjugG@c0tjDXCOaE!o{Pmsn-f^9`G4uVH?z#rsL2GV#Y
zIFHSsw3<oIV>3cM_|`l&BO*iQu^I91%wsbsII`=U!Dg%qWiHmWDJT(<5<THD1^;$A
zjVni?1fstz!%r*;o&55qL!PY!;uh!87(uE}_6ZHSg+9xhUax!v>9Si?8nG=6cQt9a
zd(VCUYdZ%*$jvAn0U$%kw+E`SHDV>bYq0Op)M!bHu%v?)xm++>8kFf;j%Z*SwbbK+
z(GdVwJnC-@Yb3_H+!{wm0GLYwLbR~krC{a=U|hHa6_^Yn<2(G+(Gh4{YDpkRprH(3
ze(KB-z(op{6sf=wOfqu>tYin9%-zP(3E|L3F9q%ld?`9P5Uony;#bKjFq6c|k#>}C
zh&vvA&67r3EM(x5VhdLo>FLZPA9@}U3%SXrMPzx*MNKQZ1r5><8%2)-60*0RvEvM2
zC?Ii#C}!*mJ`xJHhFEc8{*C;AnA8*gl5j$%`v@nz?rGzk()9CF1rJr^P%)!-I$S?&
zdA$BIV@mI9s+eKqM|jbhMk==H;k&$4U!FegYYq9*NNU;gr4gb?>I46ef8*<~ryptr
zIg53SS;!gP5G$dyT}#Mp#KKiKYBnYXYJ#)aG*X}@IEzgq1!{t`05MXaCOD4|BL!-b
zz<JP!L!>e=6<a13>MnvZ#D1u5zgHHfLp#qaHOmIbc>z27JmxIko_Wk!c7Fg2VfG7r
zqRY|&iY_j2XQF%`upcUDaYdq?TR)U5@blJCY7ZNCRwl7HDHRl3shCID1yuV%)fO}%
zJyKB<(zcgp1PdQDDY8y$H0hyaCkJ+66+1a+*~0n3*_Rco4)lh{>!)qMey&A@O?rEi
zCMVu4&`#Z0y=keg*0=G5DVWmL|H@Z>!R`0Dvnv1qb9NODa7zonz=(m+K^#flrOvJi
z9*@<4Hy47KBV7WUNEw*mfSy!FK=t5AE>~pZsqiaM;nmR91WzOWnjBQcchUrV49a7r
zjz5$ulCDmtwz~Kcq{}7hjPJG~zuE&FQmSIZOq3snbah(Mx^j>m9IaS@$<XJ@OJeB~
zm6w6Z$YU4aSB>gH@wqcFS&xAZoScIl7@wWp7X3g&)ktp{ZlZRA=|><-5pR#0Ql!gk
zjDsl89`6qg!R3emZoE4BVa<4hz@0&TXwU^9AS}OksQ}w`q7@9^66`bXP>$j|>AolJ
ze4fJx{O<+iSZqR>brbV3a^-22_)o-4{p*%8XUM{99eFtlJxl3=@Anr63m!X=_!w{y
zvu{N;LiBvp>x_EzB7UjJN%h^RB4-e9uE?|U3t+u?2g3!^wrlPKgYLrdFl1Rs0)hN^
z+V<m_BG5M_07<w5DyAGSVF>i)IH9RW*|(*JVA=9hhTuy+;fL<L-V46s{hJ}Mz#1fD
zRGvju18Cv7#@J*CkTE!l+SWCoYDm-AipOpsem7(k4n!uJXB0@$m>`DDkn>7a4czlW
zkn~Iv1muYI4ER`;ztm4nkBA9Ej7l_=Pmq~7E3yRiy1BP^f2xL>MCcd$=PxY(W`DMy
z#qMy18*w8IGK;s05a4j^_~-r%FdOh3csc+N5MCAc3B}0yt60`rksZiX2Zs>h)#Yt}
z*9ah#0d~2P8PCTiD4dVB!||ol%Xrv$e4#qVPG5$%qRMTiI!dRRxBdL&9Qc-eIZ1P1
z6J_A_E~GxT*QGg@EkC6>{>x`S?b+YqG}6M4W>67jOM^p*$-iXN`~7%m20JN6rkEyx
zv`B>FJ$LrOe8Oi3P7l22SaD)zC_f+hiqIVBq!LVtUKMl^dnhK@AF-cSoER?3PmBtt
z4u=k%4ia+vifmO>um$@GJQ?C~M2fZeFziB_Al^6*d7<T?Ve?Nd50FZNXIiqqecdRY
z#m_A+q)7_THcnnkqkQ}(#f4N!Ed)cdL29Htd%Qb7sRXl2N7u<Nq^()iWGb*G4R<Zq
zjQ6KU27|M;Nb3Rx6F>V&cTe0U4fEmh$#$jP;QG^0qoie7;9T>!_aD^llhrUP#TB<)
z0o1kr;-yxX@!w4G+fUy!^&3fzoxGr?p~ryC42pV-_W1>OOTp29(9OBeD0<yh^(CWy
zeIeJX>J43bbLi4#x2QCCTZ#2*N~|CKU%d14e%?DB#P=wJjm0cHvk<BnY|K*OkV#6R
z0Ui$tHYWIBVz4m_If}u?EaHe<hIHzS$zT>+L$)1cEch2OL`COB61l+g*q`m^lsUTC
z)bXD4X;v0n(SA;??0+MvVDc;?v7g&CFj)Mobwnl`xWOyZ80<?1`^U+AH+W2Jb7Qf|
zX7Fy#;9V}h+YH{-7`&f*>U)3kdpx@)AZUw`9oxSLof^l;du7YkU0P-_!9+rdk>5J1
z(gn%jnP8eZ)HMxeR#Ir?f@JVaa5x@mrX^xz93pX@3zESzLCia%7#U&Rfyg!U70KY4
zAdE6tx4ESOv40^*2G0bwL4$%%1j&UU#mFXzY1gRM)2T6^Kv-CG7nLt4du@`SX`!hI
z^l6Z&%$8hlL&cU|YMz{#IQuC}t))0~W9YxxiuTj@_-}HyygkA)d-^lh2Z|m;)Pqjs
z>=+XiVof;9S)uh*S6~SlLeg(dlI?*ZL%7~7$%rXGYYN?*K?#<W(<E+5G2iGiG-dh-
zuMHiS)(4g?Uxqyd>iO*V|A=3B!P_IG;%qLvp+OR023#Ucfe|}|=?gMJ2h4Q+LEdHE
zrS$<5^pTjDCRw6eNQ0RmoEBw$!W>`e%JLOSgC)Vl`n0#4oC}f$Gr`3AgbK!pXqYsZ
z2|5C&s<?&`KQ(DE6LbJhMOAH5o_=c9U?!OWIG97HiNhdCPMb+401iqnT>kYnPwG0-
z9;`32Uqo+snN+qH6NH#bvY$!mm6~Z5BICmTo2_U+eb3ZyB!$RfM&oS_VUI#Xm_EpB
zHQp9G++6Ii>=u<)V~ZWGCU*FUFa87n(Pw&nO>RbMdAo-<rZtThfP+4oSQITUoB|pw
zhWWb3UYoo1$%4_3mRG};X`+#6d1t4ZW|r3|t5Ihg4>ir;w6jA^qvf3$YKnM-8WZ)?
zVOkqa=|<#&p+y*nP}u$G7Y&CVgyZ;%jsxnOr6h%Rw&qaN^aGMa&xS;7{$(;e-y{Kc
zCWr;j4sf1CVkUXgLtx{5e_UT<gDX4LQ8;&pfp?mbrk{m*mgA=A8!zW8$Wb=G$S>`@
zyO)3$b~(kR6r1WZSa#8T2ir!raVxN0A)FSC5#hCG-bRFgk+(5!qBut!>Z_Tb^<m!}
zj7OJuY}qZ^9E{~XscQzY{?doP^RwRW$w?`VT5?hgrG(Er3f!gx6q_xR?$YFB608R5
zjD(fn11D1mW(W~Pwz6V{+3AH~1c(4-({RIMk7+|C=!6^$J9PpR4*Qzni4pNan#Kgx
zcp{aEVMFDq$-yu|j6|d?CIr#txe}W~#zaih7>evh%4R2+fuf&<Rm$w8ZU`n=mY>ES
z#|0-A<#Q~N@rxnpP>Y+ejznV?GJ>2^jIcYdHig<$(Pjv=$~+?rJw74jR%Rf%i7%dy
zAC7Q7+78D*U<Q&1UNT0RYpBHqievur1YKn#D9ltYNdFO}*dFV(rf|XXq?;EmU#fA+
zF8*gf_FMkq-}LOFq$y1WSy~@Y7FFeuRt3a2PwPw1wF&l#ZVJPr%OXXrk0cf;=rkrj
zzNkLpEK*odl$xFl+K-+pJGJ(!p!5LvVtHy(K_-}ne)SDJdDecuVyusJm>$FZeLYB8
z%1<5ZBe+Itm4U9pWYn^TQ`AQe#6L`u6>}QLkIfjubd_l178Kv1R~_wF$uW*eDn~Qc
zL`RQk${<NGj!PPa6^&QQKKbf+Bq=sgiv`F*)Z;~9KUZvvb)lSP3fw2Bx;)}Rj?arV
z7IsW1+7ckmVac54E4n|Zy0Zp0FzO2A=8AAa2;|KawC$?4Y$l=hi3}0W{nP;w&fDR5
zMsT}n0d>_Qz-X*b^jdYgr7mwSb-C;ol_qIRU0zM<@*6(-*Zx!}8p_QWoAsVZ`(f5W
z`Y4Ehy=xj-=oSSO)aed<{sCMnnc<Mu0253slH|UZkb($%0QW!WDCyL2ElQJ_nRW11
z@~OeXHgY|pSx2G|W}i&!Vc<~((X>Xht~h>#N$7hj?PYG(YnqZk#=6s)<No#JW*zMf
z>Hr+jhMQwEGP6Dm=nbG4jRu<M;EdHKSZv}{2bAnt!6Iqlh#YA3!3)~!P1t@G=6sz^
zKhG_%SzP@YVG>)>@KGol>Km|G-bXj5x9k>emiKycdf)c5|MpLxIl^B0f~{z%UBVbY
z14AHm4P7f;y1L79dfJf>oFSq9!1!DZY+2#}9?t2h;H#9^0l-9=WQ4IoN@C_;WX9{z
z_bnw9!EI23WC1ohev{Iar(flD@H)(MFmTS*Zsf%r3@C1LUQH#@5{_pFz66bXB3~l=
zM4WZCPb9t(B=>@oa>>PB2b=ZG<skdde<&-EBDJu^PhF14yKpIzwka<})}G>Ykn8Tx
zL!-ty=pKqi=o60fuF)weqWg{8;X$|^P?aSA+g{+?*g7D4#hF*kqebeXM!U}HGNi7z
z)@50odzF{$#PX%NrQGZ5fAF7w$0r2}ApW2hq*)=v`?u>o^YFyd3PWGD@3%|++Y@!7
zSpM<;ZBRs%jow-LC$(jR-)ye@)6%&qQX&w$fJ*i9Va~GhPqQa-9cuIjB!vSd7mVc}
zZ}bMrqFj+568+Re>UIVQCa)7IHa&fjsoNRxp=3Y(+*$v&($pdFLwsB|kBh0$vm<wn
zG&hBa9W`B3;Ad+tR;;3myn{L@+A+dIgmXyfgwnFmjD8lo!}8aWPW7{$R-Q!>ipU-^
z!m*9(lHPC6#m;hF(y%>bW<%L3yvk6XVK3>@Y;%FCd$g-q|L<GxeA6df9a67uK|2Pg
z8}lUhqNNPCCFw5R0;-HUY*?@vjVGqB-vqB2sVCaZuaPuqIyERYxR7+jwU!V#(xgeS
zZ7^F5y6o5q^_b(?Rg)%3@FnA86Rypwe12}$q$Y{rMrb%d_}Pno43ZgA??}v;v}V5_
zU1mmniGC@?-@!;oGBT`5hR)7D?CGS8)T^~yD@bPdCn5EeBF#Vh_2_X{lQUXcJlD1J
zUF4{Tt=2cB-W4MCZkqUVE0Nt?iA<Hz%E)0WkzGxR>_@--9gls)BkVH_MwbfQi#8>@
z^`bnGnYmf#f}$@I9Kc4!<QgrgUny1swOmbnb2M>0`V9Smu!;;Pa%#%`>VnZ{fPDqv
zg{<}-<bWLixM0>-*H<)TfF)_Lc7env%z|BIJLj<E=>A!cC8GP4f8+v>CHf3A9?PXY
z0SVeBII%)3wl-U)4uX?h$w{VLoTH<0QAoH%W=(KcLIfG|7jP>=JnIm_QCBe{6a+s`
zbqIkR=tA2b91$>u2P4uPjr#13zzD7yx`s&s0LBEM3m7@b8(liO8xa*S^Wk{(A!pGn
z1oG>rZNGj_?S@TGn|N0<bFzluF1*)>=EC0YY-u#7FWd?9Gh#&DJcP|dcy)|o{g`jN
z`*9!X`Ppf*EG@i&nHOMr%2Ya;Z9b34nRz>jaHAlZAS0qeubyy~;|R^q>ModRQW_?x
zV}^Lb(dg9VA(&tX^UReZN>@s`(N`o*VuJl10XxG}nhMDO{KJrkkOb?Nn8JZ$X{`3-
zE0Twh1lzqbf*i(t#OxuMBnaBk-at#DI7+#K`2BEJ!6k_*h1?U+R*obot6-8iIjz~C
zrqC3h=cE9*Ku5ndPli%VZGp29b6d3DiDWe8`j>lU7IulXT_PjvYlVvr&_CFK46AUt
zr*YoLi=jh8uf73a?I-%~wj}L3!0eJF?fM#)KG17z^#WIb3RCvJoLGLUhV?yv>c!Xp
zYajgBV`p!8+}k9|8kP=5McD_mK!SR+GKuI&-2%mUtz^ZhD3xWOtcC?TbXEb-1Uyl}
z3ooK0ZN;`bpf(N-07fE=CW{rCoP&6fxv_+CJfKFE3$in&H6P5srWzI)Y|uSbewbLp
zYHLhT6+tux6f4FW7E+$lrQA1^c+OAFI?_JPaoB^41?PsOW~S|nT%^xLJKRZpy>~#M
zZhs3zOZV@jlKvfQlTtBf?!9v#QyN_5+)4A-a5PllD8osj9zghS^!NDB_xNvd|3&NM
z(sN1;>1=;itDV*wapytTT;BOu?%VZ;BN1W2MByPR@2F6#%R{p3Kw~M!?6@C2@vI{B
zzU|T+j}Gbi(aq<WLDx*-J6<Af_qUsG$PkrGZSMmf{?R825AzPWeu4la@WGo`z8*r#
zYipv64j?WjrK2;YeC&n$&?IY2gi%S*4#dZK`9pf19@6vlkm7IQA;p%}NArgi2bc+_
zU&BL+L(*iCN8}-W+7Pd1a_uLgI_8ATBg*+P;#|}V2cXwuO$aJsWH=rn@v6Ze2)VNm
z7=u5uUKfFPgqWYSpvSy5_ojsN4+QuKAvgrG5Sq9Bcy5L9&HAt-;9MG>P&Yd~=y5rQ
zPx$53-44%pIH20q8L=7!^7GSnI3cdLkH@#WIwMxA**t_3(zYMp0N@haIO~4bWS<`b
z+4*@p9FOab#A@|%*JQ+M4XZsrKX3c-_`>4l$dvk&f~fi1N}Jf*KxOhf-=5vZ-Ug6*
z-F(C2O|aHyx3QIkQGKRO6Q)f%uaEj_vJ+)4%rnp3yZ15$pXblseUa0^&pi9qyPvni
z_k#ad?!P3#d-HOOS0~>my_z$tM>)dy-20W6Klz=f`YS`*1nfF%Oz$+HZ{z=G?_Gec
zOVhHjQBjx?0uluwqCYWSNY@E_@3pTMIs@IHiBlo@yURp`{_UCRo@r+0^htL!8H-@z
z#4DJH1TQ5N4k9KbDk)SZ<4hF1O>V$IffT8vkRfKGgh0fKR4I_>c`x7le&1SWueHy=
z&*^`LHB@u<`uDrOwbov1z1Qb`UfV*~GypczHZjmP$zR+Bw|k6t5w`~pmFnPFkw(P@
zkG4(n@peHR8DplX{}+f~n{%W|2|*clML}@LHG+KLT@VN$_N&90Sz=ypBS_8Qf>;LQ
z33%w`ws$d2BgU~#Og{a-CJOsUIx+b`7Rlw!yC#dTqTbUrF(jo#0OJv|r=$N?EY@{S
zm5L2#e)YIR72$A~;!{3&IraEjKIzfwt_^=N+P`!7_<9=g%IJ*rTi#CiXwPzJFj1m?
z-MGAX%S$hX)ST+QyHxMAThxZ&b20cl#o#CZl?Q+Bbz!D8u4>a5JFs}cV8QFbD5W+#
zAx?`%K#y%fSpr)L!Ld<F&W<)TAt}Xv2slAUs=(Q$S>K5L#4EK!2Nc&bo;fj+B0q$2
zhm~e<M@K1jI@2AO-{YUjX$)jqI9e3;e23DK*z-8YDvbeBY|N$;$#yW*XoRR1Er`*=
zczP<sqrz2WCkE#Z=}POI4iyVG#R{HFCX6dr?DrbLW${UH$VAk$(IhjQ!Wm6Kgm<ra
z+6ZTm^q6^~OZ<Xg>qb1$_DF}3oK5N5pLypyF5H>G#)IgMeDU(lZ+L4&YcD>J?PuQj
z){ww=hs8Y=^CbqPo}*M1tPO{Ow2uzKAGt-dDZQNr$S;244=pcW0aJ=$cc=(3i@P`|
ztxs5=%9I|nx!IH+wYk}p9<{l-BCy49X@N^&I-zy{$2n$GYQ+fVNEW@SMP*8n-7-_U
z-u5m#r@0@)5QnAqs;n_vx-!ov8(lS>W^j>|)$Q8w_H1d`I{BpgoJvM|SB4iEfMR%O
z=7H0oRN{z4T2ad#VR!{-b$SVOHoTW_dQe-}+3+slKR_Rf6H$8vFTeQA<x9`M{QL`-
z59AA`hnFHKnPPs#j^!<{ytv_g>4Vt5&sF=r{rSsxU^&Y)V=LU7d-9z-HuYCie4|s?
zM1Rxkzv87I3FZM!D0mF(*-Wr*KJuJD23JDOzU$8$%BkkVF>;`sYQA;a9WiEJkMxpx
zwpESs%U|(x^R;ZAOGeMF&e(d@ae!xMrV_1cmRj0QQ8oRvWZYPiOPf+*3tM-?v3}E%
zfipCBdIWR!AamL+nuE;gx9AjG_m#W%|I?q2AXB02&a&g3B$zj~74jT*pK8QoUm44e
z3xdG`NG`;V9Mqtd$Pi>%)di1^*<z6Cf=9<}F~}@}D1K_!?E>6-5|zcFY3p3jsC7}_
zKOBr?HUw$wT<~x}bV*3hVGo9wW<@G57c78V0DELmU~UJJAk!sLo8JnImP4_$q#MVU
zAk!twfJBmFDAG7dvaITonD&9LCY~l(c6+VC%%;0!A?yVSMKk7PG;pO2Rr}WvEW{TL
zhBsSX-LqGKm4Cjn$kjHK7JSHzs{l%{<&am~Od{^%GxT8iYO?W<qjBkkcpI?lGjHu_
z?L5Lgk?gu8hQh7`*#tO3LA%Isdw<aHW2<~iu7zrILK2g9-JkwzB9wr=%q81rFFb)<
zVz7Y>@-5_<?S_xsqS*zw9T(u|e(iU>=RFbbDni~}0B-=H2}H&f-8mQ<MSN>+Dxr~a
z!RXFGZL;lrp$kw10j$y%8FcZqE7ApU!R-#Awt$6K!~)lcraCEtAk`O3xY>2sqZXtK
z;DQjt^e&jp%@)w9N^p`P6nmlOs6iqXlT$vp84MfK`-&pX*Roj|ykzveC&dk32;aiI
zsKZ4@qO$Z^At^P7o}#)Y$BS;&3boU#t@7DP=AkvOsMS`;+a8q>pOmzJ%b6jp>$$co
z^@eo5+)n%Bd^6OQrQZs9s!;B<KRw3Se;}p}JSKiCP}ZNGu(ii_L_N+y>~!^b_8@lJ
zEt)OZ^jmaF5c}?@KlCS`i2l;+61F~jWq?whTF5iI1se?9t&vgLSBQ3pvBB$&H86!B
z^^&g`vsd<b`(bY~r8+HG5nOPpG7J;qZKHXL6{)FQu!M3LwuqLedc~ZujoB-FL>obB
zD_;?PHn75k5`n9tMH`EjcS&eFbmCBQz38KeD{OE9CU=Y8C>d!8@-+jQyh3@kIZd${
zE_o;@Vl<-Ffb3D*tp;@wfniwAtEjoEl+9cj1UwBGY~ATpo+)<@{O^z#;NSV*AsIP(
z!vOCUnK_2N4@!z<?kD+(p1m?5?xmga7kk8OXiXAZE&k(w`+xY>ABt&u8l8DS;K`&y
zmUCEky;N4tI+dBZKw#LUIuGz`62Q%Bi!l;Ve*5*oTIfPB=LAdt9%2$Xbk4WsoM6!J
zEcZwbN>f$g>7hC;7voep8}#>6spJ)NP7v+ymSsG2nB+yh8|RpFf<cr6ET`(-5Ug^7
zL6oyXdbL_|RH0EPh(0JER1bwR;}@zOCJvE$WffT{H=cMO#+lJ1GmMi&Jt?-4w!J)o
zincgn6EV1HlP_rrPCyx*lFG=n;nz)_WdwP`D><1m+Mf9lCDaRDrs}w9%Ma<eAOG7Q
z`ZJ^8It<}Nck&dRdKoP`4W};Px*j7kb)1279^3j1(jh>*<WL<Vj|t*Za@hgTuKrL!
z;Uw6d0%)g>D~B$_N>S`lUo2Lnj&s2>tQ44fVCSd|Lr@a~cI=o|y50g@*hosLw_FaI
z$JGtpHT~YB+E7(jAlh~NjNm%cjk)OX=u9qc5-&)UjEo{}Qzdxgt0v`^SWLE){%9!s
znJPJ@;NNy-BeQanI-`clO~xF^cf6~c3ND?0lX+rfSJWZtT5hE9>;*Vg;mr+Vch?{`
z?H090V{Q;TPlMRc{H}lS8J{+@g`%oIvxP<g7C}&t-~4Q5e<)CLev_Kr<a(fjc>s?H
zTd1da{4d(XXSUGOs9NxYRZf9;%nnaLP?XXM0ziO^q-X`;5#SJk*5%HEqS;5dJ0Ia@
zf#vKYoX1D__|N<cKl=Gm&(XP4o`AF|RKgl3hy=7#%Lt^H#b#W1Hna^)FQn0u(SH)A
zW<l}#O>pw)zs-J49u-9K+m&Q0^NJFj+lnB#BUv|6noII~Gp}f;k5ZDT8b9=Q&#4_0
z)apwXl;GSiM!WM+Q(vwmKF)#?oV(z10b)C>NXk;hVZ@;|IQNspC_BYDf)kJNeqK^L
z-%JuTszgL0%37`ZYcjm@o3mN&7x>Ldhei^cW=+XF`FT3J11AAcMwXmx`Ngt(v|r4;
z`~zAEatf56{XhNw1X~};$@rv49pZ)2<$=+#4=*K*ho6G~jrE2;Q7WZE-P-$K7@`(6
zA)$TB42qRYnL%LjD~@eMyLTJAOV4<8)H8OkU?L;rE{|qG#~%JuWB;ki&S}fHaVrZt
zfB);g;g9@+#4ReXUaL>Z>j|Z{d~Ao(M*Skz`m`XtKu;k&7Fk~m>r-$bYgHvwkR&@@
zuV~pDhu#1fUFiVh7BxZ4Q&NQ20c2dQVAiLoVY536&(vxm3CDbnS)XoJDEX)$=PG3N
z^VE}BpYA|rDwr`ODtm&JKHYbieI&YXF)~W^umEs?l9&%D^pg23l`zw_Brpqdn)~Hi
zfB}_c)~7oxYL<i))b#~v2dS;OTW$c*qmDl4(5-6C>i)pMLXxol^{O>vs$1F{1Z^($
zv1-kHhqjuxrp3cGwda{{(H3V1!c3aHh0GhQ*39?F(#$wd+)D)j`U5v=OQ>X3Q!kRm
z$TXP^zFjqc4j}Dc9G;ggi>?fc6xaCvSHJKqvS@$%CtK#q0Pp4euC{)FVf>$-#SoMA
zkZp;7;{lSD@y52WGHt>ZI(j7GI@4(8*is5?CByv0<DJw!^u+=1BRbsRP)ZquSa)!4
zu5J)pPBRj{g4-Nh-d$`t?H09me2y*86I*`L>woZ1{<erM6}aiXkij@X@!@E96d`MX
zxcWjaXfO{>j@Sy3b%w>$7ji+6e%knkHLR4FJNv4cMS;1$DC5G~VI+fD`VeGn=_>;G
z$6hiDE(JG|4^2z!f}3u&g=2@=4WJ8COB*ZF&=x@)QfjY&J)+-_u0sgY&bnYBDBECo
zg+`1aD87(OavY>!dbU6Swm+oAmM#f*0O%m<ymg1utq(4~P>}>gM<Uw|e2CuB=?l5!
zX4NTY{RY2k{i9Fv2f`eK-d#3mB-6ikdE-=9Kn?Xr0&mM4UY@__?ew&<J=M1rUQS!S
zHA@UHFMi2Szc*1cYkk%EGOXGPH-E);AGkP+jbQOISVRICM}R1;6a+V2j~r6MOBXCN
zF1oHa(7nRa1Vp9-ZB&guk#wD{7&9(5olMTj=P1F836>cbK<5~s+pZJZTw)g!1Voyd
zLMUnQODPO61SNFw6&Fh#hJgX-GFd1ey28?c3X=?$1Zp2P?bT?y&Mya=6rms`*FCOF
z4KMxZ;Ji}C$@RLK5<jXaRgLvgH%Uzf98rY>TkT;`(W|moQME_DPRrj(_RZs=0XVhr
zM@BMBYj8RHCJ$rPGgQHm0j@i#$*4Es4|OO68fn5FxinI`PbU5a@&&QQ!%g_Z`EC<E
z|D!?8Z12^n>T!;I?lAIEbu)5{S`#xzKIe&ie(wMM$^ZEu2drQ1eJ}FS=}l9n@NH?Z
z*bv<B%B>=w$y50DD`nK;tot@r$dOOPQkzvzFh@QXr!Yj~;Db7*$dM1CJPW!IrL~97
zkx#@kOOim=D^`(Dkb;nWtCeKxr$i}-9c(V+Gn{1|&x{)1VmH;WHr>ebk1d&&O6Fjt
zEOMIh&Bl3PiDaOWjMSs<THp-E>gh@1n>c!W;=0HAIA+-ESQhp!V6W4HhhExoYUyj*
z@~z=uZ1PF}*^m9fWu$x5NoZq}pqWS8CG!N?`L1G<!i~Gpf=w2zViV`a-7PQ`tywY0
zCP8_-?bFWY*kr%P6c~x0P=s$sk>%I~<OfPK&r*@LC`HJTW0R7_*)KGAl`kg8CWU2w
zzd((?xtJ<8F=7R_h9Wv?B{R++6a7#hVr(+h5g<?kk76)m9f={Z#B>MI&2gHO)T8Q`
zU@g+^TQBT{4vSAyH0BhUdc{<<@nVhto#)2f%G{X5SCrmm6m6v7<u#lM%8d%qduB$w
z;v4JEX>rkz?)<0k|Mq`>++jo`sQQ7UW1Ex1*g9hm?JxosP&;F_!-zDDWMSYi)_Gus
zVYw@|U97bQl@4R;-c=4Ga7~(}UpZ#V)<6O%JB%?g8Y3^;<a_NfZrIO`R1vdKJ<04a
z9=535izGzrvz(+6MSZA4_UJl{)Ps7CyWDT(bC^|&<Ezkx@@aM$IqtG4J3oSbQqw%T
z8!NqO%MU5NZ~teX^v2gmf66ruqfSjG0JJ||xpEj0B_g(i;ChK_UF}(BhjG6K@r4ND
z<!>kACL{A0d@ysI0K#n3zu92~vI%}7JS>blwpR7<11pEo=P>U8^JzWH>@b2r1ocD7
zC&Lk}F6LCd!%?(J4Ltz;Mzv{$wd@QHyy!>1u2!o!BOg?(k(jaUFdEf1*ggkyq<dig
z*UW+&;~m%oM1>CyK$i5a<;n_u0+~?TyOY!X7ljsKaIA51sW0~Xjs^tIH#kn~8;JqP
z>G{1l>|qjVYW7{*jtj~30AB#Y2C^Kk*>$j?^^>lwU3NvA4s$cap<gXA2{TKc_!!AV
z<G9n<%#RT^&-fT&wfq=yGux%+F~Wf4hkA^TOfyE;NtJz9EN{yGw-jY9ZVUB^(;96b
z7OdOCz)*guINjhj*X0Usr*eff7Ds&r;NMj>O<0<Aa=C+QF!pcymRIOA&SWqgmR$8M
zx5Jg&@yD3%427P4a2fZTj^B*`)p5yj{y=)+HOc$SdldB{?2ymDH%+-aahfvD7oB!7
zz8TY$t442(N3MQ<*^b{2KUh8;eZ0Iy>c0M3WfR|GR5M1KBkMsJ+kumq%Vvjf!I%Py
zsRh67Z{j@4Qkc4&Hmhi=%ndF?rNQG$pP^{oBIuJNu92I(I$ee-t7kdpq1Lbd+^_ol
zF`jLJWOOd#ez&uNZIH%LE!!5R7_yy&Ptl^YImaQ$r^f{W5~BX5-~wS9pY#yqYvzI*
zXTX6$FdB&v<oi?v3j+=~q*Z%|ARnY6cmO6!4vlM3BNKvrq+Adno{d7Vkt%Cf<TK!c
z$Ws9k1!DwyARz+H7bCHhOKzB_mtzBpt$8Rv_Na+bH=rd6qpKm7ruyIxh(;qB@EcZ%
z{MNeWCbHl1b@TsJ=uV2+{senb+qaP~npt&DvyOtJ2xY0>Q_*4M&AADT*Phl;HPu+1
zP+~J_^-NlgX;#B?mdMoo(K@5)2Y&Xy{ntM)>N?5}>2)3FirgY75lrcBwL%Sn*3Z5|
zI7WNwf<UnXYNp_{Gf{qeYtImqC+(>p8pUpcZc*MqMOjT%oFnaN5$sSiQ9<@=))zy2
zS_I)<A-dy=s6sV@w5Ki@HJEOJzRr3@v8P3HyESsq6`RBwN#>hea=%A-N6U-4j+N5v
zEBxf5D_zpsk06N-tlrV{-C?V%g6K}C{4C~Lt!tHa2v{1W)P{FehmQVRw(IsU=W66>
zTmad0r^5dZ$>?d+qo~}74;b|s!22iwc<sqQ)u>moNj;m-9RWrg{tAvPKa}(Qy<hvp
zy{k~B+MwddAZu4a%)u!*(jU5$1W#_mlbn(D07if4zU%dVOQ>stAg-fUL2`77_C{!x
zyrSd;To7s;g6I!Lijo>F3rfz@1Q9?iwDl6hfT&ZlphUU8;)+Df%xj_FzCE<$JWWu0
z4k@@m;M1%q0jddZ_n3L4D}r;m5!52NpQHkKd2B}|*>6l0DMfNWIFh|m^WU#_!t$Ii
zM*+OPri6ELJ)AI9U%2|DCTSfj?54zT&`RdpgIPu1^@)qCBu9g&P^c5TDP7scjt{MM
z^OCWwXM07fsv7l%%BveUO}fgpzsR+gnYS|`&uQ5{X(vwcl)SYOPXX$@y}P!^sjBy8
zEq%-jefifs`-eB7dbMuls@JMmk8Pye9g33l!>ZQoEAwMr&=^br%0#xl?cn0O?SdGV
zwiL~R9*bU=8C9<f7G(~Gd#F|_mN+z3uL~Asj;5;~){-~T1yP+qk3Uou-~du?$}3X!
z7Qq#$lSOa<4@%YRf-oMaBXGsldeNdcs~S7#1!%(v2t_WbxtgjS4Q~5Fa!u%8lMau3
zmZEz7=%5AQymYqL9U3(1sG1c0b7i?E-B_9<YJa_=5em$jC$7$b;M61LvPNCha9wF?
z@?q*?t`S{R`u2=aIxj*Alx68XM*<$rDL!D0lx3*JY;K@G?O`ABEnk6h{cHdJb6@aR
zqFk>ocAE#lzfzOH(L@g#eV*&`02m+zfFlI)Dod+u7Ub_*g4Yfi1yLj{;5I8#e-^<V
z2<K%*=vl>1+E-BBIS;ViV;&aO$QH@%HXP1*0Qihpi06oF(R6vNnDYQJBV^r)V#*hj
z^8lb^RscG>)hq!YD^_^`5WdiDM$8W0tM(*CfBJQA;IZQ;r(}QbNR<aTzzj&P9yV#)
zCP2h>^)*5C#qtQ(+)9gZz91<^yChH-B)@{>5(Vt$_DC_>CDFW~&CxrM-t;7^8w4(J
zyCM+Zv}@)&gt`c6vY3D&T}}PCN%_o=zCXYa$zy~LJ=&wVqEXus7NL)ARmC3p4c)eC
zxNeM?4;303%_-kpI%A1#nQ73yZo{DHai%6b+73DGcuOT$!APZ&Eo;gM$V!bAMfFux
zqZO@M?~se4I+`-}CpXF|HR`q~O(bbGcT9?~`S^U(!%aq=6?KMmeE7*ni`r7QP5al=
zxAo^KUN<&TK|Z}>*&g##t;XDvcXus$({53lG@4uT&eM|jpZ@MIf8RLKC3@0ePLTb=
z^e_bmN4_X0-mKgqM3>UOp3_A+vvV_|OIpXgVwHHyM3)+&#yLs|Z|NsY_d?&CB&v=R
zU2YC5&Ct=7w^yALZ-^#IjYiV1!%kzmICPzOL${z<+rxr0GwQ3U5^rdoLFQ7{+;kdI
zrZojh=P5e)0w}gX_jU@0$iGmPc%%O(kUz9J3hXT;M{T{@)h13X#R9dBHz&F*p2XEg
zA#K-I94ZLhUB!wyn>H6RPV-B|*9|kTTz$o^6A5^eXwcq;Q|<cgXVZS{Gybn1|6pX(
z6h-0LGzSN5S6jT(e7P5Ws1x<63my<=Al|@?C1_xc-RvvGZq%nmP?Z1#*IJ**z@&__
z0<H@xirQl9#okzv`qWoMF9>1`o+F5ZTih1)sS6g+FBo^Nza&<qk5~lvGX4_nB?wAX
zLx&*ssSB2h$7adEj9M}TMW4DP970q&5M@C{8x%cBvXD_E@l#6VhN6Rkn3E*+sY~t<
zcS|&QSZu8lpCqfhgJ2MGFfIr!mRfL0lKJ+4APdbrlthT9#gQbL?+<dEXffm!VW5*n
zgGn;qpzUJ8nlvQ|bECKIl9RQ$>h0^Jx1!*qR#9dB)GB~fQWqL5n5fJnLo(&*zkxyt
zCNF1Lu7+?aWJ5?+p;UV;CJ1>dSS|$G9jcJ23Hb<<zSEFTSX)xahLEp<<pO71pFg*g
zF0Ug%{dq#QBOpY|`lDxH+fV$DAO1zJ8w1dWe%<V)hc4bq1^n1Mid_AkoU#m=H)znw
zuWzl|OZx@tDb|<c@A*?tR;r^+uk4UTY*wr^htkpGQA6dqJ#<#>);dT*$MM9Wvua0S
zo=kw~^f|0;7;p$ys$DtuQ2X7JqPV{5TD5!sI^0}Qgkc0m)f?T(qPkQyMKMFSPw`bv
zxj7x~kSZJUS9uosznjX$eq1f}S`VnO89O^;XKR2?ADGD+$>p<r*#w#?7|l`)t5Ckf
z`#u-@K1Y0K+4t?wyz?Dc`I#3bHG!=M?|9*DFYCZ{`OaG)>aSkBeDfRL8vDKYJhq>C
z<6C2Ae0NyfQ!!s+K#C`GZ>ooH>M2gXy6}u@ddo{Mh14A-2AS>pXvWUI<8A-^6VnJb
zjpjT`ve@o1U`#FR#G)B&MWid#l)7MyS3yFj%@GY}okqq1#CR1F(Kd&!vQEc1`Nezk
ztkW?{ewtFhn4^sRIqPKS2vfRnu3TG#ATDOpeMy8I%y_{ywO*9`LsnU*<AnTG)+wM_
z1BCoJC&*~evQ7hp{Q34AA><!5`5I-MFF$odo3E!~<tLhJ`v;GZ9M2#&U5^3mSN0P4
zIV}Frr^X9#_3M98VxJLTXGDD22sVGW?xWCljuC9OUYM3a1JuJa+>rsAeFW0tsO}!Q
zMRR3JJ-jJy+;Wl|w_FgHc>h!W!LR((z}2Y3=01YCZ-lCJ0&W3mBG7VmJXz)Hx+T#d
zNRal%d)DDL?m3wWnD<1Av{^BS+k3?KQuWzy(B{$z=5QNi(`*`Lq>mohMlgrldqBoL
zpC|!*guKe>>{q?RSahT)nRwjT2sZl)ray<<Ad^$3=EGtoqj+*Qq6)XsA*;BW{ox>U
z;ws6UNyXQsfs+v4piFUa!PWR_0%gvdgY>}`u$|_@r7~3{L1Cm>&!w}Oq&2HdDiE9a
zjj-lY(eQDelYIoWyEo5z7Q23#6P{tx2m5XHpa8Adhs-uP#r{u`32(uCgd@q$*+)2|
zk066sw>CRxa}O><danV26wLUktZeoMjF_L<Lzr%U<}AWB4^uzUL$5}%JAA(Q5!Rwa
z7;k_6GADYTzl>bXJ^9YFG}uUAo!dkB@cUl<E&pfGNnb;hwIEXitS^k_d6-p?5~k8a
zFp8zejuNIy7QqNc!7HkUA9;J=VClsS6J;G`H<c`ctr(591LUTXMKD2>F0bP@^(=x(
z0`O|H9MG1fu2!6+W)V!%W>c_Z4IWQ*Iiof@Y-`wOF>CRKfl5KGq^5Lo!>owYIJ+&i
ze|T;%;C3PZ8^$$0`FH*|z8U&NspIMUnM(S!3aU7fsURuYq^Lkjef9JTq&?Q5@1}+3
zQ&Z2=mT$vKhD2ZVf4}c*f8dwA>Q!(s=#gtngoi}l4HO+%ThJBb?aO^b42fJY8mrc9
z*RKWnOBTV>-6Rv8Ye6bJ7mVg6;8xldsqkDddYg9W3}_Bb*U1G_Ym;)i#l=igCGr~T
zYu+^8%Qt)@%ZF=TV*h9VJM?Dj5pDx@9!KNxllE|9?0@gwKU^SQ4E#7AAQbCkB#Wkm
zgX0VD&XO@H`b3|;=<dB9=m*4fNnVn;m3&qFZ-H<qJyx7*%D9*I=aZ7&)s{pKZ9*0J
zKuFUP-n=1ELl?smIMZ_aOnO#$b!l_R``2g%8o_ink}r47f!B*)+e3(iDuz{~p+?Lb
zTbRJthHp<sHrRTn>XkX=cXwd)X}74=%`+JNJYe+qKlZ`xxP2@0VPN01Hs>#@mIZAK
zxsR1XsKFVFG1Il#s_YvqvY@iJi-QfUjuo?g1BEV~Wi;=ieyA|M5UlLmx+8cJ3A&wE
z$3igMH^4P@RyJC9rRVMuw{K?Xf<{jMfdsbp;ncL&wf4<ZSF?Rn^E}(PK$g64`NGRD
zTt5Fs;8z65BKQz-(u+(#qcNAXP|`9jG~$BH_HBAj@syI*PyXchf5&SBL8_f^ZL2t3
zT3RD?S0fu#cjPvdagIGfG}Y?>r+xDt-=mG)v~Mm5E>{5aY2P;BZimH;X5hM29P@vc
zf~H5uv<*JBHBf+}ee)GJD-sMzBl5P_Q%;B61rO^L56ymQ0A8Cz(-|*<ASBWzXq1W=
z-sW?Z7^g@sm&)pjp0!h3o7K)G3RX35^~@edWcu%Q3My=xeDc82|LQKol^{JX0!jN2
zhPGLBaOEKxJ=)Q><=oyh14thQ0I5B~BWijs{Y+QWXD|IsyG64loqmf>v83PhM}PNE
zeeP>UGNE9WQZALv#=EW}3d@<$NSO{ykjjzb4g>839I`=+MH^D)NHKD&h$-77Y>pK7
z7*i+K9vmbxuPy?>W-t_tvw%ak>%H}0$HmZ++7=8Y2wla9OVe2$_NKHJIPnpkn&7I<
z)`}(dCCQ@>cn0aM$kS3UDWxBmH2!!<g2tx(fhy7}P!#H#paTSZRkLQkJ8%t1HIHB#
ze6HGF?N>gU16DKopeDHD=okCqvV7{%TwyMY6J0%srZ;@FSoaDadzB-%@&5N(i;TT{
zC{g^A_n5>m4_U#__NG|THkd9!HEMk6`Npsn5^ECtv?4njoh$#TA(<ZVi!y&6858Mb
zuO!nGj!`e>&+?toF3GfJN`_q6jmFVCv^2IyPtW)T+KkAu2|Bs~^0=J4y#QS&2-*1P
zf`O@ic%FJvrjpyqT!7ghPnSrp(av8#kU~dNB(>|5YqCeSkt9mmB{yp_DZ#4+hg*;2
z4h$_JxkbCDprk<u)En!Y3AHZJEk>?6uGtwCY5blt$i$D1$xvi|;(4ybOAp;L?Zv@}
znT)8$roAp0E>k}BUL1VsrP+9Ku&=*3{CM*h2Ya_K4*$sk)<Z82N8#KMWPM}`j)Hok
z06_wrssPav=5Xo?+KfxZ0zhKo5u@S)fvVk7wxdSTVd_dQ*kEi7?rq+`sn}qLT;u34
zbp;)I<@lT`Q&nsrP#>S$>G<f?2ih+7U!OJwMlexnIZR^7?Y3)NPDL1`(^sN^v|e4T
zbHxWkV%E?V@%urbI)B-Y1FqK>>&&pUUS6z$BTV~K6{d<2cF5Pi-d?OX>H62*#kyix
zf<V1Lx6|=)zWM&IHy7)w;<ctS%*W?;?BCS|EPcGZWOcuuRrAE->!dI5-NzOl&Qig`
zCWqp9K?_T*p}$K(i;Hb?h3o#&gH?s^GVi9O;=Gwk+s%sUDS{3bx<+pD>h!gzte)jq
zRe#~1e9zmyGOFr|`u3{2VZgzZEPO1az&QvLL++uG_s$x9GD$UZB>dTJ4Wn%E6^o0w
z8z8BcH_=wyp;Z<p42M2+LM4}1lt<14!+PT(Qo9s~mS@WZ_m~N-80R%gAI&-Px$$!>
z)^oqyia(GKO<&Rl6XfS$hAs}Rm1jFPC<C>I0(`Z3b4gM(pkFserm8c7p5pd$#FzA=
zn_CWLxWsuj*CQAamxS^HM+F@m_@})!<^6AeOfX*?mLZ*IeSc8Ip_xTPw-uz4WWGV0
zJtq=SX%C%ezC-qK;5?T=k+oNpZxP}K9ZdkRRYU3nmo48T5YLkOqvOmyBkJ(;oaPR|
z8PIG}&8V%;sm;Bk<C|R8mg7(NFP;FtPQpW6f7d(Er=l(NG>u@}HuC(u6X&|Rrt!Z(
z`GUS7>vuBnRraYz2honD1FoPl$YW#a1XdgdnG}MRK@p3a3`%2x)niHfi-AuDQ7HQI
z{(PL0LBjcFg9;-72Pf?h52Z3_vK{-2Q=K-&e7iw=;&F9{^mW9fHvGB(ak|NBHu2Nq
zr49>L8I8I!6lP{}i%&1nHeq>M8CQ>CmIjezZIVcg(igt{uYDj&1&SH-Qh_1Jpm5k2
ziwj504`xuhXZ96rjTj~Qxt6R~ll=yQ2$))csc1bH^nRLM*I8meRurY@g0O;OLclQE
zLxYOWGeORhh9FpFH=R(z<#QC3XM!c71oN%%U1vejcqRyrSfeb3HrFaeoTDf_UlEa%
zmI^SqRO87IRHNie>OcfkA2x^0QEV$BIzz#tsG#jZX-#>8{pf3kySQ)=3N@hKlhv)N
zs|LZQx^!i!3=g?ZDn%UCu6odIlpyqgXRP&mTEhO~*DFH{fCkH|MO#{vYU!cN8uA{Z
z+p1`6DUVP@6SAF@58m+{+yHF`Wu6Wwxq_?<soT>27%7^A6Ik96<<Dm&?T_=_rr?6c
zqzdjNTab@m2X~UjiRJX?aa}5;+jtP=$&~h#^eV$}i5)7cwcebd>Zl%F@r<ato+h2a
zZFdK5GetFWi&|?mgWJvnZu{6*f9PL)_b4-<&tE+(HY5G48`Kq;KkAk;>?isl>wZ=+
zl9C>j%z(<Gkp-y+N)M(JQXQ6^WptwllNQ|t3n3MnHhXQIwCFBqyd20`9hT-y#EMkM
zE?7ptVh)={Wg$q5UIfeNS6sGsmV}^K^dhMv=#byR2oH4<l4R~@1v-FLB1)n+Se&RN
zNiAL0Ec0YBFt#U2ee9BDpe(%ChP=u$?k*V<W!G>m+M`$Zr%aRuS$=)(x8qNUbKr%H
z64!;xp#A}ZHv7;z3a26*&hB2gJ2Bv1wBU09ypMVdZEOdB<mdAQb9JWNy%=bB#jhFu
z1b*zaiGA6UQj(2R9SnP8MyyxQ!I-eTglf~4Z;c~Ewaq_z@eAG`wP>}nZK$?gVq~;L
zU|_@1w>RR39IByYW0OJ#0;?RV?bj=g>ySwyHi0`<O#U5j1nGa^|83WjOUi*&4%JX5
zB1<U*0m5p}F^6hk`(v39f&<}X6{;b3DY}(&J(zBbLyzjLqH$Ecu=XUn$2TqE<eN5H
z;)uS=UzNo}omGpsB_@_@@4hyhg4ql7VA;GE@YA*-d=6$WihV}Z+Y8NeqvplF>kJ`>
z3VSa=PQfe+t}-TskH*5xX*_J2Vb!qr0yGkuWyI8~-h(btitUJ_FLW|I5|c)>o7PNE
zFiM_)GRX5*#>2SeiH{LVh50eUW`2yYdB(?xWyz-3l>c=1l}g02Rcy(2rrFx%N*hYC
zIT&wR&5+kbUN!QhNMk~g<=lY0sF7P>Wi=-QkavZiPCG!LPK?&cfDV<_oc%zulm2N`
zDj`ts&+Ul*J5-i)rIMB7s!|DodjI<RQCY1j)vO#>iDd}X`*S;vFV(`>ti6T%npJk^
z;Xc0Y;2v~q;D6Cvhi_S$^i32!v55grKr-Ol{wB_&ELGP)E6ZQ1jpr&$_7@y#IiNdI
zxHfeINW`+Qmp-rCwNPzxSK+rj%CUKjmVw-yez=-{^9W-DPgF8A<yYgD_g>`giy~Q$
zQ3=Y0^a(o44^h_7+4<AUx$(=E1&4lGdT~at$sbm4QS9rNaNVxPi=n&n%I<xn-xa#C
z@BNE^_FsHgR2<YF_A<D6$Bd+c9ZNk1`^qW~E{GYxEb}7vM{|O@D<Md2<$~xCKzWtw
zt^-J_34n9_3(hN@em2BqMg8R~S~Zwt)O{+eoS!(LR4qu!!=8hs1>FLlWbyO@7nAc7
z+wEHGw#dKQ9OAf`Dn9{g48dj{z`Lc@fe@_n6PVb^=0s9>(F<Zolq7S0V&7p(vlNX1
znCxX8a(<$W+r#97hEn`QH_4r(;wGz?JzQgu?~YJmaS`4B(HQPx=>Yu3T5a&ZXzZS=
z4ri=7AT5wybXfL!5NNZBip7m4!Pr}KYFc2fJKSB}VcIQfQ!8`b;XHMRPki62KKFxR
z*A*P>cHN-DkI?`EV~?@jXsU0)&rDCbU_q^oHPzdUBh)aF7*J2a)_`%PUH27%|3@Jm
zp27wh4uxceAnm#fGXJe`<|Qx%VlqRJcHIR5O+a0OnKAeltsw1s5yZe-aRAt)(Oxy}
zx(kxOQV+da+Wek4wAgi*G-w?#*Q-U>Yk;6#cgZaf;bPY@3#x}VuUjQL%+(WL0a*4H
z!!F4eUvq=uL6X4(uuFdQ6A<5xt<Px^BuUiB2iQQeW!%O88%(54<=RsBpv{&rSJEt-
zl5~dT3h!d)nH5KJh43Z5i<P)bV$x~-0r&wew~ZuFQv8kde--~~MkO)?bR?<_fdcU&
zLm=A`A@|A)$T(EcaDhtY>OY^Pw7)>j;`jh7oP-{rEzTJZPBy9%4r0mk@#}<xzzyPb
z^yevIIg2GX8S-XN^=#T7-7y-|ZqaPoZ>J0LZU4r*{@yPO)2=!3Ugb&c46uK|7PN4=
zTzRtLtq@!;d(bw%@0N29UQ2|q+jhm2w+BA4qgTPo)4~SDd){981bjun?nu(ff)eWt
zxlW{AkS=Ib2`Px;MI%^Mp4cX-D<T-K1aBA%tBuO#f^!xNSSm0p*w)d{2)Of5cfjN=
zE-Lt&@Bn0sM}w0LKpQHfq)-_Qt@I>=*BFeJep=vf`FO2`<#w_#E!+<l`)El+a?oji
zoNw->Vu|DwgDpN^T26kQFnEFlPjEZ=S_@>36OZzE65dXkU&Oqlzl*bNd~|K2>8z1k
zG~348v5mjxZGY!mN7LCh%K)x62;JHYa0Ya3kz18sr2V$DT6LsrQ<jTC>_Vm^pgC6>
z|F47FVRo#IJXrKsq_VU1VU2-FrzOMih@*pe4~I?<jffi=<0I*8&7>nV$N#i#ATFj(
zXR}31N{?XWX;!S$*-K38k|gy3BRN`0sz1M+JqW3#TMBp>V+4wWSK}klFyJG5!2nRL
zc|#M<z%PQr$pq4Am)mVo@>tscM#wt!X*i5UK|s^mb2|I=X9dyE>1=J_E#+*hp_b>Z
z>TMdXotApG4Hqc;9xb3=U%H+$QQ+*%Kc=o`yPjMxA`iT5e!D{-KJb>`_d~yMv|GE3
zj@$#@4bzePmL=w8+4X58V|RqG6Rfk`OLtlpl$h59jUoua@d^_YniVDHH9_OhL$@}_
zYT83fP~(ET4O-2J3&KCND+<2G552?xL=eb7+QEE|67!lMrZ5vwhf?}deUB_C5G7x6
zgXu{sh>4laielHTF&NmI6~{ucV|im`YVR2akX^zWKRB?U0&vBQ3Y>0zmUXxGYOQp;
zV7%=gJ>MN`y2nohoFd(`d_fvv+R@=Fu!w;392#+%?~nE(@Mp)b$#QzNX1+lviQw6h
zpL1#aNa@=19V*yNP~8B$o7WumR5a+%G%LCIF5g}@X?NY_j$5mTJP<vRhi<8MD=?6i
z5Ts(tYfG%0(geM(ye1J&usI%zK+cV{(P{5nIv^6^ciNIIiT`wbq|U3nhCu659!9oP
zB7(1ZZ0gTb@)9fyWS)--A@`BkC4Km}e*HiH>FBA_Y;>=-shkf|+ceE&X=}qQ*%peR
zTSD(4cs?39nU%*wV|0cnhB!2JjURfu+AD_y>Z=x#g<!62ZuToON}*c125m^QVy<l-
zC6>#z%?+TI5?`Y9+hd-pYMTIdqty)I;0B|B)MCX+Ry1%JXQV+M0O&ndyQ;QHXG)YT
z7B^Cgv?h%~`H6sLhk+rdy29F{wrM?8E{Q8b4O`B$KLJHbRW1qY730cVp_#4uHKmH>
zlAvcd`n{EtXV_QwMpZlmHC5zBpaBi9+xB;$zN+8X&D{ELJ@O2X7X7b^q5CT0)=MC-
zWXomzugdyVV%suV*}59i?nAa9{*7y+yz1KA{^q!}N!~&&9Z$Zp9GUUdY|gIm)IDa6
z8c&VtWldB8Gg4)%N6MRkhr<datqdzu;i&~bp0zUH?WBgKnLO<etW$-j9wF-JC$AIg
z8F6WP?~z`{uUm6F<xLcxZ)5`OVIK*4n3{geOD~1g1Pj9isK4Z~V--v~D7ej)61Msq
zzxwLG{{^ouOrYwaxe0JL*3x%Eq0}Q~${uHdx1eiQrp0CNa5x?q86_X_0H!0gZhqx%
z`kZv6@no)QK6Lgr;fT`&(AP!ku<|w)w(3_64sTY~#3}AM?>QdWIg>a_6g|Nz9@rln
zV_(GsN9WjA@c=TRj2sxYtoz(i`R?qns4#&7<#L?8>G&Y~91j4o%f2e86ZBEHzor5i
zEXFuK$UfhpBZKT=s&dGN=^vOK%v%IpQ7>xB_sDv+7z>g9<fIsb8d-IF&D<3KzOv$@
zwLhuq&k=_Gi$iR(5z>`G>F7qq|LPZRh_W^ER=Od?kM89rDedK*Q*M&V*<V%Yo-jo5
ziK2bPPclg@0B7cIx<&4$J0@$Ip}uzq^)=ITq8*>1zUP7Ze&#3qPtSc~_(JNlxi93A
zOt7MZLs^q*9?2+am<wVk7A+%!S7ZTObS!-#7X(!_%`y?ZOJUE-kr{2R3m%=wRfoff
zMa3)*fQPGaIDv;PLl_s6++qw<Aqp9W7R2PnjTwSD95$*n3Sx*?8xoTAsay>j`K1e<
z9nWoWUxmXPlzOF(3YsyQM3R4?DjZIMbGPmtJ%_{KeFP(?1i5Qt1&s@m+^C<Y%>pJ3
zbbq{A9S$FxZ&ruH#|E59`)oP-^%Ks_7jntHXQjb052uJ8PP(FekB|e=eylAx5^8|=
zXfUph%yA}5bwNgbqT+z`o1feT^1sCuvJWpMII^D6srWD53(>iK2-H}(Jwu;nS4bO>
zBRXe0ckZ@E0DX2EOMj4WiN?~FA2GYV=zR8!?#?rsc8l5!$LtxM$20ogXMgK!-aCqv
zmhsl`V}@vLBoCnwPSB|yA@h+ekqe@uNzh>6G!+*r_;0icDsasx-qM<rCA9@WOP0u1
zJj(hbIS3Qn>`C^66_Lo2yi-23q#*npmmQ#Q6a+6cU5+d$83-3#?^fc)U_H#Ls0B4k
zWRf<>150)&vbK^549gT2k}f<rh-ce_YnI5@RCF68S37i;=cA9>{4mu01bloqYVXz3
zBGDlqDx+`z($9fhXM)`^;NP3d#C}}mc*=e5Y(A|JEFN_D2;wFO(FitCKu7>@$tdcR
ziVS@Ec1Cn7YV4x|`86ZDFW>Z_hIbtykC_6_J7wnEw|?nYeb>uT?AKJ2XE(g&F?~*l
zBDzslTrqo*^XW>UT2@@MH<(_*5`~IJFjo>V7=%$NkzZRI%w%f-XOJsBJD7MFQWiXR
zy}=f_j<8>WCQ$_!LJpcsLb;5f)dQ}G;cuEYh(qTpg24w^Z$K@jxtOZbgWfK>1;j}K
zfZj@G<d-#Yb4{FYE6GZ%|CFiLvNax+I89}dvsw#EWeSc1l)VRztB_y2^#NHdT>9>S
zkJZsf{Q@=UCt<I$-9F+5=*m;upYx*EIRv`mLpMDismix4SyH7>&?!ml<RZ6}?W3wq
zqll_^!dfv~R_{<%#oMa>sg&stTh*7Iu{eL$d``i7NkS~S2=e9@tQ9c|+Z)iF+6!@N
zC_lB(K5h9n3}vDHx4--?AATa#ug*X9W>cGnYGq6+%f-TaQ0yziTDhPNHKHK8MDg0@
zG0qI3T+m3Q5gD!5qBF7})vpU4)(7^<fc1gX0h<-6eq9i>P-MhLJ``(H^XC<L6J5|~
zpWx_0O}FPr^;-lH=4ceNQ<zs?k?Pk4;W5%gV8ue5YG2{!5%uem;QyvtgCwfb?bS$f
zxkzG$lZIu$Fj4*HgG&hIl1RU^(7s^^)%z(${kkLwq(IjyKM~nH^O~nA)uPQ*eOZW=
z0IjM+jaVwiY@)tgc8xHnXjS_VIZs_?m~ncFcAX-l$EYW1yE=6UtN)!jBwqh$a7fq_
zeOu~u+VVr{^w0jt*L~xAKBnN7bfH$A?m;IbXit27T%Ed0iG~ItsL4v8R;R*bGp<he
zfb(nWYCub7bqd;ilITEFtrWqT51rL10iK$XLc?RLce6SLw?7X}-3Onp(mqc;nboNV
z<P_Yl#5K={uGHxs`t)oHO%=gXG?ac`rO!2HGnAKnYV}OfTk@@KRrpJT$jDb$TOC-|
zEIPRIkc_TBS%V1&K=?;+yD^g|`FHBEryl>-gT!-R!6X$<Rqc=+LLj3m78*E|CpGme
zjx?R{sWW_VzIi|@v682-@QATZ4xyxs!y%N`gwuKNr>U4;ZD*=OIBoeM4fZX6WBVz;
z^5cpI)3!K|$E`^YRrCdt%J9mNg*H+V`^p?b7mPV2m{r!cVpD^;AVB(r%#pnTqmV^A
z#ENtXU9j+=Gcr^sCIqR$TrlR8U;=b2NDbzKK#MC47^?U}xt~cpsli-ux2IDJ{|Q)4
zYXXZyQ-ir6hE8*~Ih(sXBk>PG(O@o#Ico&UOB=ZK80E%taR^<qOj9{<R%!DC$un9c
z%QzMAg{p&_j?TxoNGi?(M_*!qXS-&;JuyyYiHoZwPjekr<4vI<Q^|~0q?%P_mw}3*
zW3Nt~TGHz6A?-RvCibIrf+V`*=zrlrw%T-#$0geq<^y^5%6#yhPU?3k9#1Lz^kO4r
zFVVVlvP{sO)VKb2oa$W8W%s))yPE<Vxkasco6GL!DZBsGU;in8;;Hkw2%XP7L-M-i
zEy*_Ms4tOSgrmMhb`g&H5=oPwp?txVJ$cXjzC?Brj#}yLB4GH5@tzMEX{K1G8R<;I
za>Pg@55<&yS+mSMgZtKVCbElAW}cx+V%aiVgt@JJdt&ApC}4VSM|FS7%rk524yR|b
zGogM!6#kPJ&`(Fnm)Veq!A|>~>OH7~aw@_vp9ViSr_yXT{)8o_Qi}r;((@FUDjXf@
z+LL-m@+bKACJ2BGg-QNIdby<u4;_B)Pp~I1ZO-lmy{C@~M8ND`ypo83zw}kV;l(eG
z9IC=IJcsJgy4@ZPhP24wKgGBY)-voX%Rsmwdh{9HBQ?6+_J~qxzg%$F0j4k2kwe!^
z_O4NVm`{$LcIGEH2f-NRL({$R6%G1MK@8z*&QanRL&4!<(Dlz1!DFIvcwCGqC=<lB
zSXLGT*=h*hWXmZQrdS?+P+NMYY-bqM%gwQdz{b#(v*HQ)e?v0yZ<IP7YtQVb=Vm`W
zJ<(|o@<^z5<3#7Q<=aS*mG8g%cmCohe=sWFs`IZ+bdFALUSs4DVM3#mn=!ap`me?&
zHzT!*w58-&7dFN*JntOElyGNg#B+{f%FLn7lDs4YN5zWC(ZPr%Xe>!|E_t%GV2)xA
zdez8?F2S_b2v&(si=dcl#K<Sng>mdE(P^9<l<b7OE24uYiUGLV<}xiXj;dX=j$&kX
z1T(iAV+u$|&rwWi6x%Enn2>)X!He3C(DEHo;5biAY;E()QWJ4WD0ekMhZS!rZIvH=
zves_n9o-J5k)l84gN7zKcWVD~6y>ZkL+~a&+HlXeD{KE73OYXdcm6lN8Tv%2q}bbP
zJd&tQNol4Uc^ImZ`>cqeEAOLccJp8V`p^2--xmogZPIboZY?|Hr65%=8)5s6o>U%1
zun<TAI>f|A?qH<ua6ubU$Bx+rmaYYv0CB;>N3vOOrRO5ANY(CwK_CT2L-qIUD|oq7
z?JkH*Ac+$Xz3!bORl5rYffPvoRkg>8RP8PZbH;)kXrX`v?U5)|9kb{gk!lxQQhcZ{
zyXu%V<;pcdJlIMyLFGp$K_R?$DC{i<XE$r+y8|Smb>V{Xqj#S9_H2Q<!WD5%c=+v_
z)%^i8vD!bhqPBNjRJ)%i3TOtY!qe2d_I!uRv_bp`W-9%MA2kA0h^m4k;icPQ0CYRL
zU39yKE$F}H;+g$Rzr#hpn5OYn_Exw(THT)tX)}o+---m>Ksu@h=5jk_y7+d-CTwO-
zd|?xA$>}!0p5as7`?*+lcg3=4x2O$~=3?1-ie<0;ZEyJ}Ul!~g8n3q5ET(r95!hkC
z08CR(Dbd<ln2R3OHQDo#_S%Aeq7ls5Eb#SrFrXA%TD)azz;mFjD=T6|px8Q~riE)G
zA3A5VjQ$EMu9pbA>T}H5tPSS)Nj8i8Y^|W^D9>gg&J@Q1P@dkQsjd7RiFi>kA|@KP
zD@vH@k^txcnIpM5nC|8z#TO}(aF}$>%}#n|;<`ueu^7Co2Ul*5A6%ACP6B!L;L>gL
z11EySziE<9f*y~B__v9IeEm|9dT_OAP|;Y+anbyknJ4nX1B>?#ew|F56eUt4svBYd
z%Sxa$1nGUf*l&oJC(az6VVn0<c%eyJ&lIz`9sJk&nbL+Jmjpql0#|n{^eZ=#>4Clg
zkWBgUkVxpgB8pY?H4ht-t*~XFL!WlDW_qMA(EEihBudJY*hr>l`eL_0d58}n$Yu@j
zW=|Y{rSwo=6si}@*Z>J>y{1}cJ5Tfspjki={%BEeB~#<|1xQReRT_!p75k_1n$sTY
z%kofPmWLYuW{)!dt$gx_8vk~}Lyfikq2|Ztp~hPNQ1j!RJk)Qv-o;e9xImt22XOYd
zMB^stlHiTf4(NqCg%NZmfq2><45d{D7Xr|V>43FQ*Dc9$lJ*DZNd<KP5JB(0g+R5x
zY==+S2`_f+4-OSrkaWQisQ2e~>@PIjvDvLJ@XDm+wikGa^K$au#^rQ4-#oCg>n^&=
z#f3n9{MyA`<9v}@LxBdtWq0rO-R@$I^NZd!2vqyacAW5fb+OKjwB6xiU0pu}>iz5M
zU*mkY>G<pA#k#^)L!jQD+i`sSV0lydYYWu4lV7WB;@dSVMsOXT-rT#7Z`bGnvdt$R
zUnkpr?>@GQ@K!fnn49t(mzCGmfvURpo1TB}x$7v!nZqw8p$Pi?2xoi69msN%SEqkE
zW%Vq_H2+V%^W(qzL2!g<;?;9Oo}oPoE#f_L!AQzOtE>Ozsa)+ZcEO|2BL2QEcoZv~
z)BH!F!ZcRCqIK>Lqk8$mxZqK|5H*VnVpNrO!Xb~{hYRtYa>*lbp-}@={1A12+|u+r
zGI}12CLnTNqbI_}RjgQ;%D(6^hrm4H2E`|?mj8=yhCWg9cs_gX$v9(A2B@>CmhF)Q
zY6rhA8p%a7LfOFq1u@v^nGRbol+YlVb+3>)XFBdK(=qK9&6$qdna%j=|J^73sUHhV
zt3By%X{|#YRhI)x%cRp-Sq<90LVP6Nk<b27$SvG43^UTqdI*ZYVuCTlX@s-gxU&#!
z0u$7(DBhB-m?kbEnnM(o2|6S!^h0kk_mE*B&e3>E;~d3R^^3uvWEDgrLkk}vC_cRl
zDp?u5X2lleLu;nPPts>ILJ)(O>XXz=he?7I1PCcQ@|euT(79SuJX(_kE&#peyq?v*
zH|0tLcRx??k4cCRo)zSo@|vS|UQO*7KSvnsu^NV$TwT;-%N$t_S>)@jy?k?d3&WY+
zclEz>FZp?U$zk;+Y|#f4Rv&Gq+hp|-O5Y)I$87cQ&gz>c9dXQNtA9II|F?e8?|A1j
ztiHNnZuQL}du$7!7P6x3?p-cg^jD6rQy>n2FYHP{rRw;4gZhUUwLL6l+qzoC*U0TE
zj1CyF_Ru-L2AEfi3;@3wy``N#Jd+$>@8x?~Nx(RSn<}4Uj<5IK1^_k+u22(cE~bjF
zK?w#yM(j%gWyFdPVNKP@t+cqH8=Y<(30?D7{Cw1Uy0Dp32bT>a0bJPs4y_#jMyX>Z
zhx>4GmI(4nt`F|XvdYzINg|Sq<Q=GZ01&EG*+@b6rR1t?M{=&$X#?VfD!D72C3$~7
zG->|^u)t2>D!HrGfpo%ka+e^toK0P#`=m;4YRw?_m+f?X=6=(rIDRGPhhGb<2$4SQ
zh0x{O>D-so!m&AGp01eZsmIq?`Tk&P+WY68J!rbw`jU$8E&P_3&pz{_)c9X9?#sXO
zdp`SjeZ@&rkK6EVIAR7Co3=B@dBYL&xuzbMAzB!}D>%h`=o~S}lrzlXPzZVi!Z~7&
zDQDeIx=HhjIbu#z&Jc?>S6xNSG39Kz6i*;8Sx3x^H8J{(>o+z)GR{)%ibu@K#|;yY
z87XZ}p^BJs6`alt6NL`qHmOi^UxJxaj*fWUW`Lxlry%FCVBQ2Fxq>;9WKL<sL^90q
z?44)6LotyIK+@iMR<{Uk34*5tlD7ZLSN8}LQlzyC*1DOw{1E;PT?7r+1NA5lgt{Z=
zL%)Yt5}(ZFxhiFV;sb?De9|u;n&#8>S6i}1v@0W&!yB3>oqhC7I;%>g!^Kw`JLluQ
z#zK1iwT**WBmLUn`A5F=cSgtM!?M;X1csm<&<#u2V{}vqq-ck1>oJfdArA|c2pw+t
zuMni3b3tI(m_CKz0Iew1oC}uTp&?pPYB?7?fXqMwQUH1kd=rPJl5;_XmmHTxjL?la
zGv)0s5V0xTM;;^bZ)g$ul)oDI`m8c~;={~xrh^bg@PAJ)kk6dkEl-K(#5YOtm_TzP
z9utLrdX>_i+Yu$ui@B!im}$!o>6kz9gTLb4zax5buE`Tk=9Me$mSdzbrQLFXfG+2W
zN*Co20bR}$9YuCf$CRX3CXH#+KRHi?)*f>G!in625amdoD4G+|g05AUNKwi2<j0QY
z#3k^*&648lx@0sbE?J|hGj8JRx+DmRmB16wLTkBCN6%TjJ^CEQGd#e7?H!#az}GZy
z6PMntjI}wfS>2yP8iVH5Ix{%ghdiqyR-f+FZb{wC=s&5y?H_I-cjlUTKvU18F{h1s
zKS~;d%2Rsn;fv$f-ACoA*<{-84x26KLe$+AqD*s**xuGS&W$$bX|(yS_kH?*^dpf>
zg9U=)?u|CO1uXOma{?Giz@2x$Uj$jPq6u@s-HNCR3PylX1SN>kE%euHQPI)>!H8>Z
z4Xh|Zj0+w*n`E%-WS%Z)t;>oM#JC_P*J%Gt*GZ>n7L*{y1P}WK@-93_6s)uc7Ar~+
z<AQ*1>N(<~wSm9|C)-X5EYw&9GE=uL{gU|qdr^q|L;Sy2I*2)98s{M95eQ=RxgAlY
zy&z_`b$4g$On;2rqS@9>ukD>OH0=w&=i9&V&qpw=Nq+}jSP6h84nZQJmf$36s{^@k
zROl#BnCQ(y8!jA;KrO+0j@kha4Q^Ma0CTA&*ConCumh$?w8bsjI=`5`LM>T7Sx8@9
zUNKiCj)FIHQNmVak*5#dtO`d~x`E`96M^chsR~DUD>zk-l3<%?B{S3#f^0v9<Pdzb
zx;sbVoB8$}hi}ps@n3U$J$w^giund@SG<ADO<;O^uN;)`5JtNJT}nw*x@yT$C!++y
z$dbnAy7NPQ4MVW#MlSKhZnxA2B8R*N8LFpquCeXN1eTm?0~*mfPwHsvfj(p&MHGS<
zZsL|i3!L=mC6r3jv!^|du|MbiR45rmStgVTpQ}h?BH9JcGVPCXZWT&KV&!~%K0|4L
zoNsQy1Dh+9M?Qaf+0*g49s3K2CN}lw@vJH$^`rq1siWT#F28q%Kp5?lr{`Slts1d~
zv%50g7M>mFGVK=4?#lFAbc(z3eLwoHSATO*Eh-_2yDLUd0xx9=kR*HtKs$jekSrOX
zmMkr4XzqgGfMD4Wf|xzk3eq0BVDW1<8(7(9MXD_q1TvJ+PZasqNJuw=w1zIY!@MpN
zT*w%dOx#69Rs>P76h{CM3F|enuW&KcSVeHZK|=@+-7O515`t7#E@(vp1p5GRXzo7*
z#a$_q-CD=fgXhX{KuMBiCYJ=6hB9@40z=p`^5sbG-zCvOVw1L3WIe2Jj^JEevbYw&
z-3rvVS~K4s@bQxM7JOV7QQy0we19OPuEmCFnd;~#xfW`sn*wafRmg|FV`2aDTw93M
zf1mUJu$lKBhhI`Pw##-h!;M!0OMACoiC}GOujJgM@u&L@_R5^<H%zV7PFudUdBlVK
z;Sc@q|Ia7`H>O>ak%cf1a_(fV5$og=zl!=CF&WYA2uLlT8I&jzznI_-fHP4D+rz3y
z-Xr0Q2?DzTT}hgg&BhQWv7$sTCI~zT&k=%P|E7uwk{`wN+ir*fS4{Up@UU8G6*N{9
zkJB$kp!^Wr1Bz0wII4<NV_4%G<CUU%<QwBr(a&|$TVzhlF5KV$(_Ngb&$e!D-e8;z
zkDoyL1BJ#poBGOUQ|&>%Ej2T3`5`s)#IJe$H~sQ(3w0r{*x0goAwhy_YG#BQ4d7A{
z9HT}9i4uG>D6Z`ixQkjTWHke@3mqv44ltf&HFH$MNsh!77dXcR1Pl@@8(wJFX>MV;
z>SL-<Vpv-d!7E|KqPPq@5-VyJ%XQ&mg(8ti9>5`2U$$nkijLc^R2dHZk_vzJqhsK=
z(XEj&HLp2Y8>+f;0=33D(=P+xtJ^0j$52=CNtU^~6BI^^)lIn_nY<cK2^)f{R7<ZR
zsiD1Q%TtvbL9(av!el#nVMsw_dL*D4PU;|HE-2?_$Qxa?TuIYV&h0ScnU0V1t#U)a
zxSf)l5|n%_r$3M9cJ5<y1s~&N7HfN59zFH=lmF_+e&D^)S*hpQs>khu!?viSHXxL%
z-oV-m+bRVC-L=KhIVc5Wwnal^t0%I0jL9mc{2Zz$tH=F%K?e|_D?)s&Q-@$yj~mQj
z!NowC?YB1hIRvv828Ky$W(e*8x@fLCt4H8~ZC1;!e=(JMv=|dhB5q~+I=hOMdc<IC
z^A%C#XfLNyj~pnAlsK5SFvz+-%~AD8->OxOx_wewTs7U=;bl2DuB+iIcO(68=*jrx
z-}&G8CO-Lh{x`lE`b4QdD^kW2&-(HF`Z0BQrgvHB5}-Xj6+R)vWIEdkT2IxTbD{q3
z3iYNyM{ZH;p3k7P^MKNR%e#NeH~dW4a774u=G*Xs9HMTMe!%p~^k%gGs||O-qofDS
zq_`jnZLcLg;Ct_aY4-VAyn;OLhBmwi?iVzAaMuodW7V~<5V_EXyWj!ryIKZ50DsV2
z3~jgz!c*hO3XmRHy*OVrZFmtptjKvy!9|alL2S57?$&5Or6kh*8^yWVS2)QE^?tzg
zIO#|PLS6bc^T8|BI}-Q|n>aeC133~i-)YT^dI#D<see%_mmGPuW_5cO3mXW8@e=B5
z_?naa0x_qwpY9N-l^>#dF#CUHICHoESI0d9-Rsocw%dLGd!5zy@A-E~CjN~QDZ%8i
zlmA7}(L7H5wEDb&J5mfK?svpcQoTPthO(!3#K_DQ@ag5%vsb{U-J;nKn0|{+sepgZ
zU;5P_dNKR}EzP(e;H6W9mFzX;M5=>~Imo3`TACt=7#$XorIoHXbtsouHyvyy4dT#@
zEBXPxB1*6}MF~g=#o^gku$=S*TyPJ{2rX<c*F8ZhlOkA#006J2b~9F_AK-!(PNM>j
zv8sw23&C6gM;4Y&4FqA4+bVrk0Y{0P<WQ_EW9F0C>{wF#fO7CPm=Q!0rK0-alPs|s
z;3j!l>{|7K8~jgqaYK9w44c@yVsh<6P~(R=j87^wL|3fK-wlDGP%fnerZ6letd`PR
z1Xim@p#)~$3LJLZ6iN+C0>N<=KmJ%58|S;p;5L-8Pf36ZP$C&$DSn3W1yl|X_sI!N
zdzNS8`;Hgh_Ohz)w?FgFcU(C0JT@N0S1(?^`3-NqDBM3UK9B8Z-uTv#z;|aizSC~e
zY<zFW_&)u8Kk#=)>x`4(428>ZbOe)5R+2hnGmNDf!36Rkz!@ItI^$7*M3qPfa}#lV
zLkI~A!Yw5gz!0D_dbNuxk#3ZWNKkGTs0Qcc{HU2$Q)wo8Dhr2N(eu_B&1Sznc>&Ip
zXhz#08}2Fi-!S__pD2}L<N*-IoJT)@9{qGPJuMO2i;*X!;%PS~rs7)@BkVs<zw3{^
zE@Bg<`0zTT5q==|wj?z;YIrt06-}wqq`)ACv55=fuYo2o(oU;mAxMYE1+fmO;-o5w
zw4J=uA;{Ro1xbZ&-K)?ojUZza7X*?PXfT%B7KkMpLB=LU5XDd&nu4VZxLgcl6Bk6A
z42j^NcUGRLv#)T~j7?myaAN>Tylc-<)T2x858JgQQh{<dZVX@Y;Zzy*fanK)7}8(*
zZ`TJNWxuP9>Zt3q4F&zbo66|P)YL+r)*Db^^MI7oA{DWoDbkRJvWPSUj(fTavj=sK
zG$NdM)FO>(x2QD(bGh$4<-R}u_3!+3KNLiInsfCupJiZ?i<3r4Bo3C&#$aEWDRsfZ
zGzGh6b2LLR*ON9NPlC&hX3{l`su;cytjc|wVMJnVwUmjMxtNv9eI#2FD}|m?l&b2g
zS5@vqKdu}a%&zDVsRgTY-)^~6!6kVxYQbFYi(z9c^xHNUQ<eK-*ch^SYIOawM@=d1
zSFx7zK`c_4YN<0V-HhsYZM$h>`&7t^X10-?{b78z8&dz<ELzUdzb_{~Bv_=618Zh$
zdPZxCrc%Nj{mFZU$Bj)57wmLLOnGZ37%sf|h2Q^;s|Xi#p;pI%ae!QLNUkn1;IMg#
ztD^a00h9oufJ2Ygtr_?L44rU*A{^j&&k7`wbzH_i7^|q?8!ctn7U~T$lnJ<k9rQ=D
zVx{B2dnYvyOsBBf!?9x4aiBLQ+7KX#6(%1yE7m#=VF2R_m;ggW`ihe@m+Gaa?4T-V
z2n29McjT|GQ!TpiofI36pr!FF;w_u!J>c2Ga9j4=HN$LWJNf)<$xEBUKH(t%lor4C
zhmQQwn4aPAzxxOuP}n#<K(O^jv`R0>G2;R64i7l(7PX3h4iL^0AbicA`|%(C`Y@gv
zt+lx}C^=&7G}opGd`}TIxi-K6#CU>0dnDIpOxwWpqobb2Ggi#GwlYm&i=KFGCkVlu
zYr_mhLfCMQyPX-&5X`x@!<wBz6vQcNY!ZSw*9Np8rYSMkw(hz%g_d(|VAdg<9R(M_
zP1YAv<=SwLG~B}pLBRposh||G_SE4<CKTi!NRlZo$q`KofH+_NPR;q!FnU84TupEr
zfard`jNTNRel8h3h2cD&=kxq8;Cgc@;!!9?*t0yF={b)_*X!jME}wtn#R9+wXiq^u
z)KeyghbvJKJJC~+9m`u@&BY$x_fa3r^se=XzyFh8{i;{pd*X2+wvcH*9^*QR8nRQg
z860KIIe*M(*;kgwb3yVSs344o0@p%NFhDj<s1VkmA3$2~@`^Omz9QOgIlh92MtgK~
zXqssk1X!P~ya=y5_Vm{0NHgt%$nvQxfyiCJ>-o?$(=J%}Zh=-b#GHL)B{3J=D@YMZ
zNi+ns=O|{{CE*w-u<rmSK8DTt*xGt$KM*WFi)Xl;74nX)q(nF_sjd<E^>)2qs2a><
zK|9w(vtYYsb$k5B+ymL6Da^QZ?MC&ZV=lBL0x&>IGPl(g<r{=2NAZ+{=b7)2Jsdbs
zbU`QyPC9zNMQ8-I;mlyQ=v@(ADgWg$5tE%K9VsG>g2&e!%|ob561WO_6zUB$j!a1_
z=pGZD7!@AMrVb@ORoWW5Z6oJihk+I<Xk(ddBk#4lOxVoJoxwBW_`I?Ny>Jcaf6-Of
z01oG|Ot(0esl$(*au~?UO4K1!Etb=#R@w_NJ4cVMb2RN1%{h$Qfm1&5jc<J7{|KUe
z#Wi^j!?Atn;6K2!;C)=Idf-PvBRJUzcz<Ei$=u*1_O=H639-J;HPXRA(^hQ()zlnD
z2#RxLf@aW}AK3Po4#f7lAjqQ>-LvkDx<6JF)9Z)cqt8Mz4qGL`&D;QDc})=4LYh1r
z8b03*`A|v?uY3^8bS`!%Syflu7%RXa5lUFO_%tPHP)i@LL(c3_AF=FEbIShiQud~l
zMm&<)+})13`^7(T^#flN=1y~GZtgrQjOdxY-!OL=EUAj$zOr0^3vPD^$whFrLeN_$
zuW9aFkmYXJMVLE;_-)?2O4+Y5k4-Ht7<rl%bIN`T#(Ob$0Lxfsew^cQ%6`A?RST+=
z{dR%oc`<hwVb>fwr|eNnFdCj>K;zI=${wi_66eB#f(xOoR8%SZ-KJy4f|4XvtAEKl
zWxwAmB>^%4LWo->_cM4oQTr@<Ke}|Y`R&2zWitKa=qUB~&NJU1%oD_<Axf^D)F@qh
zzCk-3{LCv_7>p}P<~y{-z-?U<%(x0PNRs&$A-zCqW1c6@wEdOxJvuBcYXCxorcg7Q
z3y9c1FbV2oL@vO+82Nj3Eswu`4SIrbB;=F+`>B)!y4P(Gy~)a#!-bC)#V{uVUccIt
zTR-)NK1?Ej7%L<{Psb<NOEO|fd-#N@psH!hw}zUas;>J3KlJXX3u}?y6V6t$&pa`E
z$?Ii;kqT6`1uG;?!q6;J8W~>^HC*;LKyZtx^r9W?E0`@RF&9icF{EU#(VNs^E(mRd
z6gSKk@Sws9oDrr}VJ-+*m_eWxAY5w>O%3LPyJfc!T?g1#k5+{W%mp{gB}Rxs)g5+w
zu(Z=4*jKn1F)~GRvnCc5l2oLvWCF&Zfqa8b5=t(;!k*SlxIc!kY-gcli+G!)K1Ioe
z9u2*jwBNN#GxqBhgNxp@57Aey8VsmZ`|wgyxl<y&DKeqNDcz3-@mrTsCv$E1QK${u
z<9(`%oa4c}iwCFOqSnmJ5U%q;xc<U_^W0}Y7&FyC3X{s7_-BsZtZh*?)rtG9Z_~4>
zF3li2z?F}g>Z69WGS$ZnYc|zKjbJv_M{J<BOBMrsz(i6t)r;e%I(j@9Syw;gbhE0a
z&rIrN&7VrURBW%CG_{C5Tk2o?-(wb3k2wUI4x1RCd`15o-wb`CRNt1u8!5JQ?(q1i
z?eLf_?QChEfBUlqdiBwZ!upy&^{;)|SB_0Nh1Eo*O`uRK0U~FpjT9OUlVh<i2<#VY
zBTaLxixnD8aKG6agTc?MDW}#BMZ^>U=&-c;hpbRoTOhxrm6Oa2E$~e;ulB<}b{kVp
zk543(La^P)BNIuRDW}K+=qR%N0{PPR+UX1V73~3(6KXl9sE=Q1)E`loz~=wxhK;+R
zL04xYMqP;ESE*AWQ@YnCLj55pLWQO}C@MWP;Z(l41XRjC<TOGYcid%}C!O+@OBqt6
zO!3?Wd!B5khA!s5VpF@pyua%{C#)TAkRhE0t?6Y*XQ$-uoRVp`Xb%5xryTq@KJZ8X
z@#m$XRbp#AQ}0$HA-+L-(Abmglmy~?-^nDqFei;uvOcihhywHS(3{bojUKEw0mX!d
zZ+rd_!+$@=1mxZ>TYPq9@&d>WEOm1+qNCiy!kJ0#AJfl~vadY|YAZi979^uPT#ari
zebV`=D`<GZukTR~??IUZn~%DAfm|;!Fugf=<&+f2HPHCIQSucuJRsLg%&@7}95wb~
z(kG5I=MMg#n$qd{hwv3H!QCWVzLfvfB~QgchXEh@#I=v&p8<+rf(S60ws)KWm28~O
znhzU?ky03Gm3BZ;;1rBh3%FjM%JBNIgGzC}yLA32RAQ`3y5N;S8smaxJFK{L5u{Cd
zVT)16uZ3akr<XmaS&W`hpIY{uwtQ=lSoVD3-T&-6zcG}lrUzY_da@9ckrhgMSZq+>
zyFQnS-d2t?M$)xgVzfjpm~*Lz{fcEz2(HmM+X&{eCn7;Dq>`#faTaz~C@EICRGm`D
zW*6dn>O<#TYE)cNCu~>Dxm26?%tGqMOh_C$mpzLz-7MEO?l}bOvge+&n^~d+V5U7u
ziSXhlKPV{ou;OTv`f@~>x?~}~I~-6<Z6&KrH<m(Cnim92FeGzjSg<v|CT4JJi|ql#
zfb9*JH^e13<SCLX>QMZxjy|fOMIl~A|B7yp%pdHj)<!MrB99JAB~H(DwdaU&7x2HQ
zbNy9xb5gycij(SsF0JE{rn!aM39l3;=;Aq;heE^h>~5f|?a@B9{yJ4xPg{OSSAX?a
zfBF8kp{o^?>NrS)sIu1&3^{^>bO2pI>c#POr2MLb=SM*h2xwg=1jR<Wp!$js#PDdX
zq=le3zAgxjsVicNv}&Rd6gz5yF}Dz0rmdhjz9zUwM}n@19HVN%SW#XE6HJ4vJ9K(d
zU521IzP{pW4ORtcU{nXC9Wx86<Lf7hk%<B)K?h-9QXF5G)NVhliOiJJ7{$TW@%5t@
zBqByHso8VM+tHTlbABYTC?8ReGd$(|@RVuO2=A|Cw5zidO;B}oW&IVrElp~#&dBmZ
zn)Ek*=GFiDvw<Mc;Q;RS`ec$@7zVRgv0r`l7}Xl}ULG$)P3ni<A|C+V1L%3TUurho
zzA~@32zng91(78cWL}Y))CG@DjiDwjg2$%DP?NeKdclZ*hBt|0w}%!@>XPV&MtTD}
z7aT7@)Ym5|Uaw0YokSy=)FqFPqM@C0$>XDFXy{xLrIhoJqEUa;7-i@JibJUX+Ex|9
zS4={1WzDCJYJ#fuVc?(&4^<U(ue%vcGf#zk6sE%2lRcs#dufNcmT-5qglV^^HDWWA
z@H|k$Z~ly5{GGpS%n`t3HqKMwu(yrze%M`uHO{7H50)80LTtba!zd(M<7{bAlAuL|
zBLL8UYu~dYP^uG~4J!T3iVUgTcG*lEPKWJ`4KB_xI|2qJqz|$cD%E_Bl_Ribp8?Nt
z)miF2R?Ln7DBjrM1ZQQ9yis$Gl_RiQEP*u?$%WN1V#&%8K+6G}(6}Z_1nrtc{riQ3
zd7E8&;#|lOi+nv&ODK{U`+zkmi3Wjo&3t>xaC@-gNYb%dv${Xsnh8BRLBL72Yvvn-
zUy-R%938(x?^nuq2+f8}so~mJ%of&9k)(k9ihz_*ni&imUbN2}68Z5fab^gPj`Q3d
zjAkZ&c`01`nvmmULrSiC54%v7x}*^n(MhEzSf8gbGP~p!cUz8*zDJM}XUWm9moAe!
zF4G&^RK7F6DSKJ!933CM%OmFhbT#J=56|rKX!pME<<*|F*VcRfjrFEn;MK0za@RP7
z6z!u<Qw9;W3=FV~4B|@46*(a&`I=PxkQ`as0o9jNAQ0#wW0OxQFRgZ`HJNqNMwa$J
zkTNEnFa)ZUr))=gro;Je)A7MTgGV>-FDb9MVC+y~Hu&1datL#AG_UqN@pzZC!oB<0
zLh;9g;C2)Hg6Hz|(vZC*r}}6UVsJVQt|v#9Z~Y6FhTi@$zv^#&AZ(*X+ikjWGRw#o
z!@Hq<nMFiA2py0UZ~U1pns-ug2%_rLCI{vISTYT1OU@92ASw@9$nj*FmWF)ANH-1;
zoK)$?HBx<CaSMyz9=b|59%Dku=|=oK*YlQC>Bb|(CGF5QkhuwT9}U`8JB#bC(v7<{
zB5v9od=L#Czxk-%RZV$faYmKidDD%dA6;`^4r|q{v-!DEp3Ua4F3kx3Io<g4Bi%Sh
zyLT7unxZ<<8qLw}d7|CJFZ!5I9v#Dq!Wf7>X;!<1^pA(p&}i4qDrv)1aIAo+?mtaG
zif)bRuPwS$vw#azVFzK^t|=fQnrc=YwCDis2?=SwnA)O)(@cV{!$<*f*)hgv0da3#
z^Kp)qMc;vzMB+^V<0LnqkF9WiFCcCKqmVVn3W#8OR|c8gmZy=usE-Vbu5QJ)O=aIr
zQhK)N=eOvm2RzekKrbmhHQ<@H{7}I2!7usi|H%g<;L*UWR~0NdK6v(R9w#RQBhl8a
zWMXnoBBi(z^irNb8_1(jJ$f1?(q}7ZT)g~w#hh!3>=~FJTfv-aisad5kAh>pVonAk
zj<DPrfD7%4RiX*kiVzBEuFX=$IOKDzlYu_q4%s*f2rEhAEBv|*h=;HYgDmRw5kjM!
z3_L_St~0Q*EkXj4>h6?uT<<oHD$){Ms4`0(M3Ls1K(D01Qid4Yzj%V^R1n*g0BDL#
zL`vg9(hM(t6yU}7kdL_4J(W5~K6e-SOuI#`S(+oC^F%&>==(OG_T2%UR1Bt@cE>VX
z4UPQ%eflaI4;MVFFq}!y>#*Dk__MEIW@y@rU?Cw{gVRsk^$?_KcR^GZ83EwXz@%%4
z6oNGEE{O7t&I{NBYS;?Ww7XyoVLV`hY;$Oub{9N=CR%Yk3rtxQA0Q4*)9!*O#bL%P
zD?<qU)bj~JG3_piz=vF%gt_ZbgNg@?rrjmC3o=QIB>70Bn9vaxy`aISX?ID?rcxS3
zRJw%Dx&p7~yMyuXC<O96(Y4S!&wP9K9mj^SV1P1g4PM95tNXLZEw?K|VKSfRsJozE
z!ikwwvBB9`hfz*W#;G2Hp2}^IF~;ec&*1QO`<oN1Gt8Yut5l>ynXW2w$#ztwmVB?%
zrsOT6NQIhPc}>7`iC=dgMXF|#-B|FmH>Zwdu($A32V-i?Hf{OVII>9f+;4c__r4G@
zn=aHU_M$XF;be6XJE8$NZ0oU5nh44?uM~n=bD+&hE80{ct>(yz13xv?G!@(eV|^;c
zQTH!F?bD`E5YuHE!E}dF%UJ_D4nY7y(4>=9(Nj-m#U5H1l=-cxs1$oq!XRO2&#_VL
zri2foW9wsA{Yym&1HsbtZH+2SYLKG;$_EXOf}KIP>spik+h9nQbtylq=&4oxP;>FA
zT{*rP`b6pD9h)5o!A413J2;cJkWQ_{N&xkMmd>;TsHb*Wy@>v(cc}D9+wlqP=ACwY
z;(Ryh{7IZpVE_b6lFnbYlRl*JPn&#COAVg`4*`j|GmSTgRCgCrnQ9&}O0CtHL#p$H
zRG<2$zx?mLHY~3)FFQQMc$o243t10`)UJBdZ28{0pdljhrk0j4`^rKp7u>>-3Z`M(
zt*jd@1ZjC)aF2|pLI^itZV)>ef^@iC@POGf!pgrxB2sZAAxO&`f_p2|ELSpaFtgax
z@)ki%57rei{jEJTEw2lr-+*oeib)u#%d~1<Q7o@Z?r}L1d~M;n)h9V>PsP-s&sNM)
z*uFjs<B&;hY*=gT?$(Uz(Q3-~BZ0=u!FXCfums%6lJW&jmQ<J-PPe4?2#=`gIXO9<
zE$6Vf_u}Q7-|*Ip=bm}-I%UoQulJ_LU(;^UY)PlzqUEGpv|KQTU4F^u{OEu12_v&^
z6M5p^Dsaa7VKJ;z`&5%@wWb$>;u{-T5Sq1}(8!BrAxKN=D+ZwvGNd$TnJh?B7q(&|
zH0n>Lr6nyZt}zvbi8oHB)sDb8w4^r*p%Ffa;1az9&7o;YeZ^fz3@BGbxT#spSWzr#
zkxX-Gt+gOYN?*7CKwB^xX;OB8rijT&Uvsl#Etp3~tx_91)0(12ZA~<Ma7Y0`+PnTt
z-h6vDOCaz?62DPz&FcQ3OH_U&EG=_*;{J^K2DIm5;?+rba8=Q7Jh<|B`vIokxrhxx
z$gY5Wb?Kq^)q_jG?u)}QB<kIZ5Cu<SxEX$w(!u!PD#1lUE<X7lg&Xm~)vf`U;2_SA
z$dCDWu@2AjSZI!%aC+J=FuRc0Uih}iw6xEr#@u?-FSbbUOLl*|-t}m?l+?o|@%RV=
z8Kf_mFI~TC($Qhh)dglVam)vfjwTV!a>pa5RD`xB=74DK8U=Uj_(_uKfxiGN4Jje;
zaAG_av+?mDJ@uqU;l5_KP#h9ix%x*>5BvohXq0pYYr-jR)=Urlg+a;r3VTCwI?wdL
zU!a+VFA=gNfOYk*J>R44au2^+)I!%A7%hoYJH!R1f`N*RYr?^9Rgw@tx+J(QaLHV=
zTS)@0`jwJWx;SVY07*<5tIzYq7xS`yF|lc{<7Ig<@o(3?m=N+8lOK=0nE2jbOn%HS
zio9kxioclr7_V8pM)Aq_@D~$%v@a$O;x8sY=HtaW_F}%lU47?>@V{uyK;H+F;H3`*
zZ63IlDQVX0BSE)D=0!sz3%WP*4)VaI#u09ZZ``5LB`4j`8`4!tHweH!r2V;__D7>j
zrdjK@7hRQf>*PU7`*S<?U*ml7T*U?NFV^@zsDB_(9bdL%fBaz8a;u9qc$v#`>-ELD
z>Z4i9I~}L9-d?P8(r&%HSXVU-2-L^tb{v0=^UXAE>&?ZwYCM8Ky+5~O|IOlJog0tV
zyNgYA{Sc`3m+iDa&Ntux_2FVuQ73IgF~$YUcI>~V`HxL~yu2oJUw<v%eB$v<au)90
z#}*#W(no|%{MKa?OKoz(l8bFPoY!kM_m3W|a{1r%{BzH}OsewBXDw2A)3a}T=J$ZP
zod36skgN!LL@_2;7JT`|XD(lQ{^jRixO^Z-@})1IrPpQ0xnwZXJH~apK9_fuIOgro
zyz?D#4cK~+Mq@vJnZJAfGJnVY{E`NCD_>Q6@kAw$TP~7YFTZg4{2S$Z<kHF|U7PV;
zT*!s=1F`Z$l=b7+W{g$TS`qZ~9Fr>x4*j%TnrChIgd2!bddIkKS6)Zil~;D}BmJ&0
z_Il0FeBL*GIA)6~O2_MmhS@<+^^!ONG=O8CwR(E?mBn5zXvs-wiA7G4&Q1u@*Kt8i
zePa<1f-9XRlLcvMieQHpWD_)pFjk~WbwN}lNt8(7C4lG6p=o=H;08Q_D(Ecyu_BeM
z3mUDVKsjyVoC{9(UTC_-kgJnE&V!<Ir*-Itrx2fV$WcxrzLrl@WMUUer|@6^*f3Ai
zu3Q+6SHgtKcGygb%G0J8T(_C`QB7XqSu9qsvTN7bw8{OhbGC7MD(mdoM$;l;=j3*_
zHg3)~PQOK`WE(&BKmY&!@&{fM*+%I>^17WhPrxjpzlhN=XFRtJRuT$$6E+x6U`=4i
zz~rlFlxT;N=-vc@R%FHi`3k^R6fqNm5^MR2AQ2J!1V~=5WlMqz6SN6$aGeqLwC5<%
zmmj)Y;(J!v);_^34lQAZ9~#<7z&b#;APvKEoC{8}cxt;ITqeG8WSg|kCU(RGh644l
zGnJ$v{Cr1ni_;AGfgHY4wy&WcZx)x$hcbTdqWWL>K@zX(59vuCJU(a#Q81JLkVvAz
zjr8C3!Vp(@e)fwVEkJIPyC?&2*#**DQvxhII`~sSMa=sR->QAolwRJ?Q|Em%L9^_0
ze5S|u0xZi+4}tz+x$NlK#iM~MO3&}b9)s5P`9*f9ek`XyM977thX`BkLo}T2Y3{Q;
z7Ld#j5jWFAgr%#8h}*aQ5FN?m^sr1b1#*)|IgSlQq7t0Pk;hSfmXsD+wP~f6fCYK2
z<B78-@qxTom4`wi4j9JBLkWdS&V%u-3a^iOubf9>;;zagL7>Xx$adOa2xrm-Bd>Q#
z9!@g5v79F3ixaB9R@ub2NZM(pW&@}#a(Do2h%VXOd*X3Txv6Tocb^$L7vAco3uChr
zyHi%yY@csdzHSN7OK=}qfH{Yg(mQhwr=+@me&ulf<}dv>U-!B|qA4uUb2tv|PGf#y
z!o`3Gw3{BS3zk+KK(35`C<LjzT<|EWoF0J-9tDe2y}2Nw-Rr^P^k|FVF|ar_iwhnF
zi_;XiVCe!v8LZc-Bp#bfMjH?qoixW~U)`JJ-xTLly_2OmvtG|yg@b!J8QiV@?@%{P
z;}MmEXYco>GO=Hi8gj4L|K7U~WYsd)SAa@hR`nHiOH;0-CD27UT-n9m+#Wtil_9If
z;1ES#)mPNgr33P@iTzPQ1_(UtDjYMUW0GCh5_9Y?+i8ECZ?3N(_jA%{irayr*@HTg
zd6<(!(=!iePYzAHMRRg!`Yk#oIrL5M`Sf4?rs$JZ$b*|w13(DG3b8N-+!5|1r3U+|
zfufZmeESu#*fsV;qHBedJeHtn-m)1hN^tFhuiR|C4FD_xB|$OBsP^L=C91M>+^rYj
z%ViX`21LENYE6Ea<ncLp37O*+D6H9=gNO7(sxE`Acg2oc#AJ&;E=aA6pXX|+t;BF-
zj9b_*Xw;Ca!98h^Znn5;`U@t-5h;}!w++ozgsF{Bc|m@TZ{m|KVoa;Hk+UDbo`|q~
zb-A<5N9^Ai_JNwuOn`Al0*ogfR|ZynLJ?u(*WCs*g7dAmH>e5OV|}XGufp)*k`wKa
zqd-TNZ%r1f&!76m|MI7O{z>Xqxp(|MH9|Ifp#vfeb=$)CZlrEE`?abvBtMaquNAl?
zW?YwSx8Px;)&Wwsh2Kgp-UNYAb>uH;2kI3iC*l{QO{s8_k)v%7oz-obzK7w2;DfDK
ztkmt!k-w;vFIy<0=9>L13-T9Z3VYDbtZtz>flG$62ei^iu;b=5>UO~lGJm3-QE<ED
znba+nl`68az$tVdbHl0^Z#=AA4|zrgx9eek+6!AnB_mN$+uMq`>y#SxJk7L+8=I`~
zdSTS<>(jUX{_fvR1ee;@;<Zh0rQ06BI3~5t6)^Tt7`f?=nIIPoCd>t#sYa0c)CG-)
zA0R!n=2Knd73sdZAh5-tSb+`ze~b}a+E?(LsZU*SgC!xzauYZUniZ)}i{QG0Gpd4C
zZHg7CPhIe^!f+)iimi6y?d5Z%K6OD<Q>pV&J?r>8)fXfB)Fm<Gl~=>Ms_OE*r07$Z
z)Fv`Y0`H_%V*3i$E$*vJ?xDpM7zIY0x+8K_OBN?k6<XEFaFg_sXh|-b|5I&O*UY?P
zrpGmU727z2|9Uyx@aWkk|BEcsOmO)q5M0``JmL@DSUpZ#e#qVYo<ILzea~loT!DUQ
zs>P#wOT3_;#D+a1V{Wwi_LW8VE_moRoaO@5^ztC%gfq)iPLvx^gMw=r_nrl-M7a-p
z2DR>{&C%yXIdmc-Yv|D(6pw0&IL9hc4xpu=PQb%&>LdhnqI`oH0#da<FsUJ0F9dU<
zocx!f^e}8$=D6gGsS@Qz0E%kp61jtBNl}mfOJb}L`&lTtmAN?8nshf^a&sU}7A0|S
z+S3$w(<L#E#zr{r9P|<wBorbpxnJ<0_%RP1M>J63C&}vmlwr@SZl`w6B}bLBs>9o2
z!P3=}3oHK+tt|aUmeR(On^<(OGrF>_o7=z8)h%nwjC7EIGF23~9Tf%Xs7sp?EPBQK
zKFS$)Iqdmb(0@SwukRp;{((BFFkH1QjTDC7_ebeog_<1Rw{%i0(Tf21e%bdZbpQIM
z3GF&BK!g{cM;H1tZ+vTXTu6h#nVZv1#l*>17w%w)*51uDFV`^xNZZE*{s3)G|3_c+
zQ_p-`q^Q*S=!I11o<tNPct4xdZC;A`47Mea0+JvQTwp3s6I@gw)gCB58aj*&lMGCb
zEpkW&*eTl?fGgc^4Obe6&LI^tRN4l+Lvp8$=5j~{%pw9L306Ry)|_JwsdgKnWJPd;
zZrnz&3aJ31MUn{N&xRn_V<FX^wFNphaB$j4vI?neR4&6bpYtBqU4>MK?UsH1kX)fr
zxjlLgc<IsTm1788@96pVAc?1JoVyiPZP%>s&(i7<fEC!ZB0tYjpJ7@+r?%gEUv*<}
z<XdF*>-3jLce<+&bt|yQ5az%)7xur!V~Ex5pZc;P5}#DK4)9z{w|TJHX?Q&c+*L4$
zhoR1VTrd#mMj*392T<Nkod|oNM=aDU5b*uiU-t|D-nV>w3HY?}!UH~Q!-#S&>YGN!
zVPBc@E`pmalTw_6ilN$g>2uQ>wSx;<qMhSS7ri;CR1Pk9w6TuL+XX>+0_6@ZzrkQO
z>YIFMDsLA&+6G7ET?CJ{!BKg;;E^^s!?L^N0mT9-y>7Osy*4K)%G)J@hb1imTI;sZ
z$*m*<K9^*?CshNKRr*sBsJva$;&!$auC4lGUrobhsrn=C+&+N{#Q&{)0_}k4E~-EO
za-i6Nt_Lsf@}rj+@UgEIeHl-w$@=9VeHMby4pd6_3jZ`bFw@2SZ)n@0Pn1e+eXe}{
zFB6pM*#<|(ij^+D1Uk$pKZ()^V88kW_#`+<6*c>nTWG%_STjA{7bs}3VS(?<Qd%3T
zHPhpLQM{eQVr_}kv}Ss~FTf7Vfks?&)tlHMop^qpTeN{n!WY#(;kM347g$%3EW<tE
zlWQe1j-F@3f=vTiW=V7}99xhi(*u41&T;il;6q7Hp*nhcz`^<<47uR-E+ji?&3unG
zYtWPE>jkf{dA+2<99PsS;|Vg<;>X0%CwV9GoJzBXee#z^S~mRO+Ls1j4!kt@SAS{v
z(Ow#Uyym6BSN)fU|7b4_T0L5-Z~oI6Uz#JG93WSzCbI%852c*}gc86<xZhHEz_K&9
zm^uj>MuvvMLMcC@Hb_Q~3SudvUvnn{AFfC!bS)zYIwMYE1OYe!nX^KnK}L|uRT=4I
z5H^~0I_NdXog8c@s4AB&K?oQrDhdw}kgTgt4xy|_*WXZBDCI|-KN&r$P7VlEofxtm
zC){HM=}u$>!TBQ5S$;n<f>fOxp!led&+RzAbX3HqG<Im+X|+bb1x<R{M6X8Dm1xco
zTJ%D}fz8s`0k;rKfomjMk+~SiM$$hVJy=!toVz(@Mh?kekxPR)tC^7_@uUzF^|Rmm
z6)%5WB%Cz+=P`@r0_l8_?Sgp7uA!G#Oq2_jO3@zmlD1Mrh310D<)8x;76Vw+uC0RP
zBFMhm6Y;|_D0_3(Yz?@I^y+-YJxKDUu7XKu7PEvPb(agGAsW2Hvf>h1723%Vq@F5*
zNL)#F2ux}=*DVBdk*Z*#HcJI0<Q3~86)s21S;$PazfWDH>QHl{Qw7(qDWy$vl}g-@
z9Q@&`ygL8x0A0CLbOk@Cao|;QsP98vuL1XHAcxZ|T29pC2mT$BiGQ;g!efR3nCMLE
ze@0S&z(nc0`Lll?TWB^Z<{R<aDidZ^2DmP{c=dp~*w?$QG>)C_$ntF+gDUY)|MKtn
zrJwyVV`-d{o!KweOsz*Kqbkv29$?A0<d|dxy9BvvEttZn$524lK}ukQFp|dzxN;f?
zypoK!ka|Gir4Y;zOo1-21si6}p*x`(+rTWvxd>XJg*Affq|O}|GhNxJc4%Ng<?SvX
z{56l)x%bs|8bFUQ1o%<?<AdsL>x9PoI2qF|Z8F$(&At1Fi%cX4oxQ9YwG;vyaTZVl
z)qIitSSMN?CiNhgGQA^(X_5m;VTNpnU*4;G1G7}Q`BLZ2``2zha<p|P9UA4TxDo<Y
zm>}D6e45GF(jO<P$j}4X)1Rt2Je^Q(%3z7|#tGnQ%MWReKmOFazVk9#W0i!%t9M4p
z0mX?CaCD}23(Sj!Lde_}y!$S=MaL)JN(gSyX3_{sbg0emk8KwuE2JP2z*-&({ts%7
zvLX;c;?aN=#@w?Iq~<7sJ8-hdiU8_pX(|Nygt#D-nz|_f)d&@Hz8HBl{2Ws~&?YLx
ziV`iFpylyM(YO${*}S4YKrXphSmh8raqVS`Gv#Nw2YIlRH$h2bNGCt|sD4wW(?`;%
zlJQh+?OOFTTId(~q-#EMj+DPo{ud>hMti9`%Ic@dQuOo<^wmlFrbUp?(~*b(*C&3&
zm+2|I88&lw(~+j#qSk24u$l9~X1?uB|HZfes^AsXP9M)=Sl<tZA_+PbjkE`VX~h%w
zmY}+`tJF!!@UIzy5EO;yjY}E?!0@gXy`ceOcuf#flrZWKK>&E>dwp19cwG>-UQGD`
z|InVJ7+ya#vI62o95A3zYnpM6Vt7puAQ%J*2m;V6X1;w0isAJY)ksos(OXdsuP+H`
zk1mO&wJ;S+s^K+B7<~pmShIs~(){FABkgXrW>F3$1Wej(uczwl;>Zb2Ms>>gl?mac
zcxWesY6j57^kOhJQozHNWWGPU9m;ZYo~u5=ejY=BG&FoHIZp%SR%=#w=&&T0ERtrp
zLmGYguQcj(FbYuzBlD8gBc#-cxE6>LOL#_Sgo@s?H+tOhCJU7tj=A*Qh8lqzPpH|n
z=*u0DHVT77()b73F_s*X9#MvjQHnYT;u8!g%sYt79RZu<IwE92{Sw)Y*dKElR#lx!
zTWHh%e6G^|ZD9`ClFA{{u?T7ZIsikh156(2_^5kT0hks;(*At;<M<5=to}S6fg!V#
zG=NZSfp(;1b|4Iz|0ujnjnC{cKh<5CusrSOLo@)t<=L<M-zH>sK(Z+b8}w!!&+Ir)
z^#<jCQErik$(bGE_(it?G$PcQkzRS~Nj@&N+heG-E3gxp9h-^~E9T73p<6SLjLZ&n
zdW&e`1LP}Wb_SE(fWGYTh*ak|NeQKJ<))b()di1Su+z^P&zYS{vCNsB1^@T73=RA4
zzx~ah_Kql%>FebVroU_`rZXYIRIj!_<@cOsrU)KO9%s#QG<lpg$CflGR0#05dSJn<
zIkt<n7T)0S*z7DjE7a4n=0IB826}d@Uf!BE#xG_AvR3hkFkVwwUGr7bytv>2XC~)}
zWON^fN19rfWbR%i*&bVIEnv9_OmWGhNpo>9UGiwwTpUc7JeoGo=(LB<o2v`u5Wu4f
zR<&rZ($QCH+^0&_+D7JIIj4)q!{`5+Q)xEMv2%y6uYBQTS7WK6eU2xN;GEQyX>io4
zOnlE1<P#Q-5gobgSdlWV0`TYruH;w|N0;`ON!Q6S1A~4A-~o6VdD65$x6}SY5tsId
zqk4*CDN#u*r+IvL?AY&iBkmS^2X4!Co3?zLC1FwS=f3z)|Jo;`nN}BS74T-gAkY^m
z%z?^SrGSg31_--$i=KKiE8r8b)n4WU33iJ{t`sna8H;X13}R&6_Nz1s*c28arKE+a
z3x|~g?vT|M9}l3;)?v*G7}u(Vm*}dMcA%`OCBnBe0qCHpYUWJpV^<0|scQ5kw~`5Z
znyQhYglK=EN&!bXax<X*tJ?#baxH}}nLcRFvoer6$QlMxNXWC~s3WYJG)e$eX7X>~
z3D@r;{jb{=m1q5^J3Le@o|lVrxRnUp6}3m={&#MQId4-8BJkvNAp%FAa-y+rHkrJ@
zJ3CKlNz>2RYfIfF0Kbpiw%eOo#<_lQp8COif8tks@(%{&N3lchT^N#xDiL9eBy{_v
z&Gar@aKC2^#=P?uWF4?dnc{)w%LNOkH3*MjnQK8>FBjZuJs$q$a*g^xElBUe1;JB6
z1`Y}`?OzL0)3_iqQp}<gU)YjMJoO~K3m4p?5eoha1yP%5R-|`P1QmsVR1<t&wZ--o
zn|kPcyCg_Qb)@TN0jLv}47}v1EvDV8l2UGn!yqcBwFqU4d8z?c`H55(O}NuLcscDM
z!6JREF={5YW`tI?s7Mb~j<A>+NFh-juxSy=BP1AJe(g`_eQbduyrjM%j55LLme$t0
zt@vr$@<Z{{-};>Qed!aCz|tOChbCD^Eq=QtijGiRKqw(?Ozq*_<60qgK?F@46i*D(
z*@y)e42#Bz1u!N6cuQuwpcGXV#yL{M`8guT#F`$Es=FnMul2=H!xh19YXhsc2*(>i
zYB(3%?WqB|BFc%X3gghC;an0aG2?0jwVW#UB+19rB{AKa+)7vzwSo5F6|sQf#wf|z
zllAq;!|iMCF|kSO4X2X;h}OF@s^Oxw^k4f?j_SzHqM%#JPGuEd&Zp6$Q)Kj1C%693
zM8l`0vs&CKos!Z3b|1+qB)3jir}jkOmO7ob{E#~R{%`n$U-F)C7<HkWtJ7|Uc3A2(
zFsx}ejGY=>CpwI_g|zoXo|dCplJ~|}JgR@PIz6g>vN{FS5(zMBv^7X28$FfPDe&;x
z35^N5fTcDHjSi!q<XIJ(DuNnIK?UNvEGv@{J)^svnpJNWE#BXe1B=Wt4d(e7|BF1$
zJOky7?jn(}C=YxTX|VTx)farhdjc{yoKQC~wp28Y7Ce&GIiZeMUO`!afeRKpr<QZZ
z)`*m#>QF0HY+bCF6SlkcQU^ewyAITfIbo}ff3_RDV0MxN#^~<Vu`U-3iX@w(fF^`s
zIL`6(uruDsOw!SNm*pSg|K(SlpW~bOB(M1^&6hZ1AwE*E5Hsle?m*wBf=1la)_<In
zw&zLOe(k^W&EIwvDGkkyv`Jfla9JEh-l$vlQmi>?Tc9=|{V~EqPY$UMZd*W)8yhk*
zsF+_(w>1Q-q%ATKk|f!alDHAfNn2!FEEl`vT#tIioV4BP0A*%($!uQ>=A<nE?)1T7
z>K1D`bYxg{bhKa07BoOAxa`bs$Hi1hThIWJD-p*&9Qv%uOlkO<yX9W#qS1+OgFn)m
zDeH#j|E(f|07dHk=91FzH37S3=NhxnTWcTlH7D6_^_?4}4K1*KVVRAgN#QEctJ|uT
zHdLc+Tu(l)0(a86>JdQu7ZJgn(>Q-lLo>#lM=ack{!re>7BU_spImQFKsvLT%ipLG
z`_k+Cny@@=(!}Lk^F=hyANvD8{Bxi4>X8<CQ<MlEHsEA~whDi{Zjs*?IOMi%KX1`E
zJB&L*k{FF2QU=Q_=HQ`p@NCu_>0ix)RJ|p50H>^ElMiUI(|TEiBUHUEXxJGcc<Wlk
zHC3+*mf?Ef43XkrK6FOoaN>q0eZUFQ1~{U6eMywy6zvUdDK;!GS)p;zD9qks)Znmq
z`;)hDNag3<fcw8EnM1qaOWAh4E3e>?U}`Z6mK__C>l-c!LcgL?0VF4aRsiiQ)(Z0t
z+Mp7l>3&oE)wSn4q(fe4^U&qn1C8cew82yq1cKy)1h%z3&wP*ecq$}h1hTaUtrCEt
zUlD#A6g`>3>u}$zHE(2~)a+c3FVgR?%e?EiJ)_lzpDK20{z~_{71&X0w0s-Dm<Fy%
zYTDy{JfeUTz7qJ%iXcw(b*>)rv5V><`fAXqllBL`w2BEKP~$tf9s7e2kDg&6SOb&?
z%`~a;2m;mqvYqx9JZakha2i3Mls98JX=^G8`6nLl5;PBdZTNLl^|RT;zI?D}*wG9-
z(vFBZf;~?JyMFqo|JvKe+^7U<R$|+10kfe-5D7F5+fN4kt(=2J%olFdu^eo2qxxCh
zY^6b2G}VowKPDa=0OCL$zw6$$i;!(J%I2iJr#<ak^K7Eg*M_!c3WBdzn~{?%K^^NR
z8ZZE*L&8)v<8w=rxvK;uzQ)lD5O&X%NSYHK#F`u}bht37sJR}AVEyRk#8Gl7*ou4`
zD>o`N_jMBMR+8DxjqW};&OH}0-=G8PhWaakn6D>Uxluqls3Wsl%6y{g=+!OS9^en+
zm*1eN{iquSIdU^I^G>Kmeb*~D3dgo)qSeBB^y407&STUMcKC7R0YNgPT65Il5)TF-
z2lZs?7Hj>k4|R|Py?&W-4)!n30i0bfUHw`*VRyS?;X)<cBN8T!urB9rSK7<JyRv@k
zlGeD|^(g$J%pjjA3ecImS?yoAT}}J6wnHZ`rq|`t_B+F~IH})Rh>Mfk12?WB;?<7j
z)K)`f5PnXN*BIoo6=+O&jFa2f@*3lOH_2@yuW{8DB#u+wpXwlv-?ooa?`F3vDQvi1
z_;pby7j_pg-!_~0X`xtVx9f%3?V8=Lw}QIYhT{&-!CEe+%)!zjOTYPt|LSMGF$xDt
zSKx&MCl4{25m2^ZLZMoAr|+oQVhXLyex3u)c4<d@`wuzK0e{1nJtq?e!e&3pbHJ_j
z3ueu<&RjTP@(HD<7>>~MdT8du2{;OdM5TZ}yepIY*uqrx;sg*XxxInaxAktTRxoY(
zp<2P0{LK5G{9i)bYK6qLZK?117+egbwo%<)N>Od=f-wenpq|USPz06y3BKQY)vLbd
zGA)V^*OQwwhVm72;n&zR;~aCD)+_@0e}n?+`Z8@9gS%O<kCkyztVqAs4;^E0QO0Jd
zm21v*nHH0q1oeJE&7f`9ky?ST8Dnq(-D-P^q*maPF$Nc8Gd;<wi0)%>(JN)$z;Qtm
z<ocR11{bAYhHupsRrjZi!39pgzV?#?J&o2Hq6H1Cj+B6#ph*`&>DNBIRCo|{B?NZ%
z;iUxdQel*U?L)g40z2JnoA+un_RXm@o1@`ekDhZLgUdHOg>zCBNSd7Qb=s7izxNQ?
z1iMrM=GXqfbC3x_-=f&-%?UNyN4o_43E%PRk9}!etxHu50W{Ql{q8nu{TNKAQtM8q
zJ_OUrY9pF3ckC>x6--v^AY5c}3g?L77Of`AY8||YiqhWz)}lpAzt?rkWa0b5%nD14
zg<w|eM}a?;T0e^Xsnq&W=uf5Ak7IwbS`P!TLRi%NmX%tMIp_e}*5>EN%39T8ltM-6
zQPs%@Ri`;6$FFpsoQ+GuL#Q3y!E<u9bpnI@Ln&%=xsie{F854_^C-~a*lT-RPW80q
zhn(u4z4{M-?(4^x=9yPS(qFnXtqaj<&n<-^na3qKQG^#Bf*75w0K^cK45kYz$^n9%
z^4Ml_c8O|S5ZpFW=V8%BUB*~ZOs)xHB&QM-0^uja1M`a1zP1~B>21Cvxa+MCJysO6
zY%7upO$!*Py{ifeL2BQ!;(}@%Db^hrzf`M*plDy0MB69n@F59J*j|ljUzdb0Yh$lb
zw$l#Uv?f!<E(z=-(4$-vn4bDKzp<uFI<8;9P@Ps!LX;ii|4mV1vdrDIC$wrYsYi^l
z0I6^RS0HExdsqt4B?3=w9hg%<Czf^qv3-T+fI#I3%62$@J+?8FIp7$kj6j3tkg8VN
z9~p#)VjIKxZqxab_x3pah4wp+FGf0T%DWnVtdQKEUTLsr`Be2dw<z3Qi^8;9)S8$X
z;Bg*+$3J}Vd;jLI3)VWd_x;?L1xY{~9?g9P0S$<UkMYWEb}K;iVffJo+zY#MkW>h0
zklPZ>WX{6mAZZH|DM8YHy93grj;3;u6ihXXej7y!kI~y}Of`En6tqdD93%x(4W@Xv
zhpvL8psHCa7$aXz6(ku`D>Ntug15h96(phU0cQs@jp%S&2Q)yc<{$|ZppDoMj>yp<
zsighD(;z^UIM2zZKbiVd(^={W^kSpd_*)F*X{m|`XIOSPg{2MyunG1d5?|qX41MA;
z5+Tk&Sz?Zn&L1PCWLA2ug_sUyiAZJ%%)>WtIhi&43wP%)+$<!W{e|=R3!m{X{pQ#F
z!=M$>qMQ2*R)Pab2ojLQd4ep&+869Al-_BPil8<iBJLPLCKR0KZVzrDSob{CL_!6)
z9w_Z|S})Lp%qT3Cs3Z$YSZ{)xJvnPBxJ6@Ov!aCcCb;YN3xNji(TJ!eu(%kB$^6hO
z#3#i2aXgLU{X$T}dJ{YVwx}yE9FiG=8rGMSv_YC+=@YWNd^H-@n<RMrFgFgD1MVrK
zF84J@EjI0#+EN1?URf#=?6L8klGdqQ9i!{NWpi!+Vvs;$3EUpbH&+<Cd!0dbLH@m|
zOzg*1j+^Lte%xuS;^I-JI9-x&5vNPj%MIdmeT+|y(<hj929B<8MwV}5O!g7J=3W2g
zo5sf~Xx)V*Bw8xYh-B(Jhgb(&$-vkE{*o>jY$XGeTd40`aJ!`|PmnQGE`yYOXzIHn
zSSD+(F>I<4q`q@O%<cul6IWcJ1l|Zz-xWc$<rxlpAvKqLXzDu`OkCYcqm%_j-?=2H
z=7{?T1bw#<qPt2m1K@+DW!3dz?HK?cG%c8DuBO8dM;utbJHgX}(Gu;I*8uoJ)B;Oa
zHwoNG+ls<c)woivSJdDC&)&NL?UrU`VG{)d!zGazC^YCvsid&^^t)f=GCDI_Tt*?M
zn*@_opLEZ3567YZKJ@f7V?~WvRuYjE1hEJN2$g~uOF~9s6%%kEArg>VA{Qg&4GID{
z0tHq@LJ>%wXI<X4-gobR@BN+s(x=aCwCDf!`PP2-{`O^EpY<&L*S2~T8ZPbn8YuX;
z2~+fZ&oR>V$4KWZV0$G-G~`1!a!*^nPXYhFcYMX29~)(X66MFnqMkOB{E8Ppa+C#X
zs2!zJz(d_Al>#1WM#&0zpciG5a!DGYNxaB6MYHgO|JUdf|7ZW(e4UP9j1d%6P365F
z7yW%8zM}u_TRHxXQmOl+t375GsB>yWG|I_!lY)@DZf|~*4B~UsD02+KZzv^8bbi20
zqnvbWdSy<i++ITE;dJwyP`RFj%J2BZpZ}Qe8N*2!uouaSB`PQI$ukB)5-J8QP__zY
zHO6ofs}p(>DG168#k~a#KoTkrR#+}c?E%42oCJJf`tHO@v@1$N#a6^1fg|!H<nRXf
zN%LbQq2h<$Za5tmk;n*60$K_*PDCo~br4a$JepAP>w}t)N}|EGJ$8+g0P#Pf8zL&Y
zh!ZKmI8FjEZiZmQNi1a;a~yrtUaQTtw97@LUy_Brd7OmX;+r$zt>K<=5(OA?6F3RA
z+Cur1US*U>1VvG7dc8Rzmm+xIY4!R}q%d3b+q3A?Zc!U;%@+N7Ec!?PYd`u)zaF@A
zy&2BDUW^9t5dv4hRE0e=NMSS@<l}Nf{s!1z02dVeZEGNXRUsdBx(!YL(O4ftFsT8@
zQi4qfiN5yGQX+9<sWW}(Bb*HO5i4ekj_)lAdD=8cLB*Z>uLchTSRi030n+Z6Un!CJ
zp_Nb!f=h5=G?%Bg=%8~J>w8?TZCYWRWM$DUuBM#^O0r!uBOfifNXfm>gyowfDZ}!E
zmItCvz@rCVIE8+sqvzXWfDZZ-pm}bOp6}0bhgyz$9($o4tB#&;5E$>tZ-F&Q;MuO3
z@6djQr$QuwX{nK<5ACCfyO0J9iqAq{Lci!^{!$MEgcB;#TQYKQ#Q&<O<KDwD8s?&i
z9725Z75#5~)Axx|-P}WNI+fO>Ky1>I4<jxcstO=D&w@y*y-o)dCWs92l*cGBPjEW|
zeh_>CA(8e+vF0r13!HC;h>|k0N<W~1sDh}<b{t<446!Ky)WSS*7n@Lm=Vut~eY>g5
z<+SBnTguYWdp_h-zTxR8m1~&orE&|pF$dNM!lLA%MPpCFs2y;@!v2eKMuM2jrID(1
zgaVFW>OolBVMF8tQC8&GRxR}GJM@k@b2&JeI%h5i=Thg=QOr;6@M%s~NAk|{C6y1V
zS*&=X8$BaVoA=xmf&Xp3P7B`(G44Q=v|IpzS7HW)pR0;8M(td@_$XFY=yPbQsykeY
zSs8rJ2PodmPEAIz-AU0vpnB0|JMG_M*=3S+-oH^44VE2>Vnt{uAsvg&owu+lw4&G%
zO_I;QC$pJOhlRsXG`Lu|naZ3HS5bTTYdNCF`V`GK{^;8-K4BcgyHtns2;S8eP|6gN
z;i>>20Y$6ukppwmQh4(@DS~L<hM$555vAo8_DV(Lf~9}`cm%bWxGDA(Tv$FyE?D~4
zfg6&dZop2TdXkF91*3m`zp;E+tjK4}1<~Y#MtG!<PGGQAKQaXQ(72#A7?F>>r!SnD
za+lm4!G=p$3O(r!HWu|{K58xr-3BLDB$aJ8|C}1b>(kgyhm}PGaher|_qfKeIQ>E3
z7i+Giyw-m7<7R`YB>d^1kX45>ty$fl(!bt8ZBN$5>ZMIgV2NUNrCU^ZXkXReeW)Ql
z%(>2>?sanosq7R&Rb?NGs;u&nMAaqLrCwvwb#SUG-420D*UEM%w+{aq+^BQ<Zm#T9
zqJE!k6KQYHVX=j7uS}0v1}{ok|N8k(p*`s%%5+XrJ@fYG7S2mGOkZ_!vF9%*x#Do^
zJ5%l5v|H5LyE#d9JxQv+|I2^;cYf6vvFjjTYlc-Ehwv9UIC(7)yB?7q5@hfwKmHH{
zY=vtZrhv`3wqXj`jB6XFfX#Pjm;yH6o?!~uDtH7P5WFA~ruI<4R?*{nqy5u;*c{RR
zB_JKb+=$(D$^8)MMuLMPIfS~A41`M#z;2#;QjD%k4pYG9dvp~FSo%e+SEbu4gW6nP
z85Fq(88m4BatoO^J;#1$OV8rUEU;~$jLMeaj>C)6X(lP+gqu@)Q7w5sa&wiRHj>M_
zGI{=*tgq>WL7smOiz}H}v!6*;*~;yMK=p%VJGqT@#IKjf-7b*tC#?Y5S6tmRDyG@Q
zzDR(d@1xo4H2Y|;y!iPqt0VTpGw*(7;SLry?!{LxUA+C-F^bV^_tB=^qS;5A?na-(
z0{h0#eBs|6PatEqD6+U1`-5I0B3g1@xbgzZ0MwqAg7mrrd+!YR+kJ-zzVZQ(8@C34
zE(Sll0D}<xDuG-krS>53a{@UgrJfJKS0i-U0Qr>kz2*e69v9?!p7|)2(mvcIt=^nK
zo)gH={HX;q9;?OYz4M*L>atSxr+@w*f62FxCXjW42AT4}H{E0&sc1a$cnV(Ay)i3A
z;*pBR1<@_Vxya<TBhye#*^m>I!R>GYVO=Ab6UZp7b0!D+hfr11UY|I0P9Pr-XaJD#
z1zB+|yM<s*Afs0bq)(DX)p=z(hno|~D3U3!(rL{h9{I;iOrqYyyoaQH?x72_+N_FO
z_xdJ5Mg~<rxt{UA&DYi99UT9?GST6|y&fz-|MB^v$%c*)N2OG1#&i&%|7AN?O0+Nb
z3Q+}41zCd*u|-O>FM!ab4di?%OzNwyJ=SDEW;b_%<h>$Q4hKwQX(iKBjH7SZP>VJ9
z_<yZrdW;ts>qc4)6a-M3?MSBQcyZXG0HRObN}iF-rYkixKhHfF9|b7Wo4ij?@}h7)
zo-l~6F0M>_?k?)*4x9P8!)AW&uz8JE5v#S&9skLL)V}BL;^CjWXWOu`Jb2RVfO}C2
zj+&Iu;bTjlHA6Xa*1nB~F@l73!5|;&%rwE|kW7z;nJIjM(hhvXQkg^o(g<HSd&Zn`
z!w}tWs;UD7>ixN$_Q%kb%(k{c3|oc&8>vG?MP0Vj{t~XI<70MS<zQ@R8}j*cJMGWs
zDK;A@j9x8|#)*vCdMnNj(fDey<<JLkCq7M#?=~s8J9n{FgweOdO&8{-JjZ3_t<;u$
zv&!7R?f&!6zkL6N=VGeG+n)P;@gT4Se2DV$6hV($FOi$PIz5yrt7kcSF+cF7@BR5N
zi%tqvrH;2T#fo&LajEy!qLcoQA=-Vl=%oIi*lD8xo@OLMYs3H4lS%vIdyF4d?Y|Yj
zC~N=h#T-uuol=T9)~j~Kr2D;8kG#)mhoMy2=cxI9AJsDWsD+}-P<)x>ute&U5*j}Z
z0uI9qNvoV&VRkpi|2B)trhn&u`()zZDAffS;;k$+yE8w<HS$wnvPF8wWq25XQKcaQ
z_z6tWI6sr=UR$|sqBy(yu#UWLtCUG?eeoP!Yf;=6DAI<0t<Lo2m!7$J=lz%OKZf(H
zrrn}8IKHi0#N*0+(fC~MR{z7)y+z!Yg?EeXpXZ$}`@V4h;+3%A*h(2?`OY8G`l~6)
z=5sQcPyG02{|`SOEfM{u*+z6uNH8GM2B-@TCMIyUJcijc4`w1N(|o}HF%yBV3>k@@
zqx@`|2U8Z=G#`->mE0&27U)l4TGk#xgt=9M;keW!>JCF9ncK*MIl)j^qcEM*I7ec|
z95_>fVF<h8&bmQv3Y^h_iIJo#xt4(e`FH2QnH<oV|H3twpqy!3jS8W5LSu`iRt}qX
z2tkEV1GglbF;PQCFJ_yB5q@O*fdFqV(Oto#uf1C}{q}r=_RFPV?$$eNvvA2#XG0UT
z-DXU6FQV#N?7V!?z0R$*>RykG1LC2v{Y6Ak9FPXfi(q6Ji`&jYM~Q!3RW@brcM6T#
zIpA$6SH_H|&~Rk5m6m=ZG$TN+3Jqm|N<Mxa8nR0Q38TmbplR})E(rlDL};f_We>5K
zX}}vE!J7;V^(XW$w#v8rDM%{X3s)?m^(&(u;aKB-Q~_Cks7Ko}arxGCG9vrCANSY4
z_Md*(>t2T-HMC9}krlO1a^Y69Bya5r0GCZk?+%!URYyBn?GJJWXSENYvrgz`mRGyM
z{Z`b#WCUV><w8@Ph;(WWoz=eO?Fb`=-fy&dR{MaXbJR0)9y`n-sDDgW`v*jol7}Qi
zT5CuuwO`^(DE02RthfQB@i=kzfhh9^kUddJmYg927}aI>GFu?h!-+2tTQy@~1VBlJ
z-gBNnTkpZ{1xYYB!qpyCUj|Z#x`&^TgHX!$8RJsos1~ESigeZJf0?#U8JLu|-eRb9
zb7!-qT<kDGTAr#S;NflkBU?(Ot(W=`P_iw6WJ`%u_!^*n=GLqnTv_yFDgEep2rv+$
zvu1jz7kEHv`<Z4qcBr*Q-Pv1%R5*w{<-tPJYUj77$9jP-NVc^KWToT7Njj{oxklHh
z+I|jPuiq5GWw|8s^r#NWV|@g>c$S>@SR?tI%EI#0Am5xxFssKJ-;F=kSktV$tvC8u
zM^@bT$d5G>;1{Vd#O<p*)&p7b4zyh81BNVd+hB+W#dA!jn!jXvU)!U#k+bHDSUd?$
zTBqxWtaF_tZnM(JYM+ypMs^oj=ybpXbHV9=n*NQfwrq#5qRHa4|7o$UTsKKIC)Y`~
z)Bci$kNuHe-pU)!Iggv=oi}Z1&-4D=j{Tb?zdCxwb<(R<Hu3G&b2rS2?-pCfs7Y2l
z={n@S_aV$QI5u6Fn^J5jD{tkt_-5rMm1K7T%ZGbKU#u8~BIp73m|R(~8{vE2#!@&u
z4wD6UI>)$dSIG%@{zpLi0yHa!tdVAeIfKgqY6Iy6o~ZDD#1UV-c=6>IFYdopl2VfI
zC_m{^rjRA_9JmJEE`xF*%KCA&>6cEqEB$h1!M;n&T`X(Ed!kvkX?KOvmbB4b`OEIS
z*6#{^{vZ19{+EyYve8tJPw5(+x;QjUG5h?3GZM4UUwRDTQguKlNoPE<S8j5dk=q&1
zo7^MPea}-DZ(-_Ua{Mzw0r&*<lkBep6yzT(419@IE;8{%S~fds?xyM5-f?JKXE<Cu
zX#OwaioQ=4Yq-K{V~&|F_bqfvt3hfVWIx~b@G08XbiTQ%7hF8nl#WR+b~{p}as>MG
zCDi2;^Cc9`IJ_y@9$Jlw%TqKjPu2VtpZoVdcNeNz!QGyY@#dD@W~uCa#1m^i+P*@d
zOLxr$fwW==5IA?iWlq>r1|Cy2yI|`10_v&}<jr!yAm>GJ*se&`?1E*;!w%%%E#{eb
z&;<c71ab^cIM~q<nAYdWbW9Ocz99&1k6R{q@{eKM>ViNEf-8e7uEE^V2ueD}B>~6c
z5DYH46aZOXQu@SQ64eYeXhRZVz6_46B$-=q$=w?1AV#=5a3|JBpBCYIe5=aQQrhQn
z_)tYPsw?Zap0AUJt@1ThSX&3RR|;ued$xa}JsVyOD2I6}V-=A}eLQJTzQ}2_ii}WA
zPw%-jVc}{<H67`KTkH#(pq@p4+Pf4%L2tIaPk%o3U7z~ir$%AYo2fh-8%%DS#IsTG
z)UPhjMhk7N(%CBx29$Jm7aX4Qe$u6)8NxjZp3t9FeiAXN+c$4RL4I<zgGFj2>vT4h
zwj6u~C~hm6a<ee}EDFkLE;|_ZoSQ|W|77eL;K=KoXO29;P{&b*$a7#wVn;HE9zeS*
z2^*@I4P0xo_Erb<x_LI#9AJQ+YjX2*dq?Z3Ff_S+X!n99*WJ<T5{AN8_P_B>-zQ29
z<DzDsjq}QyQ+PI#ADmupD0XR<EsDL~oS=8F;uc?;4T@aQ<*B7eEY<#ce>P_ZNo`PL
zd^*90CYi<=By~NM9G~`o|D!+u-q)9Exe^byys?7>?~+}w8B`H|oVLR5msvz<zl_)r
z6Y~b>*u~%T6?bUJq47K%Fs)C_tgV5_kl|TbQQ1J)j)Q*AMo{8!TM?BJ<!%MKNT5Rb
z9I1iIic1s?<j~tS`nKo`_@PHFCryc3s(T<<&s$p9OVP=c<`!GZi+X82PPOv`dA=ww
zPQp@(=l?FVePc4QA0>yIs_1^%)k4{I4G;l<Zn%Y3cJ&Ks-B&Idp)-hewK$z5>3+Fn
zAsR!sFdCuq&9TzBOYTnqG3XO?kU%$XBP7$)yRat%)w31&ecLtD<I9dNrXdh_tl%EE
zlIi(HVct1#w@Uy?@_9~wh)`}#4-vN7hX~c%@eV2Vk4j~j_ptm^bU$?;A{_4~AEJR;
zFx-ck*X$e^S>tc1&lIIm?U+iXSpkBj(x|pj#SVa_u~Rm6NHMUfcgUf7r}zwl5TcDR
zPh4xUQf$rp^R0?d|H>U!INuDTLwyz<SNZs238EIg;dUG!7c6fI%p~%*dbPYnOPV+o
z$`2b140#&$Tzrc<c-chn7R%zGHCXc7?k28dSxOtXe6w<Hhsum}aXsJEEdfS3vR`hn
z%*b7eYpNS_@%N?0^Dn;i+$+z$6c@W(#JJkb;!L?K{c>f&{%~O~{t{A9!Z21C-u&C2
z|ETwmQC^#EmtlQkhjY5Szrp}EkUHC~qYp}294|i7{7j2)ga<#bNEe_89uA6(-|d%s
z=9IEvRbg1dBbDSm@N`2JhTZOnRE}i%IWMSQkt)m&jdarGY}>iQFvzxDRTu{8wyO%m
zRrt2UIcc&^{6hTD>H{}omoo~j;nKz@b*FEoXwa5gi`uvg$Fydn2Ag$dNd>BzENRDA
z0$q1y`ouS=cc^S#GgyFCimJI-G38-8WjmQpA-E3L0oL(6N?Dw5ZmtF#^DG3vc=B;N
zdU@QUvIYZ!+Vh+2rseHvA9LMva#?lS@_l82_x#|?ANq#qJ3dI~gvaf=B}{K@^TE(F
zGzn|)VP9EU)dde|#1Y17R0S>W3PHMPF1SB}E|E%akG!+uVndKh&jkUrRhTiZIh{WQ
z&ml;qR|NNK@K-RvUqMecg7nT@5V(1iT_xt)cMeUZ=YmW<2qG6No-{a%LsRJ$LByC+
z7C;myRp)$;qV$R+M!l(K#^{9lLXJjps!lo(-wg0gsP$oRuj@JgtMMB`Hv5os-$jkt
zhsc<Rs*6v)qW_I=;*%ca82UFQ%UyH%Uz9y%UO-X^QU*=FxW?W|p|nGp@!VIzkDw~D
z2IF&-UiqeJ2oUvQ7Ho0vV}ETjN+&EmIq8H2A)bye+i8ECFJ=c7<Sn~yDn1IqjpzND
z(1`s7(4RIXH4z$2$Un~4VD<=yN7|cS?P#z$1Acpx)27{`)(XrS@axHdzx;h~`joeg
z1C$Ekp?8g%?QQ@{!2ZzL2Qy+1riYf)UGpG(Uaw^^SdI#+@L_#I<C(@8#@`f&&f&vu
zhjBeB*d5kGOX`QVu@i_~z`972n-87C2Vek{Z+X92cOsV@J{Uj=js}Kuv;j#KKCl+9
z03|S(wBc11KI|3K0IzLxM8|G@mZB@m@7bdFOrT5{o7!T%#LBoNTmxPr5=bA!A&Osq
zz8x3CNP8(C)XEwiNMps-aOSYY{>5|meJ#0RcjJ>D#6lAu+Wx`Qw~l9uGrBTinuRFH
z`-zLWgkhIZB-uI1(k46EvD0m60Z-soLyLwti=jo;AtLyrY?x_!!x95<gitDeFq@65
zSWJ6H!jqBh*k5|((k5TplKTUmDZTATBjW1r6P^j(Nc+braQTA2{1MN6aRe?}rSZVU
zYBm_ah?KEZj4*n-u9`H1DxDv)Z(wq&*Z?`XB2yyAN^UI7u!BK?^9J~#O|ZX&DR<5*
zlYj_8>tMy68P$?zHbLl`%V$(m-Ihb6s3i&<q>t8`8RwV^YDj2f_9E37K(L+Bm!fWc
zNwiK94~pmtS!%@z#gd|KU2=~HRD+=%W-&<|=8}_DqiVIt*y#4kwA9+76peO7MR~MQ
zv}mj99vN`_oT)+8{Pgq|`XB1=#xpeu6qMd#99!}@4hpIYB{kS4r{nzvn`5A0c9*U%
zZxgIr@uu+bTs!Hz>6(#SG{-<UL;C)c|6uX6zje$g51P<~qX774z%r)Sc{&ORXb>hc
zVaE3G;49-)qkxcWgyp0l7>-(d*K3p!0j*m6NH)qw+Kd$e`Cw=h@R3owSj?Kb=}iM!
zIO!9>8`)BpWy4ygwO;MQ#Z3BsPHZFIT8a$>;}l6hDXJu6m>^IvhUr@Z5US1DHZrFv
zg<@@Gm(J%B#SV5oU*2y%+r|!CBW?2IOe6R^zWi6e@^z!O5imgE^6QI3E8D1XXn%NF
z*+yjPWJN$}JFvwnU<GrpMvW-)wU#UgtcV$PPQhV!For&l@O03vhl0lyLu3gWw@a<v
zg<x$P0pm46tF*b`B-`lmA`P0yip998&725pBr;ZanAlG;#hy-)2@_1|Ax!LDsQS#4
zqV=(yHWTF@S){ga5LvV;>(oI2@bO8eq%EgChV!(iS;pBE&Zh9<#TapxXkZGEOsi=H
ze8=*qQ^id0=Xu;s;lFt5%YW!+18JvqOV8Z~R$__L3iG1NrP12jS1srS%!>e3FeOX2
z!5Sh$UFIw9!6c7#Cj{3!LE2@U22G(09x!iRQ?g)g)#xt{O;hNCNM)S>V?n(NJh>Xw
zg<uAqK*1;vT-fyxq$w;bB2jIL*7d$!u>zfJ0p1gvxklrC^Q$Eq@FjQ4^#<1mO$KYG
zd+X~Xxm%Y6JuWRfoC-EjRFb21SgoezY|&<*+gAxy%?`gx23d}NQG-<G<n65{Z*L8x
zGQqG@CHqTyW^$3(;t%_XKmF~0Ei|5zK014eQ9z<VZQb`ImTFtU>HNE3q6S}g*qx~H
zT(ArbIjuI<iDX|{waNuS;=$og!WU&_tq`QfbHQjrN0WJbj?{QASbEUWxNy=^eym81
z=Yr9KzTE+~O!X9k)Oao!9q1q&Rn_Q%leJUIZ(T(-P4VbeecfuOxo0K(FKX;~ZgB+z
zOD1sO94s`AIGv(AT)v92NQ%&@#@!aB+g2;7dFKO4_B2H&+)mL(TjM_$K8*9tw3UPp
zWBuqH8Uf#lJxr$~mnZUQsMz~JBJwl}`?o)YENGQ)9|HpH|LqI@`QiJ2b7|?tAUgnX
zi<c%_GR5E|J{6J%)&;AARBqH+wgu;7OS)<xez*ILc_F$61jS496{TfUe5y4dP~xr>
zLGjXDut0!7c4uCi37)49_%@4T;0Lw)R%5=Vg!&Y9F-4}&C-HZ^^-b>FJuLE!?bD(n
zW0mwvdJ7vpfw!)LoN9@`lCR#{w#z`?!t~a4FuHs+(rea2fuuB?_m@mnIzG44{`)#5
z0;O{f;<r{oa%svdFJRz@@I>@U9B~yGPd^mk-`=W`<>|EK<>`t1)%X0vzw(;`rl@%~
zf2%!nXFQV(sSs1iJLn0y1~&tQpaN_VF|>kwt9?bAhlv&UE5t2)t7ApExekYMGBaRT
zjITQct2E?tNl+nH-0D2ayrLMH4sK?@GY;-pQEs*$+BpJXE<13TjGG~kzQF&mV<%Nw
z<7Ns;zz$4m&Ck}C$_|bo&R7B-LEKDHjD;rPqNl(6CcC>^_2z01E>5d^M3-dUA<tpb
z9j%K3Wixj;?U6)SZ*e&jGDcjS^cLSTZf0s&W^eODD8rBb(jWfJpNX(c7wRa(<7p$^
zPlwI21Np0JGMEIUg8PHaWzHHYUB)N&%L9EOs4h&iuQ75Bh)`DqKyL}yK%+jgGTa|d
z%mz_mBZ<=p*2)k|iW`QIPm^W+$`J5$<j7dOL1wE(KxAczWEnw(gi5T9z${j*mEjt{
zLL@m7sX56?8J<9#p_L;nRa=Y6uAMqdk%-dmD}o4Rkq57KZOD~hs}yHt_!eTaE2aqv
z(NerB+sSim98q~qi}Uxe?Vk1mjA*2X_Wq_V-{<}Phu{2lPyLbb{xo!L^H6C2AkY{A
z00@ipJ@pt@y+7L;W*O&|bio+xvOSp|ixuhpxgf@`aaIiKldHX{rx46}sKW}GC>8X$
zGX$yde8m%#H+~F<8X;p2rISb0<vbMTuN+ixwZYQ0V3mi$AQ{QLpEy}b)L0x^6rLX&
z6J1!>#<78(ZkH5==aNSR#ZY+YCO{Ibz2wrQ;*xu`rs&ZzNKid)Kl-R@q#M`GfHnU*
zwe=(|)Q7H?ubcl-?RhJ}vH=x|;IPUNNqsx}i*2mg_JhLVtYT^D|4b@IwnN20C813v
z<>1ck2bHu^+8_O(=cqVzrBv)k#CM(3wj%g|_&J&YbQNhdy8Z|fSe<Efn@#NdP^`MP
z{7vc8Y0LNN)35#QzjFV@1nS|Ov!`93?qmxoFfAt{afl&L^k$Cen4{p*a%3)OtmN?G
zFk4b}RS1e@vK4p7EqmDMK<c!#yrMk5erRvVN0ey;*kVO-AWiTHW^kw#ycmc>wU;jh
z#ep=z6VxaaFI-Y&`02amLrXMmf>7lwI|>V$sL3oS4y2zWmb7SEbzc@#2ht@~>0`+g
zyZ`EAs{`q0x!-fF1zwXCn))iq=~}W;jCJ3Mx|8(?E&aFN{yeUg|5MTaAi7eM+{ymo
zc~#zxQq8OKbMtkxNr}{MZysds%A3RK^VF-PHj{e1CJ#~{<a0IN+-`q+?e?Z0M{ZGT
zHRg8v>uI<Do)7x|zx%$hyxN)Vme>2VV;%_TR_H88pSDIaE*SGbP@GcBYhPJ)$_3Lr
zkkw8NO9;~Px?s!$+3uF@p=o(tFy?`*4uW&a=Sa)zf<bDE4iaW$vLG#Q5%d%~VhX9C
zWkFhA7mRrzlE$xB6wB+9F%QI|j=ZE;UYCq{AZQhBNdc;M`!o*(JZ07I8MV=B5seH-
zH!QRBxXuIVvD9-QbNzvgJ;svh&VkIsMlQDAh>_@If@V8@dv<)<Et>84&9sdE-e3G%
zAN$6z;~I*)9k;-oEOA<b!5H<<m=b1iT`*FYh@iE+VPBaYcfm4p@rYT|Z4$4_NR~;8
z`;Ek$8J?Cil9A&?$-GVB^=2eb$CUw_;~aZ4l1MaKnJ5J7jHFM7S?xOLy(%MFP<d$a
zG1Rax`EWK@!b>&4>zrHc&muO#sP5O7J(O=sjoG$td2nG4if0bGM}dRR9@W{HKDzu1
z({9mhOmD`Re$c0U&{w>B49{S+ZAc#XV74kegZG&BL9ryw<=7?fF$L*s!}-(T8A^{S
zLeCa`An9mZF?vjawQA7^l8*L6mmX7O!dpQ}N4p^S^t8uxcaQ{kfHOudU&+B%<1^af
z856*w#o*b8;8HCH&pu=Z)+rg@DKcSwEeq`Ufu7iM?lFCJ*cT>Xo)|1Tc=B9RIAM9}
z=84O<YMl7eKlsUC{BQroQ5Cc#!Qv$Vs7eK41g?(!>A^KsDhTeZqCr=u4(FLv#ENDi
z{9NElpqD|`DfB>10TUB<PM9X#2xb+u+prCuD+2!2VqmihI&1*~6+yPLR4YzWLCM#?
zwdNJqE2^;eH$V{SmHPHCz)Z6edUcf0?NY$pyiR)8$Nl|(_QY?AP8MMxb(p6&zoO>O
zVHku^9)<licL!4^x#0f9nmYwCNv`gawN4gUALV{8z5|_Ucu-pd$q4EsUlCP&f-<q<
z5?+ibh7hD%<bpw)k0QLPnh>N;azP`gWn(gW7n&8RlUxwl4faG+d9A#EM^7K;$Q(lv
zRB~JhGGErLC^-g~Jg%0Yh~$z$60|2NwE~w!)s&YUl3RfJ+cnvGRU|<gCa5Q1a64lF
zqyp=bLGO>rfSse$WVmGT`)^DCQ2FVCaw(F9;D2-K{`Tqyq2i*ppzjw|cW5Q;?fC7$
zuW4t19S#>>5JctZWoQ7}vg@lf$!w@cISzzX6>mf-y0P`<YhW5^3BrR+w&+~S6f-5h
zGzRTfM&{z-NAT(|t$ViJtaN?c7MG>%dkF~E;n^2xd=12^m{f$XHvz$9JD>q;aPU^6
zNx&yuwJAXW9W&9XAlqqwnPeNs2gNUHfcb>Q+erI!JMAyPv9v#cXqAC!$GFLM+Mg4y
z(`L;vhO32{X%EpUrSIHLd9wBvjV#~i$-d+Lf9)H7I;@6*Zry4a1Q&3r4JV#Zb+19(
zP`i`alZ9e8;QekZH00Uu%$_V6cA*qO!T|Q-wq+=LvNpPs+7qei=FmBqHY64mGKhW~
z!R*N%(QpYT8iIQ?HZ_9Tlik7DR!<h=RBORem87qKnqwlWgepK^->VNX3EgzDvz&3!
zIpsJH_Am6Qv~n`8llWKu@zVdHM1oYg!tuYeAB%pgO3jQ+fD&gS)QsZ#9B;~wb~JRK
zuV$uU=I27}^u*-M-Ft~q=}ugvc@f@7K3~QrS@gpT_b*-n^Wifu2`~y<_fmSF-xYf0
z>Z>UflB?w%74s!tx_|NZXP<fNJK_*RZOO#Vr+@rE_~v(gNC}cOk>4%E8bt{Uv1&@Z
zept7LoQ`Y4gwaC+M#I5E>>gE68c-BsI~Chph_#+%0*?<CcEzD-?riIc;4;}GGb2_k
z1ZnPE5W|;Ie}$z*oB~pRI#VG?b5{i6^~0+NX#RvKsaY`>V$r<;T453NcIa5KD#Y4J
z!hKwBEfbz3=~?-~L8#Bx5K8)t=p@M?$t5u!0E1m133#-{a!HcTh)aS9i|vB=K|qpe
z2%9A7jku&03wVvXG%gY(xungqmew8X*-L9yHwaOb^dKSuXO4?Yp65NNRc~@(?nUUA
zmxeR?_0|(wy`XKCvHEm)4n8Wq)Tgt<af4&hU1PL=;bAl_DjM3P$e=a38tX(TfT51(
z^^`QB5PM2)H58H_nmB)kLRAkpCQjF(6t|O8QAb94dBVp)poEFck};MZ==6>Q8J51i
zahjJI2zGlwFjGR8SaqKS(T0jMp!<3N-5<I7^zZ((@IU&)?YVaS(6#Fa_j2_bTb=s#
zy~!2qd%=^8k4*v(OZy~Ie!SI6cE>bo65E46r1mj(mD!rj#<->ID<)l;-f~HJr2rbj
zLxTI&$(d+Kss+WxakHg214&H5YcoIsc=t6y;&7DaW=sS(pEw;oC5slj^?D_V81xT!
z31(8ZXaTlR(1Z%P=gLU1(wZq<v^br%^mdWlS;LF1k~^bbSMhx=s)q<$?L%}i`5}U&
zXO8)?d5EyIKSca^D-Y4LmLrDuEjdX%5Be7lR?8V9G<Dg*lJr<gy-J!Q92Cs5J8Ot5
zns(uk#s1_qIHzfs4pAN=ONX!G@q<9^AaOe!B&43Jre&nE&&pNHl<>4%le*sE=TteN
zHubz&FAzMY-+x%(wM`cs0@eA;b{ufCUEr0B{Wr)?R}Lx!>ixN$_TMk?Ql<Sji%pfQ
z-!!>;*-rc8d=W(z;>FEwv8f!?P2-@-cI>}y98`I{ii4_Gt8Bvk-@sqpAandQ2Y8$o
z8x${nbAxXIT1GW)$#1)xxDI8h4PD)`S(RqrcK`Y3FB^`<yheFjilAp5+;!3c8bxmM
z>U6ovUIVLVIi@uJ<PUu1fB(fPgD*Xmp3<=Py(f;VEh#5R>qrhAdj8S*EJ|5hF_BjQ
z?Ux8bf3|}1G?`#&{W=^sLP(ugl-JG#qcQxnv5~BCXnDST#p3}rLcRc~4rzN@2+D(G
zf=9sWB%6Xv#8FckAt=v~A9_!I4Zb2P<ocUmEzf|jxIL0@0)oi=w2k3vGEH*7WW55C
z2<wF!HveojnJ%eQE+7fk+0L5sV*6=oQJ!m}3fi2ek_!5H?!loUmk5a`W~Qp6*SALu
zA++ln??@|I-JkUmc3Ljd!8mN=YU*@SJNgd1OX4n^R%<X9HAl~P2xy@r(mDL?EC@A{
z`4)krTnR~d<vYKqx<?poD_0(8DGcB7+eb~i<~Xc6oIS+8M!HCJJZMY9vd%?Lqa<NB
z^x4e!)l>9j&gIP2y$)VbKD4gH!ROlJo4%u30q1h-&+JB4=4zaiF%nXtT)1tmFU;Lp
z))(oGOx{WBTUn2h^oFJ=!uqiCJZNskpoI0IdCt}o)(F-t{a^^x)|1<@zf{iCro7T_
zW8VBG7-Q_MuMP|nt}Z-sai?jY>P=i)=)H%i_vrFrH>S<2uWVAkwWF2svmaT$%@xon
z{q^sC=g0n;QKPgzl8K*231LN}C2FH2#NBX}R>$VmS1^)Y<|l$%1T1W=Ce2C@>1MbQ
zJTyjIx2h}~rQK>nBqcQ27BkA5bF5U}atm}11>uskf|bTw?=<K-ZG1YqKP48&nYt~=
zD*S3xtQ#dN&!$NRugQuNY#Yh+9OCIUViPi;bkuD&O2)oIqqMWSL7Zk~l#E0Vc6)<S
zyY11lQ8GR&nuVjxeFznf$h5jYh1z6|8cVxozCj$KF6QgB-b$XmS~K4vgA(98xAY;K
zt5n^h<$h}>kywF7G8?7Cj<%h~6KtyW^_*;!k_oXae?+1UMY9@#Y?bU^9x}t<XDEt!
z;#rh4c>q-G<<c-qwe-PH2xcjElcg{4UHlVwOSl3vPk0bLD4osHEt;jr&JKOfH$1ic
zgfTmmV;<vZ2vt|vA#Ia|9Ret}4Lq`<n;aY!UJS5e2zoYaudL=6w!!zN!P<A!an^E{
z{bS5?Krc|OyRwoyt_hl@>RO>X+X!YIcTx~1b=*#B()k?g;OKZl;3i9A^lY<a6&!`$
zT<J`qYRznifEET<AnodE)!`MZ>`;LNgQQ<7!_}Jk_8^A|<0ot4BDCk(Z--Wxe$-0l
z8-(gP%jXEVRx4>bu8v;ap)FIBI67<m^_rtLqv+!_d`Pvb<|y@ZV>Mhg$Idx|(Opm@
z&SD{Y9qe6lhzD0T5|_s=C~bnRFogmV%OqDGnZ?SLZ!?cbF5PbC@v5;8&bP`OBKA24
zB`dYy6uZdfiP%L`Zyvia&;p{|W0!Vw`EZa}Q?Vn#MF*>P^Rdf!eDfdr>W_~aofb?z
zp=RaNCr+RULdJ;DpM8fPl{TXY!Y78Vq2RHhGS%3{1rNa8NDc}ZAS;L1SJp`3g8Tgj
z(GoSy{$LFLAxM=}1mT-Xfd$cyB-Api12s|+#IM1MMBgkWv6BU9GhFZh#%d^I0pIKf
zF+zajY69q}<|?mPV}vkKT+9%nBGa4kYs6;w!O_H_BUzzpJ7-zZ$J!Wj*bD%(s9~$4
z=eu*<FoQ@-AhXaLN!pBZbl6Ks68W>TW)nZphtk^O0*9J?IF+r6wGX=!9(H_EX=A1>
zho)z*HUK>djqnA&Yt2H4^nIfA@L*SAq77B8sGg*%rKpnAOi%&1f2GJklA<b2)6wls
zn&zs^vR6d`VN^q9n^5#RCru_P*ihAad0bVqW``tNLh+>c8by-yEo&y#<^)Yj?dxyA
zxr%xQ^~|82`!77V;4}1i4?X|xKX&)OSUnc(PKERxwZGPGCdC5kX5)MZ6&3i8YqOah
zhM;^0w-uw&Y>63Ux_J%8cW@ckhaNSrYS$W`L@`eKO~ctF-(;)S*s=T-KQ~{eg<oxw
zcf44*f?*kj)i|3{38VwB0n>@8=xGPMo0Tcj9Bj2iu6V%MR3z&lO~2itLO5TfA>s!k
z3OdIX5O*?}Qn@@~O4DS+S9$K?Y&&P$`GH|Of9jw7e;@N@W45zQ`0Z<-$>H2!`%D$h
ztvOv6aUWO^3{mLOoU#_UT^ekk$-y>ApDu5o$+mN_eJ0z^!Ty!Xb~@4o37gIo;wso4
zZeOVya<0(6QjBK#;C5K!%)0qizw51OHI`Q;`=VW4w?9=m#hg!(iIbu9V9g3?=~c!x
zs@=_oS+dc|&bEeip4J!mJWO9n?>M4ZTwMTsJsrvMRT#LtlP;^(+^d4@2jZ>gpW};f
zA5Y5&-d}j;-MJC-nRiE*<Q@6W@r>9=UrlMmy!KvMQ^Ilbz3`S6e=_%~Tu-mcANlIP
z_ai@>NY11j;CXP%-s3e~qqCEB$sTkkUt1R(taMhzxia<#T!(de%y<?J^)guL%%!=(
zN+(UTpW|SqGuKX03%tD0nQN!RbxtNK{n*2G&I-eW09iqxojT`es9Y>^`JhJC($5#Q
zY0hR*P3$cf4)Y0=GY&)Ce4<3kJ$JDrvt0({v1%~rU^*ERrwEyEaN3mjGy-PHjGu3L
z?U5f*>$GBduJ@e@`D&U1f1^g0Z><JVi%<U2XZ*P@_=v&|py%0Yyn+@vE|0=-lMDS>
z<ArKuSkhnzvc^lQQ3sLd6*C$kKp^`rZXs(tU_>1FuAF0e#jNp;N@`1NhtddS!Aj!+
zo+8+9pheJPQNv2I#w)$_!0p+DNxR>r`^@#X!`{i4+g_gvErNv43DrbAxxk&bCPBN;
zY)w@22$R9@>02}39fRm#O_V%4n8|#5(5I%5|04#bcaEO#56ZD#!UdCOb&;lPW>v`d
z9#kFw_a1nG@E&xui&)=(){^#B!KkA-5uueR$}GmuF6v=Z*{<k>?meiooY=gaQpnHC
zkRD}I_we-{5?HqFc%jW3Meblg|H}s{J?)F#iH?E*sG+N>%MQu(xG(lQlFLyN?Tnq9
zo}Tvw8ZC@!6$s&uWP0EihZW*DS+h(miU(e6llpKj06GFLiPdw&;q~M3)RTfHcg=`#
zu4G@tV~jSB7HFnA+t<W{hC~L|+%7vUE)qBSngu9(+^;+ES0#0`H8GtQ?<0@CBGX6x
zu4_x3Ngll)<%p3C?Nw5uH<R3Ngj0oUDg|R!Gz#?hHBT!-2M|AR_c8{flFawWj*c}q
z0AaM}neP!06C@3R<aXU$`7>WkbaCbv6PxZjl@}BLcG-)GF3;jYjl7uJ8!GRFyeQnx
zFD8zXUrcU}yqFNlFD5tBi;4BC7n9qUy_f?%q-;UVHHi&c3M-GJ)6$5Z!CaF-(*=4G
zQ?3L}g%xy5w!<euOCfp|qb9(f$f}EEqz4hbfM_dB`=cka>LSr*!N}Ffb{v0;^Tl&j
zY7*!?+*UOS2vp}U+p)j2iNxl1z1U`8*LJ(u0-bUIKILg#**kCAiV=&`+3pwH+{3wD
zEw)t;Cj{!_b2}Xn=X*%!zuhgi6_RW#uUtAHw`2d^a<R?ujO_t>E}t+2s^iOc+8^he
z@Bj9+*a0jRKX@mxPaI#iV}D$*d^-Ag6&F>nmT$iKO*<+1sHVAd7h8BZOC|}MxYlJ8
zOYPY3?un(lSZtRwO?L+mR=KOCpR-V4UD7UE1U(HiCRY~h5^`z!=fX2Vbf_<$Lz1R*
zjLUW{NhHlEVb{Uz(GbNKvrhh#>dcZbgO#r;_Aj2Ol)}o7<krhCUfh4H<hJCem7jEJ
z(QK*NFmjXCK}5L_W&OC?j1P*#Q3U-w$K=X_eV3L?^Q<jD#|?0pb&he_uEw#(d!l*1
z*Zf^!?Ddu3`rAJ8*TxD#F(M0L2>qfAC!k{Vvdw8jFXIw;CY^g1gepKIElpGpJd>8k
z1&`nj6*mvA4jPW?LsO-?Ao^JW2&MmWq-$0S=0Xqw`ht<%fqX*&__hWdTq;>#5d*a~
z+XO~Z>yZsXx;ZY0!Q1RcB93znoMwIKst^QTJA(hAcrkUWy^s~^(uR$}1_AOxtbD%Y
z73vbLP@G?eqwf#WV_r$-yR$>HFOr6Wr8Jg~_(3v>z5JpzIxSREdk<pGQO8Z=i)i}Q
z?UjKY?JwN75*&rIb@j$y_1Ox$$L(-?I6%H9^EIO`uOD?8u0dt%`x|PhuKh&~!_r&O
zplFr(y413Xffl=VxtR<jS)W+Hc`4q4nW$m{t26E2x3~+&16uYS^cpVr4Cb2COV3=q
z^Zv{CU%a>{mtRf3xen?@K&zL_JaUWFT@bg!J%`x$5#eWj_HUei>AkOy46GD+TRL(i
zKUs5v=MJ6;HN`;(CE=0mwt52d6o}a;zZK$sv0Wi3DLxZKS^%~UD?)ImHai3*{`3{m
zM2r<te#W>k<}5S8xdd7!h+Yo1eIT4bB-5Uwq@?`N8@6n7MKmS1=P03<9~v=_WRGCu
zoB3m-rZA`bmZ_<?OKtU_H+d1Mxnv`S+A6L50QrfuS%^c`?ne58GWow|aoNn@^K+j}
z{2L`w;m2PH{|k3Yl0kYQ7ihX;{~aD5j1Xt1e!hp|CE2}SSf>r1AEbWUyR8{&TQm64
zP@C(lDM6=6?vJ~bcu5Bk{I-vp_A~l<f?-A*G{KYBkxY;8Vs`|Wz3`(Uxu!l^JidzQ
z^z&SwY;?zZXN<fqIsG9*YA8KK*t#k|8t<PvOn9c!LxiQP<TSS}CpF~(nIhA9hz4?7
z9r)|akJjXtnpqx_dyiDjdgezvFE@z`mUCNZl|m-XlUZay%bWQ2waAZF(jJ2;6M8<y
zHPwwdk@eEz`4?Y$?v>|Wiri7Th+_$=IgzCqx69+Er9N<Hr5BmV`ZIs$6JPq7pq$_!
zvE|baBG4_owy4%g4=+CKm8HSHg0n&w)&&cb1X^CLoF0Po0bI~H4c1R!M7!}mgdkm5
z7d*iO5kiIi674>4e~$hY4o!XTg3RIwMjCFk72;w=y0AqM5Nh#tK@ewbZy`vX<$@3c
zfdrglFbWw@kqeG`AL>Q66Ls`oZ@R&!_$N2gE9-7*Pu6Ch4Ox}qT7v(E#Xx-ba5vOJ
zFXj@PXcjs@XJb!jgvwQzo%QUTv++qR#SHa~ViAQpVPH#JtdYxJ7WV7TOor>1ky}L3
zSK}7(xQ}zr=HL02Z~F^x_~4P84YDCD4j|~>EQN3{2i;Z9285w1h-yZg4@p7q6Z_=>
zd>WDkIwCPrhw)X;=0M6@IrPbjMjrFl!;oWo9R}A7=4^)MFz1|2fhfa5cCtVzCjnFp
zAS{vvLW@%;)|U#pM)1gafz3lDw>H%#eof9vz{p|(v*oI~d5)Bmz$Cma(_hFzA+e^_
zAYXH`UDo*Bsx1{prXXY-xVEuie>0Q@ni9OL^#=^bKD3;u4?kqHlNM-<G%yKHP{$_N
z3;+Q%ZKSETnPWp#a)r3iI4=+nvK@8}n6jD>1FQ}e;^L+WF>t;aaYKf_3ZkO0vp9d*
zj`NoSU)q#+HO#cI$|gdK^eUtGCw)soi)wRf6~><J5p%&PvoaSGmKSR@ZTZ$3F?4vx
zfAu-<`=d#d3QomC2gC6}RZOPxcGlDpwz`Br?vl{S<yXKAip2vYbdm}(!Gu5V5^pC!
zCh&Lz#M`1tZN)*}ZPBDI=*^J5wA-RdO>&sdI(J+Q(^)4-(G$aT)?(-E=*MfIvlcC-
zy3as~B~mFU;Y*>21&HYQU|nb`i}iH<4A7x2ef^x(9YO=?qfFp2#R-QhYKh{gyw3?S
zS~gOs=G&ckA&d)wHUt*<tdV3=3`n|HUfR&TGQ0Ww5Xm9N#VAe-ktQotePu@Ub)Q20
zqp$mKe)U_Ug-ypKdpXfZkK<~xBE#aJQsrZ;gcx^fUcRy}SO}R+ol}@4O&4a%wr$(C
zZFJeTZQHhO+cvw{W!tWr`u@3?xyv1y=PI8QnHll!wLZkQmsni}1|XkR%IVBmmMItp
z)>X%-;by#)LBhm_lnXj{ca7btRM2s7VB|wILBLN2l?%`&sHg4KVG|a)@8Q)n7U$Al
zN<-Sn@-$b9yIzbO9@~BBjGN4FCViMZn=2mH1M)RZy6ZfXG=d@)B(SPQ?{_=uZhLW4
z#!e`D?rUBsAC2Gq4PBQ2e`ybT>mS2!rrq=`_ZnC#1ZECgnvAfpWXK`^ixS0^r-!*h
zmeKB%4gC8_<2&{H?(i42WSZ0wnyW%fvkzN8iN<r1N}H2M1W5|*Cra>Voz?q*s-!bZ
zg5Ss*v1Tgpe2QGw?=_s1zmeadMxwr-a2hyB^{_Wz--c={(_l19Dj1MB?G?qxH{2GB
zpDU~jU&VMHP_nm@WpXgLWI%6DWr3<CX`f9KPYk7lOy-qOgghsuQ~7ElZFiMKKw%1q
zV|_VwvLZc0>O8a<<1_~;s0J{#CVkkZ<Yn?|?pVMY_J|GL+j|v-sLeDt`KJ!Vb&l@x
zTJw{dBlM9*2VCsCm*TMy@GL({a+X7RR7__SXUFQ2#~0&Q^oTHhaD54<TgDCXFXpjS
zx*k?qd4pKrte?lDx3cABUt5*ih`=rm$!deva%N?^%YnBWyYK#@?nj>QVn~`#$4<=Z
zUK!AXcu8qUZ5h<~;#Rlen9J~<bIBhJOD4ufl8X{3p6X^DD+(1*e@T-4xt|5}Fi{<g
z`E9p>tch9X5KLlv^45YpxCUN;d~~3EclKTOV1E6uNJB6TTc0DkMJ8C^<i5ry?N}qC
z`yr%S#+UGGjWBCRm%u^#i$#9c7xrX#?|kd`b=o=AnhO<~8rkMb*;eP4p0))J#f)%y
za12_qIgBtxUfH@1zhYSYl*uOXl7m>j>A?t(kL?J;LCI(pw`OZXLz4itVhLzrz))h;
zIV_33tDfEe5-_rbqr)!rL=}ms^#BN$JNsiuT^}@B#I>IwqkCO+I`fZhjZ{i(?v@6Q
z&giOxEPiGhNfLjQ*gdMWu|1z+>3Mtd+1V(Mc9xzXeEZW2>BmhUMDK6M*;%QftK>se
z@zECPRg54S2)LaA;iBVp;$D7{O@+@7>ED~gv6YBycb<2tQn0Zu*7NzvqA<LpPdxpl
zf53n_of2bBJ#KrXrS9<cH>f+n)w?%<{A@RXv*SC!zTNj;4F19^mEuxBP&IB)do2%q
zLhf?b<Gi!k&FUt+s8WBf;*GvrE3n%|T31EmYFQY`XP-+eG_v{+g4YjpRHF$Lo*<@N
zLEcW!MveQM`QKd^cv55OL-siQGQ6-qqVTb^(harZdPe3jtuf8w*s<mc$FO-9RYz=!
z$GU9J#h~9>EhE#&j-9_UOHFNn;tra|y54{J^|g7{WAI|~ZYu||Vy2JLWf$N9o_@At
z-!p4^KHXBYqS%){4>NX&p-#$xZr6rY2+Ku=VY1p6NFw@_&UxYp4(L$@Up!w!y91Rl
zj_8u-1c<I1au>%}Y1HLOy}jhuOv1`1co+Ba`6*P0<We_TMK*dPvu>+t{8w||-#f3u
z2{Oyo##(R#<GRxZ2Ask9sO>Omslo!Zpk~=VEZi3`tvqF<$TrX}sO?XUL}c`qPBIX`
zgsl8Gz1tBt?u_?z_?#)iRe|oIT!99*lRqiK&lvb*IgC>|uqzS5OK<nWz!#OU3t_C}
zL92aSX~OeXMI?OT8pgxseBu!_-V?-J0mFHl#D9o+WhLYue0M*}qmU_k_B+zxsTfnI
zkR1?x^glaV9XQRVQEq%9qjUBBc!!}wzh7pzJMiu`Ys01`J2#~lGcqwJie@D{&Hlw=
zvbdE#CI$tY0MU806jS<qi0Ag+n2mMvC^=Mbb|)la$`^yA!^gRTcUDZ%s*}$o8yIFO
z<^Hy|E#j(SoA7MbF;0Pwk_+8*P61m$79(I3-SpcpLX?Vt8NSv4$#dSf>o6WM@<@)M
zs*B;DoN!pam@7nDNQ+U2yq@Vv$4*VD!8431UB&!Buft#Ib%xMrRKDd=lG#Mc&`6&d
zbiQ`X6itdv+u-5;3!@tU6eu}npk>nPR@eRfOk1gjjW5$_8~I?qsPp~%n#^2w%n_I`
ztwj;I9)UI&kpplB{f{;VQaFCSny4Mzh$3i=_^p{F5=u~$2R)ky4Hb8pB}OJ6kYll0
zBI<-1uYZ(g9Cjamg=MtB;=kNg!~l-!MIMA8SR7qA4o~d3F#Ss+f*%A|mGKV~a)o*j
zOYMc=SEyj(?|hJ68&b?3Vne*d{MxU;TEB~*)9siYts!1kdoMBnLqrq|9Yy^ovCcZJ
zJh4p4Q+i?v4hm$lY0_0{rtBY~88<fz{6jMHbNb86&H}^R0dHYCr6#M&^uqp?XjEx{
znC$`%?LV33*AV`fl{p`I65STzapbyH`rtwcec(iS9U@cYE@M5yJStEoD?kuhHe$wm
zy@0G<#AGQ2L=rbz(13kwj{tM-uX!;X$bpr~`w9nhGF=@8O_Ble`C5t1v%q{}^>63&
zc^~TkiZED-FVIB?ZP(X$bM7btsxw3G0T<(?=_~5gJEy2aUl)QQz)eifq?m}mB$P3{
zt^xCFO{qXnfTS)n?_?8|qK;9o>Z@CxMC+vDL7FYuX(Uch(pE7tI^34Z7u~jhW+p<_
z(%6x6r`yLSYzIvQd5?!?KV6fyGab`!J4Ek+i$on;Put8EWWT1-zEmSZ`9F=mkL!AF
zgrOvqx2eG`Jln(U5u7L?QUq)2V^}_l_O9?|fSUibhNdX_j4(l>s<jL?Y~jP^xth`%
ziE2JJ7K#r+go8|g<<EoNT`x)Fbn;{AG9uE17ZR=E!x|AtVQ;*M4^<WUFYT+xZ7egG
zkPxd-tH&J!fHm%eW(rwPs6P0`48Rgcj8xTQWKlAx$rz{hwrA|F;ox@}K$C36B~o)1
zgm3eS1)9(%W&umzXS>?7pi^FVf-H;PLu?gF7)m5@DN8c_{t2Tmgyn*)fF!D(u1PW+
zxpr502JK9+K%``HhDb8-4ni7q0FXOWT$ewcp@^2|kbgBBpTVr`*cQ1FVUX&SyyMVC
z%3+66cTt3#Uk$*cbGV)F4Zti6{H|-=quS9z2vbdSGmmSOAdGn2wtA%G%m9McALT9d
zT!fQcI4FzhX^kA_*|h}z#KnlX)5KglmBq38pGrz)1D^)N2>Rv@-a-V_(|NN5P!I*y
z;2?1E;?0z$Uw~Fs65Is-e@L(9epA1eSPhUMBre{PmIjIA5QRuaHcV3x2wC2%<iZ5p
z>EhEz6&qrp2Whi?1D`e^#2Ipb2twXoXKu#e-d=$3DLAz?Q%8<xwfrBrt)}7V{^-i#
z(~sf&Q~j*?-Mo^pP^WZj01ZqI<Rr;Nevl#sAqj&3Tl1&l8tv9+%x7NWhrzovB@9ne
zH#;5$uFns0-qHXdY{I!%%X_8wJ;3i{WJ30w(c~Y+LQV)0_d1KmH>&<?@7_PSfR%3y
zs31e9;e$~#x+e(+km5kdI8g9{P3a!ixXRVKeMIgmjJ%!ni&~%*4;!BX=1V%B_cou3
zq;RTA5vtYADE~}Q5;`L)xA(?E0Ur#>Hzw0Yl3>Wxk+N)({LEBbD&mt19crVIE_gF=
zVoAlH#y^ep3C8bq@*DGhj(gRJ-T0hC$yf_n90I-Xko;COPi!mWc${X*jN_!V_mT|w
z6?@7(?8s#P=zS;Czti&L<}=L9H(U6=<BrP_|Bbbfvw7w#dt2wBfV}y#b+TSs<DBtZ
zqk}bv@oSuEIbq@d1IDSD)I=7ai@tOsd6@}$=iV;>gWP<lK=`NREe!*aDiEWgqQMs;
zQrtYl6+1Xq*r;6a%V@UAd*tvoXrtmU1f$H@u1De4ci~q5kMRBxU3MrAE(HY_=O)q=
zxmk~Fy%B>TD$ec)6-UBVxEO*&kr3W2uk@v=JwbviA0D%W#SgXPBts@i|4<OH+OD3D
zNILI$Q-?I<s<`!^rDiRbtgb9ZH^1it{<o%HVngwe6OT)CeO?3Pc-P+`5rgZrfN^FQ
zQFs8yq8x|_6I4$N!BZMEnPx!Hj8R)%e8cZzm7vO)h5|W4hjf$(tQtt1#Bvou*u!$z
z@0kJOK*e<9L{Nf2oBt&YT-;2*3ETN1u4el(IqlIwj&R77CnBdzq<sh)e&z9U81!}0
zh0Il)uCglr`I!9RlFHM`N?Vz<gHj&HWE(XB4oUdEJLBO695d8^Oc<T9w4cWcEL)jH
zxUZO6_$A>LO!lgb%hg+-^wp(;I}Kcet~Q-aK4||+eM65HJQfnOf^PwMe)1@TZ{a!i
zCZty-8Uf$3c)gklf1hIA|K4V{Lw2L_zPmc#^KbA#H_apn+i~e@Bn+m2vVcDx#?SY$
zyiyWCc4~vi$dYn4ruV4CTHPiR+Uh+{NbSZ^@>R0n4LMQkVN1CV)KH}9HcP1lPDmV!
zlVvF}#Pv;q5!H$PxwK+{N@7$eK*n^YO_WkiBd_bUay?Q&*j~^;Eb*8wm3hHsZ=jLR
zj2wvEE$Uc~X(9?WPG555&A9AoO72eDNIN&fdjRD+w~ue&lG>+<W28>Y?dj<el+%iD
zd{Chqq>N?)fNo_o|Hx1`)ZNIs5G_l4l6MF;pQM2&WGTuk?d)w$wO#_n4e&KX(*|!G
zU0l&$2t0-S3hLz=Zh4I3?cAmKAc!TT_-=dTvJzeHm3DQIoVj<#ui<c_A03AVBz786
z{@BR5&|fO@|GJodfx0<;`@~air3o!PefR5mFR67AuQJ%7ytrpHpdZLuYVonr3vJJ+
zEQANl=pf0d2mJ&Pm6ozkAq3lO=rGS0u<3^)=ph6vozP$(PZ-<4??weprSrVw)*w(s
z&sK0V+<I_2ug&TZ+}MJZF6hHd(=~=8s1yd+f{GV(n86$R*~+NadW`3Vn)Hbwir9zF
zIjj|{QN{+#e0f5JBvPtbI)#eFQJQH`CS_4_;bM26d67@(P_j|a<xAtiqh;Mx{A28Q
zl5wl@q>N*xZh!?HcLOvh;(E_Cai?`1oaUWYaTDgq?ohMod2GKjdot5wuO7VKzjZ%_
z>b);LjKxAu8@8piLtnw7Y}QAD>XmDRACuF~(AVnI#Esn$$75;=lLBf9)Y_F2XlzCO
ztTE@*`)3TfWmix!O)lIqucoa;I3ToOfmTWw#!fr3wOEeJJ*A5BR>G^pafm-N>O6%C
z6Q3mdg*lp(GtGHL2J(dABu-jkD9kz}h^3NBukBkbB{NM%s2h|ml5%sFgi!D9``l9j
zV8m5gs*S3_4oULL)w?be!v!6NL~1vXQl<d2MF9N`X0O`HT&#=fzb0NV42#eCIG;`a
zpZ(L&c@KeHfye=qK``i3(#-2-8Uwu!s3lJR*f-!U*Rvp8AqACmNT5#JOpBHjkVV82
zJlcXRC}zPZ7JKvJQV90xz`{Xo1P;)M(D(_4jqE>)D(U#1ek!fj(Jd&&M!LTxq!4K!
zw?AdYic<C$4Fy%TZbC;@&FKM6In^}DfW=rPEQ$O$GE1LW0U%w)C_th<o2iUa&4k2|
zFYaJgk;$w;z?O!xK4mMJ!UKiRK!0{>`q@Hlh8?_>o>If00Q{L?MJ!!EiWV}u4!H1v
zm<#CxED(~inJhE+$Xdm(@>Doom$pOTaYfT8YG-mHQdgyTl+g%yRb_HLG~4P=O%Szr
zB4P>Y$Gvhv@<9^ac3K)##%uASI$q~7X5}-zrEX$EB+N?mS6Z>stb!t7aWabD!<zN(
z;hG3_|C>V!@AL^)5N3>rm-+O6lGt)5Z5LjLm7C9lpT{si)=EuHL+7$#s966p31Xbn
zH#hJL%jUXiN{4~;h7d83Ctaa<wK>qG)9RjhI*`)B&@vEi0uJky<dMi`k6t26%|ko^
zLa<hK#3Dk8q?17Z4vb(h8?f`^UmCs=A`Nrvb1`H!ApNqMX#Mn>q4qjNr1E~E#W<RI
zil$^KzYY`Zx=>|%O7$)!qcQXqevL60{8gL2?mnrI18lG2S5R@KYHY6#@nsQ7cVOzM
zLZv2ML@ivFRAcDNa;?TTfjd3a{s2zW`)QI6>=Q~VrS0WtvgL-?JEY6WrRiFqGbj90
z)c2l_jd4XITrnd0(Dwwm&%n%W&S4gKn4AQ5ZiKg`;SAnOAz;!VA6$?iCopT<F(7Ye
zEkvQQrzqQ;_h=%o7&QT}v3TBMUd4P9kkrJ-gNyvf)besOza}pAW3|#+*{T2^_itu!
zC+8V_`Y3x<B)R?GanS-ImKmV?uU4J=Ix{UPo%Re+MZifSd&7<J8&T_LNALJ@zw^d@
z4X%m)JLb>D(#^YH5lAW6x{ZIv35y~&34={?vM~Ovf*@C9fCc;GM1p((MPjIGgQqLQ
zpA?+eVG$b^^|n2kSTDGAB4U4`#~DmntLi@?yjJarNH|2%*6=yNOG}oJU*Mwd968nu
zD%I)0%D01-1V{aGREJ3WxbW-?0N}LIX?C!Qcnj$b#;ED3kZkP$i`2R`8NkZ($N(gS
zdt}jSzp)VR{D-AATngDeyz15Iwv&3^0DVbcu6L9wR`QFB`Otr%;xnb^3yuCh0-&g;
zE6bGvu-|{syy`Fhme;y|*%g^CojskI^TrH`PV#T?9~C)V?RD#Kau9TKvr~;1WvJv)
zJj}ZUKMHz?VmE<_ufS<^xH$8otk#@+W~5@D#3gQO*qkm(AXe(RLq^vAwvazD5Tjm^
za;tyM7VP3c{qqC7JoV4OD697iwz|p9K;ri#_izJ(d-3`1K)&>+dH8hl(Az8Pv1Xe5
zic&}GwdG|w>g=%cJ9GPbeeqifLu<u*BHw~#xB+stZxKI&ae{SANBR5u!MVn9y&61i
zU%X$+OjQF13QuiiaXOn+guNRUt6;zR3vnibJ^Cw35e|iEl*ltx3kPZ<k{z8RY}f`D
z?nse-%;eTZ=}5sbuBaCh7)F@*Ua4#lU($Q6f>Bs87X%pQabBe`PnGW@@+5Gn@&djl
zza;?{b3M0S6U0x>wS9AF+p|zQ(`zQY+#^jCB{xr^DT46j7P#ph6@N;0A2~yEyPoMq
zdEHw#k-)3;2NYe-452+;EiE|Pbc+$A9#ipcIVs$Ke|@+?Q9QMXo;|qg*jo$_CLG_<
zze#!mw(&9Luv|;^6Sr)IGU=NRK4`@VlcugxqOR*GHt9!o;k*A&uhVFmV`a8wjn}qB
z_$5@kRxGK9ENX)kNM;X31Y;sPY5(Yk4QE(SX-O3z=WMCtW^gWP$T+M{)Z%9G0W`J@
zmjQ$dhWt7lKjZ@d^)sGA^Dg7mhcp2Ke>)}t1)?`inYJJz(Nw#D7xVSjQS-pE%c8ZR
zUOwQQ{NK&{wDc93c_t?k?%oOxIQs2A_m8Hz?k8MEby(t;T3j&J-$$KAD5s7e@7X)Q
z>uUtQjJXLYaWezOpcl$E$UTV7EyHr45d>6cL_XPIe*B)<27yrm3AzG^`sEjjehOiL
zk*H!3-RT4@7p)A|Au6e=Q6OLtkR9;XI1R;JJ*43(>+$j4JYY|(uyo}p2r&JM@GU_F
zmYxG7e1JP8K{M<R4s~RlX;!{8P*1P~UIA-X(z1%|hg1BjUd2?#DoW3lcv$eFwEt_v
z_g>Mn9&NSqxvVv?;(-=$S{6^ZmfL?UyG4?=MagrDqgvT8v(8C29Zt-|2;?x9j6cOb
z>K+tylGs#PbRK)2VN@xLrG_N1IdJYv73tzgHP&&@)}{E{x(olk3ob1I9-YASejLgF
zIPrh_C@LzRwr5!YCl2MOlokq`T~g_|po?S(kfU50gf5qFsMnvcEYY=tGs##(heLr3
zpbx3E6z&I#+rwGWq7C_^zm5m~o`)F6lpbAFA>nAHkzm#VX|n?BUsN#;-RT|VT$Di<
zB&bDPAm$Pf6)pHnD8YOcSl+f)uVI|6Dag1)=y90Ha7yhDkf}#(+9`3qrYlj)NmT#6
zohaoX`Dq(^maGzO^u70A6|HS}1q#R;dH&=Pi{*^9Wvo-T<y+i}7jDsZ_^sZ@!ESr=
z0PHRLvq@egUZoWe7R@yY+FzWu$b=+7JS+v<8ml|NDI*a%A`LFG8z*+DqGw=9&Iy`$
zE}7sUyabKE%af^_ZRaZWr;f8Ml}=7BJN91ABi|Q23tkk!VD7<s8DFP}?+R^3?8`Lz
z-Ocys=T+l^u^b2BvKdV2`E)wB=A5`c5Ksd^P+(mPLsJJ|gE~C-xP+9y^8TibmpjV+
z)E12AuQ=2#P*8$#v4EVK`cnd}SCRopLYa6>>8$0+A-4>dza3Gy#zTyLZCwA6qAi8-
za!t>Ga%4Fo0+~UU|DDZR;fUp6MN&!KIWhT~9&5jTn_U%$s?VXnL)7`Rbt){6Lt=$m
z7n(zxt@yQ$H6d(~uj1I&yB+70?(lZ%Xl>gH4bE!Tm>dJxT-C=;#>kn2sY9nn^g6xw
z-!i_J8_<g?%|<pNAw*emr&EQ64c<9KMaUeui<}mdOdD$=qUa}62h7<wzy`&GTV;<8
z+i_6M=n!8F>8FuMPNGN*t1eMLQ=eE)A}#~8FcS3_@x1(BtweY@YypBXA5vwt2BWwL
zfNa<9Xu5^b2-PpAhdX>_iNq*zngv>awWw!sfU~ok(;XEtM<9aO^4b54J5yE8>bMIz
zVe_5hbdSn)67a&}B4N|h3b*1{U*so8>bSWtvhh%Ds}QjzMa5Q=aT6~%dbc?+z7&D|
zK+6?au3)#Y(UG#4`GzOT63)@qEA`jVa5<7?jq`0xvZje@9XxL-WG)85rS5yuw$<_z
zr<IKF>|2?5gw39~-Wp>K>`)ty7PDgeTmXgOMgUh;NXtJv!Ez#jEH4Mg!jW5uJ2ly<
z7hB@6Novw&mntM2iIV6#xXs-+WfkwsiFl+!%yi@4CRv!^VeV7w=Btn%8eWGp3}@hw
zN83a$jM#<Q2z@0T8jh(>F{)#i-~lV;{}y5YD^$~=@z}LQd-{VV*l3Yc6oWbl#Qs!V
zM4hP%A;p8f&36!-POrTOc!Th`utGee%2Z)srinzv$#x|NBO<0`lUNvQH_9NN9fTnh
zE0cgj?%!`?l7&yTnfVjgs*qOo;$)H+Vp*#YhFR@I06b#&_|$f~`q40mcKvqF>d2h!
zK@u~^zwtZ}W^~LS_XwC?F(IzD{9<FlMfGR@1u+S_A!(f3ZOK-cddZ5X-YCz^+3_F1
z`&q~1p9-Z_9xotDVVliq=L92gUEF?Nhr!)sv?QxC$3nQlG63(whR}1@rK>tAKBq&j
zVNNDr6WE4S9g}7r=HoSuUa8Ma)tHc8atR+iSDEU=kXM=(loTu>N=w}jvxR~4>J(;r
zk@2ctW)x(8i18k6B3HiciSt$@X2&NZ%9c$&Pr`sSnc8kZ;+LTzBj}g1<5gm~&Ydv|
z`cHr*4+*!=JWs|@$XDKBZoN_kV3+;2+V{@vj$Qz=e_z3W*Wf=*mdEAK;ARI0S^FZp
z90Cv?OSxH3nZRsT6`|e^{n6bg#!<T}NE#KG5MqGi5l4_@KXH$U+oh#-IK3n$2oabj
zT8HfvZfuHEz*3Vh2ea^GQmY<hAO1QN6nHgt7D{`Epx}0p11C-Er(^pE;soUi5jzd^
z!%i{)3IKE^*4W8ieomnS>}ZwgC4Hjt1_7WV^$&5N$e6bYpR~lDpKD*WG!8R9u)KoT
zvsdurDAAxPxE6aP;B|pKELi=Cdm8!fIvfY>e9_;be7fVTlhR-ZB}2~q6a$VP>P>HL
z7|bj}WRroUXsHOQh%0;YzzMBErL60K@sRjdJTZCmub_bb#SGkdQPgd^Ym_PYp(z5M
z-t+d&$7Nn$@8@0W<N0`fT<og?05FKSn72qGkaKel;p}q_3FW(Kz%m>}6RwCx|I1$F
zdb=7NL^yFWB195r%fP~la!#@T-bvkYB=ug~4D~8pxLi#sqNz)b1DfM^`?B_(6U;6q
zcwHh2MaeHZSR1u@ztu_&ZXB^ioOAAIR)S_JGX*$sIYFwnd0*?R@RHy<_Vg^isK`t-
z%I|j)2%1N>fg8{CDEr(r13#>>n@Psr4|zN(y^Fs6F~&H(^w%aPL^`7`fKsyM8o`hV
zFSdJe(|zbT)B76zIaT+ioqvCyZ0)cR&JdJ*F-~kUjM%{CxYa~Weu7d##p=(ej01RX
z8BkKAZY4UPC+(R@&@55K`GIc^R(LiZsD6&h6v=M2m}9K^O0tst^bT?i7L%R>G3D({
zyv#}$(a*aA?<Qs-FC<~4EspI`(4V&af!GdrkL7_o&8$l%A#vLB*s^xkU4lf$;$ATB
z<%XEHfl?-4!&mKA5u%K+Hvc--t6BOsg!yDA9F2OV=Ccqnw{hob{t609fQKImH;Sg-
z#BA`33HK?^TH1uWimd9AzjS&c+xvnS_A{>A!TFpSz^AOy^}XBw)*29V79=AofGjr)
zdRE3ztY;uJ7~A)on3Ypr?z<_H^LxzEd%UXq)OH7q6n3NUS3Ii&eJ>JhB=Q9OHewLQ
z+EoCN=B`J#yfxF)nA1fBVNofp#0BRVL^ufr^aP~ikU>)!_yC^>JOzEt95NPs1k!d)
zrwP~fmxhZ6mAwaAc9$>btlBl!^G{{}DeKCEo`jLc%e$}q#M$``>58C3B@flC1GoFa
z_vg;Wg5TDY?W}f*NY7bw(epf9?@l&Y7%*qee*MCtA~?yB_U=r1KFi}gU)p@FSV~Sm
z7BfGMES7~AV;fA^eAmk_QxE@v2E%~R<H~t~ecSrT`KL?n!YgrOr(M?h%KG}P85W`g
z>t_chD?b!qzJ5f0&|<ukW<c70JmWM?jQL};Axo*}$ec%`l4J5QqG35|zE)vdu~LjQ
z8apjvq<^X=*cm%7^cv7vA|f7bgt?JPvzZ)mAe3~m!F>mpp~yp%ctShlXGExu9Fuii
zf^3twz)*k7NYJsl{R<2>9_b}R=+NXtXHlG}?b>COt+rG>RoG-Oj}9z%of+F(N6|wp
zrhaEx+cD<8ihHPm*a!6F3Zo3O)z^&a)!n~LalC!Y0ekkIL%Upn)%=~mIt)FSQK#g;
z3+vw0cUaXLq8s<{niMm6EK_h?wvebC*@Q_OdpKQscI?d4^RMM7aM-9zjnNW&;R1Ev
z+~fZ+meoS{M>I_}M{t8Uop%CcyH>S0JcsJb>45iIgvfX~#j2in_Gc+<SSRhNjx_dX
z$w@y7ut}>`TqF%;xk{UMU36NebpAtkUz#+#7`~}6SONXl{Lh)&sd7_!SN1|j89d$g
z$O3<5oDKHcGTWv<($<)#<tvK3SWmj0Y>1r{AD{re+P<+;$y5f`yGB)YS9!zW_<GLQ
zpQR=H27C?Mp%$N^fJt)bu%sg4NDF&3c4+5yj{j`)AI7`77W!tZ>timmCBU=Hqox%u
zb!S+GpAGb_BxVQT04WELNMA64o;~`o1Vy5uZQh#Qz|b#N`=^tDDs(iafgeW&ax`+e
zkFI^waJlZ*t!7IsnBd6{af`rPQ4ns3#E}rB!Y_)TQx^aPka%q}DuGbq>mm>ht^wA|
z0(1ZY<x7j?#Vg+bz^v$MhebcpcyXR|A-hP%96XtHv)6B-kIq9NtBAFq9u_Kdb=vKu
zS<|YH6rhSpg4OrUxu$ZXAtL7xKg(g9GkxL4i`4=RI>tu}Q<%4*(`OU7{Fz>$&?cN2
z+2^iAj?qAPfR<1Xs`7QPH2>T+qPLVv*~<%0D8qN5&hPT)t~dRzR%&O7(G*A^tB)sw
z3D;@|&)RtQ-=aRgldCECW_lCz6jg)}4#1Zc_ERG>^vaMkOgwH`(ToQC2|ahyt0o{E
z1`%l{z0rIl^VBb?U>6Wh9!$*yLgHu|3<>BG{Yf&ml6l2&6-~B<*dpZ{zP6xKO2&1u
z<h?VGSQR-><$Xm0=4gM6=k$wbP=v8vGH#)gjF*fCFD^m(wI%P3aOWemoTN&~I%lv*
z?jKkkXSYxc*U-(lt+)b4Kti)gZ$-9{xStO8hZVxxqe8uD8H2mGC&r&9WegTRUXbc_
zN}OXo6W4`WG_PvBOuv?*F%`+0MgIktckRCp!qgMwf1SS7(>z&u8v<N&G=g}cu&gty
zSlmG5=W=vE+LL}4tYl%QaR>e`A%42peU8k1@sAM!xpoe2raog*mYJrdr7jDD8}W*-
zc9!{+MncHthyJ1)+Id{=cR7g!QqxHFEDX+Ah)=@vjWOp376G+i#6k4!?X<i-iGfm`
zH}MW|5dA4bh^;Y=vS~n37{yQ#apqa8R1a4?RbO7C#Xv@0)RQbJ529*Guhyhmk~ljS
z5cl?5I9qDaS#IZzo@dA`teyXh0NvT~xKbg%7_*vcdB(J&=%0dIf}=pGqc5eIxWjd@
zBT&a%=pFy9!wdSCME}`|zQgd(%aOz1G8wCOsrhy}Nn=P<2ToB`D}NFTj@Oeb<hC8~
zr`gy+m{JAHHk_2es$DSAzj{v!u_lf<f6-o7SolLcjOcfmqTq=Ugh9<7x?VUR2o_w2
zLOI=WQ)knw&?r-)J%8A9y<7#9M^|vBeZ0BcbjI7wzj5RS!Kxt<Z}QKB_m`$hhPMLj
z>+55#`{|-`LRQlF-#DHpH@|CM#{QzLw}y_{=o#a5=H_T`5kHZ2^w&l8d9Ax?>3x{L
z=E`rg*ktE*rPD6G&m3d9{v2<WMMDjPPT9=7D7<F6%Qv1o&o+JsL*im~Mq&qu$(+M!
ziSAF11m}oXKS&4$<AKWnK!+96;&9lY1sq{)4&P5m4l+GNJpkx3MQx0&6^O;?yMYxi
zAaa_-67>C`H6{4HTcN1u@AJQpC4>@tB`86AAB;<0PJl#`-@Qu|x!2Ds(%Cyw4d;a3
zMP33CsM&@(35P5%`RcXdAUjYA<(dpHc?Kz^zr*cNz@NpeXQ0VNMyJKE&=hxh+yI(0
zpFQBHgjc+IKwrCf`?Tni;o2YM&BgC&pmk0+0pPmuw|8$KrL^B6C(P2p=naaFyw@(^
z{0Dj21E>E)+vrivqHZ3}({KslBp^YIu5sH-pZdCe9v!cg=mEO)!&nV0DTen5r-F7%
z+Rqcg?!kZ&-@{N>;%)Uj2hqV)4!E1n<rpO6UV*Ifx)=T+cjrb5N?4Vec>~I0e9heB
z)pF0d=j!wVyzt$_4BG`&R)cy1d!h8<nh1n`#SiaohH1MGe6<~jk|JV|4!~}AM<T^^
zkf6r3&neIh4khS;$2XJ}0T-6i5J;3X*R`p1Ol9`pS=g-$hJmVBk&!m{F9X75?0EpK
zDCV4$f6%~xi+=6vFD;=QjSTd)(9e$W`Z?T|^l^NiVmwm!aQrE^IX>p+^sK!Z8Gz)y
zjC!~%v5UQf1-F;w#6wzyB~d|D3pf}-)Ija#{=<Y>Fp9zo(adce&QTioFhlbZbb<H6
zb~6V~6+oQnaD+XLF}L-Nlcx)KOsH@(cU3NA8yv-t>({k*S#|KxGB<YOdI=wR+}#1l
z>W6pUIx<&L{>=`fvE;b<WS9l`k~L(g#h5RczfFj5-=$;yVC{cp*<hmYwg1to@y`SK
zzqU@?-`qQWE9OS~HcjiwO_)HB-`7VQ$By?;Th~adC5Qj-z)9fI(!yBPG}(OBQI?(W
z65H9-f4tvQ$#~R3vRW5OB!dcC79UBZ?GZ!n)6&<lfgn|Zw6<-(@3&6o4IhK2*Qhhf
z!0Y9>iIo2QO}ZLsX)88<rp9=*t64_6&6Z#iyyPv+XwhrELyn_w(ep^`aAn<H+i$Ce
zgy;Q;#wi|A{)!VGw;c3|Mg>v|{@|Pg)+w0|gd?KS71L;@quR%*6wnVkTDJx?cilg>
z{ZsVh2mE`DIyJz$Zb$T9Gdrk4`hIsI=zJIQA~9mnraH|*w`q=%ALG@V7=WOfI1cO8
zzfs0msOeUY`7=iaTGk$DxIoK_MQwWo73uAxz>$U_ywM?BJ&hf2#BLx%6&)8V#=da1
zheM~j8VW-{d<+u)uH`+hsj|-%lYh@H6XjDYaj>lHB*0f`(8j`1%>FRcoePPKN%b@u
zbFN4{VuNa<^85apd|Fv>wR*?ZCkL#f@Z2gN?9N8Pt+`9I;AOp*XvQzPN3`L8^XBsY
z31iqrm@SpIYueJ@>>JiJ^;FSpn5@EZxO>?O`#h5cl=o4E-a}3)YshKm7J7J`r+>x$
zNcY|Tn`h+O+H#4G;R^c-b2LCf<#7Cfsw;U-R1zH=CQgP#a1=nucZZ!8QphGlcUGc?
zDP^{Hhlq4L3j|Mwew;UlMXEs!yeG*ZF!Vk85*8im*CwR+PK3l`BUf<jCp6^}6#k2V
zBEO{`Ts$mKfo^0m+D=G8SC&V-Q38?-6@IGOg&?GmOTH<h3>en1(4kwK;4mpI2i+W>
zH{a%$(XX7F2~i^|TjZFVaeG?{q7I*t)J}(scAi9C%48o0lr`1=_YYXA5Pf&Zexv+6
z<FfSk<xVnGle)3-8z1WPWF7BAnl5_2f=;=rK|zLV`ixeOM+}tHOf&goBjPmGWxEa%
zj_{aOiy0AFRhU%B)xy$v+BZO*m{z0^uQ94=1ryp)nwS<wphn0*dKH#nYiZF%BNR8$
zT`?`kW=zcT9jf?~>}kB_-`ky}Dwb=kioU0Dg&HrArEgFW!{_AMO=T&bvVDn)5s+7r
zPl`F}t$L_L_jTcMnFTb)+atm!XDoKhiA0Th`&Il#_;ky%iZtex*XZ+ZJe3pq@7<qA
z*@I?o#o?{&WCVgr@`_~vf4Dn#46i<uAqySJV#?-i1X<fZ7XH#bnv?VG+7|hg&VtM6
z9A+7+{O)Hnkt`u};T8S2&xGNV#2c~~!b>QfyKtnEjJ4d4rt^|<!t#yw6N#)fMWogA
z_**Y2e&IiNe*b|zy_K-n!irSbx1-!!O-`lt7Jf!Oeex()T#5@^%{Fiw!5A4G<cJE$
zY2&%7@-Ll;yemPQ##}{sUGD*!K)tT_ZC?H#zkmprj??HM%?WsictzIfrOc8fjp=vM
zIbhs+pmr>9`^zTp(+9aU!<H?QkAtE~x}Vo-`Pu^XGIG#Myfh<K4*YhY<yKddVXQ-}
zEQs-!VY3w2v1{7~zAJEEPpdqwEWa4oL4a}5JOvlbCMNp(B{sVWI#E}v>Y0y+qWp{7
zQlrD@L7tLLlL1$)Bf^|P4yRzHiY2w$$XNnQ#RYqF)^<scrCO#Vi>(*Udi`e`B`(}`
zz`H?kV8zWuGIQBi&SH_r7T#%abWj<m>A~8Qz`kTNMlNzKBSU3V?yXRf&3d42nG4Ma
zs7G!PW$!Y#4NWO{QWM$9-Ags*IHem)y)*oCctf95QIZ6{o4WHuG?*jlR_ieP$TRZ@
z%sR>1!?Hg*!#6mi@A-3k!uRqeFFqrM%Vx$*89)f&!tf=49LQv>nGDUN2fa9@czq23
z^+&@&8bBY8)yi=lBJ8i$nND>2V+FZWGWOmr+9A<QB<Ey|{cAhU@MEd{YqKi;0Nr@b
zL}()h`CI<+biP+7WA1&9VXJeE7Hq^e!ut;A(T4=eSedAHPQJU)v`>hC?8x28_t(qk
zX&)lZDz^d%ZJw)Wk=D4#S$)q6GPpniYP^-6$8Q!5c(i}X!kn%C&4-X8g%r7&4!CiH
zkOZLxAy7%<9yQJQQ6vy<#ML1`Zr+t=j1-PGg%q8K0H@%bYY%?|wWQHFFl7EQ*kdR&
zKk9!~x>JZ8r2CsVLT05WtRQ9VN2F$8;cjD7A==olr*OhqlBQ;ZAyp+gQnE0AbP;=X
z3m{=$n*dVGT3maeM%GOiuS*>LG%$}m6EPukm~^n8dL{ep^zAvj*$f3jYk3*Maa>)e
z{^sa3j2CY5L7P5-z=q`4TG%8n5=aIEY*p9AWbp1mR63$M=&=t+skS-iq%x#TYX!4N
zdv?~x?oYn5fLxTXc6Jf@)euMLU3>qx2M(U7+0%ZWgW52^SDvQ{1^r0?1^{a^`A{=g
zDxcH>Kq8+|;GXf9mrx0iRYR~ExL)TrPC+bFsA(3?8pfuMV1=oj@^a#ZUr!=X%vePg
zJ6AA+D)NV6*~72*$inZ}iy{GR7(2%CqwldEwB$YW8}<@VX{bmWQ&b9%N(2*VfER`h
zxJ%l=XBa^M>;57HfOrRs=Gca}A-kl-(&&9Uvmom|0{49{$%ABOJdP_QE}`||QCT7V
zR8-O1Ky~^(SjfGX>Equ}&OLh(_nKt(R*FRT4Y`l*y`n|U{k}NZi_0XEBF)4MyoK;*
z#b3nFiDGd|IR1v7OQH0QyAkN?dmzqx(fd)s;2H654(HCo`9=J=j)1^e_ZrPn4R7y5
zF!=i$0=64<l0fpkmCpGc+1zx$?04yY1Ap)R+syTN%iwqa0s8sT;BxuA(U2{F>F~X`
zt1&y@TuEzS@9lL$=T)ER<D#hqqjT6E;;cMbw+?8N&rNO@(rCRe+Lh18QJ#Neq9VK6
z0z5$$MfF9Wq-0&g{U?7ED%C^U5%BAJ+@W(c!}S859eDrCcc!f^pkN=1yyMI_E_}rI
zr~~^xS<3Z!E{uP@71HG^LB~>3wcdizf1&nVi(Z99UNTnsXeK&@?sJw%O&zFfj-Nyg
z{p@1na0ZmR1lwHrzm_;B?A!oPE_Iq~DB+arZR~F9Efd=zEvh>hMPitn@28!w+?}3C
zNtCZuy4xe(9D0{17|hl9KF-TnHAna+3JbCuDhGo1KT*^ACsC(>S`7rN@YMF;1h^@P
z7m1)O1KSEGQ6ylWIAL6R!?zu~M=|vJsRSegbU=%C<ojg)slOkz0OH#CtYNLDGHn99
zr8cmOH2FVx#flANV5R`K<6F9)w@r?wSYBYx{=UJV*km%NZt9ua2euJEuyG`OZ*Jf0
zy%F-?Ilo}ncRt0+ESxDu%HwUq5DjmXmWOr8gly+_j;huWcwMO!?LdK*KDy1l6av9u
z3I<$+QCMC1#~rsu2XFtyZ;8^QL$pLyiPfX8tZ%=9eTfh0DMOsR_5R5!EXiv~XVTQA
zWcxKk$uCm<Zf#y4r34)59XWD?W_FV0ucuaYIjvrL*4FQ+o4ya+g)Aox%j$W0TG%yX
z!gf^!DK<&)op?giZ9<~S63xSR&RMWP>QgSs?y*Qt*4CkJdi_d{a%_c0vG`7AxR~xP
zD4?<xh~s4prLR+Oky-pCQSLxaR@W^;Z1TXV9*4nSZLr&W0eDzu<hAp}Kt*XGw~nKI
zb;YS%TKA;3@=AmaSR9l%N<x*4Y5^-~_xI+J1ogJt%dC*oa@mFogeuqv!eZwqEDX0x
z*wjzqFi+K-l}cVYZ819TJCZuOtIjgHhIIj-8zn=fP>%0BeGt6g-&xgsr%5}xHy{1t
z&+kM2x3Ib&<b-==fNWtxoKkL&z~q$qkU#&xde^b)U%mT$M4PE<nyLCWB)<6k`yx9W
z2ZV`I+gi8(B!q5(!qy5NbD=FVC$|rnqybi09Z}8(6_}6vj11jDU;xnYfwcm?O$%A#
zWd2?YeqtIy=r%DhpkX+!<5)(A*yy@TP@b>=QGI&Sd5C8nmuWh}-~yX=Nv-`LZNJXj
z)b#GKPBG&eY8J6`A&#G$n_@TL|45Tfu0yMb9KfRB<oqv{i-A$kJ%Un5soy{OaO=f8
zN(@-w371NDyi{2xCt?91-Zw7NbqgzQ`Liw(kjnu7Uk1|Fy?k+N6Z}#(7AlzYAm6aA
zPptAM_MABbmvs;;5hj%{rh$kIrMVDlr9IMuMv5~Uu-=V=s2fu@y{OrEr`m*vK4#^q
zQY$eIa3WyGQZv7HC>0lKxiBA}-g9%GNRox0NSW%O-T*uz+;6XKAEa8wLYxe3E!sIg
zA}-WQUSFA83TC}K_tUY8-k2Kq-@m^)cwzxR?%$0WXtXr`*PZWIJ1FcTf0#DL*owg{
zxk3;9e*%alIR&wPjg5(bCDEf$5&kO+6s*DuNH($Mi@s~{EbZHH&Y=Jj#0?D_m}d^!
z__EFGpg^GTr_P{Q;6t<<%#u=cBcyf!w(Kx5Nrw?T<5L9=^*Dv7GLB)CG*GPCHLF4P
z+|F!PZfH_T1aAeEw>!R|Q;4OzRBFPi9(tRKr#G+0$3#IV&uKv?kv$Y;=L+0!$NTmP
zhOf~1xBCM36%E*Ua36XimduCOJYCEX9mM5uYNw1qD?Xclf5x%@F{rs1tic-rtn{oq
zm%CI}MxiMawC_N^(@_Cn*}K0P^fjz50>2KQD+Pezh~A0_Fv9ofTxnG@FR<!*uhkV8
z?v@y~J~33mGlkllS%j_nv!76nD9cNYZmIEDr)kaL<gNk<%<_||>*P0uCyCL5a`k>O
zs;+>(+Ea;0;7zot;dfPb`d4hMN85*JN-~1EW{SrnpDnm~DK<J}CaIQDVO#wJsc1e$
zTahj0R6Y|8VJ9V(z+Em%eVzN(dvMYG)&}2Hf9&(29_v$tvTXqdxT3GkO>sG?-m6k~
z_Smvy*m=w2`^f8IW~u1>xmNQEhJit&qI$eb6+b%}k3h3jLgxX*f}ssTu=8P&wbW~=
zz;@0I4-^3{SPGGAn^C9XCD#|fXiinn2#^3P;3BLfhs!|*Mk6L54$^4fxJC+8Jr`TS
zQ)Z{ZIO^_KmS3&Fwy+e>hVu27yDzq_NSnY<HV%VfwZQ3;+O{_*h(?EtbwzU#N-|FA
z1WfTaNq1f4OeT5QUJyX?{A?D(miBt2YHAgtCS>I!Ct2=0Eof<?+D$paK-%_OtU}I`
zR2h4DYxRu(Zbee>{bon8^}fIP{J$Etp-4~Liaw<Cn$S`ge{1AMIHmrE7Xy+DfrV**
zEvLMfzhSlM%dforcSiEOZ-gcQ3AraF8|W2>v&~DNzT*2h%i7DB8eJ-N?4R0q(FwGS
zk>d)O8XtvLh>X0=2u!Dg%_-{B8*cVMhO500LTlu(GmTr-Ygp<38>}~2?F|Zots3Uk
zYzMsEYf#6kJuy<>aO=~i(qlLoZ8g&%ovky0Aw)uWdrn?B?<WqJZ0Z$r+4uGlz!_wL
zEp1;;OnPdjU|T6CrfZhyG(FT?Y2`Gq`B}teP@z8NI3BrX(lFzdZsf~53UGN#adNjm
zomNQP-uJ^&z3OfL5uF6wp6#%ZQ$~P9U*1nV4H}f67%xlMa)q6<?E=9p&I2atbW9_#
zM%MLr{Fdp-OQJ&G#>uei;Q=&G6!9#sB(=MiI;LxlH>IyQ_k0L#dDl+u>uLOC8QLuU
zFzal-D3Jabl>d6)`EfFO<udjIcp;4=cl@RukNFp3)Ds(?<}Vw%o4fo16Lv`*b)}7f
zqDNg#QM6I)n+M9=TWgPZ2>`GvL8Dgewntrh7pgO?JNg&!y^VeNA0p596X#;rE$Tqu
zs0K*za{yo|;_p>~<8zcgKXAZHn)Ui2b-*7Oq=*fG_FD<X*DtJY7cpdC@3qD;b(=w}
zF;>@Uc!3*4x~VU$FXJ;78+JW|^izG_%g7X_EHm7SUfakuXXq|?Gu6H7#k`SS{l>zz
zIX~F<z=vJ4+H7(r(A@vuu2Gvxj+5ns|G|UQ)qXhH2fc+&+mFD03A;}G)NO6#fOt>M
zxkRSr{gnkjdwiDc_f^K{f9aPu_95SAV!bCl-$!X9GJHCIa*_GN3xZthe5)jWhr&DC
zAVeffIe7m=lMCghJWN{9E4nbizkw+edbW(h8L99U60|j8M^!T4u^FaNg%~?frb&K-
zl2RIZ2Vq)v#97|<ZH`-1YT9|x@RV>m8J}NZXxWMBd^EhuZ$eT+1Fj<SgKA^HU?yn^
z{6u(@+>v3_Ds`V-u%8PolXb}$YrX5{IcqhtU!=B21^AgKg8<lM#G6I3(}h;A1iYEz
zrkE+?3MUKtu$+G-R|Ah`8Z{8k47D1!F^W1gzrM0^$bO=umry{<(F+?ZK`_@@Fn4_$
z8LR)p46{%M7Dt(Q#pZa9qVYH@;etIMmVTz`jj^KH0XOyzJPgL?&0ECJt8_1UbX}kz
z*EBkTd*Ug^w0~e4^sexT_W&h^rZZ@NEifBmh?znp@4llA)<22`J>3Ld_k1OYM}kme
z(R8qf$!F9;NrxS1Do_|-mJ|QK0jk|-#dlArahomo<%W2vF^AEp7T!7tG791?i}rjd
z5qN<ty>t*p@^XB$LxVUk$MdLECT(DjA|wIE3znud8bwOa&rbfj8WyQMl4|8B5)_Lj
zz~uQ{@8ub47v|>4D3VbzOZ0YlDM~Ouz(F?|2DJbl>?Wlrx~&gPuS$=Sh|S$qc!JVx
zScK{V4ZJ;FMu08+ZLlyU>)BXxo0d)-Uz1UJKaF6<z(H+#gZ>F_{(uJ=X>{^)fu$lN
z+2BW&z~a9+e$MRP4EuL%zLQ7l8+>BqHcZ?^40aIj;V4y(8VorIyfoOK)_oc2=5|p2
zj^HJGz>RdaqZI}K=wlVoGzo9`;3X;jDGCox4yFqTEGKARb==iEs%$aw3}=YTzaYrV
zzqtNKz<kh{Lw4x{!q_S0u%*+^B%>lbSb_l0394*9gUE>C`^(vKqc=rv9T{V*BI#mH
zpIx!bM62zIdg5#~=%3TaZ1{Zf6Z>~o969;=D<G*%w3@cipk%Qd@4YGh6TP>2AgRR=
zoMaUhm5h9b!9P|#Z8B`G7f~=q-XoRP<EJD_#x8J-DX?8h;7)K0L=^s1yCM}kcHqL@
z%0~!Ox1o5y6X&CU7SKs233lA*Ixe+oiJ6J;`KFC}3L?@uKQ?k0CtnWc0^Ni}$zyz)
ziaoDoYIwpqv`QLm+aHyO#4NbCI+C!@Qvi&F4_r(ck&G)*^q*x?aF4(ew8KQk_QEiV
zKU_81Ol0bh44~4nv!qL>k*<lv{mO4>fFy0Hdd+(D+!f{%OK+L0GXq}5+c<aqF<1#~
zYtYb$q{^^8G{;`{lyAzH8;o?eUY~WfYjL(K%$_L{OSoc3eu}qNO8JqllavY(UBsZ9
z0nCIa9&md5DUx#w9a;_DMIstU-r`(t>_9tG_Lan5E=%yC0E;+xzkb0&eo4qO*$y5&
zm|u9w;<=UlHE!!W5ux^E#SB?zb;4l=a`!J}1bLZ`jwin-1T_&~uum&j74l8$Pgo5G
zp~s0LH24){c+$<$y=BciOnE1dp`L8yn!3>Q>yP*F&l9binAiMDu@rnKDrgU7`<38H
zJ6W>9LXa)%075cIT^k+03Vf$fyrHP%?M7r7%l*jdh>!wOx9=%0)>H8V_=Cvu351~J
zZKgi!y(n(P?@#P{h$Qev#d<H(ghD6q9$R2V!%0~OtgOzBE!-IaQtVi9WD*M{zgPf2
zQxT9A?6Wj;NHD86$>2N<f#D`?FI?PayuB32$f|L~^=^W>Njv-ZvLuw|Vr`B1-=g4j
zCcJ|fxWQtvSA*G|Ea}VC=)XLHUP${OOhAn^?(|sJqa+im1^b66J0gDy`6gC^j5zy_
z{hY7(W|5u}=YwqVnfRq>2KCYb3~XUPZ?=NI(G-gMiKXyh^fyw#i8uEen?>#K+y;Xb
ze<brXU&HL%vL_E6a6TO0;e8+UzJnKL@upo8OKqJwBiSZlDOJQm^Te=oL7Y#kv}2;F
zeyv@gsCr52#Z>pG&~B@D;pRvK#%RT4jst@^E&`J_<#kN$O$-1D>s{SMc{qBAJ$L5w
z#l0dh+qDwPdw~*ZjakXO@w^~P-rd@cZX!Lgf-L>ht8TV>h~(1tA<{rympQyxx5rJ@
zTweav8>gA`D>-=i-fpoa&?oKaYIBydnNa+lF}(fq;waKBx{_)yVZb?0=q)|kNh_V_
zeGy-Gg_ykahdT->_mWRFd!dmY?*7lXywTz%gwv~d+f#*$Yg5=BbU6IU&x3AnES_>D
zoXk=%R`(q(C+}Kbv+(p+q!<x8rl0eVN~<mE|7DgqyR&_xW+CbO_MY7Oj-$qIg-zoU
zz5snCW~zgLus-9borpKgVECK4D1*@59Z3Sm>j@zBK!WOlh$KA?;u&~tNI!BwNj(_{
zhLEzO(g0(ae9ceBv-$E9+_QYm?HMHcW&uChX@kWFrGMADNS$f^4*=dkA-^BD=3K<(
zsqjOFf(FC_NaOZGYIx~uE|IpQEk<n=@E}@kU-O}Du^P>8vbdPLYy%sE_i&~-FIbM!
ztUN^~cA@kP+|9sYWL|F^xSJ3`l7-NRRLHIY>-C)Qgfby5tv|@KQJx_{1Zuzh;>G>9
zB3&-impJU_PR)DK9l1njz@`G}M=05nM5(gV{rgHTo{yqs=*zw@+`o7w&{o*WX?cF<
z5UcvDDJZRTjPjR$)4%!4uNyPUd(2oxx{kJXCrv0}AzGt+<fI5Op~h0=rlh8tJF+L1
zD}we&60dp1$|wU%B&|1xz4pdt!EBU~&eUE~l(3CeA`YF6a+%F{=oy_;8D-Rj)hMHM
zU1N1e?ILZ5+Q9C#X|XVB<g99%?NZpEB-hu^qRV?+YFlS?sN{q4b93NklM*Qkb@)wr
zobTejK(r6918MZlowp#@u&St#)#Zr#Agb@xWF1gqBEJ!HZlpS&>WM9yw51-Bxixuz
zc{tMXxSg_j?VKJo-Bj5?sk-Om^U+BA<9w?j*a*U_dg@3IjreM5IVN#}2^klwqz?rg
zD_<8=n7+O7IXQEG%kA~In8Lcm!1#2#IX=Ca`1EVO<R{+!^<fG%S>V91lHvsM0*nG)
zec+WeShBB>ip^P5D~3@=pck~sh32FwsZjxPg&>F(+EjJUnHmt6`C`PpSTR%nQ@i;&
zGPf#Z3E;N2nNo3%G=(k*nCVF(KNJ=_ht4_e!)gZx3nrkEs&13tIj4O(ZP3mt$?sK%
z&roz;IW_=sDtS6}maKBxI5dm2%zp1WQ!A>RHbyq!!tm&ut+nC!51(YQ#pcn{sWpx8
zHJRdv0XnI%e^iY)3dl3_s<|!1b>Z;|H{=?|-OZ`w#}KJxdSy1%DY0;SQR~xR`w4&k
zZw69HVPkHp9RQmeS3uk?_R<lCYO0H1X<R{^N1Gl<*}8EB#7_zrLdscqW3wPlwXe8a
zV$Kk4`VNC`+ZAc5T`(}*rws<dGxr+jNK@^CHtPs0Zk13xADX7x1!Mf<8b+}BF*MaK
z2x4M5FpSlO`KtcaVycUz7pnJL@If|9im7(V7%7QDbbIg$!wsGYn<<IYXc?+14EG5R
zmo>wjIxRaDh8wvFGIIfI4$M&J==uJ{SV>%@&e8J?im{St1#THVDhzjwl|;%yF->+^
zSftOlD8@<xR@U|wRT%E$4nB|EqfNIL0JI5lH)HhX`p~pWO>%wI<B4K=>jCL@prv$s
zV-;|x#CiaXclb}!0pGeBx{D&~Api7gje2sA$p0e!+|1S0NlrtKLOZGnp2a!*lRzI`
zX;c#d+c_Zv0Qp&fZGx`1DWL;I6R@1=2Mc67B}imDG8(vGIbWiFRKTS+{Mz4-yVy#}
z{dN=kikcEc%W8VY!<HYh(VdF?gxUf_a6kKz<=beTEl9tx_*-B8#<57V5G33_FY+MW
zagH<r+X5FLZVq{Zsc|#lCr6s4qw#dy8#jYTW;)-agoU6m5RJE6Zj7BM1aqW0%yyj7
zQv+<rqpDH0(9i(9Dl~MhNFC_^g-T1J=K_s<G5^~vDx3bD|Lv2Bf1}iJbyG69DWfY1
z+oS-*N+$(C8lg<<1J3}YczFjtI`s}&MZiXa#wZ=|%#<wbVa50h>wMnmbewP2c(BB0
zF&>M56ADl-k88ZU*hEju91G5|pl4fdDYH%k^f*^A|IwGf|HJ-S7*p*I@L15>9jp-)
zT`PyR#96tCA@@ZX%oklN%WbDKA-6>wOV<hvmOAm?m|(-Qyes0k<-{r$Pxqa!2+@cp
zn0ic>MmZ2GN(IvdkJ_~YtGnESLy3`F2r|&{UtL;EFxJKbq7W2Vk*!!dOfWFPVwVt<
zSkRX|c!voDtxGpaN}0;mj1H3}2#%Yx6kX|SVs<c0J-rBw)zN4<ty$k4qX9my*Bg!S
zT=EL0oTgAM_c}!{V;sO@O4UDrHMbALqh(d-R;Fut<YI-|oa3FDfUlnk*q=f&6<r4t
zu&p-|TXaH~TQVs;u0UwuX1jIq2Tl<+4qoYkVz|R&(W^S`7PaBu+^c#$y{hl|y?^Q>
zzvuOZ#X~FSEg7M8*z{wB#jY3x);I?Hp}z@+h#IZUsU#y{OY~RcmN4N=K(%`mBPB8p
zIFeX>JM@9JSp<Q1);e(riq$v4<BIf$0@L;4W(bPacR}Si66CMAFaenwHIl^?KDY<|
zpuDw`tz`7>Ip+hV{J)4VE6HLY?>$HqLTV20T>!&WKIRmlFY14r#bwjK^S^yE@o$t$
zL9gp9|BFPectv_47YDFIO8*)_*~j)Cs#j!^7|zFe3wVA&@HhmvUSN)q4>CAe!awe!
zdPRP8IDrbw2K23S^z`&DO5PjjE9+rPYo^C{u|uyHe9;{!GFOtxk4M9MdF|*YxOd3x
z;2~O}*RFbgr$0m&)kB1>_942M{18FXA0mDXKTDn*EbR{wKi<ki^lXcPD^JlpZ0U-_
zmfGC6=CV^JsU<5x{+L7q1%1e5cf}|z>E@JrlI_UVY_`lW$NtD=6K@hQ00>m6E7?x_
z?-zKf(*DR;SE;W}llqeFw7(?h)Bd}~regHoh$RR#qio0iZL6}FgOYmEt5r6UXWJYL
z^CnxBH>a}s<~MDbJ9YhW7h6Rbjm+G1VQg;d18qcm`hluE`rGb5|9p+Lb~u~*kmcnm
zg6=|&$rWmoK3}u)RNYs-(>cavyB0@Py;k7G2ia7HAl=WrJ3+c@5|+#B<~&jH>C2Dg
zmZR7+j=f0wWvd0{hY*WiPkk&GqO2cRo1W{GyV5UL7VNvUDJ8`VtHH#yyFzKh)a$PN
zWv}_W!lKZ3eZnXG?VpO4dJQkyJeGk4k;giL)vHOW<V;Cnkvm{=l7b&RMl~e9CzGb;
zv49c8tVpO?;OoH>S7)7a9;=Xh01F8E>{>AAu>en0ZVxc$89Q5?W6ooN3tQbQO!k$z
z!a3oU^H>KAkC*-fcrhKpDvxyp6`o3>`;GohUQ#d(b}E28($j}|H~?Nq->#Bm8Pg>J
zr)0wb3<-h+MF7O_(Q<%Y^aB<Z7PsSSw{4S$ihOrTMP6b}B<9*&U&X$1+JmZ;c}Dna
z5=d0%*?WVKZ|mmp@*UcP%s}gVEBvPRx93~5$JxPK1$GXeaQk2?7TzDY4W~2nJaI42
z%;C|0SBEbJC+1b^zXw7=ync-<GCaZl#ryg*MnPA&wy9paTt5YXp2b7Y`E<S9&F;;_
zbk|8tN7x56-uYEKd+)=5R;^;$$W|kn=ltC*He0AZ8o5REH(rfflw2ftkS;mXu7j0)
zB>f1>=NjYABhq*H7ykDr|G-a<vhZ$~1PKyGd1$6S)(B3UX+?D8agcgEp(EG3c8X<2
z2m&#BIY&8-X@NWrag@`Rx*!ZFF`>v2^>CEalor7uj&gcCE(n65%Q?!$+i}TZl5$q<
zTyj51Ql3CtPZUTG9mpCLI+`I;^LOuo0c&X1OExdrZDxl|^rEL6ha9DwZ+?8BYxvrp
zE_zU<iy{{opL~x}n7j92-z1o@J`A~^3%-rLJ@e>)<D0%ulp4-Jilb<^V{tkjFsOyj
z(wb9eYkY79zb>H7@Icc60|>SwnI7#0`i$5fgqH;<<`x&735*vQQV-rP0&0(N*2Mj@
z6C<QGJ=_ZrT#(C(lG-bq;mH~NilYnVBD$C$sV-$&Gd<pm;Nw_gN?vpH41Qez6TP#e
zqiWwurpFuCQ(hSWaWDk0J-R>^{mKK{Mu(Qa2z|osnhdpE(y#@P+#~&4NltqakkA}{
z5hP724<E9dJud?O)n5dD%rC;FdDFg!(+*Kkx7fLT5wO0$2>f{E7hxca+M!Gao~uf#
zfx)jz${~}5;4HFe%tBT@xoz4|wj+zitRI@=B8v!D@*K$P23fz{6O6Pa-^Y|iln6a#
z@wlCikMqp{IA%4^$wJCZ+O%Aol*G>&Oe$(}FMj`Kx7gzR;`}u=80RnBalq|*vCUan
zqyx8A78U~44U_G(|8}v>S=jArv8{gpw#mZEcG@3V(|rH8o5i-`*xpKoE}cKOWB(>8
ztB;pwGw*5&);EzSO<n*JqcZZ>=}EYWYh5<6)b^0V2rjmTirhJ*`R?GsZZ?xTd38FI
z#g8nhLr*y7peE#)*}C|qpZR_J4=%M@)tjE#GA#<v?f+-*?SpR1v$8NyGU2mQmKe+*
zq;3dm%C2M1_lL+wW=0DVV$7XREE6&}&8XN6ef!cqbVjYD1Vc2jO!x{Ehzez5VT>S}
zpnwF6l$pSgsi}$}F;+Pigoy=G27)+h#yrpZ_^q{md%tJzbMJfKx!rS^YVO(ZS^Ky5
zK6~%=`K)JMeZ68kv=-?<&+FaSJ8$6J&l@#v&9oK6*2;mo7<i*mYbI@I(3&}Wa%1Kd
z!C_hku3BNcP^@%Pt(wXU)ieM@gWuv3eu^gsLwEfy1Va~HF3wV_s15r)fP)HKa;uHC
zm=;G%VDE--Ljif-v@L#1%vK2HS`^$(MX1>g9V|PPjKGZLsX6_0Lxqm!geaPqrvm-W
zANuT{`+q*EDNt>Qa0Tj}6Fd08$j{ROK^r_&N$$m<qv3*w(+P9D;F*AH&!8#MV!_|l
z1<!VO&~&<B12rI(E{DE}nE=lN$6vxJLSUnmI&o;m6TYHmkwp;n2;IdnSZ;zoDr>B<
zgwccx?$0%rNU-dZ=Q~RnO}ONfbe7y4^Lh&V!NUYcMF84j;YTf>{eTU39=;-R-RVF7
z2BEM=r|nNpUMt9n*w4NvxvlTw|AtU}^6&iL_-5=Ar6z)3dWiP(E<k&%9jXUpb^0e-
z!sI52NL3!`BVt+xYx&uiFu6&tQE}lD2v!aNaXQKLP%loPamM?EXBCs37=D&D>HPVc
zNI8*9ACmhm2(LTI^jI$tTA&?RBsEV})=ba!0#y473p)Yq#Xz-4rU!e`u-m|qNhfWV
zlrXuS=K(#x3~Ax=%IJn7nI7y#>pMN6leuz|Oop_)$#V1C2YIFtOWK2txG_E0*s=#3
z68>QGqZ8cY<M@LOq5i?<pS-d&_F&^+PxHY(7tBigPqK%wQ6;t!i<a%FM7wDR7~~SY
z0pn7FDcO!-W)KWtI`HR7gJGO+fy5vfE)K*5Bu5%X<8lTF9SLre1BGC<{2YL$AOefu
zkHL9ypdirikb}WNFpP-pPJ>~A5XJd37%s^KM7QPqWxM=f`EvB}^62%x{#w3?U^dx(
z1hYs)0Qo0SK!SdNj!NzomO@w^?iCl??(-kc9;~=aEsAV-kq9H{Xw3;X$K~3B!}^G$
z)@k+Sf7&254}ij>U132+7}Rdx`6NL6vS;rKU0>@|<F9;$1=084e(<Nh>ZfByFSw^c
zRpdZ--D1v7rsM$VzFZpZmVITcWG;A6P%#8IVBQqBA_S=eTyTG4vl=uuAT`os2GpM%
znqdc3tqa2GAR!vcT4<e9{CWt|OLD;-AtH)B#!Qax(6nVuaLai}0#eorK|VB<b`#Wy
zN6^g3|I<f`L(@faK}@<JGzKaSC!tPX2#W9Tk|z*|DcA?DQop3Yf?N_&7T4r;gC4XT
ze9}cyC)>z2&d%vo*J&)}-~6NIGr>*Lg?q1vKG99?xI6C6S?dJz_czJtNgl)NJLluG
z5xO#GN<Uh%<cfbvsgQoY1sc<fC!_<T$`0A|A$5V&Nmx?mUP@U&;Yn$KK09Gadq@pZ
zGZ*!RBQsL^;^C8DluD6ECr(Fy9yi_hu?gyou*Su_o=JAta~u|SG#f!ZoU;)XK03a<
zBs=CUKbGwHyZ^%1f9)&L&pVzi4sIs|XMJ^!j*;h0tzk!|ms>xtr;RGNQ&1O;463EV
z^A&@+2p}K6__@@XY69qHT_P5xhFD>Ua3@HG=PQC(h-1znh(c$rq$@dw3kGcw!pOcN
z%7@kkgS80F$^Fk$kO9G31k}NRwJ4QNF{B9!oM*Y)W4c_qoJoa8&7oQ%7=~($*mO%)
zIJ!&Lbh1;^a`qu=^_}uc(yg{XC}`ed{@1-#&jb55rmbC--m{?e?ESb@>0M!YcL&Rx
zcZ+(%ac!%;ownK^c<~26{S!W=RT>n=>XilqwLZtGHt^)xgs>VgT1ZyHpx&to0bK}U
zGHeY55sWr`#RkhetPq!Uf|A&A@HpxQu&FpS=&{rY#fmh(zM}PR5{plo!;0FM%$cva
zhVMkPMly~5(2^qZixFTu1W`XRI>|URjjtcNLFm{N+MlBsUzfx<1xrR_9_pZBfX3G)
zPtsS);ttSVgEey#0vs<6C*p+@5LPc-TuEQkC?z3@+DU(R)XVfsZ><HwYnj)aZ~9Te
zNT7XeX)~zWaHfRq(^y-v3%M(Ry*=#V$6>9)ckuBD$rBh&0lPe$f$$;PA3d;o@MwV8
z$DhW7t5}8}&AEukJ8$*J2Iy`BWEvjvBL?pdZcBQ`7khYYcn=Yy+sKR3@sS?#1u%Cz
zJQS2XNXf5Arl)*iNKpXfFmh5oM|)lf`Ad)a0>wkL!|*`^NoD^?O5MSBT(nRboH+x2
z7erU>F$a(jB_FN{p7B9fj#ixQS3;eKzY_SmfEyVcJt?6DnsRU<e04C0y6>RTOr@=9
zLrHK&Xy55y9RXLhD{=@Wqsm^pD3r(VrjAbG>j;*c?se1@z8@Wx9@MaKo?FB;MRNX2
zh5|==$*`4PGHkU9%#AM@g#0DrM<cf3#~WTUd^LQ@_{W>&hc6lA+sw@2ONOe>+?VWJ
z1*Ss>D%vmQ9RSzElNf1$9?rK$MWJNiA<*xT^9D$Z^Z9IWLc;k998Ht(>43C!5$FRW
zh9=^)Khp3e@1cmav_D_@w7<X?)BY%#Tv7q)(2;#XMA3ff_d|uE{2VA76gVH@fTiRm
zMThi*%XXYUFm$Lh#Q77>SMZ;Lz{~qnIi~%O1l^_mQKl%@zwIhOvYqx9_+r{06`+zg
z+KM`i-(R-V{(PQdQy;IEx6xnAH{be}ojiT23+~;=7NDrD0)tH;E88ZP+U2gK`&ew3
z$p!D9Jy=N*wG@6!&0i}p@6rJy(kkPXjXH*lznVma?N_V))-hnLNw$Sb2lurx`-N8|
zbg93uwAr^`>?7AM&kD@<{m?gm;1{A*NvRRM0%Jr8Fz;)QA7f!fBbdyrB6dQFRhSH9
zrvwC1H=vV|1tlhO!OaFe7b3WlDK1%1Vj>sRCS9xu9EyTpoW9}tw~4=Kf;)6nNIM6+
z$a_I?7frCS9D(=-eq%jHQJN-r*s_HeRuTA{RllNWM_+Ndq63Nw3<%A&?iA;!F_AB+
zF%iqp2Lrw&Nii`di54wT33JU>t4Wd)6Zz4%I6tfZ;1|f(GwE7c5P%L14+q+(M=K2$
zT%?6C?q{L(sDZXRXlsNA@+oIMTPn1Z@x!!K=CC^h!H}mj`SFRnGx|hkOK2h%NDnpe
zLY~3i`7ob!E7DV)IF&Y=ZU1N~2v%>};;`mYe75iuG7iemzqBdYAr^<zj=cYn+;0Yt
zs}_fR%>xixA}Op}-J0piPCQKrlLrrMdbAgN@B#?-3<cG}d1@SFM~5pxoETpMIECF6
zX&mH|%RSk{7>VpU_NC|Frg4x<uD9s+;+mU{)DH5mq@?S9?ORAlSrx1dTB!AVH18#V
z8*Yz2-1YXUyaW(wA%?#M_*(;b-O@{dCH*Df$H@Q7E5cx+fyZv)B{&yT2#PCQL<Dr#
z7NrsdbVNzl7NxsuQDRRK0w#?bb|7m){Ng=H94e{dk7@^iybvw9Ffm^eJhg~p;#=`D
zJeIk?6<@+PpKi1<dv#U}n)zx$kGq%=^JF=Cf4}@k|L@=Occ-xTvu8D+=atxdBzZu^
zr)I^_xt0;PEhd?72I<rLbHTG9ecl%rZ2j6}NO|5d7d(rUr;T($%-J=JGCZ{&qf8U&
zg7oAR_6%+s^}p;ZCKVa@Hc8Bp5W{y`!&?;-nI!4`xg=Wt)%!yY!r(+MInSKIIZI7R
z?%bt(89b<jQ^r<>;oRVz4Zt@7N$!MYGx>Aw{<S}~j5AGH?CfWWWSQ^c|Ax@mCrVA^
zpfmD*zd9+C(E&A){?3Z$XTLSt&QF|P$t>_@c*E%VHOaFW{q#s9m2nQEpPuOnlze)q
z&!gm}LEX<2I5rS-!lMG}Uy3prB`+Cpmqc5FmX5%OHF*9D@_xVHvTVgQhcAG5e!ivw
zTaaf2TZBeb_SL<4k2M^k{;`HblpbqrrN<gu(~mXQ>>q3XX}s_u&nVrpuIYq-3T*!H
zv8LPhn2+^25ABM(zvAvo-@#N9`W@o#?-NSTOb3!jZ>NWb47CI8#Y0QF4lWtoITxmf
zCh76;&?L%sr|Z79y8GsAFKHZ0KlGBu<ytcIin&LUci}%<O$}c&zR!Hd_j~@^SJ!`K
zl3c#!XE!8fueqd@97AqYNEDHu1-PXqUJM1JG1z=^d34cQHWdl4{?HPO*@^}*f!4qf
z?f%d?;~TkD@G#U2sX|aKRfL3;r&70D>kOQoMWPd0Jl{e7w0yf!VRvxb-r?WouSUKu
zt9il*ZhxOJy2Gq#P8>#pbGsGNvYfihIq{U>+<;@Kd(@PyRBw1nTVgU_|6~+;`A9|{
zmV*>yP42d2Bqp{KYEjNJCwI{bV#!F<4F)OORI^whuFzy8N6^>jZPLwcciKJ8{20N@
zT&IT&o45EFsaNZV?H?mLuy>SqL{hu3D`<;Ds>Mr0lS<=*9tL!S7HT6+KSwQFwxf8B
zOfq^FQlb+*3<V3JsphmlHDKDmPn1e`XgXnq*QEXVw50u|XCoaST@M8dprD(yKh<FD
zFI9lp1eBvPEy=Ldd^+-?#&X1NWNITMdXdCQJ4|%54%$~g3JB&mTc97|r~*eq&UoKs
zV=hAK1E3E4cDRZBvHPv}uZ;4qp(XN}c9?2QP|K{gvmQ^aMoXxB$y0J|!Li_iS=(#t
z=u$hA$&b&}^%wrk=YGdu4c;vUFAl-nOD3@hH(d`#|A`x`m?T80Ynp7LzBfjQ7|2lZ
z0!%Q0xq-cezmUPE;sm&019PK-Pq!uuiW}>P-tU(<G(nV@7T*bq8|y1>iDahW@-#r2
zN_p1=_aL^=wB>3)fVqn9_d{=1q`<_Apu+6Wv4Xil`Yw`4NLv?I{62+I-Y76(KR`xF
z=O<rxos4+c0H}eZqqHm;#v+;Tj^SY;2^zH?XIjAAyA=ja`EN8hdbvM}awXz@d90Eb
zI%%ay+)Bibml*NT)W>Q_RNzp>neQEb6>Fn^%HSXQuGNXN(;opud{Sw^yX`|?Q$Dz7
zcgUcM*q>*1F2R1C34~nNlelc^(Yj**2C($r!^eO_>@#iPRIo)i(<_$z*Lc?|Gg{tm
z5rx9rZHjgHc&kH>F5mYyQI-Fu&-;D9@efW82g!Xoz2eQvA`Q76j%LlO{A>eHQ5D<M
zQ9$-%4Ln(u19x+C15di81a-O2M@LJiB(o|%hR)v%G+$QbN#`p`LQ$p(ehXjKl|HI9
zy}c=_{NPIec*1D4?ktNc52cTuslE-N>&r2vkKamYugZDm+tZXj_#dFtJ42H1Pg44u
z!SEIZQ@IIkN*_P0HY2+ko2B$sB|AoLWo^H?{`AqM_Pe3>C<~q^9{{=wk$FVAh+HpX
z3!s{Xx6--}82J~}y|%nWXe-+(G)871qwX2`UlM5(0)?V)93PQ?=~__5osQ49K8`PX
zK5fbyoYa1L^`Z9h>msz2<QF5&x{0g^a#fd8Bg|9NG#G^%;o2p9cU{8sZc%SZuU*2o
z(<S`g&-mWo{+B1~BZxy3zrMqOQW&S4HWqKhiWDH)(O3*Kb*14k3#RlkY7a}6J<#ZK
zTJ4oV$ZHSEN#sQe3=2U7Mo=uAh(2Fl>LZwdC5U(cI0j&R@kZ<%jmrZo%BX>QF`|-8
z5CA7aohaC+>gStJ6eLm?AGXwdO0=Qz$(*#dO9HylK6YUZmiFL@A9HBahguQp#HTqj
zRT?3ZJHI`)98fUP_4-4iLLjXLsG6auP%8w68MC{M*%Mo1V#2uI{;{al5q*FF6h~Xb
z+3E=A<Kp4PZ9EJc8%lM=z)Fxj?TrU29!d?o?TWxFgFX)7wb}qTSD&E*eFym`eP0q=
zow_>8{D%nTi1ZL)tAB`Q)kf5v6Z}v6*gZs8+8-i*ypxCMi*J02u7UOw2HJ3<uC<Zk
zu}<{a;s+Zzuu;K~F51|Hd&_ycUJSX`Mqc_|jfC?`t0@eN%WG}q;e*#+{xa*rZ4I=o
z095f*m$%w7q4p2|(%<~Wubrxm*vK|^ULzIL<yT5V4GooW7siVyIb$=sHiD^B?5t#)
z=xRVqlM`x*=tj{QIa`zyYQW1I(aj1>H{HdgsM;pDN)ZKKaVMA)YBqI`)d|eA?F370
z1P(iz=vXn|VxDa;nHWGo*~x*pQt?=cJC!e|B-BoD3(+coH37sLB){_AN#r@;0_l&Q
zZ%-o6K`&vEoOHa@$2RP>ZWu6yZn8R08F*b`*ZO@fc-UXO6QBoRpM_r!z}Er29syoI
zF6`Ppc>5O(1g5|4>v)q$0>SE?r|i_USlR(uBN){fJ*AD5DQi^86$V+eOUIQwY1RxR
zrkP`uS+m0RF@bN96l}_R$%~t^Ug?cTnhRhUZ{%XJ0~xnGT<UdS?D*Kv`rPNgH5fqg
zQX)U#4fnbw8B&fCK{5b@Ns2YIuMkM`?RP;-(KC?TNkTib1oP#0!A5zEJjAYN31%|g
z1>2Nyw2D|}BM!|w)dazJq~~~GPYENw5aca(!KTahI7JN&Ly)@J1z~5{z|8al#%Omd
zQa3k2<%Xi*(!$bMQFOCQ;vC73gkW>IH|S@Qq;3vLnP5sube(kv7v1cV?8%b4HDYt2
zK8*{Xtw&Y=4GRhK_X6^Bh@XDroJE`<5t=t^R!K?B$z9x*j9yA5NOooEO4PUUK3``=
zT?Q_cEK5?JQuuP^d7smuwvSN-uxI(wTJW_BaCcRJdAI0V1yDMpR~~-J7r!&mgs;4a
z?HAth&Iq;e-D-JH#T=D4BE>MBA=KahqF?rnKlP^I3Y9dco9UK{$D|?U#aR+|RFj^$
z3IH>tJq;KoEJS%XRRLi6n6pO`7d6H{-7BxYrQ-25hb_?rZpH1-E9NS|aS5cf3M$Gf
z3+5^S3XNV@V02b5CRYLW%QY(Zl0H^6R;)PN{xvJrKByVFIfjT-d)p;jFx`EGtPhQx
zYC8LtO-2pfqN6L1$;97y4(Fj!%LM?ztzryMVpXu|n!^v@zc-NJ_b(N0&AJ!)lAwe8
zoGaW&=L1ZiVhzPZr2YA@B>U2p^kHBw8qG+se#X)h%wKrrq{%pe_hmaBzlJ{g>x8*O
zy&pvIZL;j_Xuzrz%?}yHCS8l(^L6QImM(?Vj9Ww-hDqe?6HPQ0qqpd4?&ja3OU&KB
z@^>D5>}!!RRGxB2Q@6njYVJ60VkbRac{l{koeP43i8Rd+JRD?ZU>0Ol>Vge|2dMC1
ziUiG_3vvvN5Lxe0Zr3cAeT9IS8mtMf*UAvR$5=&0qJ<#MoeRP)B3VaEi%gp)0z;7I
z&ILjJps;I9ldwE#2r?>lK?K%hppzLAmIn+$iAr7ah{~rBf#9K+5@`N?MCG}p@%!>D
zK?Mw>TqMbA;gY)(in($<TeP(GlKJlFEF+#Le}i5!-=5tXlay%bF%_fxF()lO4Wk<6
zh;qejsx`JgHcF+x_Tg>V*(7AiLS1L~Qi_A!{OfV?RoctUzwWiqdwJ4O_rh1QS9UJi
zS$5|Wo0K?L{=X&-Z#8KsX~8^|Z-$i-479y1m&Uy_mKP&7Z~5McG2Zx?H~-16`vbwm
zq}H&HH!PC|r+-5rPIb|9ym4ADG4F;hI?4x{KQ#LabtyFg3nNaa%~Bcm)`t#^QBg<x
z9awYJ=|?L~cjyvt05c-G4HV{sL*I71QBXC{?biD>GLpDzV271|Dy~{fHg?$u^!r%!
zwCvC-vlLs?5NAHP0#S_<%;Uf!H8m&9<0dd*p+PhEITjlBdUq@|{F8?}(yto|%Fp6S
z%OUPT`@eXe3JM7+QH+*j!gakJgv46nGDpv_MItGf@dzWaW_p@I@Q+y&dSAhc+C6(3
z580ZAg2xj8ccBlT3ku2NXLem}jkPO1E9rq=9MNm8Pt{=w54FB@(t2w<E>1gmDKx>b
ztEiZEk|~5nCp($-`K01y89b>ODTWyuXke%0c8Mm0yynw+Qh{=6>Ew7)`Pas8G<Z@0
zwmSEuYG-+QANZ%X-UtmCj`z4v>N&n72_GXEr%fu2&dNR-!7&P3NUx?H&`Nzt<%@Xn
z%yLikQbFHL;KhNUfybAQf9afK>10p)1E5nXkAtxQHT>=x<@-i)ZTwEjg~8AO8Dt#C
z=U9T0jo8SW7C*RbM>c@{)0yR-QwIvmJp?KvC%0q&p4Oi%k>&a!Q1+MYw7+z+r}GDE
ze#zbp#saXU6#Gl#d~E9D)v_7-Yqd>$yJf*2&Es!Bpm!V-0f0X6O->|07yw<gfBO*x
zNwTTU0T5Q+r?!-iPxj5?y`1Y|ri;`RdlU3*Y4k9U%QXngSPwII5ikpWaQsgjnul1R
zn|8gTrwn~?=C07K9NxXNcZIuLJIlMy@_+VA|M$Q3zQ~0rL!swFjIR(Agp9!cg#5bN
zdZ#kf3cU-SRtFB<h1S@tj1xQr>54bO6LK3OxLwQq%S_G4T!;(qFy~ijR>7etSf?yV
zed>Y$ZL>T<f5eH)<Ir@)U67RdQp!I9%A^(g5Tr(RK?@g<I#_N+5XK3v%<}C^_<?j=
zTyTE?osQ%}0PxZrLkNm1?vmhc;^a+Xq%^9YB$-K3Pe;rz=9(PVsg78ZObnl(*f=8e
z;F=(sRfjQ2(zW!XA696R(DMW@C)}%iLE>7vB)Fk4TuP2k5EmV>v}V3PsPQmi#47@P
zqexD=Z0e?4#>9EZFK#dow_iT#qOXIriEA(aSKvQaE}-HuUB(3@4TlY&ZH?vr-1d#-
za7J&V&)k*|TQr)<rw>p%42zc{yEN0~o`>XE<}E*#V|oAAf77R|1A3(k^@<&8np4`K
zuU8yXP~Y>cI-vHMK!(S*I(DzQ=2+}Rkpy3J-T|v(nEckQSQG~c??kbK=flR*+ZvYS
z_7(R_s2I^O=-AR^ObBMh-qOe5+qUryA($0=3x~nlVU%Sdm=!xzD}kv{Zs7Z?N_bMa
zF#;4_bdzFB+G>P0qtj|0Px`;z*J-WBjyE7RYm{dACieFg{onXz>=UI@1$YE6if0iP
zCrZFFE;&U_nih#5h&9(7b;4evrki)*Q<H0`+>S7VHP;+<vZP$1PR&}R1M-<k`#<SS
zd7N+V(uNYc2=6KhrF1$p?OBH<rvP|S{JMZYN&<*@QFNH+VPP}03N?}2@=KN06%ciI
zfGAT)6St^W{MWYV+i8pb1OMOq{)cais7Q03eSre4BsSJ-vpi25+xn-`S6b7WwLr07
za#|^j=V5mgwvwDB7By%o{nluGSfXo0tZXE7Q$80Hmt>?7R@|Hh{nMpD0jL(tF_JE)
zO~(dXX=8T>jG(1W#0=H}*J(=T3ImTAPn3NJ6U9n_;)GB~F;-h3m%FRU3HRqtNAPU%
z;ONOd_1B#f?j-qAo__G<3h7M#DRaUdw%z-@@e}stqnvQZ6>nJW!O@RLgO$gxo)hjQ
z>9r*Ope{7&INTH!sWY)MfLAIh>lYjQqLo`N15N89t^2q1{^}WxITkm$2<}Yq-!Yl^
zn>iF71dTWpy?qyZo&AF@D@vM_;(>g06QtIlNcglKtHs2MPJW~A-EN#?@6m<kj<w`Z
zJp8*~{&K`QN+;rRj**Mtg>}ZmgSPhPUNot`IcJiBd7v*>p7XIayq0W&(bc!x59p#q
zRhwXIF~JWwXsr`f?T5y2Ytg_2u`<rd=P0V$1ks_V?SIhi15PV>8B7q2%sTr8Wj~4T
z@`|FW{T#6*6dUV<n(oPhs;XTQO@9JSIwCKn5S{paR8{*~wn_8I1X8KTnv*K9sg3qQ
zRnXcZs+)ncs>WJP&z0fLGp`**BO1w+pjVX#6=ziGLUY9@o@M?oz8U*O$%LNq7s~&I
zS1%z`3ZW!I${J5V4JD$fArN4<cNzlq8W$l@DI7^<EroDhr46;90^>!8;6$a8K~jpD
z_y(s<`FXq^#3mfjXgvmuRv+XEl{CogT!W;$3zAIrOx&Vtko0t5v_Jdvf9Pl49F|uj
zR=2zszeec@yoPXzZ_Y5DT15z!S5YF7l*^@~RuO^|E;PrvHbKkV4&4ep`;FmFV#QoK
z+HHU!6Z40G&waI{WEd4dhN2AGM{2P{gQRw7uLz+gV}V>8x@H)?AOvh|pWG~^qbLY%
zz<pGH$Vq#u)^n_(-R-IvD&4R^V#Y8rhsNlBGr+0YNb>Vd<}u5S$;98Ljj6PtBx5Qq
znc0|%`<IMq-%|qhQEC!Txu;~%KQAnd>4c~H=W)Izp9)mXCB|5y=wwXg=LusfAfn5S
zsXhG@n)+%?=WFU)?@5_=i>}6W{w=zsC*`dl`2J7($5Y1C(qAmsY4nv%A79k4KQamc
zRk;1efT>}BiZN9bF(N;hzTP|+V+y7K$-E&{JYTLu=1cN}psyd8=WI;TBnn~{CK6BH
z)EVcP%XJ{^(Xs5fd;?jBU^b?E98e-?c<us8E%*k4-~9L4fw~$NAB8<|J@*!0!dRC)
zu7&E4CLh_^Vs-)C%{YuBXdD!=0e7OWrAo%p*W4XQ4Z))iim8*PyqQfkx`~%&(uB(%
zfp^WZv03mQVSNtgI>ci)jH~yU#2Gy{zSX1Rk-T7EH<UbKvXcWl-52`c30%^wImjzs
z8s&=V3{QknE*`5yjMI4{0PN<l7I0cb^=W?;|M&o-i2Zf|UGKd`=$~_x%@;jca=y-K
zQ*uvk*a4PEZ#xQ&_;u3^@op18Es(xfFW}{e4<rq$AkPNdTw!?2X&JuGOE0`T&;EJg
z-O;FjPrh@|DK^qqbGrPmUO@cJB_ln=*3xs4`$8|19}!-;db#(~ix2ZHdNI@1$#?A*
zm9OU9qD#Dh@BFPl^m``xlG+qX<abwUyo^2rIcn+*=kBqrHxL3CGpQCS1gRWc(56U$
zTV=C8YU~|?)C(?nJRuB~D&Y=eBE%YoAQg%W0tSRr6Dp#e^&EvDy?`dTJ+e|JOhki=
zp%>tSXgH-8fZ`ic&}xWdMS1})xLdB7^~Q>TclH+}A+<|JhuM17aT{5qk4qxefG}PT
zj!xU|y2T4{NmN;Jy{w}GwN#mv9@Dm~ZGN28x7gntOY^gO0e4mC)1y_sB(vpcm{vNl
z_7YzClIUYx;uA=DI@xr-s|`GK<x6_yg@>=c_^ub<fM(j%O&G9Z{4#{Jz)tU|I6?br
zofi5ro7!@wuTL}m!(a6$e(3-BgvOVojnJO?c8&r=nUX+aWBjM~m6_=#*a#$!%Z_+J
z;Xy9g_z!7X6u%!U^3`|2mP<!%T0pp&NP%YB1u-)UKo$UwKr}I6aiE!Y!5x|}B>cz4
zSnEI>nr6BQ9u8>yfTi4FUPFJ5G}A74T5q+*0K*jqhZZyKk_?-r8G!^FS{RRGOR(;e
zz!7m|1B@@y8q8+o>lQQZl8x{dJQr4M_cdpkX*J5^<+o30TkyZNPfl&v%r3g2N4R3y
zBW*M3v|ajUyN&O?VC_e%#1E}a+E2^KA7shy|Mi|h_jR{P>4f}sqwW9VnU)-f{fY}@
zfdEVsRupvj^tXb}r%N7@wt-^@XgORf^_cutV9oScFHk~a%Q2o-JpVl}iiG>VW@F(5
zn9atjr!~`qjfUqH>MpV-m_7UROi%X0x_t0t1NYZI*+T5(M@PpJ@aKF7aK`<$OZwg=
z58#%O92g$$mBK0FJf%wRlBdm9sa^<Y>yIw2mM+-_!1Ea&NHRWfN$^pV%Nef=W>VH`
zpZ^k|dD%O79{5Xuzu8NG*5?6IZS*Bz&$SO`lum4U{Q5^!o@$7emw?-u{UkcDP5jYq
zzXa#HuKOlxX^PHS8p*pvy=l<(47fr`OX=8wvO~_}u**2Z-7v#|{Tz`Nf<VE~%XavT
z=)A?#A892tN#lvg`*&$6Y2;1&OP6=rAM>?KS_*yB_4qX{C3&Z`T;D`3P0{&u`1YnO
z*P!cpcVX8vdW-XvHq5DJV{-g{+HD-azih_|Z?|k!j_XI0^tN0-1nLRb>)%S3ciJDF
z(53$Y0(F0G$ML%+a_yM%wBCIkc;TCGeakjke>74{9<Q|%U=t1KZ4*mvGgOd^ZDCOx
zAsy+facaJLcd*io&^mbsZBCjyYi6Vgdcwth*-mcu>U2Qote)kFEBxLc|M_4015uDs
zo!R?%HntHK3FOGV{!XeEbr>;n;tbmGVbj8fE3_>nEvSmc9<4x|Rb7~54DkxAPeR1M
z1Eoi==F?rqtSuYpxm#ffQMY0;g=n|OvBey0fbH%ClO=??usRExM5Q2;-K^r{ApryT
z5(WnRo&Ac%$2(w}08A!L(H2#ddfCOtgTr=^1PkyIhAWI;viNxHoE20f2)%6Xs7p?o
zH+7#n#Dtm?ckc#v?Ir~Fh$EHlTKBbV>fy%_*Ln`O*vjSaM*r`Xz4{ApH5-Ep3l+aV
zf%jR?L5{Mua=~xdCEZ%|n}p0GniH*DwqB^X5?+V$?D|jdC=tL^dn3ZIoPa-Q6+u2s
z1aRLsL`h}dEu#Io=4za1wLR=3tksusTYudzefS;!+4Q_^K{sGL5IUk+TnMnbmQ=iv
zpmBJDRBbS#S{yxtzZ)y)cq4&$bSBsWW%LXU<~fUh<$@sj63^hY(NUQB9K|X2LwlGE
zw4$^rWkK3;zZf7eG_e9JEtQfiNIUL=sD6+w8*Z+FKeC|MahF8@7J9TPxk6&4`yoqz
z0MkPTy2OOWUQ)VX?Rr42rd|YqB7zJpN0;ctuN*_Z#V0yp)Z^LlKxh$XAq#;I#)hh!
zWtYH2M!r9s_aP-OT#>*!%F*);Ld6GDH*oE6&IdoIb|L$DdeQ-R$owKd`lM$<*Fl|t
zJQMQFRBrVp9PM%8EDb$U4Yy0M?Jy8M$_%Pe6+9@^#5R<mbj%Tozghmtt;b05sKa+C
zULyr^1K?x_$XEf;Q6gUfV5Cs92W0f2J^&dda+A<D?_VRgC+15N07^uTh{m9|@&(Jw
z9+7K5-jJ_&1d{smv|x_#l<UatH)Qe1bj^g%cy&mg4$|{~{ekcMV}CDt%M}#pi3NuU
z?qNzaDGn1(`*ai1Ga#WDoverqTf-6yz9NcD$S}j=kw~lWU7%ue!AzuOL%d@}+B+9C
z)Cg=S*kk$?>5#bK5%~l$j62{RH6I=;Qb#pGct9GlgC1XV8X-t+<$}RJzFG;q<VK`t
zgSL?2M$sU#WI=i&NnpU$)#lj$<OS*3kgEWD4A8X&>Di#GyCt$O;^d^G(_i!}YB`nN
zY75VVnmkG7`?JH(so2%^(O8U=WVu0`6Ni=|8wn6=#jZ}e8ETok1W3wF1Rg~tjlxDZ
zva?}-asJbm<ae1ywd&m@&A}nfs_fm;{c-$<_%0_=_&I!q_%uhRN~hLL^D&S=H$Fmk
zPge{kg4B|%?+1RMGK21mu+eY>FKTT>mH<9U>`$krWS1vEpY#dxgcOp%mTneJ;U*t}
z4^VOnr28ZtA3jfMEuG+W#SfO3)1RmL7}&&ft~rq1J!DAII}QwL`gT8Ov<5Q<{?<$w
zH;R^Mdq%#6265){z3pT|`A`4+H+=de!oM*s9ubrEN{FG3>x;9@U0Jap<hYr+E9;db
zSi(_@ggW18Ei#*&tX9rad=<S9T0{v3cT2?8oleVoA5Hm03zBJZcj%R0dL}?;azHtk
z8VzHadTS?vsQ*5PB?zi13CN-Zc=>9I-Z!uWJb%Q3G&)5xBm8%$e5gL%Bl_<p^WDL)
z2?nA#&lQsHy=1;UdrbJyD?;miU30dMRwe0B1j|o*1vb}TLw`PU^*a#{s`dt(qJ4O^
zY2Buo?Zc&<VvnVndySklbKkaiom0z~`{w9V@DicuH9rxWS<2Q?>YJe+pG5m!)6sX=
zG;bPm!a(*G?8+>5JIrFA|8pPvO^ZlJYi+=zKdTflc}nwvYB6v@nnks*5Y&~ja)S;c
z?b?@3X=Z%ImbXT`xlmr_k}Va53tAL}LhObtGxX2m(DVXau#I=$uMaj`J_K`F8H~_G
zSYbsBSn6x!^a9$7pw*I$@E&2J=44|<dI2tIM4#wu099GPVks-5H;VX1PN`aA?Rqt(
ztPDlTQ69uC4v~<xtc;%!H;1L_;dHKyfy3!Z5YH>$cK9kJne*-0>x^ffClG7>dFK0L
z$Tmu@(B_b@XwqMx$yI9|zCv;dQb9ZYH9HFG1YkBsgiJ|tY_5It5@HYg(>anI6}iPW
zLiZc&UpRFwqPx<IT^13FqmcXtzQF+jVh%lHhUWSW_G(WUr9sqo^&9TaZ<u$Bu71PQ
z$r}Hm&-mLv^EK01<2I)O$xIlB9LkpXv?T?$doi_HFo&lt)@O>h54dyo;DF%+0^m9>
zkAuk%IeV~gyx%8IkgVr8o;_Hv4DB6x&hhNQiswL+7=q*31Axr><jQ#V;AEtI`S%&m
z9w2pL%^Pv>k~NO(!3pGn-G!H|abyp`chLVt;y2hK;8=L}02A$M?qbp#P-A`z8QyR!
za&osi26`~Cih9^@UVuZs3*V^A_`l&h#3%pG|BY|PK2a()FO0YW*Yv?<#p^lggOu1!
zFE$dpl3Z};+gumHp7~2%gc-{}VPf~U{c9ii(hr4dRy%ofNQZ8)z9(cnq`SRtFvL?5
zO#+77qi}ObhXFbY-a)iztYv2O5q9Q~4pAwaya;<9&K=Gn-P!)K9MZuQusX@`YXer8
z9M&LIB6W+M2|{A#b47C4+g3t4E2&}49ka&WnoR8ankh`&OX)8My(OeeVIrt?`ZdQx
zIt=LSquJcnguW*XW!50|Fo09%wkFU@bAYFW(!fIXN6&W%=>XDSGxR)mS2SrDs4P`I
zN0_MFmRd$iS-0)qN8^-nDwA!3{bg9X(=QxmBpTfQbd&6Oa40)HGH_A5jz@<<#M&8&
zY5Q;m7xDf1NP~;)g?(B!VBYe5h{NLEXMXU%{Dz<YxYiM@bk_aA05Dy!FOX#XV2Qx%
zn>p!e1B?Y5FYN|NItoq=4A4#t2!drp>rA!=G`8gb+rR*F+e05r4h#TfK@Yvb1hVcN
zsbTyak$+Nf0Ro7Dpd<zS(6D1ti94Kj#zGS3NE_fQp7uhEMU?3V>CgJ}hz)Q_quK%&
z2rgTTX0fE$0GBihY)FEARL2py<fI-{Wnwzen;ct<<4_k;iHKg|2mh~AG`i-c)5&}>
z|F>Ioa8SyP$;96%aUSV#C853ysUdDc%8St#NO`fxC85@r=xHfDdlx2_A5(bm_*I|r
zZ#@d9SDkZrdObWvpEMj!wxf=WgUtB|gJVN*0>yR~oR;$u8Js5mc8=gnJ^~|G#GeGj
z-R4-^>6_E*7jsyVDL`uan>BOvdBvQM*sTcNpoa=TnflwYV$Mfk+%@M$0wsXor=OKp
z@)0pNQYMnsW7m9y&y57`W5|J+(F{j`*Tn4vm~Jpxv*sfjU*U!`=kw7gl_(XBx^m%6
zHhraEgcsSqa7CvA*@YC5rbX>Tq!mKL#U~ZI(`GuaH>5hlm9FqI<eG#?NuTnaP5M-D
zZ7IQ!UTGv4(zh6sRySuP1fB%SFk|^A%r|`SpM1rS{deJOs%{ymUW{Xf!v~Fu0I<(C
z-k^FXQo(!hmeMI@D!A8wMfIi(uTi>%{!8}>w{y#ImWonQQaN#(GDgoG5x+|bd540k
zM%}SuR=vl~j;Rh{xj?y7HB}wi3vLYLy{UTFnr{eY{`e|Q6^)fqb;Dq>uFv>yC?@%o
zzZ&^kWSRzZVf%yQW5<JG7xsU<19zL0N-0v;T>dZGf$`V^e|Vkj7=)|LOJ#%=x0A{u
z@kZLD@ogFmVAp48vg6ZNTC3OoxgY$#DWx^P>p|Q1rv1g)T@SPUMX*-G2ZD-0oGbv0
z&>Wg1HO=(gSvN2%t+Q@m@fVT*Lu^i^g*kY|53?kx9~-nnI;8J}ylSm<O{zE5E$8Y&
zNhghG{yNp7D?T$IQdeo_q-8M3by&pfcv!^KS7JZ+OJDGg#V55apT2E3dtM_QN7?f#
z$o$?#D@Ay3-8yopcK6<odtT^E(hOSoN!9a08D2CAJgdIKoIS4tnAQaB#?ED}IK+xs
ziM1ZD(^}`@Wwn@<7|8yZV1yDw19&~hN!3IjMU_b-9eYI5v80e1-<ZjvHX+}-6U2Wz
z^_0IF`MNA_BjJ4U-0uu-KKH}?9P#zw;5Qv1PKpxeJh7_l7dv#-;TF*^2f(s>I&yAc
zVQfW60%MfHz<#9MzydvH+PQIn9T`Zbhj`IA<S<}X3QhUGXRN|vzds^v!W+L~qg~D-
z;EK{?ys+u1(u+NaU8Epp&)@=BO`Y<3S|iNq*Gv!c!dguD*d2xEFV1uRV+W==PY2h>
zE@<xL)?@P)AG;g*?Ce<evBNn&(PMXp+YaqoD}-n*0=RbAuc-oa1i<a&@>B7}CaCq8
zG;F`$22^=)VS{RJK-IS;7hmjvjyS$QX$Q$NNB5p^?WF_uWP0HGE8TxW9+%F)Ba_<*
z`Cc4<BV2X)_}%qmhjPI<-@+|;SZqqWB*^y4?<d>o{A)nfF%;;p<t;1VwxhILr~BB#
z7+?pPa1+0E+r&~}{fqvV`&bOLf9Vfr4^~`_Mp@TLYl3!(nI!i&?=`^*Zo4Me793+o
z;?j*<NjESJqV4O)xM|l$t;Ah97ePl+v_sZe6ldonaJ+>dZe+LiRT-VY6BYPw9P#Cc
zFTd;MhcCWkfg7opMiObcq#J?0OXY}?3z&UZn1GveSH|Vq36K4>+{LJ``NQfhns-+y
zZ38NFSKgx6{as;o{2zYJ4}H!1f=f~PC){r~HVX818V3{V#a6knudM0C1<ww17KKP>
z_3cnB$L$uOI;-Q)?2KkRNBBAz(V^hgxN6akb}^`5lY<Fvo`FIO4-r*g`+aU>Ml)P8
z$yfPLiDnac?J!0d5W*0o9GwoKAKj*tKoV}LMht#IvmCADZWqTsb*HG`Gs2`D6vE!M
za-LlveD3(9zWdndXFaU@_;H@s;Z`WIbX-bg4-)^q4X2W4Bs`U#OX+D2x4Ms*;jzdS
z?ZFLOaV1xL*5*~syG1muh3?@-e?r_Mb%)@Nz?8r6iZp(52X{`y>k`+b5_1myf#eOi
zFL1-dG`_F71L_$75zw5Lv;G)oS&chgw>_`wZ~Ttm`@-jjVb`f74ttA{NGtHr!*T&A
zCe4i}pVefB-32j|5$Xa)2Bk|wSlCw(q+xeKfONssfKUi@8N%$FS7au^1(7d9QwCSu
z?JQ#+D>5PGg6KtAODPhAo4c`1n$>r~!x4}&9{PX+qUPdaMMgg^2sjCcN^@QnCPzwY
zA_QsJT@WKW@I3`VJ=qHi3dAMBR7ih@R|C4~vgD*`RkLPUeP)={npNJw_<J?3VIAcY
z#qxi;l%scTD>L$sk_2{(j;=f=qwCj^QvP0CQ~LLjlz9f{KieZ53hZi0pEXN5?-tP%
zT`lSD(-i-@cl@J2{pPTwn#S@F*^*jLD1ek2&@Fx0CS~Q3A{2UCBo_e?uSFKMq`qRS
zk7L4NSB+5sxeG>p+@@JY{`~p3mC{nHkE3+dmm^X}KI-EqoNJ%ErzQ16M|~UxqkctN
z(k9sI<Cy$7s9L1#APuP1#}Ra2>*EijOqD?4ZdCnWK!C3G@z-&E{ElKCO-0jj#s5B9
zIsZ*1P53)kWBPQ+5*~j4zy9Ap3}dQY4jz_zYmh~9$PO>p#?j}kLB{#-4NT}95@JNO
z*jrc{f|74;g6#BU03|`%zP=g6KWcwMkYTAGx{<x^fq?D%muO6zpz<AJMf4P?!H*Sb
zOkFU#v4E8A4=qJHKS%KH3-I-TAi7^s^35h_6N>;A0GFX!-8i&_rG9K1bcp~K+*19q
zOE;Fy5uhX|&#@ZnYt9Z}HT;Wa0^PoaZmbskK8w7w*KVxWaW~f0@ZKFuk?E7G;eGAp
zFMF3-SIHIMO+NVfAOHLR^rzm`0Frt$9^3GqHEP-L0()kY>yArHp}JkEZO~^;RW`h5
zES2ViZGBkBJqx2SX?sx77nAn<+lt{`p;=i4Npk;Kwy)(#+XuCNYe~oI=O@VA%Bs4G
zQ?GmGHjLwboJ%3+gZX(Za~pr7#Q8{bxyVQ<4LlR@NZpDkCjx1>%19|7)e2BbkcbKB
zB-;TrLV*y&*aGN;_QzELN(t&P@6U%N?cedj1I`GfTs~iU6w>)}JMEA2%|I!T?49S8
z7b5kvKa(42Q%1fzGK!r$fI<bfso-R42`i9G;5whDOBv*SBr<~6ICJ(by2hDLC(iuD
zzp(zv4@OgyX3*W-wW{55$?;_<f1-C$anJTuU;bPIo~YsDQ5b`ARkM^o4<|&8j5AR$
zt#v>(cYf%@_Jqimf=k%aQZUq{tqZn!=?DsCWL!Qp<4hL>mk}80Xzq4SmLC^Go#uk4
z;}Kj&s8t@oK%{YI2<Gx9$nf~{V8up?5`v}tc>;o5nidXV=p7VFsq)&vfrQsW>3(lR
zaeU3kjx)nJI-|Z=${9d!mAjN3caA}wBct~GY`GLaU8BRj2Aa=8plMI*L=-cyyfX|t
zO@Y5B6U+BjgGkQL{_tOW%ePO_e(cwK5Pd`Kpx0th*(qhJb4}{hcwX6wde#VEX=)t-
zecYKQ^<1Vp%U7DqRGF`I(9KSb*Ul08-I~+^WP!5H-R!wcwcmn%l&TRUp@gM13yQ|`
zC86eM5#Y&!5K1+keFf8zTYE5In}Lr=f}UJ7eUePAJ?ES53+>0*uKV2DgP-6`+kI~B
zflK-LefO$Iy#XgOfJHN_x;sND5<y7X?^5Ngr*bDCQG>KJ9i4RbmAd>{pf0!fY(kkn
zwyvJH{Ftu(-S7F<*S;;PAWClMkOHT^JfJ@uFg1>vI0?5;roN=EcERIrjZSh3BH_V$
zKo+E~cER0dt$4N_UUmh5+gDIp)YVN8Xg2ZZz>GYYAVFR2f?zq;iFbe~2xW9WG<CHL
zlBY=hK3r5kMuEE81#K=Ld~#54_JY*aP0%^%NS)eWwdiV><VYwz_IhPn-M(^N-6VmF
z5G*}<7bRPjFGo=KE(tmOFtil=z4Y^j<fI=<-%yodrz!b`sJ`;ST}j;~GcMU*d|tzt
zs_b-XQml8PB3&hpp#QqGkmh-EUPj7tB`;G3{4o)9Ng@ZhcO-67B1z`wr2}ePSsYNd
zBXcvD7l;{n@S~#R4+5zArTw{`_Lsy}9G@+km*DXGyqNqvkw}t>$CoFP?0LRaMPBPB
zcUL!=cZ+&6bDauxJ5!;4?e~B3*Zq?))!NkHrrKH@Vk#6s0mkWUUs)o_1(EbXv;b4h
zSqyzonbb|Js|yr1%%~7v0I;6e^jJ||eHTQ+34&;#MQo>(h9M|*6Yo;npaMgSg<->H
z{6kOx3%=qSYD5H=TUf)|P)1Yj7juF&)kM<iWFxL(MFA|>itskj3xGqPY-Dn2QDcJK
zmjtB+&<Bi9*QnZb$JWY%uc?SaTn@+$`d_j%$RRn!-UY_Ipxo)#l;$T}6EluE<QVCG
zoaaC?-yTcfA%{j7XIXR7{A+|V#^Mu>!I>!O*4zO1EBxP^U4=2$Zas~I;S<BJcvu*{
zx%N524EBH3^3HWQWasy+xgBnVl)KWVyt&~9Jmzpnd;hL(K&s8(+&e#~R}0<oD(2<=
zS6+PhC13o)JKp)A9YTBi=Vk`y-J+`-@O0`)zxXZx)4%#fQBTtPtJjkpaX@??>>P~t
zMvZoW=qhdzlME3pBPU3IphxhDoiV82VY{@xveViC_I5Cf*yGTgUBX%o%1Ql-;s!W)
z5c5G4?65lsZB#x-Q6?_9T<x?=PHb{UMO63%jHXV0Mwl(bJYvPmY$1(>7!rO^`|{-Q
z30<cQF_J$KK4Blua}>LI^nb_9Wc-a%$>5E|y;t}|;hE97^AR;a%kYWWs#;&632WM`
zY8G)$Z~Fbe=|>{k&|;IT8Ly#&6`lFM8D(pz0u@A|TT2gKZ0)*sF_TWT2gbJAu!<0*
z|KW$WN%Yd^YW1TKq?&QT-Cjnq?(hf9yMcpzQ`vgAU9)UWL8Q_;!Cbbs`q2)>deYR@
z6-(I~2xe(PJ|NZD3)ZqVAl|AASD3HVEh*84Uk)fh*eDJ4iivlMC5!8pXu~CO-H6vj
z5@R^}H5qNVBq#%|ryAJwdY+SdlWHb>?#i=gvBSfgb*JjY(M+OiIsezx=g`f6DljxX
zTCIqmsj^&&+i#z^{qhu|J<k)`Zct^prV#Hgg*fjP^#<vhLcE<6;s^fTPyY2EoigfX
zVP;TqEIBWoK?Z^i?dh@Gi;#e^gWHz_U|YyFV#UJ&!vm|q%trmRg^d<LEU2+VteB1Z
zS<Vi|4u0syB#Uk$8NHgVe>UnEDTwMBt>tzpMZ7FnjQTmwj$+i$lXhe*8k`*+@^V<C
zDZBfDicv>%GjgkRQiN=){*;2u@GHL!A`rELOF@n&Bi~IgP$^^ie&noLk6_iCb5O$)
zH|Zl^r=wU|P*#7GNpw3d(@U64$IN<H8Eha5v@&1K`qivg?E8>{iS9(B*!*a1Wj3WV
z;dx!n`qN1Xe)=E(oIn4rk8d4SiU#t^pkae9;04)o<~r(l81M^rDw-Hu!_2y`ctX^O
zP;{I?gl63Z!9>Ej@2F-O+9$6_v+jatnMP>VU9d69@0N&iagG@tNweMrQAtDM0R=yx
z+|{G7uW&Im>n?}^XS`|(?uPT!Ss8RmV>uy9_!bb>`ukMCr6)7sQk@4}0x7Rt``{uo
z`m;FA0=P6(63+7oj?ez+^t#%4>fBENgT|)3<@*CBcg0Qt=Vh6Kbo6|K0P8Xc=}HF{
z$DN$a6sRE|LNnDSM{~Bg0L?Yfy|PnbfAP6Sqsar68G|9|(VT}Y+>M7wBV@m%oj|xQ
z?ZmQN_jR{P$<)I(l7#J=!W0{nusnTMasd88o$Vs<j|`ct;Gfb3x0bAbq(Nmn%6vK}
zE$t6d&(Z}4feJq2cG_RSPHBI@g$@P0B&q*&d~V17E56-nQ>yz>bp#aZXbj%Fdzhb;
zUU3kRrf>K6X5>(5+x>l-ux;0@!hG9y>lI1URui}A>VC|>MIaTQQ8ZpHSt|Hv-u^fK
z;CFv=b3e2^?Cyu-X~6T$Au&J)O#zc&3q_E?BxV&dL`QU!VPqZ;nAEQ*+R9cufUOgU
zCNK%9jqcE*mi*8lXHf(ba7PLaw(EpfK?UVkjWh<D?*wl&L@<f!@<VHRm2e}BKIslE
zS%p|~PnZ?qMgUs%lFTZ&<mm)fQMz4lR3!J2f5`$&0%eWjl8;MN75X(ZFbP!$x^jdY
zX}3wNInx1n@TdTe+Kd#MN;TULP%yAhW1Vv@<c1$Jb>JR|;q|@D@VCvE#xfDJ&ABgQ
z;G?#wtJ>y62Oza=BR36?z?^{_%Lr)KNzeBJ$ud^6ku}?rSG~Rn(lBE;da*mL2o{GV
zFnt5b^n@?=c%?LDhn(C1SC$^}#bE^#ENj9yq~jbn8t0jw@df71!DU6}4Bq|l(M=Ee
z0#7!}g?Mz)NT6Q3T>@Sk1wHHQfQdnCmH{ETUJi~fLlOPj_gff$o+rSEtNw}<2<>Zv
za7ka<)yjIW($UjHzBry%gfL*u?cQMeNiyFfa16t-=Xq|1%>06vYUjB@PlQNr*WIr)
z@5RA{pZwxr)2Q#;i-V~?UU3_pEhJASg#5+f$Nb`8$^7DQ^A=tlER$axZl)Ip=TKf8
zZr}9coS8bb7PMLND#g=FZuDB7*zhVb%4LI4sg2oz*UCUPF!X~lJJhV<cWy8x2hT^_
zA7gv)6y*Kofl3GDcG@3Pa`48){uuMKEBiA*OBjBP)hYXftv>e<V5rYUQ+x1(mF2o2
zKAeP8z!Cirp6!~manku8I2tEiKLpD8%XVD<cDvZ(t!dZ4#b})phCrb1&+WATezDDD
z9NW!eTf&fS7lz1o+8^he@BenU*p@J4E6OnLpKQne-4G*vyjmEdzm{)e>QK^`_wHk>
z1u2+3jZOU4F?Hy;*q#y*$9*ieL$lFN0`3(@Rm*C=I={y+<(nm{X@h<mMSXC0Fktc2
z_i*;ilw4bIEFoG_qPk%tdJ3cSO}k#F4q2ytyM2z_jJv{EW_b6`-WBe0ojR0eK{0mu
zcYgan_&1&lGAhku_b?~?)e4=PA?XJ7y4T#$v`5NdRz%l>Y9kex3&s?KgAOat)kbRi
zCg`QpDRzz+cd&(X1%EBCNL}VDMvZlZ#)F#01*3F|%xPbgq|RxAt#rDFLhlY;Dx$<?
zDtHNX%)Vk=U`{)@bBg)gVa`LpCT)u!J*F~jmeQP>FT7MlV=TkwB(7qS%(o}zGHk&W
z&>ubDpQ!Od0}mu=gZw<B#=AxLN55viLs8=$<1op$C~CZybC`tN7`>nBAx0`zx6P^a
zSWE+)oRQ^H5$2>wEW4BLOzN7RfVHj3K@ckEbCqn%_Tu65f8D9X`8=$6F4v#KtgL2X
z!#mNWYw~V11PSi|Nm8^>#tz8Zu8TYKw6m&kDGurQ2tD9p<P#R3A)PR{!<}JfG`q9(
zr;Gn5iPYqd%68hna*OHD<1PuuO~Ba>52pAiwZ57yoWD9@=!*N0MGLpsjOA$yXD;7o
z4QVxA`}#+}^?j2JCmL@u{jyssrZcK4v>LYcoRyvser#6Tc?GvE;r+GpM6Kj2wmKRT
z1B21cR7x&*KxaGPBzSbuy53ilsFPeUsQpnRQtf45S;or+TYYM`1a%3{F<0hjHC(Xq
z8}C+IA%Dt(Vl`Yc%2S||>QAy3+*)}GIGTYZtwyYA_T_Xs?bQ<5SGb;1a64itNeb@3
zo}&4-BuT5`YaTZnfR-2rZnwj7U%5XdAz;b`cU&gr-9i~H)Eu~{R6%x%`;3khd5``n
zXMgY_o2%<M2<v4kbf@k6uCx7LfOM`5Czn;=@PlR5uem(e)hL;z*B-GZeqAr9G4!mP
z*cb2j<%-?jn5U)K=Pf^`*#GDM<Zr#>)oI0!iDLBfsn~nQrJ~qZ2Y9*6D@`eO=pcBz
z5Ztb}=M=kjJuurzq-3=KS+S#im=y|~BP>9Vdq{Vfr4GgZqw0clsQQ{tf@`<Nn}SjD
z#z<mcL1Pxh4z~je6UuGHa!NhNqSy~Qgp`t-A?kq%H$V6Zsbl;=#4>Ef@)cu|75g5;
z*;EoaaV_@5X%@x4JCOy8=ZQ{}{<kdDRj1?075l!MbU!b5i_EQR<eOb>(X7<Gp<)M6
zN?oI+Z%gvlmA5JGoMLt5t-B+q+m3a{BFvT%!6u!+4z8m8U#RM9i#2DAX1APPL3XRT
z9d7m0X|cBV;n~x^|Jxt=6W{Tjfn!s;5icY=-%%?hqp~_+Mxyp@g7AVxsiK?9NFnSi
zC@lJIE_gsOSITEQc<fq23PIiw7qo&Z=&dlsLhEcHNbT!_aH@%8mx2HiLR5hvNbT!_
zxCD6oP}953c5sf=zAgxk4sfnga0PQKYC2Y=_H{v^*pS<RR>RLDd7z+!f@8}ttx4|S
z`l=*qXx)<HR=cFR>Rc1x_g<3P*CkIt1mZMFM#Yqwcn8S&V9>U^)h>yL9^nDc6Py_R
zZz<Z>CHLDk2smU-9SvHpsNA0|n7ULF2BklGzCk#pqr^S9wBfbqJA`LP%k7ZFF8PWk
zb$@fDAKt9@RVjz3uG^KMUH_K#zWrqgS<^3E<BM9hKiwqjIh7;}`gYmL3wP~09u-QB
z(ERa<qN(fHb-(`$8vqt_81Gj2L2dxN<5?vN3rosWbW@}0bCyb*Oo`4qlu96UC{rQ1
z(#BlQB|4a?kbOR3q<TuFNF3&Td_F$u_&DEODI<XHlGIGshOA}s<gTZeUlDFdIZD?r
z-F2jO@+PW!cvo8`X-1-i)f<1iFEtp~zPh{Xt21Reaf|wp=h|0yJAHMZ{bQf?)vra^
zs5fKixJaZ$a?IVp))&VGrUs@Ff``M2-a|Hc*>S<}XhvFa3U<JacY@h4?t!Nfe*|Hs
zwBP3yvt2YxkFNSH_<Fk)vsnZ#$IRmTD9O#dVsTt{z|zqs@7DmqcPl2{g(wUidS>R0
zM#~;*x(>y0S+7@cn&3JdmQruZ$1aYG@y7Gu8|nQolG$-NY*z}@gX<<!$BJaWJMbmh
zst*_Eh~9(lf{NpE+M)$tBuRu{Bp=Il2n+4(^0c<;uXAfMYTUs@!u^|Ze+aL^e1%t2
zIY))ZI7(C){)2tUd-=-#Z+Hk}pD1;W9E;Z=!(oz}1TW|kujT1Pj`OSxeTK&>$jn>5
zH)1TveCXHxxgY*zp@457MOp&hMRf^MB;flPl?8<nUvZ}}YTWb(RDZSD5rR~}A-Lk8
zM68Iu8BKMCAQf;Y2-xhBwD<MURKQKpX$PT@fETQSRKPBXvbd5WvGS`a!#Fe*unS@y
z2B}xj*bI6?%~pmW6|f5$e?DtL2LS=+pGOq1O9Jd@z0!ah^j9Ma*d_PygGE&XTHPId
zQU$8wna-T4_EC-zQ7*1?_;06ebj?f4(s{v=MF$7HNhVH)66eFD>x_-d`kExQ8&Q`c
zsXa`(k4*%P8W%}lP<o3ICZ%uZ_XXKAKH+jdwlbWz{FpNQo^Su6_y6ihqiMR&y;0Ao
z?>AP&IHCe@t~!kp2l6w}aqK4OE5H01eZ?Kf3q%=$oMBKFp)z#AHdX`GXB&28U%}I(
zGIYThtAUmW)iWVTW$1!O<owllgo@Y1PY9MG2Fe5Kye(C;=Q;wFp|5D*dlUzj!-`BT
zVqlD~V499BC5y(6W0&eQE6q@bNM0O$E>e=rMGWxRvBHF-lQF(ulcvhg6HcfXTigPr
zHS_HO(I0;!7F(1_|1oOLqQF##8u(l{ZYVhGm%Udie+>}&po*b;&Gb&6x@sPRgRWJK
zr{Iew)tp{zDL5nh<_WF22`hUAE>+Ffgw@?8tmfUK-n?BCR=1O|`ov%JZ-43M1re0`
z8V-AI&O1g=NzG|&rhSQ*mIpEoGO!xXv`?NEGGADdHV7FoDJ10(Bgad}?UJSv9c=&+
zC_Kx~%3K6L$Afdp??<Nk=6DIT4#Z+04B7x7-33-TUNTk-3Tn<MpJR@fFqdnkD<ZT}
z!yo5Z;-v%ZJ$@f73CmmK$;l{)22L$z)R0=hp<i5DJW5s^k<h^0MwAkto|>8U!chM&
z3PtP1D%VZm!ee@GDin#wpXx3HyA0(~T7o1eQg_HH7ZjH2SeFau^Y)-&;H)MpTLaK6
z<`GBAhZy40k~RPx*OoNt=uHujyrB^RNg3_(+K)ZbS5w-^((k?Wtnslw7Rm3`l-`ah
z{f7Vg$A9jNrcLP<H4R!w%*O3AX2q0xSU#4c%%&7<g-ku7v;oXupD4_xG#K7@8>1Eu
zGnG@1M=d+i<_;}nHl;1~sB__Jjxw9l9T0c|b3Pn(Zrn|#6r@7d?SoGDK1W$h=?Sb5
z;z0nuEhVp5^07>*TF_Ohf`m0qc5-G6ds}95&N|gNpHC)UP3i5M(iE|#7Z?$%7}`rC
z)~lI)_&XoKu*OW1tC?Lal~|HZyZ36F)Ub7$k+OC7>PM(ecdB(~Zz3Mw_jltUI3V|x
z{_D2yOD{fr4J*I!ikM4m<y*w>eBJuhoXIqokPQ8MpYvIt^0z;skqnJPEOy%^L4^cF
z_|)XU5b!Ovt>?_v0b+q7KJ9TX_tVU}-~qr1NotcBzXvqZ%(|c@cZu#8j`qwDi$+@n
z(wzbDk!IFcMBl4n6nEN8oX?SFwh8L!Q-U_o+}mADfmkSEBjFI7fL*Uz%&aeI>@Seq
zETvSMk6j=Zdyu7QMjgRz{{u-#;%lDT>}%k1E4F0PbZd}W8BiXP0mZ&}*gj=wgn#MO
z#18^dkB?7CPy8U}w%}ujr2r?9<0AO+iIEYOg+{=q)Byf(hpJtmi4Q%{cmXiA4j37_
zX7=+_GCkOf=Ix!fcp`hr^kgrLYnvEltkpj?>Cs-m6D9r@N8hY%{!Ti2dbV+N<Za|d
z-GGRwUo$=2;2~PE6oMxaXq*1w7Hp&)VgbA}$d4$A-tzvP7T9Eyl&)^%WBB&-+Vj&b
zQMOB3G6+5~<_7lYC1DqG$-rHM5vac+fvCFVadjdkDUr`eT9u>Edk;XNGhhNzKlXvY
z2M{rm?#LfKcxdkdzI1<<AD`?!zzU8H;>Yei0G*G&2mJWN@4-0=pdRNdxZRX58W2+=
zzfzFMr~+To^E{vs0*_0{*F0?sAps&szGwpqAyoGgg^|RIfusgUP|kOC<NP*YK+23d
zV7S>7obyIvz*tT>g3|Fpp@a3~_z(cPr0kH7KcEmg-7$p_D46p7CxuXfSb-C$o<FxE
z!G<3!Z|dXK@@@KS`6eiUlA;9X4?y5i*5W39YmXW3V=0gkMXv5X7TZEcHQ+WSaB99f
zzfYT`O4c}JnhO_w^K|!`C24~0&)pz5dv&^!b5_rC#B#m#=)b!6!JuSOwdwE$Q=(vL
z7H&hhTO+MF+0z38Nnxdc8Vnj|l0gJAYlqfLnveBifE7*l5Oska+2KUcIP~nStTy52
z7$#w)6(?Ckc&leRags5FWs@`#Ovj)RXVP@g5J=9TeNgu(*@+tx9xAu>Uhr9cD3P6r
zPjj*wb7bm@=QAJI8F$5`iNlmsa@SlUF+gZIh4M8mgH04PLJJj5P~+3k9oO~|3!I<n
z+=i*F(AT3(E7a5l*n3BS2_E;2oZI;DpLx^Y{Ml4O5W-Toct#+4!DRO<fwD$b!P%-M
z1m%H6(D(W#P|mU6kOQ_WUu1i#F=95~$>5J+qQlU}r@SCP$2ajw9fr*MS~*`U<Lixl
zub%W6f#6*SGhN0nCUnusu$S-woG%jT==*^R27cWXoB%d~SmkoF@65+71{k#|1AO!y
z*%Ti4qke4DyjyfN`%h<X!oPfa@gKcE%)a_yZuUKhJO+!!M&|wITxAY)Q$)->XiaM7
zK-apP2t#LAXw4DlKsS+7tc`%)&Z-M^k4sXHO6C=3+a0<Dx|=;=5DcBsjMNF{Ko_K_
z05!;pOCw#2L+6sl?u3a1x+13?*Q+ii4fHvz6)=HD)IL32OB#y7z*%k-Q=fnGQqtIi
z6HeAd1MZ-&R`6+l8&8KVN;9}1MD8}#!Y^ok<gRvg4)Q)*>9EW4Nf()G?p1nh6l1q+
zTKkC&zgvv{Uw{IyhW{1~KM9%8ReHH;w?}s(T)dk0`F7*hM<UI;MOV{4{}x?>R`|xR
zeDj0PkA7sm8AH=fG6v&$=q*w<?N-@D1$K+%bZ6v>X>X7L&^Po&$ZXmV%N3jn+Se^D
zST&oeQo%99I<{oLT@5T;HSKH8VPRDh?5Ev|*|g((%v2FUADQBoP<aGx3qHbHrxF6p
zF{siO)842FfmVPwP_L$#c4MbSJ$JhqR+BR!#A&nT(aB^5`oDh7a(C8%BFY8fSFJz#
zW199BSF82iXppTNUb$u6*8XxfF|RUa?zyo2!FQTR6*Xq;vyaivcuY|KMv3zj4_DLv
zIyUVyY5}x0jz*dA9D1|Fvf%gJ|B1i;si9JpR^MBe8p%FhaJ-AaS+7wc!&{pv{`u0n
zAX;)zyQARpXmerhE5tTbsV>;2y+W;t9>^<FrMe*6f7#>%m5N=o9Vk|$N_9c>NfR-}
z5$<S!?#_`awFz#I)RZEK(4}4sRjLaDuMJ=hR4UZ}vcGCZOD+h)AeaTNxCSJ<TTxW1
zOB$31OKveeu9sxviAx@jJEM5mf=9KNq)K(k(-QL`^cO;CStK7*QK~MB?&GH40Cmag
zN#uqt$5|wHy_5!LOy%_}kICps)sX~eQl8TO6le?Wc1maqod_3bi$OaR7$pkt90Ury
zLAE1D_{8l@;MptvTQXuGov;pPixZaZbbOp|;oU>^vGjjU@cs$fEtb=tCu*637P>t0
zv1fTge+?p^x$1G=@?+}p!++|-|I$x?T*JreLcMy#;{&>RXgxqNjd{V22(4Gt;}T#L
zQI8`NZ>oCa+yGIyz?7DE`uVqI^$0COngmgg;&Em@TGV4`Jq#f4RxIjKyGy7Z(O=mK
zX7y<9GX;GNcU*N=k8I8nPmX+Dy&kRV@p#1WZCnjTa1Iq|R*$EZjn)JKj?HZFgHI|w
zRc}KEoaRuq52{P1l%A<UXZIX)3da=H9A!2~W=!FEif5$8b7jD}eFmI2kixt7hkxV?
zrf0CMNw)>{bXp!Idmf}Gs_>jg<+PT}H1M12qqS%Uj|vr@3kDs|7OaY*bwZE|uL<st
zBw{9S4kFr4kP6QQ8yyY@9xG-$R;0pn!JxxAfrGzWkqXZRPnZlWjuz(5Sc^fdNQLKu
zjocW{vXL8ypeQ_-RIDNKrE3m3p)Z;wsqmWQiasbMEwxZ23(L(3S0sTmx;8X$PHWN}
z`I-kbU*NYyFur2)fB}Az%(v&b0lb=$n8aqqjU<`xPaAIrB%%CBah^}6m{n_sQ>xn*
z#AiL(=~|T=OU$d{^hn*djK*L08cWctoYOno)&=DMae6%I^Dw*X43_H*mIn^{lR)3z
zx(P>l%#;0&&;FM8ts~ICA$Pi5qWzb-(>*#!L?c8NF=ywZemdFd)usUKZE#4eNX21W
zfF9eh7Iu2o{tcxsbHU*3pXl@g`M+|L;9?|ekqeGg?7pH^WSL}zX78>#mqinqu3Lb(
zn36l?eNyVQ5whW$JLQZ5@!;2>)9nsk%Az~416y`^Bguofo=Me8U8A}x_)c`&Tf7or
zS-+Tadz+V>m9Or4sZI@@7@zX0{2bqmeWJv9U3v}lZ!ypZwu$b6Km4S6Tp<v2f_!8J
z?y>+nHw|E?`xwgeyMD+2`Q0B1OvzLl00V3LpnW_IfO&&`Ks=fT0C$A(yn2*d(*Wd%
z)OJ1&YJw8EDGh+UBggI`RdG1jq^nplrvX~iA;N3(OhYiI0m#xMeRl_tOZAD$k_K3l
zT7v0ROr@fN&*xau0LT-l2YUb!ySnaiY*C)JiOQYla&Z!UvO<(+lWb`XP*nE6kYGxh
zq&7UFfX@L{{dwlw6G;ekNY^zd6|yMGxdW_J_=yTubJXc=$*2*iDcCa^iR<{PXMu#=
z9{&mDI7q=>aiw=h?l|uj_0Ip5k?M9Bsea%aKjk0%O!xo_@^$cuL4H4y?IgRSU?E9{
zwUe=rN1!6XYam0ym>KhP1Zg}5NFtd7ifRNDO#6f&eE=6UG6PcAZ)9407Nig0f^ZRZ
z%m>O525F5I=>xbRd<5b(Nu+?$lii`k2WXNyJr2i4)=dd8VoC7<ToN;{=vQ#ftpQq+
zBr{_!*=QV&KnQ7^mn7+-x#R(C0}_-W>1O;SE;-w>OPHdT+pwjyuWB2WR$o8QinDZ`
zu`JeDG^EmZn!OsSG+dD<w}?Esc9U4MqC1jP>`U}igmOvwmxPF^?JHc9t#$tyC&*GI
zKH->Mt^U)2oWA*+|Lj|SAqcXRP|g7WMlXjMFsN741R=DwVGj0{0RUW(sZAkZo*aR*
zIuTNY75A76EkS6k$h4`i2%nIEN(hcjwh^nZG^WUHo|$YTR^J3sEkja*D`K)ie`vA#
zCV1Fx44QRX8`vw(QLMfTqGYF(&M0&BE2`Bu$vwtGm?UO2beFAG-z1SDASDyB2_wUV
zB=T>+5I|{~cK~yQ;=TMdXWDWS1F1=U&_<4uB`aBY5(BwN`}C+WWOcx)&SuYvyKQl@
z0=anmlOqp=6)4vB^TFIv-^Kq8p|MYtI+siZ=ao21dMg%3nSKX<5;<{kmV!Lg*s<*Q
zUf>C2R$Sf_tI7JUfMj~C7id({`RSl*6Kr-_Gd<S}d-sTd*~p~zBAFg+9NnkWqG+wj
zqIC51WG{g7*2&~}wk)TWB-5k4uz@BxI+H=&1FJ(t{Z~2xp)C(A8pJeD7HcX8wMk;|
z2{Kgl&oNM|KCsWfP2pX>rdAo4QX}}0DYdj_zDK*=7GnbWy0F$;B<H;ZV4-rvOnV9N
zxBL=d)626D9=1>Z5`d_xdb-_{=Z~iU+kGvY@<fvlt9uFfCr4h7y#yr5dd!#LN{tm@
zKQLWkMsI*6uhdwJZJ`F+GCQ1jU}QUfKQLe288w!$U3t6t?w)X6ITsd3b#0Tr198@I
zv2|e#IOe7c+vb^XMH@E==vGv&Z<f+b<HBm5x7W7SM{n+V6Z}Y%X8q!~f8H<r(a8B|
z_1$wmR*UD{DPk}Iasm#r$1YI`yWsJF|D*XkqLi!XZ~My7@h;eG+5ru&YE?q8plv{}
zCH@i<Fa4o;UwlR5>%!BDaj|MsVnyCD7i_f`jJ}mDQs8%<e;c0}7u*381jiFAt}uQ?
zEK00M6X}Bc4S2{^5LB0)pm={S3AnxTm7_*w)tp#Tyg!#bt`96@vDtcWl^2(sG-ot0
zVNIOu6cmsALM_Y<QxEk^?+|+EJwp${;pJA#|5Z`1gU8_>?%Y}HZ5S=sn9cJQ{ogT}
z_#35CKad08+naSCp4$o!U>g(<uM6Wb5`J6&-bsgH-bMk8!?(sfkGzAt@Bui-4w=9Y
zTv%l?JrVYokZ0*6`*gxG_&pteVtxl4CX}XQe>i1p<O+jNaRM+eu5RdX{druyAuE$!
zaA7IOuNif47)(y(k>`Fje+HsG%M&60wH7l!<iGVs;CZ*`nw6P<i!Nyde(f**`mcNN
zNv$nIZ$@vI+q~gPl=6XXTQuMRANLlDE}h+M<WNZP4Fe9FXOXUb1p}V#@>%e4vC9Zr
z)g>4KA7{IK#MCTUQDSv{D196{+hu4vHlt8*v(f5K2xhx{0-?HunY$HQf?<~n`j;fL
ze%Vl9h}Ol_Bkj^#@QhN%uR<mgIt6PB#^d0UmGLz}+(BMYIwV8Dx9D7#41)6|-GlBt
z^X)-1HM2*&bmys0N6+_Xherbb1C9;~77d4!WVu1hrFk#l-mcd^>1l`)06z6XEmmV@
zeB07R9y8-_1?myJ()h-`4}Nr4;z8@d11q|FCH&X~9u1mO9z1HE$ODe34;RADnx2aL
z9R6<z#V7yH|BY|PK2d5SwUnOv#a`2(Xb1wVNwGNTKBdQgfgU3;iR0cM&^cM}6H-g*
zxnIC>Wj>H=LPK;<v!rb75DWCEBc}t&Bl_BV?^@grmsD<auDQqm`ZW_eA7t)Fst|gv
z2jLUS{&jXH7Dps>RT5{R$(^`%f#3PjtpbWQk%#VE9fX9;B>_eyK>?n7z@Y|`>A7DZ
zt-6&i0zlbLgDcAS$f~M1I-;BYU0RoWgbUK6V|sdjH`n<dS)LqkGUgH~?Ll1oCh4Zd
z5!Gyalb41nOOi=9Ax()9z4FT=WT?OAyf+zHru-&jb5{0CUIUE>;!VcR{wDKdB(LJH
z?M+7ZDg*{^GIsYj8G^$%nSXqWZ}OS^*k-d}tlL734bmTY^1|~L=JXV5fNy(~dsCTT
zDxroFa!05k+u@sUPBp(m?)4ICK%nGjWIOiXu1K|>P8eyBLOl<GvcGJn{c*lI)Bsca
zwuBnsX0Q8mJNCy9mN$_-+CulV-w$-=6HMxown;mHdcE`}YtkovKiQ7s?{L0QM{&Tz
zVuuro8wP=L{<59+UoUpKH+g$n?8^1;y6cziv_H-_-~ZhTYP}p^QrdC;yTK2Ze?NV^
zJXE`{yz^}n-|jqALw*e3?i|6){Marj!+ZC!)r64{<E9I9Q__BI<((8VeDigl0sENG
zc+WS!KiWoAw?4k7o%#V~@JF_O*_DGWx!EPpo?PVAP=V3D=FtD?9-jBzlRbmid-b$m
zpPsfCsJvQhtt~#iCC_dNquhqJG=m)GxEW)2s+%DmnBg$OBPRH18sI*~mr{M*<Xxqn
zNb0<&tw$!Ta!X*=OVQsGsWLdF;F1XY!PH$b_~NxDgD=~m|1o|8Z6j%a%={~(hIKwi
z=zrNx`^#wHv_INVivO_Yd!CQa?byGg>d>FZ_4s{kB1FEkWL~iw<6{7rUGgA(B3IZa
zqDcMo*>C+HKj)W)YS+qf4{ZVTlQ9rW_TZV3$R<k6Vve;I4PLR$2}u+Pq9(4b%poWS
z(gk(e1_aR@-50{ebT+{w$Ic<Bf;#FCP1SDevr)t7i2z8^xFQZMx7=2YLFr&M?FAXB
zv=tF`NL}TCw2a1xv7$JUw&H1zT8@N>%ME&|YX!bQTMSdc3jA?^=qb<^vWQ6Ng>Xth
zvQgEa7pSyK{mqI{<B=Qc99`h934t-}sjlJ*&$ce;h4!3*|Jxn7+qB$)D>zepa4B_o
z8)!_gwT;UZ_SxFTeUv(&&b639msqv8KKLkgq;Ka}Hta2$&{l)W#$07MZ}~B0_{0DH
zn}6@uhBCZGKlgTcFD~$N&k$r3KNoW_MRftbWu4jKIj6J@`w1|15PEnAcFUe7B4usR
zQ@BE#0AqaQVf)Nlsq`Kc-A_p(!l*Xm*rn2YmUN?Jl+Uwn&{WwWY{Hjje}}9aISfIv
z{U+9pTT{iN<*3`_gDPA1H|dk|D^;!89ZkZ|jAlOLie7vCZn4J?syIcqP{sIl6I=%c
zc(}c9AV>8J-u){->!+qh*BwxkgYqGD1+b1#DZ!4QoJ7v{U~p9kA{*Wd^5u6yXft#l
z!=puQK@!G!MLMc37&8KPUYoP8P!{B!a>1ApaN1b+bqG>7yWm-BV(MlWOk@}v!FA?y
zq;7V>7<)XzVJy1YCC`!=i*9a`=U9wIH@hSn(r%_P7B8epp5rkV@P$jBCo(Sg=Pc=P
zzCpX2NrzEI%6F(a{)l^kgQ}041d{DM52*Cee4^BgzPnDc+#~P?2+PtAWg6{{vqkV6
zNoC5{gdA{TG|7kI5NoMSxg?HF@;x4XE8K+n0g-s#B~cYY?*b*a-aqI^pR{#hqozX+
zwQxQ(*CF!R;+YNfqDVG-W(bD`4gJDHk+6>E0av=0lR2fm98UbmrY^J#d~_IxY019w
z|0sGEf~B5wN>fPMBjsguZcs{d0P>V^w<r@ryX74ub}c*Pyaozpbg)`pA8C+H$?Ho+
zE#*aIJMto|!{od^N_EhR>G%*Rc@^1C`%4}tj?WRlC9f}qk9_=^*YDFrk|bz(8T?vs
z<t{gO_HaL~P-NcnW1+~m{nGO9eD^0eaEmscddl59RFA7Ix!YE#V#DZ&R`Cv1HFqv(
zOv|``;K5@dH#hN0UPk-No<j}=-aQjIQ0x7Q@{_wDD!vfJp<&OMm&q$iUd9CHHyUp-
zJdUb|jmE0@;$r04wiWk^#=ye^rv3h6BroHFnq<O?DEczflh0A}GA6k@Y)-fuN*-;5
zOdMPDGA`*51LSW8?a*TG{5J>h9|Ix1p25*2Yi4WO#CC?+TcLu9^PE(=swQhu8nBSQ
z*%&~O1~GA933ZhJXUlXLlF)@%5)p<UZ1-y0F;rfB@<sjM_$EI2Qc$h!W31|@gK2i{
z<Esgt66^376Ihb;e0!^o3@I2iscZ9*-joG^0<^=B1Wu@b6M$cZt~1F!9vN{F0eujJ
zNZda27hLkNf_j!U;Vx(pogTCFjHBcV6dI#wqQv`WTyxTPbdYK?<%-7)gQ6I3=c9|i
z;78~1WldAA*G8@uM^^x?t%+A#`8A;|%%Mz@qUZhESC*ay)BB*CURk6~@&J@JbQN*$
zJ{}^ineP$Ow>qE-7#)es%M~Rhw15I&WR7bGL2~^)=e;<<O^l;C_*lu42joQVVv+~X
zpX)5t{1X9RiJ0x*UL1ln;t`KWI6mct`8mFcPrj<ZI9T-%tNJ<ni-Xh0x3PD8;`(@T
z&OkTdA)xXS78@2H4_C7Ig6qi^8zwzNClHdtK%^aD^Q()%?cyTv`DiW*JP_R5yuWD8
zaB(`Ugknq5{sP6w`^&?V_UCpwK76q3A^{x$C`#U6Ui-8^w_|^)EXC&LNaRYK4v-+{
zktjfh0BRCD0NHX8bYy@P0hFbb-lkfU1X~iv-wG5XT|dAl<^IWbTrj$R3Umf=3Um<V
z{iO~Qzn`=T#s2ug%FihZvAKAP+wo0rUQ+EM-AZ^k8|WUO7W~$26Hh8YE#V&C$6`RW
zq(A7h?5p#8T&ZuC%ya8Yx~&GL;ezja<%Nf@zWA;eUw-&Nihk^jVmiDH)zl5(+1tL}
zBz4oSjRk?bk_X}5S^9$)AHIg8y<qdTUwruBwU@u_T?*rt{rqqpYXWy`UzNRhqCyiD
ztG@j3<#)aO@Wpq?#mS{{5Vc&=jf7H5rE`!An0;61U$+9$;1`SbvvIk0!ec)zcd_x=
z{9*ML&ATg<7D5WWw@Os;y1y%|DgV^3|MP$CPkv&HU2Z6&=p;ylkjs<sPUq}@PSl?^
zD>j6|kf2u4mkH=Mw2b}|JU#R<#t{6}^e%Wr<DIx+$0@iFDHUZ!K>aIP^!c}yG74%Q
zS{*?drH7|ejrpNb7w3Q)DWe#-u6>2<4t0(TT2J|$GRhLY;#zQ-ETag!E&;Wk9gTyR
zG75@4C_1w^ass0ioXUK23NU|nTrvcOBv>7K=#`}E+7+!qC83fiCY3ekIctbPJh9Ze
z&n46mrw0*^v)B?&FOE+0p-duRK7zdE*S@d&Zwj85y?v+gz{ht)wyAy0o)#C+|Aprj
zpYr$o9N&z6qQpd~lb-Sk*gP${-5J0b$;;0d2bs@7$u2$T69D`4paTQC(KIGt^8-k>
z1TgLBv!VD1xt06)1m3Xv1R*t=Vcv%o<~GS|w)8YcJn53~^rKr7DW2brM*jIpuTcEu
zai{OZW-rN>BAFBsekD>i@SVWhJ^<L~djxzV-!nM5=yp}SOghhek5Hy17Y5%mP@R>x
z2iKnOk&(ghiq>6E?!5O*f+BgQ5k1%&hrc<HuDxgY+vt0Szk4E=A9L{%!I8Z#SQ<j|
znA@Rlh?D$8{5`{th@#^CnDY+Jk*O<AL`D(`g`><|COp@`NDp$U9SR=a=G{g%J*Xa$
zP4Ud9K=nA^5}6>fE1{sc?l~0fTzQ(daP&12ir-HIpY;0;F!mh^9`5<wMm9aD9+6GC
z{s~Y$K=6p<(gh<@DoqIxsFBs+2g|>oK3*Q3+}B^rHvwBGLf`eseQe?3Y@rY~5oESa
zECslJ3WTs2@cQWwXAd^p2d8&D#|JNRvsWMY+&vN*_webd{@MTFtzW(mz>lWuJ<D#%
zdscqgRfZDqj-YTRLF_B|Z1hx{ppr)GELlw%h9C`u3$`pf5?zCWE$xE~?$DShHV4xS
z2E{;{hbD+BHj?L@UZ502`5b8-ToB^}n72oP5AzH)U1(q7V))WF!5t?sh#=S^x~t~X
z>4NYOwbv9yP^&G(inXY`MM~U`EsRR}Buh~lt#;ZV2lrAME{h}+knQN;=!C6h5fp>P
z%4yECcAfxi{#W~;Zr#T6fScmYsa0ymteCQ9$fnYLdH`@h*oQuihmxcoXMd@|8yGWx
z_4<E-m%PqIxXwg4LUX-+846mmPQCi1lKnlezS^XyKtJ$HU;o9g1UHVpmAwK*Le~b$
z0Bxgb`p^(rfyO`?;P`sMtUzO`%MODF%UiEK_^uvsK<!$G?iN~}tVpr~g`Qzf0D2K<
zzaGkw6{rPE#8M!j?GBw4=&8+gL2JF%|Kh5%0*#R_>yv~v`5cP^1$aa!hNCIj_>g1C
zqCjzM7BpcG03)f|dr~b@0jl2da645KMYA*&$q)XY?sBtAY|B2{y(Bw@l8R5J36<K-
zHdI=dca(;0T>vwWWAH@I#nD#?Im(6?_593%kcUTEyt?tG7J7kh;+wvyWWU*|%UbEK
znC^LsEgx@5V7IRIOvm%aEg^4N;I{ACy!;FAP6_rG-ks9&uRQ#cFMem_>|c2i+b_K1
zogpE4>U%2Ys(nOim|7pdMYIMpZ&7bJ?&=otxR#vPk5H!VXD%jgc#F7$W#{!{-uI<*
z<&m3fAaicfB?LI%`R>IFe`yL58%S4#5WP*!#y0@0V9@XFRW`l{U=lPk0NA#-fH`^r
zmPQ8{@4>m-3ufbc3T&pQ>%y)T<J+K_gNvDE*2D;?S;@2wgFKeoH2xcgBkgT)`()D`
zs5p*3%nObzDl5;CiIbr;te8K~{lE9_pBCWqX|;5C2dk2z62~HCY@ovnCmi}lOYL2B
ze3j2B38j!r#}mf2l+safP1Js6J5oXehB<^KB|{~^+kAXJ7ioW-Z_ZGWVXkCo1df~c
zuNkVEZ_yveGb;D73A(zg1<UnltyfxMS~R6Ug6C_PR|}?YgVegKX&tvhZ)6MhlZXG}
zD_@QLo8~M%e6$Q^qE_8vR30L(TzJWqVnewi(MoEzPB0Z{o-^Kf;KmRo^<|e-pFuO5
zQ>(5}%IQ{2<r#DzF9ED)d1kda_9ZlGD!-UUtqRIB!H?#Pp-OT=GoDhcl`>9dW}~)p
z!M&qgLF#QFVEYOgJyB#X8Puwrv)WyagpV%Ss8x5%gGIw>P3GU4q_d=-z~$CoxY!Jr
z1Zy6<q*<3*Az_$r<73+HW=Ped&&||n?C5Mt%+|T()pbDB5N0+$>6c@St-7Fxrm~0q
zY1pC=>y3TtjC$Wohiu(-p3Y@-61|(jm$u=$&_kErcJ$EU*G(MP-6mWL;2|&f0_+92
zA@sO0j-3%EGcxfvabkIj<}jJbyYG6>Z~N+>{<wzI(Z1=P`(Y3I0RhX5Q94ljTD$dg
z`z0A}Q6^z80=@lUEO`EH)V?lwmVklx!v&8RCaS>dmBtTwMY)kizyS2V!f((!wN^E4
zYd{53`}&I3G6nvH?ZF1qhak0Y6T}B8yd1WB<EsuqYF`(Gf@DntL{J-ybm*>H-AFsh
zn2~yNg3(w~w6Cw3My?%=n9?O@X-ZW-Bgs4cVr}(?r>rkssrtV+={x_P|BlJT-zaf5
z<mqD=)s{I3k>@Z4Ay;1O5=6<*6Jd|AJ6x{t>|veINKBw*jdX9W!kf4Jn8N!P-}4jy
z%l{RO!Maed@c6pIPk|o8kl<dA6@?cZHXCye{WYx$Z|_h?P`VrxO&5Y$;q5V!P>Put
z^(_4%Hz~XzyFuH9dR?(%R(LyPXaMbEYn=O~A(#~&AWe!{LjR|<h~`6Qg$Kxz@^?b-
z8CWb<ED8@TA-Gx`8#KQL*GiJo3Sg@7h$4-+hLF77=}e;WI%uH(?Goafo7$gzEk`He
zP<Mk)>RrPYe8cCL1|9urL3rwAe9YVKpA^mMm?Y?xFhzmSJ(!^Tr&N%fcJ~&T$PEL}
zS{Z!)fz3+~^kNSys#P@<)%tfyo2dNg;8@Z%QR5n%XL_U;oLMdnPy2(856w@iW_f%~
zP`nB@6}t@HJ8hye$=!O*qodtwxwKgu@u+GOl}lnO1sns|`_;<mYm;Pps28Wx7F>jU
z{8yktDW1Wk6<0&u@UAm(c(w<cm6{zrV_1EChqeNzms*p2Eq|z=3CJDVhcki-zWD^L
zT;II}Ub~V|6wqW!2zK2&+U*nxO*AvXqfNd91mFgSFCp8JkW@@y+Fu}aY5%EaD4cKR
z!2=8n?`Ga#Uh_D9*KaM@)pR;Q{2|}b^1-CV-Ie9!y-myEd~^2_daW*k{Ph?=HZzq3
zGz7};C);ttgJvj!(5362;L%0%QsEH>t_?)Gd4I9xalzOj`$9q-8>geZSIsp-s~Jwd
zZQ@(t5fxO&IZJ>CIyJ1!SxUhQT9wdd<-#1SBwbexkf%LbZRJW>TU?~p$<jJhB9^3c
z)l5PY^s?WSTw8FMk-Rn{dB>6zaEH~>_G7mnF@A0Oq;u}dxLjLs?5=Q^Ya>!>4`YJk
z|2_Phf9k!zyd^j=KL9`+_xE)RZAB<0N|pg^kj4jTUm3j91rKY^mZUGe-C2$|1Q|KG
zU>h+GUPyC%Ly(H23BoObg91ki?zy@ME;!Fc7zHn?`Hm=G0_Zc&K>n&Z4-s__c7GBX
zJ-4F<`dlaham=NKS#vJO!y@+|v!I#uw?r?YzO}#^1`hSV(tE5KmLi|k{UCJ!4O+~F
z`pRklYAyze*-Pu6`voci%{&v8Sz3?PSx$$iNeS~r2RXLnr)efc7=SO2;_XQcg;fv}
zS*bl%6hCkIu_%81^0&WtlCsu1YY@$BmIL3vM)7Evk+5fqvR0{)#`Bvg=mCOIR-8du
z3r+-$xB%|%W1bZ95Y$cfC}<uOr22p>NAci_^&!h!YpRUsq(<?ejga63rJnxKHHufj
zI2;zv%PC<DLd_KLknnM<@ji~J(?a~<v$dJ(he%!Owm0^fPP-(?83kkOsx7>^n~2@j
z+EC36bkB7hUl-d*%U<X=(Zy+VEN$t|_#2$E_SFylhX3?8PgT%Ck`SHIsz0KfOJ(Ra
zT8_^ajRX!Bn<X8B{FPmhQ`9911BMtIqaA`&hAw!P4wg2|1<$g<QW?77Su$8ELl->D
z1xsb<f{5b?s|HwlxiWmZebrac4}hnM!^Q#H3Z_evsRI>jADR7u3jwMhb?uTQA8$W8
z_=T9yB6I-LiA2G=<fPhcu9#@F%=#o=oBnlfohR*LHF4elnTKm%J6LYNgT)^~QhZ}{
zYD-Uan{a!PrMld?wB?_cb2)GMG3W9xe*AZR%S+MFq7+q*NHW4Iz=A-RE#!Bnl}rZ6
z3{~P>DlHA;Iuvl(Iy=F;*TA>n5R<_Gc|~z9ZN=6)I7ZMXX(kf{Zv$Ejr5wMLM0H+K
zoJ$k5yg!nItCeV~EGW*UA9{`ZOqGUSnE`j2IF}~ahDw6L)Y^E0>Pwx=c9LuB=wI%v
z8!<_Wb7_*$yJ#6?1{D4(O<0`eEG?-n-_Wt72G#F0bFQDKn*CJjVh9lrmjCO5?Aeia
z?8nv4hR|2v@|oymv8Vb{eRm@dQY0U5CRGYbr74&xv&A3vy)5%?QExM@y(|xY*TdiW
zV5E_V57eA?qt%kB+MoOR5C1ox8gUFVD9FmRRIO}*rr@-Pxz!T2m1$Lw2AX!)wvekp
zqC^Cj$eoB!9fFdo^%alE(Mo1z3;N7XP*Sxf2z)Qb4@s&P)#Of)cGnM$Ba^+71>z$r
z#Vi`ep=ozRP{?~JctAf*6{OvDL15psw;!o2X(Y`n((bw-f-;(Xthn|_A`UHf*Ch!r
zF~G%6nu79@lGJIEsM|}@8~8RGzMIx$9MdFME3kFSFSIw9woA^k&?)@rdUGzf&!d)9
zml@Cc$ajy%TJgiy#;0t<`8mFcPkOYP>F!cM@NeYlT3);GzmQ|%O1@pmV<X|+)w2bV
zl2Sn8Be#?{!;;6L1GfkEQZrr3venpno}6~WUzLgFdlN(T_{l%|X@BLngnCq4H4ICn
zTLIC=>Ufq8`~dc0i(in`hUy7t+K_9_KldO4<Q|&u2>(2XB?nA;N8*S<#L;>JLokOW
zAp6pR^NcpCA8$}y4<ayp&7zInfPXoMB^K!5;aMqiC|^ttODs8#5TcFph;uAq$zjDx
zAg%@(Z_(j-NzHltS?>3w<ziSuey;jUc68uQh_=}d8J$besFUpQTY{#r{zOlxNJTqQ
zVX1O@N>NVJrLHFJ58fg9^cp2n>2Oew6Qpk{9}Ws?CpHY$3S7baC}1oUl5B?tq5?xw
zFDiJL*H8+O%CH^#%XZqo2RI>axkMo{F_ZB+NSRLnr0565@$rL|O?hcUp~`rQ%kw<;
zf=y_=$A<#zYdEp|m_q%--}}!0@Xti=gC>kzp<4O~6dy|r7~tD&>51H|mGkClQt_}w
zt>n#th}1O33Je|M^x47S!SW8YLu==m^s-s~G6Z>VTo9Uy1V~69pN@u|4Z)H(M^0b-
zGyG=8B^81>Zw_84LV@w{ZFiEs$yZ(S=F!o$?>HVO6_x6QOup{fYyHNU1$AQ+cmdZb
z;b;KqKo-9>rRHDp-|lPKG&S_d+8;ow<a@|B51#_vlGXaMqlbsFs0nGi&U&dUeGL>D
zUX}vflNUGP5c?OoZ*dSkkq;=zz|c$5Xr1&zuNMKVxJWMwkfQ2ED4O6(RCsM1U$)cn
znFUOn^7DjVl4-@M*2k~?vA$0o7+iNVyfi8z-+e-<JhslVvzu6cOlSSo&wP3Fvyq!t
ziUikLMwsy2Zi^xobrwF@V3y!gpw4o^v&0b8SuU7HpsggZ%lt&tSuWU;*t-!13F<5t
zjDcmCu0}1GSLEa9f-$gcWN;OAmJ7zbvNd2F-HOy%O|XqCTjRPqL2&|IGKPCCed48k
z#i}5mN|)TX;a+RP-RtFu6WAnUxR=kkNo(f26T`jWVX718l8>ibRmTOAqNz>&!it2A
zxwQ;J@3g6_P5-z1x++^2GVS{moj{MK{oiZ1>=)ju6KLJC{uuV%vX9#yYwJzu!-2ZJ
zrp9l~yJCpKmFCGZYX!781Wwb;KI=43Kk?yz`j+4L@quEND;uQ79ZQJT!9m)YhQ-sg
zhb^ea=^wyO+W1jV(`xR=1@|pAzS$V?F9d1!T@cA+1Rh**huKare>s^<v+sh@0gZmZ
zJ}aM7<LE#mVI(kz8+5I8E9TUAW79xOnlY`%Ip);(0VKYPcU*4`uM~ncHGVv5g6e<(
zO<UHIB+b5GjuGGDEM*8*y>2o4O>)2IR6R;E3DimEyR!#xzFzs-Cr+fJ=gI|!<yjvl
z#%LRMT%HU%gc1X^qk|C`WdWef;Swq?)7PAIC1|~qJ-;C_ueRNyG~I9p_;Y@QN{;bw
z$Tts>st?z~{xoFN&@Uc8siga#P1NVSQXfu9c$C7Ko@Z*17eXfGPV+*N3Xk}UE<uSO
zg#shRkFp&B7w1Z6WIFOpV8KWi41v<eCEKxoA5Kc@*5kLg$gc$tfKk8(%~05Wtg+Sh
zKwq7RS#z4^5E0(G6EW`=^>OdDzJ5FP^*{a}{hD9=6_GnoV7@yM2Gd99!j>agrD<Z&
z0!}m41hqr~!4-mIF=!6;uha)erUJw_040}N@(`pG;VYunVRdE@*sBu}f}&xx0UH^$
zosJO#Qf?q9sVo;<i`ir2!qG^+VnxwHCU}I`Vmt-w?qZ(MJ|@d~%PCCSWiecj_D_i(
z*`w(GU+Cy%ZB;_2Q!!{-Ad7@v&oB>*iEMQw0F_&y$d`;C3{Y-_CZeqvpg|>vk4rK@
z(*G0&kO|e&NY-0y*-rc8d~@eHnJO<yfQomSmZJ+9ox}LCP&P^lO!-=$Ob}9~Z($wl
z=G=UyJ@r@H`0U!odAI0l8+8KZ9QWlC?AfP&<!8O;F9f(k9Tm5Y=C)+)*-onRIm*o;
z9N@{ugSG?%P8aOu2(EEPf=0y#irK-e7U+jY3kl&G2(U3OtPk}{fUUT6m^=iJee7BS
z?A4Y4b_)6=wK#MMuytOc7|}smp#<2Nh9E#XK<{LvP5yamfQ>SwfGaQ=-r5A?*kU`{
zb#FI1*${X(#N=Jr%t}v49yu=tr@7wBxPx-^e0MPR23ZeDEnskczh=HYM>JdNZ-luv
zgP=VpKx{Ufv~f%EA&&lp4ur<0T`RZR{nvMZ-4{mP%Yb)r4Y1!p0rm_Zg;vd9<Ov_8
zH-JRO{kgyVE57x8DX<Ylh!;f-egagQU~N$gMljF=-XyRwJXh=NVZ%<3=c0CKD{fg7
zWT*sfuO-X?lSyD>D}pYK1GjK!=$n2;32aOd>JYu%0-;+<zBPl+B(U)n5vD2DZn+VH
zx-2MxjR_(htMj%_6Ae3u?NWP%PHUyq$}5WU_RGevr{lv&7e@<IB*k~NgIh6}*cl}W
zE0R*h_tVrsjeSL!p4+dP@6G{0Xt{DQe;I_ufAmR3t6FmmaMEHa^p&gBNU)}w_z9=m
zcrDcbjqGN8(la>Z2{?xw;vFn0swaf6VpsXZwa<41T`7cL$H=&A+4Jtoo~BzaG)mWu
z<?UoF|HxObe&mmaVOQ9c8+HRxrP%;TU1~|u(O=pT<bp?}3njG)68b?uJ`KAI9?)(h
zjXsAHNTMY`h!ttrT`*<?z`FK_reSY_Z8iV~X==z3E7GvLV5?>x(Kf5$TL{vyyC4#p
z2=5TYAgO90R0w8-CaA5HI0o2wqp=P_G3>GA0f*$0Ah_&@EJ#%5l5IA?3E24h15FxX
z8Yi(*YUNf2L|+LKQ!UyJ1eW?`w!ik5n+k&C#X~*r7>R#pMw=~lTis55Ot48OdTI-C
za_z7ivBON{`AldZ3nA57I4q^OmTGr>vF%B*n@@^q7ZR*Uz253vIlz(V8~XEnA6xh}
z8&LtW%8%6oWa~{R{VN=4ek$(PD~a=NQ6DT`?egu|<u7>8ul|YmN48X*B)7{RCkFAw
zdM!3DM}H-xi&nG^NO)>Mc|*W`GLjyT{j_t!4;=wFBI-WYF9Ej_UbANn3C8ZwCE#wv
z7b}?hPA~`DjriiY#HjF2kapS65s6&TD@m-s$9&p))g|CQ>@c}jCE48DN#=kX4I^7w
z6PzRlfsP-jw4yb@7KR^*pOJ5laBKN_VyHHH@7M~m8YVBaZs*~CYlMUQFbLvvLIiEZ
zJAsR#6UN5frt>T}NTF&V(t|5j*Sy@*5EHHDKWOB1dseuA2kwTRjfMX7H|!6NnfA~>
zF6H4N&nn_BDfIW8s{bqKn%W}<Gj_I=sSOliz~Nt9G#Hj(A-rF$DUJoq;_*NLZ$;F8
zWjl(cB;C%nU)cDIz(?TpE=_r44KEJV1PS=C6kKYzC%%qvpr*Kg{HuQQlRhRwF0D#>
zA<Sx&&moPe)hJ>rHSV#mP_`1)Zn%oG(=6n^I{*XIAEb#E*j3CSXPqZj6xHD?0>~k~
zZimBCvX5C%G=~XVKOQs(U<Um;ifZ>mZ`g&*a?ln<w(iiP+WpXYD3u3cw*?DuKF3+Q
zNY%$O1v(n^#Jl`xL=DNe@&8mGjFGeH@*eZp)&<kCbCfeX(m7Maxk5zF>ea4ySS)^M
zJq6G>M)Lk85Rn=67KSeVeqE!SD<*^)<#)ZTtoHLMqZnPiktdVB|MNfi8{QkHP=N++
z3LSQE&;c_j0;20%2Wr|^W(r*pqiSpt_DFXIO`!`Oz;35leG;dNnTZu?3Y*}$HV&FX
z7i`Y{Sa$|Zp$nev&Y&rD!L!{N1rvfftJ?N3(w!kX&zD5x!Z|@;E?S~hqPy&Z3E6GX
zcA=8H4r^hPkJ_3VyMUb%jq&}>ktoL{5!^gcgM{05HP4aM)a|iOcKv1)!l8@JN_2)}
z5TE84@;Nek8ne41t~JHW0-L@`U!2#l_>al9VGs1xsz19{ecmm)<}T-_moGtje$!`u
z;?I6wSoQG$*D8Rp>XQQ?ml%#s4A4j<oC918VPw4y06`M(mEh5GmlkE>>7byZinLT1
zk#G)h!7^yGXfW5Y51Dg-%eYoDczYya)C$ImIlwg<EmlwflIqS;a+e+nWA2@X%%JyG
z7bRBI+@(uy;m$~KjoA^*-$l4S88fSeG``-4HE!1LB8x|RruOQ#Sq$b_$~iLCKBpYr
zNRd$5DI#U2-4nT8LUJsTGDG`A?T>)+xXb<s6!l7jAajJ55WFBKh`L?6U}V)wKM4eC
zj*#0aS|*k(ZOYFRrc`LP9wSGm&+yfhKD(yWw9dpWx|-6bV@kj3XVzc&WuMSk36#ad
z%Yeq|fx^uSd0K#9L9Q!}{F&&7FRBZE1j&djpN$$9UU}`Vu(LuCPgCmWXvHuvE1}mx
z>xCgmQ|f|e>5XVgUGOZuk(g4KJj-t+0dkW(OK?P8>5{k%<{B{e#2``m=173tB+=3#
zfjo<mTGzC%@DoWH&?U{&;hHN9o|gK^5rO#Imy~1z!zIzlqCU}X?*QtwCVd^3+^sh{
z!y1x8R$R_A-=XuAP5Bm`r)(<s=p1E}aFzLao}p}d{%vB!T=Iy-kve7TrOjrDYe&s3
zt{n&~owI|QixIH8<fID~Zqab;LGME8c1()V?I%r8s8i-u32}n#Pgc?-<FfltddR&O
zJI4tfRA{V38ZOq@Cm!T1HfvC+ln^|*T?3i~VJZl>g{8^TR=O7NI{Y-*4nGC+eBlqL
z{SS*xaho7e+#cCZ`>z+AD-xyE(`EC4G0zvJ)YzZ%iVNQ;1nTj*9mnr0wNj^RZWn$n
zD3;6JE_=8qZ2A>_HQ%P+I{IqfExNj0^Ka258H|7a;~%~C{gJ`Yo6);nN9_=T+XaiM
z5Gc1ATB5ftM4!maGv6@A*vJfq3!Zh7vRThglAxlqvCdZgsB<Ne6Tv98-lxb${kU6k
zKqmy3dknX%E=9KK#>Yg#6Od3<Fq?D?-POJ*99jsg@;Mf_3u6>GPZLY7SK#ffOBS~)
zUCzcDqv9vOfddkw7y1h?Zr2{iM6CnP)OKq$?Y`zL;~xgM=hjs#Y#EeGfCp-SF)-7u
z_L#9XOFlxhd$Tl5y4m(vTh9`xC;RYf-|HmHjhlAl2#f*lzQU}-(jh@Hks#?|)1b_~
zq?}Kb6M)IhGy_F+QAUHVL}r{IY`U0c2o%#S+r>0jnAbBw1#XY-)wDmm8I^gr=xW-Z
zj%ojW@BNC8`%p})SCqS(cEh}rlbyjZ5~Le&IKU!ha(1c(7mS7{)YSU{q%`d=xO*H*
zdTDviho)(7E3Qcg537k`g~q&OU)`H!w^ZGa=lqjRi)hu25&!K>O#W)*>t;c+T(`t*
znb?o3oeejSi#)3VK1Z=HQf`UJ5$*#RmcpLEexa>85hyWZkU+HJZiG3|3PS7-zh$EB
zh>u6wzb`OcO2CBkE#?qlfdaynuBCkbbpPV`^zmX#ew!9&ilx3h%(TbxQd=|wT}S2U
zFT%v~y&ff-!5@3ySN!~Yqq#!Qv)2I)fs64pMp)+WS8aMsV=1&1BEPX!2kgk7f~RV=
zH`3Esk?y^1A)$*k<<$m1La%<Z4mdkWn)laN#LdG(aB|^k8zD;^nzz0Qo<Qxcf*3Z`
zX+Ay`E_lEgdU2ai02B3%nd08NBq!QSDf6^eK7suEh<opn`{mLaDc2mgQPr-zZ!US<
zkYR$U6igY?%B+3G3~1KS$hx9Gg;&1T)|Ybhe0v(f%x<|AC=&+NY~bETR<#{{eIRMg
z2I^pAlWARYQuV6;J;sor-$cPxw@2{Gs<~%@msE5-H<*<%KdbCh$p~5jwuK8RZ0MUW
zMb~7t+b8&XxBtrYnu+ctUz&*S(z^|2yYwxI?#kv2wJV@zd(tP=?jU(MWBDi4>Oc7V
z4<GokH%-*aQqpE`U1lEb*QCwqv?ulh*`4iSNzX2)FQ_(bH*bz-ie-g*Llw+P8?bnS
zsf=lk6-WP6!JM>deQP^ZMfwy=PTHLIXxS1ygo;p2oJ{H=zVYHpsha6Hr<*s{KDf3B
z_iOM~Te6eUBaDrGY%0e-<lKWF(Esfg?KUZO7V&Xfl(}Pg*5L0X@2;x@z=jk)O3+)D
z<bc?i>`m3Y{U946J=*zrlF3irC%41@((Fm{CNG^Qg!9eLEa5AqZX!Kb`TU3eUpgE4
zaoinz>szF;!e5*F*urlaE#b(c;O*E66~bzNZ^nx+dSzZ%JkTpws+PN>YMFP7dXM|s
z5`H@^;hV4dv|st3$1ptw=6JJ&!E`W84|600+X&8*z9&x-nhqOm<kH)fw1fw2up^h&
zG6&l)JR%4rqG&N&@wh~6K~Eca6dODdg0ydbj@E50AV{=bXT+jSC$$wZ7*BooAhC=U
z#V_<jZy8YW(7U|=BI06h!w{+g8}Y<PjF6iv{@Z;mo6m$XlvGHvE|U7l)~N#n_Q?%s
z{L)}7=#*?tZaKGcC6``-_jUEOd~SQGCYJB@Ix{I>{>}gKb8iZKnl3cZNv)T8zY`BR
z-p<ipW~iDG<;6$JHAriEM%L9>p+YfaofHUeG-hebQwV0AgglFOM?=dQAyo*{=k`Ne
z=@nSo72NMmd1aln+pbvk{r}l}7ocm_tUM@O6K;_}Rl-HcK?x!CvvFU#_hm?m2{S0_
zP|<&mIv8d?&&)YzI5jih`I&PZ87anCs1XPfNMdP8AZVybBm_yBB2tnV)KDc92_}}t
zTS-EQi3SZx1*mBBdDi7!>wUYwy}SSa`@ZwfnT9&^?f&=bx4U<DugkO6dKLwh_#$7m
z;A^J5$na#$_<h5S4=h7mFPDUNcS$3K@{^pT=2Z7wq0rktA!F(Pme`>lT@{YTD^FYc
z4y9P>iZf@Z<a`0gBd|AwMAv0qc#}T7Et$}@Trq_Ljy3pS0z{(T^haHlQB4Q@xOLAt
zBn9+!$sOh}NC1b2WKffzjO)s9IfQyA)}YlEPL-vv2}n?YEChXn2UJS=><+JvI|QO~
zbUcEsWmk57TNCMY4%EeyhY3%ED@qUZ>V(P#*iGaR;5M`^6B;7;nx!Lf2jH*0qVzbg
zdb~h*?$Kq4pR<1Draz?D<srSk@`n`vmL5`UIaF3Zq}ah9QhrPiDR%LPlpmjlhxDB{
zBV%oTTl3Y)NJ|N0GP<PQ$R++vXwRBKgqDI_tHxrzDuZx=#$zi)9pP1wR-{U}K%mN?
z$aX}TtktV%Opfzv^`!VSE!ULp?Yc{)cl#Bd+BkpK>QyZsJ24m$v&(imAkH`En|7$q
zt77h*n1{4~9dmc<)ehivDQMUoR$YZrK%n~lWIK-E;e7M`-<?)n)#3qxdVg-m{yXZr
z*wn|%gZ_tFs8}}fZ3jDzfw9MT07}HS9e6-|v%|Nzl_*JG^V{Jj&Z8{ViRSdp3NCp5
zr59h6*@D+EJokQyqMm=@z0ZAcg&zX{pZuXB_~LoF$*a@ZDHh|*>RFBs(P#JH_UAt~
zQcJ45TZafLUnia)b~-&cy9UXQpvG#)l5jdi2chH2f|WzGCfq{7{y0$i*&#wO$NUcN
z)%qaVVqP&jL^vQRv3QS>;b|0pvLUE`+i21T$uH{wcc<jpw`PYZTHjBeCkw%3K^_=J
ziw6jqx3=xBa)|J**a*WOE&t6)W-I@|YRn`;L_1-&$QP}WdN}4Z73axZC)gzY-mTFM
z)SBU+q%iPFr}8z6%H|Y@D)uvH$sctyN6-YNuB!n;71>N?Xb}6g865<dl^MB^h$fs^
zLA8J`D>EYKW3qd)o%B|lAevhjCQxmtVVcpZ5MW?;YrQGRaGZ|*Jg(gyViQI#C1}!H
zjEqeBb~<wE9%8v^)i~DBn48ttz4A(EwMrL`YguYHk9=as(dEaY!}WKa{{HubGStjb
zn`fU~YlC4c98?06)`18_USZF=Dq>|@z@Lm<_=vG->ZgYwm7xn7xiG2#nUQZ+q%v#-
znLg*Z5^9fFk;<?L78c^oPDUVPK`KKREG)!Ot}<RA3sM=nAYeL@nlRVg+bH!obd_f>
zs=eRnq?;;#O<V74_868RaM_7juI6&;JbPri527}!HEF7RO+*l=^2K?c5FZS3_k7A-
z5)lu{Met+pz)e^k{h}(Sx)ODp)Ns*y`i1FX4_vc4RDlu$lrW+5Mwu~v9J(?-sm7n%
zR+CSKpJTnTPn1a2P5yHGU+@gJ6+?oOWz8&RI{Zy&vbu*D0Yl|rLZEUGWjj<E6dx*V
zLo-70&eDv7?w`3lg(zvtmVpt`oB&6H<hUHQL!p@^{7ITQz1Psp>074GtIY|TR8m~_
z23*jjgA~_<<wa3XTYgMYzvrF**)PsXo!?Tw!`WT}Dr(QMpY0`}qIN;#^jTsBZF%UV
z&M9Z@c|%<g?jp1l1p(32Ird3PNZwdg1Fw_Rxhs~T3%I1tt1w=1u~4hB9cqQfJGL}j
zaV4{)&W+E`AGT*IU-H%WKX?7gORv84^7WPcy9W6$zQ7+*;{6w2IITl_zVEqj_yFkf
zEaJ!3)l&4o@C?2uo`Mx09ilQH_@tkC`O6~^xdD~Yuz`q&_R42yq(WuTDhKzOsLU{*
zmEt)Rda*KJ1UD9l1mclVnP4OuNycYX=4?Jo+&#tX(G+kdpOsOWpe_Q3Z<_+hs0=(K
zcIh#_zqL7$aWNGtvtMs9)lDQ%0Gl$_Qs-VCpca`u3|MpIm&~~rPz-aR3?<Q-Q6oJU
z?X{ZeA%2r=cz`!5d~00~_ZTU7Dq(2A0Xm?1EjjKIIpjz|9>&U~RlRMjhMQvp2ayKc
z<$H}Zq<JDPn7NdyeD6YUpMW;Wh|AC8Mx3pVNVKF#A-&274br!a6spY$uDnDFPc5YL
zm4ElI{o3F1Yf6!=h8!)VQ;Lw#9tHfmhD$PNaM~O=r52FR=47a>1u@9dX3U^e<DSq=
z+yXHC`E55aqU@2rhswpg`2h@(A)NveVeG-6(IJI?4{XQ=Rs@Agd*}@5oG{f<lBuA$
zkqL`8K{_OzRYBk{ZI49->GUUF1hgJ1s!-`$|BzMd1ld;=e%W=#Ko`Gc1?iy10P34-
z;xt;&OTbJ2Ef1Tc4(Y<L-*lKkkLTNS?Di~7U^~W6qN#l8lEwBl_sCP|(a~5}AN_9h
zxG4FIyfj#gD(Jav@0D7&jXXcnyC~$V>-`Ll_&B}G08opxFTE4uD}^0H3c3o$_+BTq
zE`Cs`b!mr~U$|_KcElxBOmidrz7#IsU*Yn!Tht<BOX2eE6fU1W`@@HSD|`l>L*zb#
z4?(hA3??`uEue%QF|bIYFQ0*gp-!-goH7lDFWAI9S4pBT6Fj2lTEjH>3<~kZisG{Q
zit8Ox;astYFVL(g8pQ>DsM2W%nv_ORqAx$R&%uOCAhTBTIf~XXLAV~Q{eyG9VUuKi
zF%o_Giq4U8v^ki0MUB3EN%Ujdk{wFH>m@ZOZj!hL7Hs3-NW{=htJajtH(wJaUD|7o
zY+ZYr;xqVdv>D(?m?D~_Z&R(AZ;!Rj!mrvMjXo!Ck5<0<(GPnJrjj428~p5A`Q}&D
z?`)D5GJk{ftnLt8Py9+a&)wQ+Z2agK{SX>vHSBfweEfTpgHi{<(@k|vTFyode3e+y
zTR8Q&y*U)Ru;rm_<FXr~f@8z4!}%EdblD+kQukWImg3Aof~nzP0hBr`P8*cGAwxAM
zBcy4Z6erFtd6U|Lv_DGTsyKNoFMD!GxSc$Z8Z6eIr#K|oM51}VLt+o|;*dPOaPYKS
zv^XSp!vXWguXy{%{P1Omr038wIwTuw@^=eiT?=k>_Fy=mAj;JS^C&Be7oH1Tm&P_`
zhXjl+7?Vca7&NmClCu%b4oOVm1Y1Sx;$(A&T0b-lhzV8t-MnIUNWhlBA{*A;b-VV^
z*&!)YI6+o17}r@jB&D4hK903B#}8RKBxwvMN6^*Rt#Gir1U`oINH?ODtlUMw3{n{h
zhzS@)vu3_M*4cqeM@L3`dn$(n^^0i7q4OZje$ATs2E`ao_<?5~5^F<1Z5SY~`r2nX
zBx>BPD6uM-Z=4cZbN^3visE?pRIX7N<0Af7Mco|{H^KhNi)hBmV#eGFJ<~CkSzYkS
z2XQX$2p`Ste?5Uaf=S@%{Rgm(>Yvp1-EN|o{c*a$ry0gUiOd3~+#i@S?G`P*%iZ`c
z55D4uzW=krcTr@^!IXlsBMjCo2of+g%C5eP3kG);j1KKn2JUGbU9j-iA+K^a0h9A8
z)<|h<Af-do=qpC??H(LX&7tYLxL|2~>(}JCr%H<zsnA^Ta6Br(3J9DeTapE-yIc_T
zEA$DF0s%36U4e?k28$qF5)PR5W}akbQ2qN<378(Wth(l5NEcQoV8AE?*ab)5_m**w
z>!}hjrPmbcADeubB=harW40gQ3?_<{TPE(~MejlF@N7mZ-OXKv3!sJyBg<kRTKZY|
z6Y|Y9NN>YeaG%5f#y9cFzw^KG&DbYOrJ`C-nU`{;rKt9`E2^EE8@E?rfj6FBcw^cv
zT3m#?aS{IM&-uzfx(XLTOP<|D@B(N9>Nzw>85DD+Gy~`&sA&|^+z%PkXoFnv<nRV+
z7Gw}zUXk#I3!>s$s|8Rr0I-1u-M%sx!38lIkQ|dFhCdjx5`uIQir|jr5h^&~!>5Z-
z1mPn{%43ftl1ACFB3%R*JfTBIAej9Qr1Z@>ii=Pr(Ul~*k$%syg7wvii{O$#0Fc>&
zkp@Xkuh>c)TwDZ~WZ8!hOzt<^?O@G(clPS(@h8%@oBUf!jfSteM?t)-NmjYRn)&|N
zFk{xn-^w@~F~n;4ZA>rCaQfHmM5r8qhsJ!H<IqmB>3x#TTtq_M4X@LPlexxh9QP&s
zZ*wZmruh`DFOhtTrA~v)0vU%8j4Y)^xSi4>e7Do4pcW-9!X(k*G14OTA}oHx)9W`(
zyG4uNa5sL#?zey0r~XPzz0o1c&Rt+5l~GrDK!qQ$Z?vt7X*&7HdKhn7CKm+30BN6*
z#MpMoW-xTNudKGy1rduQzrqz^bhXGa1ZkIC5cP^1HQt43AOxvFiXiNkt_V&#TKRlv
z>J}FSy(-)V9vXWn1`#V#>$o5X0YeZ~zum?n@erin;DQH~HL4(x1tuo)p~Y_~k|+bk
z4~Zo~0@40H;y1V?N?K{T!Ki~71MOLg-%upGElN5?65d*S^n7=E6x`$JJ%02PiYuD)
zq+91}7FukUZq|~Mp#?RzPCFIJ2{r2G6zGPr?CHj+W1x%Wx(WN2X@=X4y8msgxorA(
z{&!494|-HTg@TE5YNP~zY1W-rnlYtWcYbMvJ=BX2@bvls({9n?1Kf=d@YeNrz4G!U
zAK<j!pvOSWriPN)$Ym>odub(@VC+z$3A?=mA7DrPnm&Nj%Y|U&1Hf7$+NS$~s=&r>
zWgp;#QbAo2M{dn)_5o0e!HNgi;VsKRYfG4YfWn#qI!7rJ%~zd$fD<V2)Ut0lSGHcU
z_5qX#ipK^!QY%Ty4*L~QlYr^Kf^hUY$S)55*j8Aqni|?M6YR#1e;Wg+JI!sH$P11v
z`b3u3qwO{d2TjI8U^I`WLsC#F`ld4&Y;C}lP@=h5-q&G|y6Kt=&e39d@5b_e@b7)q
z7ydVwEbsn6Mk}?G1A|dn-VW`3H`&P?-tCWj5+7rXbZOlix4fq{!FposeUIw5+Nx#C
z3(TIRg%YkxQDT@XrFVCm))!9MHF6Nmik0O>-e1DnJ=+``!P@eo#!zhe2CU1CU}bqx
z!p9OzSYANf0~=jgUbqjET1U7pV_Wj&RMGcggMLl*BuIf<|3acw{mM_9t#kR#w&ulh
zsu6D3XEZ8R4nqCBtKe+?w@JaXiq6H=8&Bajje2G!1Qk@~I@Nh831QZ@kU*FRtb)t5
z*=*F&w*V+;_RN-P7GtT_n{F{~eUSXLTeKMU>9=UkAo<__asS#6elSw;<HR#I&47F|
zmu9wbunMd{Q0VhBTh6h+Fq}4i2~_!S&0wCkHWxBTU1-!@5GEf3*I_Tw<Y1(YA;@sL
z2ofq6BZwk-rkOK~jwJG&p$7cIYFGR9<M70bWf2?oh!pYFW);pBdiWfFHfAb+RSaw+
z<HxGn?XDi7xu1L3x7VIgF56Gi``<>h9_0c(q1$7>`RZ_XFahfsi=<Pdaza6SceEG7
z2>(mfB@zWz@EJE;+#X-^h+&-Y&frR6Y^ee$Ns>;fOWLFhHk=+Vj75N`rBQZZ2&$hV
z?ZqgA2|7}Uz}wtzP_dCEF9IXwPRq@bNdxg1VKYBQ*u2F7Kv*q5M%+w~5vCJVj}f<@
z<S{xkWneI9ZsCv+wHKb_c@7CQc%m2~IzTzZpkk}mrioY%Ec<K~D=hzLL4`t3o~zLC
zCwl9KmS4vh#QvO8KrWXPW3t`)nKwZIZ(KScw*$_>&;q<HY5(2KDF--T)JK=|=ahqr
z-Wy{J>f>`ejxQatv58_1NSE3U{k6&_zQqUyXIf&*2__`?=9}N70})gaV9EemPF$En
z2V|Ur3ftz*-|A?Fa$Jl~NM}_Qrj}U%g?KO+KIrHc6<q{h>yZS%?VEq~*Ze{vzmpa^
zF9)<{4re*SlZo*yb^BS}?Fb$=rp$$>L41(hNhpt=wdkcLM&$LOn6sexAT9_<1EDwx
z9ds^07L=FKoBpDSQ70;8L3!$Y#nQyMK^J3lXnEjFurx6qQE5oLAg?ITfC;7^M%2+Y
zf&wh~51D!xk%DL?2`m&zuWm$tslXrk;A&z0G)oU7rt}PsE*91#OAjLu24VKD*37pj
zdKfpjxb{5r{fQn%v^Wrbsn)D+kb+L^VMIG)B{|a;*AAU^F8aWqF+;2t{GM?Q_3>`4
zgK?y4pc#Cpyn0F5x3dri6x|@+tE|g~;RR1j(JeqiSO<0!%&Gh%Aw#w<BzUN-3j`|b
zBHN8QNt=}(M+aki`O(3MU)RkEEClJy?~!hyvMNFuHLxg*%3WQAVHu^DY6Ez(p8njI
zAAj;sgyL5upsnXBh%(1}wvg#4qKv9+_~!hr3|nY(xdl-MVBA8hIhSj7#>nL!_tu6L
zD^jo67K{@(Z8WCDG=%2Rd>~v9*I@HtFpRqu<h?I~U>;TYx5rrSW<@?UA-H2j6@r_d
zgsSyb*N8IWAZf8bVEROR*;PH4(Vk<?GXBR7hhMTnlmn$k!FYR`6{5^mGL5Epm+HCp
zsDYO&+8zctzJykO?SWE*1F`-!??RocHXSYb8jZxqhBc@e7{{#J7++;Q&`N>d#0qfT
zzb*iC@2~v}?Xnbs&oc!wo*}97Do}Wn#0@AHCeh}O%KI^k!tGhVpj`(D_EhCPZTT_f
z{jM+V|NU1&d8^addhMXj;=2sj85*?pU$b9W*wv1k<G|a?Zeft7ZP9HDeV3iR>=pLM
zjs+<MEm1eq2v$xZrbdfi#G$R1#XMkWyUJ@v$BhYYP(xcEIvH*Rla`5u*Dl@7`5d#?
zZs!PE(v6nGX2IHPU++k6O**g<K9S06*CZd-Tw`u)bC$K&URXl5m|WCK=DP#kjT|IN
zS1>ZOy^Yeu<1qsgphktZ?X1zsf6R+2S@r8!pKrl0;bF(;$%#W<cuXBPdOLKiqhIA{
zkDzp)R3XRFxa`vATfr{(Fgs^{{?MM?yVAbXmLJo;|LK?gg}?n(p?$S4#kH?>srZ~i
zbVdTrR%#*p3Yv}Yw+kY{!BNm#`0~erAhoXxg2jp83X}^NCbhaTR;2cIL5uUTVy6S|
zbK4)auL~Zb#^LC)Kk#VWiLoNJZxQS<)j$Q;oAzR;eO)ky_G~ecSkzjqNbT!_F|-Gs
z^Vt?k8_tN6MAL-g2C-z-LYXe-VA?lHlCsi|jusKsspxbt)0ial-9f0JjTm@bjEK@D
zFX~h(nxxgLG}HvtA~aT7WQ--D5lqrZBdGq}2dBc#(!eTI`Vi8pcEPq}A2I_&tTe7t
zmy(Qxb%1=bLgE3Y)K~?#lSj)JI&F&6R3K;+usl{thCRA>rBbIYKc-T@`FDKnXTCA&
z+cYQWXoO`5p`~_c9j8}`BC|F>DK3*`k8Ri?1VK2jnlh4(^1GX0jHgAqSp)bG6o=LX
zF)x6w6-&@JR$3Q=a&Js9CZ>Q>PlNIh6o=LXt%sa(zV^A_lnO_|I4O-OI2=JQ4y~=Y
z2P>aen4x)0F@`v_I<$UlRP`Y;02B*S<NEiRq|{UwM8}pWg5s?uBvu74`HD$lja7|@
znu$+5SpF}*iBI`^evWU(K2hSlSBTNCIX4H_8*@`K+$xV?<ks+#ZgMgWwrW$sor5wo
zK1k`EZ}45fFZVlV1?O9p(E$RjMuy8w^^{C(W~@uRlP*Voo={=J?)w;Cp+3WNRoKD-
zcaI!!lWtLK1{Om7+ac8dSKj=$KKMnSScdSZO&&xin~p&Td0TeIhURW<9i15D13_4q
z)=$sT33{7}g(K)dBd`J*v0{!+f(d319`+_g$k7Rc66LDL9ySRlR?N`}A}pj`d1&yQ
zwda_l6EN_SjRk^8XSAV2j!rN}47@I|x%hc3j~#~=Q)-(C+A#k{B^e?Smr9bvhFsE|
z60C{%tc{^WSGpvsHJmgC$&Yr;9GxW67z`k4CG+hGf|mnsP%By8AI&F|;N>7~@6{FM
z8-yQ|0HcJDgUD47TE8NY1C>CtxuVB%IMlD$ShzBbrKb5EDm9bFw)HA>k?}-<eD`Q9
z1w7_~#J`y@a$|uXvyt_%V;O6oe36AqpYMHgKKORWX3eE<<hB0Z-Vu4NEkD<BnVL+P
zwtQ=JnF;=(zxp{p^kW}i42IIPxxp~9Hh?ci8hQe(uH+H+mBCp>u+V1$3)cWB1gVi+
zFxWFO3q+&25TrtK!9t$dgBeT>MhH?L6~V%ti77Gdp=mIRV40kTmZA1y_~5u;8Jva+
z8o8L8NJ9aiq5X&0T-QrcQBZ6)q@iiRJ;z%zXY5yVQ5C8_F_6B5G~*v!PnnW)00$XV
z(KEW#lcPzxJk+0k$oP<-g8vN#H};8ADF7Quk}d(*(-45!(|T8WY})c;dhBm}|Jy(N
zyDwo##y|{c2yKPuF(jYk+wagU$@etSl#C(89AD(=^o0(=j3FW2>m#Rj#=jGS)MK_q
z$4k2;K&o?WSIihvnd1v$1gnvW6{*L3#f(bX0LT!`7!oRCZI17r8Ql7+D-0>-_=0(9
zASp>vLz`lb@5nEyNl}xGIldh|%Y5|7x>5B|gH5Tno8~7ry2+K7|82gOO<jI$w1H{G
z>jXa8KTYE!ildo&bf0jf9B`TAJ8wRe<j%t<)W(s(r(`tmf=|5;sSHf@U9e!SiCOTe
z+rg*4a{r#c{@kZr3O6*@%^^BN!h9ki#X|=-4(ft{OQYIJQyK0~H$$RfT!2qOu+v6@
z@VlRVYYsOsmKp{30Es}qGnmv|g&WF}hjZNQ2a}p}xKX<0`i*D!;~aCifpj4oE&;uu
z=BJHxXr{|mV_1?@L-n8lZUx0myCf7Cu`zQ0!wy&B1_BeB=^}aB`tW5GWwnybp1b4$
zEKE`)dP3!`x<>XDOH`}71J*_qp5lT~Xuvgd{3QC<*W7oQ!>O09Q^70Ae18yCk~$Js
zgmj=f9_i@$2JP2O!HFa%Wj{u!b@j*{iL2}K3GD}J@UJjI$v$~EAgy$A39cTMidB5H
zAPF6*z?^)E_}A-@<!mKic~Cla^~iaRA6;D!t6BMv)0_);Y5Z?E8)Kgu2V@YR;nt^z
zer0YkbBr4!#7eg*J@qSKti1JjkG8wvBbpxj75qmMfniMy8mVvSvu_nl#}2VVu?C(E
zNCHA`qHIW}$9~lxcUxT(wTgo^(__Cnbw{#dBE|>HspePXiqOkYUXT?~XmFcQ^0c+4
z*Cd%hkQF-RfSih?H$*4N^w_UZMFg~*4>O2S+SgOs5dGQ@nD-&&>nK;mpqKpE<J$8*
z!iC_+;nAV;+E)n;5OGC4E=Z3KW)w}7r}NDBXb%`13Wu*eG6u~nj|RwC6ND++#(UbX
z2loh_i%HVw+rm3*e$Q!f0a|G7^+mUA`Q$G${>@%w$XQ!&{UYPv{pI9GM?2z0#uD+b
z<wfSddgUVC+J>GSEBT8I!QqR{f4svN`ApyM4sD;Xe^nc2RlG82BSqimKJS1&)~Yk-
zLf<D^D$$*p4v0R~s!>*ZE+e-t+u^%+n^lL$B~JLb>Z(*V1gdHq*-rc8d~>QE?V%m?
zQS{ArR0Jv2E!(j_ey|KLcipOk>M5tw?N?njdA1W=ATFoF`Q}F9Znx^H*=!J~kI(Hm
zevk8oKNAN$Km+FUhd{NzY^VLVt3KB@bf;BcU4P$Pzig-dalZNf_Z#Ty>iGPD((l&~
zez1Hw`goO4On)ukeDj<7q(Pr~h%IQv(#nfX{MKa?OZAz&<{=jA_leEs;n{;#=zD3}
z9rR-1*L^Cxd;ikE`SU;h2~nx4D!YaDPbff#{iSCNBF@HMB(wG)JY#ZAH-hP&pu-cn
zTiSplGQ6z?N=6Mlool7n<YcPWldN1hoeDxXb|(qM?7b(G#!f8l-GXmw_+A*QWYGYP
z?TE(FjXYXwTL>nDhRnywy+{TIwK=VP?ZN?iLQc3fl9jK0+`=nTBYA+e%zp7jgH~In
zV#7A6IA06(#ffv@j(^3Y3_DdU+RO~7I;LZ2*G+C?K8Z1z_%}+XBmh(f{_h=7-tu?7
z5O%%=Ztkq6-Lr(9$b6<398IsFLruCA5gLkC=VM^@B3%Gj9=mU9+VU-a!&K+jz3q$s
z-d9JeQ*)-S4=scG;B3hYe=Zk1TggIwSOm+&o-OE{<aM{N5HM38x?l|K0poF7L_>X8
z1k1dhk#Y{|Ll;crdfIA^`I<)FQ+@qgH~Gvfp1<Pfc-!OC%{oPu)_8rzl$GNcl!k6`
zonn9C*tl*vt+Efwa?Q$z()33-ZFsXb*-J*bX65t9o0oi^_DyVA3X$HdoJ0kr)A9JE
zgwHkT83q1d`P>qY=i~FaNc-b_v(LwJ)yn7GG(M+n$NsdDv86vw_-MjC;19XftDtRK
z(md?Pgbu?aI-60@*1IdcGHv-Wz4D>&{9AwKr&H=t2sd1>Sfi0UJNV7lofQ+<S5`vd
zg2AG40Fk+<ix8w<alvS_L?Jt|i`>E}A%-!3pqJa*EVmYv$BNV|z9PU7YqLa|heq!q
z$mhoeOPeJ)X9fJshn5G&4jpZln6}bh44)xi5wM?iS>hD5I4=&ZkC$JKcUb~W75p(D
zTl_3vGx3-}VKzTd)sGonmRqH;tJb8}_cf!-5;U^)Pjp)vRdwE_vU!zqfMEbH5L^i5
z7oYA?)w*hJ|3U+_%1;{LQ@}l_jc+R6Sbbk11TEQ#Y=?dV=2vltK$*=dW+zukR`ztm
zDs3;0RMNJxosKWsIU;m&u~d-CYtj#7&-7e{x)jsjV==udy$iRfHA+je@^+GyfB7GL
z&6oW|GVJ2Pw0&tvEbaiKv!-ZkB;Zk9Bc{XZTfpF|^GU`U*u=2A;F_~RxFX6f6-kH{
z#jv}eQ@$TSzt#49iD5Uv-03zb6%xa4g2!&n;v?;JYY#1k-2@L#xQ4#7K>?5$c3*L`
z;|MpzmHV{~TZxNN!|qEWw`asV9B7j3e=J#PJEBBNCX``K;5aON&(&FVP0_wn3VT$m
z8z|#Pzi6)2RC>O^6Mz@`c*l%)SYP=xC2lEZ+CH=`x%X3YxzrTRGxJkwiZl%Bp8@!i
zUS)tUQgKv*q<XUgqQ?orh^KhP-vx6qfL<1hevcMiwcUkVv{>}JAzlBT-}=UP|He<c
zP=17|=IsBH(w;#ABO#{3YOS<HXf$uL@;N1fjxt(wq(nM0tkqcrssRHvL9r^VO~{{b
zH`YfNd5N47DO{rc<|HFjbHxlTx~~Z0ZLLP20o1@cR?I1p(xugJdO63uVor%DC9mX8
zc4pCIMX~69Y*ajP7#t!Uj54XKD=u2!f)$nzY6_X7w@;ap2z4(z|M!xuXRXb(WJVej
z>`PS*=SavWqU}^&<A=l7lMVP3BOfP4=>$#=BIdeS>wMLU_&;_BAh~9zr&huYl2Yke
zM#dI(7%EA$sD4CqvDONecGm4O(r6>Fr5_zc1Xk9Cg0(u)g)2&rGxE)Al)UjtLFIW{
zT~k#S^&Kj4Bzd+0MIz2KKc)gw`q7P}kU2io*|b-bp6FG70z<q#r_IqH_c@hX5_xb?
z$dReD%5yrXDk%?oJQucrqdR3YJ*TO7#1D7p&*_=skrruD<Rn2m1D09wdlEDPXr%(e
z)lCW@T(%Rm0$SKyd_bDo3JAYI3k%|KK0>8<0>xAaC-MnPG?R|c?KnPJ-E*}E3a3DV
zp7xijrvwejcI@BKCbS&zs87J#o0pT2GEQee+78n9ie~}>fG?{Zs`JPB=He5QwpWP&
z<v&mR(`dx`E0=oweyH_9F-|&R2vo<H?X<s;)TjMV^NM#G4t#yQJQMLyN^=T_JZRxl
zNgsIN0mC867LSZP@Tdb~b>Rk-eAvWNsN;z|1sCgbLX;A?v>Ogq`RpHg#UtDQ@SptS
z-+8Hc1cTue`@sD~>|Ps<WL;3Uh&InDh1$ToI57mi*QG-3BWMmOc(G9XKv+h-Ibj^Q
zsdxlq;j|W%zE^9lvZsW+3|YZgsEyLe#snq1!a=m?^`})sd2{Xm!2YFbNMJ`NFNM(*
zO+Lx0c!b3zVyAm>#A`O$3?9p`)8mblMbDdqql1^NE!dHCr0s~XQM?3NN=?30N6(Hd
z%2fFqQ4$_#b;B=ON-<BR5;O1=HCr3=-rCf%?&Z}g?$7ByrRWl0i_ui!vA$N_0cDo<
zVQ#1D6Zvz)WHZyPx#SRz%lY&zG7iXigqnn(g^Wg0vr+(^)VyqmnuV%D#v|<yvscyl
zsV)mOFWYH<ne7_;)6U~5h#JWY84OtMnzH~Il{sc!yu~;j&2GEq1(uSWNoa2Tx`$BY
z5Qa+-ioDXyXV4UPB;6f<W|UrifTm1Xp5eVmXfu%j7P9=EH~m)+{!rl3dY+ze_Lurt
z6eM`e+v>Wko6!lY3KI0cLSmBN{_5oi2cz}I9E4#u3szBV(WL9cNr_49te~UPodPE8
zniT!GZE%{S*wCcwt<k*4ij{75MS5fzUZtRPFqZ#3MUSEY(%9Q$#Y#7a9tA!6ATmmo
z>Pe4cY)AW(SGqa$=tifD#7}uqkCr%rA5@(+%2U<gF6+eCodR93>D$Mq?@dK6fb;zU
z&ZgC7nwceqd^;)RFFXFs&wY8AY9;;m6tax~Ks3$iNeEHMOsqJvePy*_E{HZt#HNTm
z(DrJqIUz_>?Shj>cf;l*ir|VDMt7s_j8*-}xo()9W~dj3rm6NrW8MJz&M1iHTutMK
zAWgLkq9>JzBUe<q{JbK=C>KOi85W}8&dDZXMKRSb2|gcA9^lo?9-gg6Gtuj~X$>=*
zalE=dD<C`h)J6-sls9?VmZ8ad+&uEp2R=57j;=f=qw7zeKC%$&%qs>HM=pgT0?2tN
z@(_VF0;~C!)E?mrYI+cCESB{CEa|jcw4`qDCUyG_@BX=WeIU)tmdZE}dP{jOa-1*#
ztg=RyN}xIW>gFnI*o;Pymef~FvnoMt+6YPoht(y6slsMeqLW-%8RF1_!JA+yokErw
zU|L<bEyY;AVwqKmQI+kXX-WMY%dARti4TTaO8UZ9OtUJPZ>tY2meiLl`Q;<XC^XF$
zS5sA4qlr%waz}ungEa*qx1*P#ZeZ5HM6%}SqDD=!%&NqXIw%{LWTc;G)OgDvjb_b!
ze_~c8irW=9O;=RipqN#;X$GE756;!BU#J!vm~=JW8P~Lb8McD!7w%KYO7>6A9x=5^
zPj(w6D%Axs{~_EA`=?x8PH!h=uSd|%hRa;R%mf~`<=X?aa+y^bc_yHp>E#F7iC_1%
zn^n0uAou5hn7+E;BQ6fe-8dj$zx~#4dRJsb^=7mV2%3VFS{-HKl61?RMA^iV<q?55
zJQZZr6eACFbb|wOepq(&gY7p1lU><2#N}EPwApVo(HV!X91yUUTYVd<$Qpy4bP#}V
z4pKtgecEu+X>EnG19IrrIvNmuicwd^p=$?38RfYo&azpuazIeJK^7fkv(Pqs-T_g@
z8{~|U@n{_?ZP+vi1WZH9J`W$w20!_EUUUJ}oEngz8|DT~K@!xyW)C1tdsPSI)oNjy
z<C7kB3{%kMy`k2&X4JLCF)myErORtxj#O&r60jietOE;PFRl-$)Qe-DOet1DeiUU;
z^I->jsxO$70qig}$2o2J)?zWo`St(Xmwx555$-99?b_Cpcs3M|t$7>q=_8G%gDhMS
z>~=;JI6^g&bDa2jt^Nd{WLq?mbDX6}7zMinB0AnVKQv-8G76%w=me@WD6)KxRgN>I
zSA{1a3sT$qilwCYbfgWbSFGw!eDDGS9vkgyYp_-%*Pld9?}ki#^^#TnN!0XWKnJyL
zKFcb{i8YOk1ywML7^kD>4D<n!wHPEm+!`1r$$Wc2+X7Zb8k(*4JXc50_Xj`#XLg}R
z0d>#yk2G0>t9pz|m86NS2sur=k}osx8>%wxS5+M6dgDR?rU&&JPTBI2sJ4--Kkq=3
zd%1)EMZfMcp!yaERNFvmRo&7ElwNKL=TNOu6g#53v^hcVQdhgGn5)*8VDA2cIn!_#
zZc%F*m%Qxl<Yhnor7!uf|46tO>ZiDSVP4Av*cy~=B1StPm)QcAbucGPW=ihS21->h
z2e$z^PZS-)%(k&gg;uV~0n9`9ClKD&5mgT63ap8-z?(Mos)ISiG#VzY2LV+M<`9^|
z2*bw0ry2mdIhcd}=ZX*nKHZ*U70j{qS0zs<A#ARu3g!xdC==7|FDdSY-yCF(jb;uR
z?7^D(?f`CP3lsvxk)%L{CSzbS*@Y$OM2T<xtBZT?NAG+1Rw4=Fl7VEtLBTBoPP&0)
zb%($#D|N+4p(B-$k3QLDNv_67(5Vi@?G}bLZcaJ@#GmG|MEmm1(ziB$*!>p&3;(5|
z578!-gg+08XB)l`l;_Ul`)He5P<=b6+7&%3PuA2T{3fYd7tvwW)S(D+s`jM<NTDZR
z^Vrm%Cq<%33&3GPDKUyQO54Pm4KVi>i7qb7{kbfr{1%tx124b#RRx*f_tNzTRxdvH
ze(_4MaTQ;^|N7nUe9x+|#lHU~Y(Mv|_k;w#+pM2aF<)Xt>P1Kq5&4pD`It{gRo}{2
z<}Qn|mjPth?4{8LqbY?}JI`;VQFK8<2jbg+fZb}e?JHmdbXi;wjiFk7)%U0<7poM4
zbXkfZYOjR90|nETdk;ZcL>ENyvy!j&7+|0<YzWdIy5IqWs<oU87o|XT2vTFYAfR?s
zX0w0}jn=Iol~ob++1H#tswOs86qm&%S?jIG-eTNBbJ^mu6iF2A2`15lV^JNEv?dea
zE(tkx8v1?91bNZG2X@@x5&S}|YW2Z^2r<CptgmXDTVC>~n>cK#`kv>AOkpIMZ>sHi
zzF-Fipz&g=@6S|EyG0ASa5t&qH|+k{H~;CV`qrD#nrfS8!ok&R=@z=lR9iI^hih*w
z&bHgznCeoi)}#HXHLR7X#_SvzH<?B~Fj?7Dqbm!bf(T-WPh$nMsXlHo<A?DuQpN+p
zY^skvCuLI*C<HSN`Kl{Z4Wcd+B;!LZSefcWx6$k~jxB^FdCA&TcbFl=gZG#juINJi
zl7cSSCfkn{+vxW|4XUGGG}UTYQzdzAp7m>ED*<bXn`-_Yn(Gu#kM*_Q98Zr$-@5!A
zkcW-bd@ZK>7ELv>zsV;@%#B|+&ADJVaGrB9HBDeo`(nr=YJ1;v-;e^j=e{ArHH*~F
z2Xv=N0Ue|!+#*_Mj~y=DqQ#KkjUoS&Z|Z)1nkuXv0FU3kRb~KV)QV==t*5{Yxotf_
zM9EQSq~XN0Jy@UI8bw3yf@d4TXvm8oxI|%1vEt!ibq#T78gdr|RTnFt*uru&cCQem
zA$P%&V8?i2A)?6VNJCx(cMNvfzJ<BIjHI)m7;=|19ttQnG<<2rKpa~Pd67g$0cT0c
z-ij)cBx7!uyp5JHHJcH4>-McRU1tY?pT}(aZOQ1VkN1WxMqEaqRBTe9xj;53(OgJk
zDFNr)4y62U;%$4DFW7)3-kxp)Zrw~zyG4tco_>qwK;!TEyWjlnKOd8Ql;YXVw6jKA
zW3td{TjiQ_L2I#~3+~rD0@)CRu~dT<f|AWw4p)S*lGcV`uVXMW54B|TT@ZalS_6nE
znbBkxlw`3BDq|GS5p`>gpyY~8Fc`hjGp?>goFmP2xfsOR!1-Z)QI6NHD0w_v@fgM4
zUeFjTYBt{`wcr{{qQ<UWQnUFksiQk7xtB?2aov-n=u5^|zrSJVSz}5?)~l#Xyd8r$
z$GfR+%l5{}aK+)EGq^22j0nZ()pQ{Qco@x<?2wi%gdG}`=%K5-qCr%~q-uxCa5X7%
z5>!<*h;573ZBN*c`9~>Z4O+!H{8UoC-`L?`=EJWAGd>^awijTo;hh@WO<TS-8q5X$
z#D~9n^-A=jXf2roj8<8O*@X)`A5>^2h^4|SKhMI>hkV2f02)E%zRzDh|J<w3S*4D}
zI6fsg&6iZw`FdpMbFJ%tQ7^Qx^UbSQI?K+-^6|&&O_^39I|zHGYTs$gk7?iE_%omP
z#m_~0M;B_fZ)y-horAb?c0_<Zj2dl=j+quRVqUw{P2`>ix|=SD;kG)k{fK@E^-E(#
zx|=R|+;v*JfSiqtd&w%0?xqWZjD90o8;pB9w2c>ZH(jvDfEn>3PwZ=KE{5)A5k%>S
zkoth86ie6bAzAxcQVUKE=;j9<C%HETTs{QDD7lv|#Q1$KI+1j=#O*2{T#se9Q9nC>
zd`p!VZkv441;%nQy39RujJnop|3Zr`#6Gu3?1KypVMTk+k%7Ulo5-R``|~&+rmZ*E
z!(2)!?yr<$+AV7B#L}p7JB=D2`VZdy%YW@t%ET58nObNEGswW$O~eK~vMf^woS}hs
zFdrVd2qHE+V`vSKqk?u&9SMU+AZ90)F|SxbJ1U5GAM`dYSX4nfFm!robmzCINe%6w
z1B3Q%-($#Hb1@aPgW+ci?I2&Gw1IIk8QLK+k5nig@H43^5`s0fv)g#CW@ovYBuTDY
z+r;Ir`I8gc>2SLFC%<Tw)r4L-7dS?(cy8s&BM$tV=U0XkUy4O{WM<SvW1h<+DX#Ft
z<#x-bZM+L@i~Y1KE6v3tg_$hvlcF>Qim$B>%2b4>6eYEqCrr01J<lspCnBT9vKu56
z2aiB{pjT+xwQ8E<+BhcDn(2vN6~`5O44!DkoBTX6uMbZujt)NP_K`+&MO-`Tb6NF+
z#|pmiKr%hlt3#OsyxmBpbACg$W5JHz9}j4FVzO|v*|k`y%-<-#{FZ<wkG}1V;o7f#
z+Cz<@E*?UchZ_H8k1}Splutg!sEmi1^iX51{7`c<cLQHFUBuX)!R_F0lmBhrs%Dc?
zz%b9vf@!*Fbf#SbF$bLSZQBdlW-1W#u4lPm771Lum<5B`D4UGB?nDCyh$`EGI${<H
z%5Y+TjCz3uNf#``N)kzbJG`V$ChF{$wA_A$hd3`M?`=Arc9zTTo@cpWVoDw|u){2u
z>iT8;O1gg8j^D4t`R43vx8VeqbiojSs)!4g?bshbSjM#V@$$B%hnQ@Goy#V^#WWZ1
z!sfS~S5&bnyGxo5qha<T%t<lWbYX6arY$RX)qyHF;@0QD3>SR${m)&$^3tm>y?lKo
z^9m43<Nr6(Y$6|Z0~ye=uQ#9Ev@1JM%C0ZIfL*0Zs#HQ@E0sw}OH6!M^~9X1t~%36
z!xNQ=Csuv=`sG(&zJBRla$4-8!S&fC-549KS8^e80h8|v{d$kBhrihKFTD4;56U@=
z%as!z`)Rog&${d{{XmoM3U}polwEmcAMtmEnT_=q{fVFY?VlX}zVO{Rw&vZp70XuJ
z^ej3;X>#Cicw;tLw&+S_Ixcx@O|X<sqj0+Ey?yqr@;<v@q4_*$J~gi>I>Q9<^+cAf
zjvSY8jxdGCKsdWj0EOZk`Ngc)3hN<~Xh^AawuS#Z$mHsZCtSV4da<JV`?e%nmVhMU
z*cg^4g=YC`G?nX;sGJ502g$XPa95JzzPco;u@M>Q^=K7(I(oi4$2|iaNFGpQT}w`L
zw=m$b;@P67Rs1M(`wK<O)gx<scK?QdUC0Ty5Es<Lgv8-05ybgM=DaXJHy)F0`ckFc
z`RdUjR`t^X<!1SqM-|12TtAU+x{C6@cyu&HtT$z415{Yncd(wjbW_p;j$w!!wzIKP
zaC@q63f4?d_zLa+IxPHfWQ$<^a;g7sM?Zj_Nh&HZyJ|CdAk#Cx0uar(yTe#71QqR?
z;{V&4r!7Xj$YTZ)J9_o`fmHwBuYG$khT0u2u9Xy*&LqL$tCL?*3?Xq!wPt$ASNjdd
zg~`z|2&+9$3_XOW;nxldTZ#MemKzu!zr4CAespl`%gYE3y#eQgjzKg@8yJ9B3}K!2
zJNlZ_UL1*2;(3%1MK;SjA#sU3gZT2M7Y9QA;_%}med2vD$H*C_#3LS$aD1Bl;$SI%
zariM-wPRlUi-Xg_w{e8{WG~LSxTOt4WJF|gL)-3ogaLKj07rdR+yK9q9A>Egc*kn@
z%v%^YRDQ3HF$}*~wv*qBnT>d3Vt))WJRd0Vg-`p-%*(VthEC3zAt_;5TCNF0bVOwO
z{SX(_ZhRXzV20$ZxB;#_-i31gj2o(I8FkR(_mk~7VRh%z{uuOFUB3ncX@9E0w7<-}
zO#362sN&X6@V&G@w_|@9u^F5Cc$IKMe=XlcWRmnHVh>O~9D{uUo4Z5?jHS9$Dtvi}
z#d<U(V>BR?)`n9qWi?-&-=`pHvX{)5qL`k62OC~;B{zBXao^gbmRLQ@(U<({k9ouI
z`HAF93LeyA$Hq7_4hf4QR~QMs<<ho3j`Sw14MV;;QH&N8<BwYmOH8e=hyjvN_yoH+
zRxx9!Iw#G*rw0WQgbybCsu}Cb*fCxKa-m|y4g{;sigKsz&=|~3@05b-_{EABEl9Bu
z0^H`UO$^&M_hrm<gf5m(E@{A4TCWu5CA2p0a@`MU(VN9(lS}6R#$@8(D0$wql6ORZ
z$d$1r-2lNNKr65=Jg<We?->JD6Slqru8-CWm;lllw9kc-AlcDT`>Bnb2dv#fn-x@S
zPX^TF#wTn$wCS#*t=WTQ5&pZtrNi>h^!&mJLgA}EMC&H)GW{WfrCtY2kFEA08uvfq
ze#xigbNHPu|73jlSbC(s6aRRJ578Mf0}e6>T*GK6Nl~(rii?NQP}ZWf1FUxCWhj(g
z?U1dEj^d8};UmxLZBY0&?LU{)NJ>i6{wT_<tgH<2Oz56$hgp<}A~s>T01n4;aGH?z
zOTQm@i7GDxU@N?`*a2S4EOd4N$I9gDbU=Y0)A=`W<X}>_pq+Vt39jP)$>i?1U`*Do
zyi88&uDlEg)E8WP8Di;UIeol};n!cQY~ovt0Wat%zAbKrprc8#!3TlA>cWIWv6*}j
z@ooZly-yFLcp_(UxCI?m7<EBMM|qr{7R;I+?dLuC<?s8fpsm!>6h}v`P$lZVkB7tg
zsq8vS97Y7h32liYJz8JU*bW(^_U01USHPL*5x8JU38R+Lsscig>dgiBI;aUN?!j9r
zj%o-}Z@C~yAavv*YZ+Nzk!u<0(YjzEi()OKqCBx8O@RwyJR#Yp5g@LIhZc0yB`w8F
zNftZMzQmG(j=JOtX>Gwg!5=Bi8<k`#90RI`;mGvuFrRq1CgTlXvp?~-=6M28RWC3d
zJ>Q<w@udDD#wuZuWIoS}ewcdS6^d#Ys(!OIP73?${=9zKmP)G2RUn}X5ULW_b=un9
zGTr^oQ`R1+TE8ni@6vX&6n@`+;kP>xsGv+QHjoE_+4S4}6g~vnX@5HcZJ0Kc{l=tV
z7`>Y_jFDfgr^Sya^+cMPIm_;q$E!Ni@qOiNbYDsDm3_}9T%R(^3e!>-ZV|znuY2W{
zkh-T^#N%4lRX;-Im!J8<E#eNAcGZu0-?Mq|`=g2cSAW;P{RQ6<1(SL+S{DIHAe7Pz
z=u9T4Y%$vAgfr7CvJL71RcJb9N7BZ6#q1&=Zvw0f1`r0_h$q9WWfuY6w_sKlLC{cP
z#ccVLCV?eIT1iYQ7@=$PrwLSBA1Rq0SshwbvO6~Cm|X-TqM+#olU5^Gxd;FSc{O6B
zo92y+rk6m4fEVS1TGe5}qy0O`qFka_-m6D2w`GAqMO@I6Fi4fAB#bZU%?bqAa=OGZ
z6#pCR#wY*I|He0CpD1-6Rm%?53Lum|B}m;32a+U*YE@F$pewO%?RcI90<+CkzwO!A
z4G0WPD(xdG*;1E0Iukdatbyy5o@P`b0^^lu?{GrdWc}Dnw$#_`QAn)r98&IsXDU6<
zD-`SUF@=j*#(}3RN<QxjvuEfW<LO(U1`fP*==#yYPoSKuC;-NQn|z*+`<w!obLa31
zNp{{~d8~l5*>egBe@^-F=I0dO`g6*U!?d11r^N8?<vBeA+_gBI;%W)Ukw%e(0}X&P
zAXQa*PIJ2f{K$47HR>oO;0nN51^kF#l@G|LDeW)$%e23w`|=4lNSR<ku|JWuoL&Qv
z1RyHy4}ltZ;&$vWxMXa$IGo~Yc{$0t#LqDR&H%hsz@Y$T>H1sXtjR|bIIGyu6`@K8
z<U1B8taw)Ze!$tf>iQv2UB7In{RJpY`=czf0&bD7toP@3?B7<2N*zK$>NGd(n@A5P
z<p?YeAT4qe0__u;0|uJ9mhhw^ahkG$SPYrd^bh(h`|1ztgH2B0RcXHh$_*2E<8ljl
zx0E)XjeqD|mxrV#VSk#yyYKq^|KXqckxwk8jY_`gp1Bi`p5<kyVsyb1W^KZs*nmE^
z69$;fdP>jS1q(2SiD?5Cb^0<cc$P(-o_P`6b#x}+!UMch&)mKO97x;af~aRgu^If6
zA<6u7uS0#+29y#PlP*X3aDyAFdk=EUNcyR>;@Fd{WB+uA2|<rEhg@r?72=yx!UAV_
zQ`s1aI+BfpXInAEU<h<oGNj4huxYX#Hbn_#((#0UB^hCzc9Zt!lalstvzH(wt$Y%#
z|4aMVJ_+(mo1Baz<w9kX+w7-o$MNa0#FqXz#Y$ll<TYW%Dmzs}IJtPlAKM$?fGql2
z02c}<@lrpEu_e<i7j6;3nb+bL@wl&NZ0YxI{*k}<3m0jJyvLSB-7Qx{PH@Cf*6q={
z7bDKi*pl_H!e>TtFpF(-b|ppQ50LQUtW{=}F!ZYt%-B+oVjN&gC^Fpa2U(aDivw<U
zDh*6fB@&Q9s1%A*bt_#t=Y1(*cw9`zmQENaB9+4!eqtlALr@f%ZBl`CFtdU!30rx-
z?2MM6&}_?se@bo#X*Vet@-<Nx##(412KzzYEu$p_ACPsTkq2j;))=Sr%(rLXab_$f
z(HYRD=){ir(R(}+ntI!U->$ho87%>|p7c84JhyGe@uKITCg1xoy|s8_2H*YyyC|+h
z*#3f75UtR4I`<U(Us&g?j)H&XsjUKml)|;Kile)%H}aI$(7k~Dgn<r&kLsWE1aFA^
zP+k-}z@X1!WJ76tjT(ST6}K}{sqz_09*xw(hfPQIPt{CGn5ByPFO&-rH9<19cvM7T
zDi7rXYSK6y%75oB269>H<(Dd2{F)`qhA?#0d<VqdlMB|3jzDGICipkBOcQfwt?^{t
z!Ao!ZwC(q%N?Ped@X*Ct4_H~q#5!hHLhq}t^sWSBVbJ!42bTQD({)>2S^cr=0GR^m
zK;wo+Byngeem_UhZ(=+JbQRMOs-{Dbir)p%(t?6Y5yW&$QT!pud+&lppQEJK#$JV>
z0Bd&D7@wu%9buYm%5?~;2kXC&Q$=91rGT}$4Mh~c9~|v%+S<ZIMcW9cm7=yL*eTF6
zMvDhlz`A7w^`C;cxule*(ui(d@u%~Ym)0Z=ROXM#QQtI<E;&(2PwU?by-H}=1HJsO
zuA8-eOBFX7QcQI-_n}k}X`l0huC9FMcHU!O*1Pt(+sSlXUE}+Fa{Mgc-}pb2xR4Z~
zdt*Q9g(j}_m|tk(O3!)S#5H&>(u2Ojz|*ZbS7`T=>{;AxJVEJ6Ut!Qaxk2z;fNi3E
zDy!BkOJGoPYp#PoLC?Nb>sj?#Tj4p!V~aJ>)3~djHAo61YLXzl)pQ`*sB8pYS~ETA
zD|jmyLBl5<1^9#WOiwyeGMEP=SF~jjcYe}w^n8y_+bs+0>4KuPsg=z42w5mjsK>P<
zciO5VHBNR#)+dQIk>je@oc5j}sgf&vu-W!WBC*n@aPp(9{!ZKNRsPjoq~UwUbk2*T
zZ-MPRc+aqhzh^k9;d{n^e9ZUkTvDgWk!VX-@>T{pj;3deNs$VGQK&os5ZMm*hDnGg
z>e)gya4sKb7Nv4;E>r^}=TTKdGs#i`Fc*>{O^&F|ks#4<A;;15Y@sapetWji8I}EE
zkT!JH^=q3|+&|fl`_~D#MYxw}@j|CndSD;`&7Jn=cG|zrk?G^*?&U+x2>Irl-_%Qn
zN!7tK53z*=T=6!siQl?xVyS+UoKG&+v+=j|e4Ra5<xG}bO@Y?~1*044aOOE?gi3Dm
z>hwaVte)lQsXq93zv{bwcBznME9u(!13daJiGbIlLiT($Ysy(ZI8)7Po~mC^j~T8C
zd!VScg?n;A2biC1SUhTl#DZ2(N(CWxdkmDJDhGLXi(b_b1>PqEUEo{8(pPI{5UKCT
z_(sqLJ>Y{n;uJ`t5fBv}JUU)=e8_OqG)rIEdAIWF4|}nO{e7%T-s}_bvl?;Fq1cvD
zPU%rGhOP)c+GnyVH|@F?zDm9{n!IDLgYI>s7cE~<9Imvh3<E$?ZLb0zp|BO-ND6z<
zKGgsi4C?O{z-Str2w+qeN+5`2VPrcj3@kJNn{>edL@Em<COq$7TPShV({fUz;3nxI
zeyw7I*byXDSoUH*^HANVFiA;xDfQL-%~I;?-8PBK>2|BJ=^MPB(=osAd%yemled?S
zQI^p0EKA1w&n8c@Ej^s9c=)(RPkdt`b2`R2C!wuS#@HI&Y)Q|iM6w}0n-QU&b06Y-
zLWFL&OP)_&P&>NhndAjLnC$BuQ7jG5fZhgrwVGe3O2+^_G#_HS6I`M4HuCK$m<rrH
zD-3bHjHBoK1Aq_J&EjqBHcDdSl6U28&`kS(YWN+xtI{ei;(yJvxK2od{nHQ%Fn?kw
z>#zbTWU7T99mXMw@Hi-*fI9Ooa3@RWP+Jcn^K_DTA?bu{Y>^Jh=?S=&AI;s|`tua;
z0-H$IsQ*CkLR=evHpy91zEuj7v{^-%XNo;+wFok+-j4`#?>*P@oqzVnzhxc+-q~CW
z`Y<Ia!(2;^9X|Wk1aX431kseFQ06e;wTDgsCvq`U8SrBC*hwZlWMnx((4f-jm75r~
zZ^DC;1qE+Wh=ij?H6L^W4N8lxR=F1FUmaYI42mSg^O9Ar#R~3NGjPP>H3nSeTKer)
ziTYsogcH14Ga=C68D`oB8W^V1$_pH;o~VIqWEYu^7THBgWNWGHn~A)bH}QmbL*y07
z6H%<(yg43owd#LEgT^Ocri$@E=9OYYoDPV*WEYdZ#@Dfgd}?&E>tg@=k;{O0VxmJC
z@CJ`=ddOGk5`opm!vli33k-Oht%4dTXBqJCRcV%e2OTWw3$(zr>7Y8zvIAYE933Sm
z1IhG|ua2h;D@owp_2`psUYwc%50rv>MW`!1n`R+9p!V`~<e<qf<FprNVoF4viJM;>
zWF!2=;m08Z9-mTQ<ThR$oOgb4xS3uYoI~~EaQmhg=Ug^KT5}V0AlZ@R&4@it-ps;)
zSAqLXu2jhdVMIy4pOT=Y<iNs!my;v<colE3ri@t_@IZ%sqq7=W6J|p`_XmFZ>U%CB
z1n6L7l|BOh-DVJ`Q<0mi4ETD>niwJk7+~K-t2qQMG$5cf9yHqK2-NtQ8Tg|Rvstl1
z2$aYf_$!8hwcV2yLI73|7#j-i5L(x$d`1Z17t?}3a6JU&GeTf(5ya$C;3%fXBN4>Y
z86haV%l)P^KI0^r5dw^)P$LhwZ{M~o*9bwx=x&hHn)&V&*0>XDJliX;vLUE_Ro*t-
za1^cP-{_+840{%ZmBk%XL+9@1PCYjF1!K=*F{f2hHh!OMs#|Eug|c!~4tR1Qgde+V
zz#YnwpnstYnb*lG`LjqVXpcxy^Q<u4=b%5m*DYPh{j!Hlz!ZozyP~{+DNr=mZwj%~
zGavJVzY-%Qb)39YmD+H5=5knLj$A$(!>Nf-aw-56D>11GT=1|4bRw}l^t7s%5Tv4Z
zLCoWUe&&iOtyfJDf>hKlNWKW^N#B#es5vwhbrJNDL|_~@?#ft^H`E17LE;{#$fzJ+
z3>CEt0!2{d6G6Q-xyOoB)Gi1TRU<z|g=2d$qNt0c>wZw2Zxoo0B_-&0NtB(DGXP|m
zI0-d*Ns>@*k=&iAR4M5*$dY8fJ2v{V$Bz!YySZ{v)V?N8PJsl>z(m<<{*5jwYSoYv
ztKe1G6t-@-Zk}2RpVd4+k7@1Ol94mKK8AfsnO|4e{&yMY`xchY&mkO`qNbyqXo7NN
z36Q@i7nI|mxc#1Jfq2}Hdgdn6I~Q(IYrmFJm$x(O@-u(&ZGZl6e*C5WaOFcp+c#{2
zf@#rvO)KNQ*8l}kdyQZX*2tjc5Tp;_f<0QXVA{1wTXF3Wqz_O8!JCK`DG0j+Ym__A
z=>xbR8XXi<$6#w2V3-Bz0~A3F`%|w1Mbnx}h(pr{a6y<>?XKGc$ZQWyAHW3<=$H^x
z5{DLNAs<?N0GHf@NnPosj+i;pT#fhuE(zC2QArqXOD5P?&s?;^B{V4`E)za4CbHPd
zY#i0V<v1w<nL?G5iE_rQt=e(KNcN$n)j^D;$Jx)N)QO|<q?7R-iIJK<b!|LPP?$J8
z2}(p1Q1fD=GrcNo)~F${Guci-g=Ag>yM!@2-{>Z-3$Z`o@H#PzWFrud)VC&uP;q?0
z&(r?WNgu~Y8P%-5xwcVXa&!?RNm1H-<7*G>1<gJ%z7uS_1U}Q2Zw&_npAY@mzws~p
zx(IxflimX#pMS7N&j<1XXzxPOK|SUmucy*0g6PhWR0BqRV%{ko<`9%$Qc3QS?Od}-
zgn`e-0GJS@((@HDxRm3NFeqWavC@<flx(N17-aaE^P})f2vX_!il8Y&H!oL&KGBdO
z1SQ*PD;~O@O(&eu&;!%T7c)ukB$YA7o}pS{1f+?#TRvsIRFqXCQ&f!$+dp_?$Q{5j
z?%(@g`1$J<iegH`Ctx&COr#fAv$^r&Li2z#BX)q|sOmchnu!lkyy#RcdD<slTqv%5
zJb66Q@wlD#Z#r_R8LRrvwq!)M)BZT$T;EB>S=D!HPgXiU4Q1M&&}G`J>pSsdK@Fw~
z4Eb80On@=zTUKCHn-i3V1O)cf&(%pwK=8u#^H=YG>H6L8eC}QEiNTvv>iEq2@z3vB
zIknb^)NuUec#(|g^=Y@L)$2<@a619PUp@UFpYd|CjZ)mwc3q<zlOyfX00hERb&b7*
zr0%*t_Ivggz=(pbp-!3Cl|a!<4M$%+<5*E_qgUA=^DoXe)WV>nS(Kj%qIFX_FF1Cn
zEg2HqXo6^r)~;*dlETZ8D`&(unjpw2(8s~r2ShpTIa1Hr8FRFxl@x5)DxC`jEPGQV
z%Rq5B{7N7nm#wzL&vL&f?ItUMIw9tWvz%_2iz!u8X-Si+3v;B`IjRjDpJSJ^TV4Mv
zOWwdeakHszZ2Dy5AN%q6!)O4Oqm)T5(ZJIX4cK$NSl$o3{N7ied-n?~o1N@t6Rd$@
zSIwlB4#*Lk$|i%`w!ErqF5IHU^6K4Fujl+N!hq}v&e3MgsPw=5kDmX+uaCM3#YH_T
z_3VtXM8lx2*TyzwU)`9FLs^>^FN7e23SV)5qQRw!-uA{39fDOl4z%RbCx`@|@FwKs
z87;4`NIn!@5zI?%maR(1?J!GL0%EXFYp@xIuF`Q@P|ScB^&k?JhG3PBgK@-)6x`WV
zk`S!Zamw`0i1;|jLW<?}%RxX4(=4;;Pzu}}T<Ru@WVc02s7M}cdP+Kam5x&`FqwB}
z6y8ZP-=5N00RkIwwc=O5X!q6Ze)Oc{3<(7MEVe(lO0;pa#KAdk7LPFE*0ysKfignV
zw1adiCJ3?ZTx^-Fq%kt@&pdA4pW6``KQWV)1onY5d_<??CIt5qIoc~U(|%F+{-JL<
z{_szQ?$v51k9w^3DP~-O4(99t3#2S|TC+A%+{TyNSF|Y-QrsqiLT<34?sdU33=0)z
zl7Y#B)V(g4tKiO(48|}l5I-p*Xlpbb{AJ7(q9_aDLXf)G56vPCu@7J)9QJ_anziW5
z-y)O}jT%de?)5dJFCY03)xAlQuZ&AZUp^WD6$(v~)V(fQ`tm`B2r3>%XGt>O9u$Ua
z2|KD42e+r{YTK8-e00p!HHD9@r6t5^12x^g44|r<F;Lk?uGzlL_IZy0{cpr(W1pIM
z&Equx3rMw1#w=}Zf@>t>#O<&ZZ8AzQi^$%j#<h>OwzmKHXCC~4Z;$M@zUHl3Kie^!
z7020*;Yx3uBXG@X9rpQV0@tk83*p}wfh%1XS8n&(tb9?m@m&`kP<;?}R2sxSVhX@Q
znVAZ6chgmu|Ah*JJA!)>X(552lJ}>rd+|nVhC1zlWJOgyCDtani?Us*fI5Lamm2*^
z6WBQ4oYrD5?HqSmjDETtagIZUi8DPv0c|hE1%L3d6VTI^Z{ZTUo4@*xf8zi7RY4e~
z(3tBb$A+y>0gR<!GB^jaCp2D1w+A#_gY2o@)@LXL+MGdlaH+DG2KfGdD;21DMKMN(
zN~d;PkOzq`6)R?t{n(-BRj_j~QES0Z2-YAweQN>P72wE+u0Zx3v+at;4`6!<_5FuL
z?tx)Bp3l=^kXw)^&n3a1NZ_6z`$%K1Jd}3fF#!y%8tO#G^;FcRNWFuYmPaS!WAhVf
zy4Tl48Id^9DE}Q0KB@vRNh4?ERGm(0t>Z_Uu9MX(Y_jyDx|#aU|5JfMm5_h!e^soE
z(5eP#>4C>;ghIu}CzVG3p?zp8iqLzg2Rr17qS&8jlxP%ON&DZ$-+T+PhN_6*0?YMT
zf72$e`pdwc2~-#qRwfz-l*bibzzLzf=I!;#pR3B3y!}1q?I+!$)*LQ*``gLe|I){P
z|J#4>MFeZ=m<-%Xkbu(=V){kf`j|r<<o7oM;iIJOY}e)4C@Y6LXQQkf>VRGGsKvC~
z%>ZwrOW`rm0W(;|0{0uzjnsh82!h2vPL#nxFr#eZQj{gtrJ$r%AykqqTXIRFQF@l3
zh$b>rp-maV+Jo#`$_sh`a_URjBBYhbfoLzDF|r(|?atbml4NyzHmH7JKIDX6p8AR|
zItprUGl#SDeCRh(J)qk+26D8(YN7{jgYG#OrgA64|Aw)TPyU_%jc>+2QR=*tup|&}
zzn>>n`lT1UZM&T6BG@Z1*F~7Hyd)Q<E#I0qMtlG0fAXh)_m4(NmDVkLv}aY!s2M`{
zfV58ZCu5MeuPm|af>u(+W{jOtxQ8I$eHR2A&wTCn0n)mn&2n1;qdgZ)Q~i1=V9tV6
z%`RBtNA#pi@;M9g4!U5E=6>zkK+dvl@1SZfg3d4p6)j!ic}1#b7c{;AG{l2TSJkL}
zMdirkf=eD@3=qRX@*w2XRmX@#doGD`E-)oQa*vJ>jm*-TRLw5gpFo-<k_PX`X<k&L
zR4uCgED;MWs@wKAPX*F1F4bU(26M&ce|6Wnxc*Jb&{yT(F`4)`N}R91YD%89hB<>_
z0zD)!tSwRodN!v>d62u6sXXa`cb&VHqU-?kSgBmm^dlKt=YF}J&@aBlX;Xe42g49w
zrI#GK62C6W2&70FRndS6&~`aLzOpBMuJT;stNV+urrn~}04?#=?Zj7q;luye+kfjP
zU1*g2NHF3+bXjx-g#?|U$@j2&l@Uh`llc#roF|b>2xcRG)-A|JoE1!nMqqGv&QTaE
zRz@5{gO!sW33#Cg3WE!C&-luAM9uXXS3}Y)Me>}lA@P+<o_98q`b8!9IcKACvd%gi
z*~>cPY~b4S9Re=~T3yA}>7;(H`jzr6Ld`r&@}%E(;9W}3j$iu`Plc?B;Yf(=1+6<C
zdY$YbhSMeCi0!nIb_aK`lDrkCNFACW_Ho}x{#HX1>4NCDg8(!8F(EeBOVY)?q0F}K
ze0V@pLZ&5Q*^O!4h<17*{Ej)e?p7)VlHW3u{2wD;h?tbDC!k-@^`{fo88G4K$#yt8
zAoRnqgS7vN<AU=3(hrvQ=XUHbRFP>@exC4~cFCj1v;h3N%%70O5`I&&2@j2GYBo%5
z@5bUrJ-tQl({9n?M%_)3`-i?}`!9V<ROD!{pBK4X=O5y648kFDfl6`%mF+9TLR=69
zpVnU1ZH%Bk1Zk&T5TgK)C*_J@q-HKX_h?IeC5k{MT5&ud%EE!Iwt|xRHo^UR%K^j`
zJR!4PuSg^9hX(BvEG`A%{%C1noFi?t3t|)k{4ol`rBSTb1t-~43CYzsHc8#|&E?9$
z)?!AaAD{Fn_riqdMK&Wf-An8BM?>rNRD9Onl?$e~)$7D(UwFfJ|BfHKgwI+T2hdbx
zQfhBkTVCBQ4h|gPvwV%SQ=Vf7ptD+RatWX9*I<kjAQ!_swbsNA26dPthqHlL9XK%b
z(?CoJCh|GvxS_C%A`Ub1`#5wC?Sd?4eX^F<5UfJGV9P<0ttAtxLc3tgK_J@xl2vFI
zY&m;Od#`@;i>gy~S(D~c7yk@Et9yArEcxoFH$y|pr&+4hbZT4PazBJQ3q-p>v@eXz
z#u9cq=`^hg8(w|?bJwrD^y*75Uth@=NWd&yb}I*^X4;?yqP-o6_ETT{rZ4_?q69`u
z&^*uTC7vKk(%Ccz<n-D?R6z`^#<FBZ567J}&_<2rja9YCD2nm%>bGa#DzSyF7*(|>
zn^k)jf)ZPp;9++J^D4~q5gk=$t7^A^C{%Dg7_=j?g<s4DC8Z*`?gzERwBzOH0V%qa
zs~k6b1771C#g4mVsj2}6Ivh<SNR1!-xW=#!1Yel_1qO0@L}|@jRcrAaFC1k+tz^DC
z#%Bu@8*t^I{#HUiKY9>n?2RDZkABfN2*hwL_TAlr`1gP(2Nqw2Ga_mKGLJi>bs}^T
z$0FsZ_D@E9<+D1<N#4e&v8DXw7Rz6d!%eR{a=7?)eexJ{xX4!@9s_)|$NGZxdF*zF
zY0HlVSl{%aFZl1bp_nx=aK&t40$%ww32ttYWHbd>;3=x20#(d@=m@a-<AAnwJir1a
zXj|8k1FW<Bra8a@By=;sX%%2$lA0DVg0MlmL2d}JFd2z;KgjhQ*2nfFs{kv4wh@NY
zD!_`MZ9s84ONol6y6XZMRfkW|a?q;WCah~VDZLcHREe06o&uPu=#;&y7j*NO^8DoA
z{2ibF{g;(zM~Fy@0)=@b13L7ZT-8POAQ*^S7%QVw#r^H~n5T0_c>-}!#k5B&lJcyD
zV#>-BGYWtV5p=>lxE99B$`j-XoZTjZGUPsAOje#{a{YeiPGB6mQl6T82lFbZTm~vJ
zR}Y>rZAg;ZJw`9IHltFWXvTESjdrZ&2db3ksTTqnTn|zK?QdQc9)Pq)=i8w`V(=45
zubAIQIOim#xuMFjDm>_zO`s%(PS%Fwu~c_>oVjo@{c8rrRNsRwuF;wL?^gF6j%x4R
zt)8HdWv~6aN3V6-VWB6OS5|PgUF;zn!XC%jwHM)nLVav!ciQq}`ugSn@pt~YZDbR)
zY}}n)D<a3#Gw_vAU!OK7DLu~pBh=SLunb7wC_!Wvq`r2+(ui{eNfnh$7NkCK!5DwG
z$NcX`kowvMgQex579g)keeHrl(gNUunlKAeU%McP`Qe8{6(PNA3}W^b5*gIjE*QhY
zkc8EosSDnc&Qb+*%h^y}6wLPSK*~Q{-vNa0-K+k0p&WP%6-iZXbfL;yjCoWGiN)5&
zs%^*V-$bzdp(EKec&|&f5QM?6KfgT4)|;!emMZJ}tFoSUi(19MK=`)<;eYO*{Or$q
zUl>n?oZNUC0QDe2_@HSJ&)dH8@*snLjpJ;bL8HQm0eZtQk<a=d1xFd7t|0svSU+UY
zNSGdqdO95j511TWa5d&l<LMWZuzoGa&WFwrKB|`u>j%`Y<=Ao68Nx4IL8wd`Bn2u6
zzsJxViO5K3*Zz>AAN?#rAquAg5dH}>`80&_gFlu*R>QbSp!zm_zSRGudn2k)gF6;R
zH1URPx>feSk1G@Vai#NZqeA=>C9P=BxiIgcjV_i+G!KeZeuxklMZ5Fuu05-Z-F<q?
za;M#*#qQosS?(YFhd%tu&tEFb1uYRrFTfApt!*x;7pU6Q2d|AqzmTv;a5{trgdr$)
z*98&O>WW}I(4aL0#qJhCq{10FV#@4}Xk@P66}#(#pkvZQ?|jZ_tSENZ1TkiUV@^BF
zwcQWKJBi)(6#<l5p>HqVMn1IIT@yU*Wx_R**J~{p$bxEjP12@jv(gu&P|ek--Sva(
z$O%Xu)@ZiL*L~6Ms&Tx`{;bwi?eD-&hOLuNmBF=*%e10yaQ$zy=-{A~8<UBDGsElQ
zZ~GVF@M3tMilGns9M3hpQ?n}eYF}8sH5#n>{o9}RrT_UK4TYysp9dNi1ENh);COhw
z<{xKZt3v6HNE-;8*J1dzyr#AWB9c**jy%pau%#*Ka%f~rup(+^K&;aWRwx~q`Xt+X
zBAIk^=#0{#_y%>$%mb_s#&!_rSfO+~lFAUJ>pB!D*KpoAO1H!0yGn4fa*|hC70K(U
zX~Ff;NYeryM&L@NM^5!r>Ty#XDtg>BVn{mx(q5QBF`V%ofax9*h?Axrl#U3waUxVg
zT}}FS|I7rX!gPUyeixL=V`moZrMs~Fn9lmV53m2dZ%yEc=+?G(%39Fjq+ukyj>-rJ
zNGj;uwGh%1dUI%PXnn8RN%L+jFt5};h}Adxilu4$)PYzfJ1g3!U?;*sAwCssCxwvN
zQ>vXbRBMWp)}th{S+R1`K<x#cML!7*UX5UO(vGP9loSM*GY9=$wUf3+Cxa~6byBsM
zPqK2-IA9E#6$kIOU48J$dQhFPn;ByC`^Rw7=qZ__c0Rf4&YAxWXDdGWcm6lN8T&-3
z1s$mhWA0&2Zz9!7Z?O=?q=x6I%5a*8HBg32#^U}m7SnD~YfzSq#qDG)e)k7{%iAZ6
z7!YzdLIYsdt~_N$PA0kiIgmK+P<AigJTezrbrs%xPWOZJ+UU^0bfAfd5X^x@ke*=X
zv=)4YU=Ad#fPmbW82Qp%Ob#ThGK_*dsin%{Qw}6x<WWHd1A0P%fch+c=!*uFMn;TJ
z^})@hTD}{ZDfMelButxgd6T7cn^?}H5zOD;Bon((;(Sr*5=h))zTX0g`I!ZKPA_=#
z1N%6^{8C|0j73`APJrWI{PF+d^Z&a~yuepw-mr2>wl$2oY&5DtM_Q1nm%J{qfS{}z
zZC9jTa=|?kt;!y>MQ;+cNG|fEUMhn76Vjc;El|G57$6H$FS#JZ(Aq>nbo^+J(!P3T
zx)xE5Fa|}GcQ(Js@xscd{8f=}Wb_F4Qp9*O3~^e4hB!b7(Ipv#U`cGAHqxM}AZP?U
z1d0q8l->H7H%Sp|EuY=q0fr%NaNb|A{tEP{_HUD-bEli&d{G1t*Nc9eIfRn5RjE=)
zew^SH%Jf-4Brr+EXV|ls2b@TFL>D5Vs!{mG7Z_?w&oD>BhxWt}+z();ymum3BXQ-s
zr)XNPDVI2T>4f$ZeEx;^KKDVn!p-XIUU?;??kO~)O<?1;oq{xSyFPfPI@4h<H}{qM
zLc7I}xLal4_q}wTZ_!KFX=<^2=f40p(pR@VE%y)nrO*C<{>CR4`=&uq3x>fC0AG@f
z<<17OTD0VqLJnPdeaiu(YZdbj!4yV6C`3Yg_{%LKl{1#;dF5y?fI=wMR{%d&%o)pm
z+wTSAI$#)Bi<*ssVTT?K6ykTsiWv+8T_0d59vU=@^*PQpgjY4?`<0jcs}Z|;bejdV
zS6<ogi|<or@zE8_XvLJ;*Vp)Zve6A2OtX9rl+r?E`(alHA2PH@E~EuXGhDbTgE#j(
z_#e&P$#CK7=+oi$Xv}Euqr(6CRIZLkl!?oY1l{)F=n2eSoiM{#T4oSn+St!{Hgw34
zt$Bc`f|XkaT{TDxLtXf&Ry`<Rkb>fj;&pRHGasYt`Z2=hHr+~W-r{3~)y%h&=Y*T-
zF}iLZBW^#*WAskT@Ss>&Mh-*W>R(v?)@fPBSc=*UFHf4FlV3$;7n>~`rLs#Vr*SK|
zek=zHM^tczmq+?Zq;A7Y6#~fQrOI|3aMzLZG4=-?B_WBlzZimaKyIh~_ba?CX@77?
zR`i>@=K5tj?JsnhY5#t;J5<LPYmkmV_`&k&pdKFYvb(7d_17w!_;%-GnR<Z3pxVS>
zanTXXqjh+YotW;KhuA8@@M*c}!nWy44Zq#hr?Hcp=BH7G!Oy?+;)^xQjQddP#FSU7
z2)d_nNv=4QLsv(a7Vn53>F@~M&EOa}?OI$}?n<ZWnX^9ZIp>MKuU`@tNzT(5zltX+
zE@in$ZoT^Q^-J%P>j?&*B3X`63Ce}Y1x&sxbPlK7m2tUp!ec)zcQN->olsuKGn4L$
zx~$^)hX48ze^-d<{FN{I#pnNtPrc;xp3CbdpCPeqj^uT-&l_aiBYEBAF|fn*=5%fL
zdCz*$mCvhX^l)V{JW;D#!mr6b?|!pmfjR^^XsU5*MCZ7t-yK-QNWmUOijDsR4d7}I
z<uB4WOE((MUn?mDH?}4QwvaQMlDlD2SqN@i^0Y(k4JEgSzCO)KK9&2vo+zec<^Ptd
z>ESIqF->u<Oe~1AugF?g{?&`=uL=r|MM^6#J`P!H!l{l=QxLEzGWCk*9d<>Z^cP;?
z38W{CvIbNm4DMQbtl`^lNjSnb^AX=ZJ=d$?trsSv=9x(kHjcj5nPmF|?sWUiq$e9u
zfK^$IMgi&3UKtG{o*AS^25Y8gd)32J*Qe{$36DuGS<rSk`;{;mhr?63rZ(+YlIhuA
zf$ox(w}=8joZHru2;;WLN~o;hm^G2KnQ`&1>c|s2I%dWJ^5xO{4z%?7_niI~z;*Z9
z*76qM-_l!vEqe<h#E|D5oBm|;qYn_pM=z0xw*ZTT>}&oOoQXHKU~8|~)4?KCxu1in
zo+o1I;ct>(z9N)u9c<Z7{wn<AT*?NAoej;&UzX=6`K#Pc`@=s*ePcmo;o#$C%=?SA
zO8av=?T_<4<o)6Q&+*ssgH_A5?mI5$toyBQa612f)nUQ7es!Yb{AD}NzuT_59IwDN
z?y7hN0<cDDe{QG!yH%Itm2R`@s_XBXcty6;{)jK~{qJ_GuBt5TL<gqh4}P$GI{J9I
zXa8^~%C2nU+iqV141U`=<CVl4N#P;DIEFAI3T(PCH$~x=mAmRdlYLjZhEsgkak;a;
zD|cBUinZd#OGNSfRgHw7$z$AWoX3dblYij{_TL%gLfVAoW$@OL1=>a#w8}bLctSAO
zxkXiUwD;R;(K?hGDoE&CXF*X$CTJ`~bkf#?N#~+<OfcGL_gjnvs8<v{V1kD&M%>Vu
z!=XVoSqqAW@Izzfp9yX(OKjJPlz?a<6Fi~qN8Ce}ceWQJURQmNFkKk4NN*-zwPM76
zl50$2q;Glx^M;n##IdV>+rtLz8@wFQS&PqCttocFPqWZlVq%ik_oOxR-RU=+oXDSO
z*WtHn&NJVhy*5!n5~OpQN%u7``ezzYG<4eH&C~5y_v;>def2b6i!3{woB{zateFdI
zroN})YgD;cM=pt3<t#CE^Y#n>!T)@jNN5ALv`Iul=ng_`NXDUVzz@Wi-zu3vOn^Dm
z(s_i)P=hls#%o%XFwYtpPPp*<oyB`0NZnjkL?sYbq;I-u*nFOSD|NFA9-zCGkKlyi
zV$e{zV34}m1&<x(5r}#Okx<)jMcwRzdjz_A=#6J#?W<`uL8`ADZ5b;IQblu%39Kr8
zRVS~i4Cs?3u3SmAf04JsvxpzCgk?*I(n2I8xrE3h)LEKw_`U={hU5E#cB%G+!Bfep
zSQ$#$_iSqA=Jd&w+7l#hRLrv@?|<p~-S2$vUGIrBjHY+02($hrvNm@WG*j^}W%;*L
zmj9Q3{`bG*XMasem8jD9z@}IS@9#x&L0tT7Z|FL^;OW*`_)575#t_&*GB-@xE9Zwk
zOV^i;XDKT|f3H*~0o&QZ6Me_p0vliP0ICJ)@9o!}am9vUWjqg{nijjg-dU|v2ufg6
zmfY+Jy2HXtXJ2i&C9rWx<L!s!rpH9KMv{SzOYXrIt81dop!Gup@^{IzM1@lP;*w{X
z3Z?kPCC^e7VuE>`=NYa-8`tV<!WS`T1RRZ;da|!@ha_Del538uqsP<(eb2wr69i!D
zsyHO>38EXDBO+ca2sx1O63XQ)j=D)8ZM6@tsF=v=Q4xvRhiUAHDtz$04zX8chQ>be
ztcHCPc&<DqgE8OWH81Xs_6R4`R*3%+>Z)T`V+R!Y0%y-VG%zc6XmC+XWRLxk3AupF
z^XUqh7O;8+a}(#UikBf!!Njti_Q&~Vm>p#?vtWMle$sLR>KF7CzZQ}JQ8<F4neu92
zs%rWc$Zfqj!I07!aD{NekWw^9Q1@j>dECX<QA2a#7PUd{ecd7+_w`%~{UzV_8NcaA
zgBL*S(%skb?wA;_(POfypnu*S^N}~dFve?OqC8XLxhII~(62hiYXHDh%rmZ<zK#o?
zV!Q^*bDI^#*Kx@huK_ao_SoX<xMYmi7@LVmU&ke5yvATA;zfHOW;$RBHN##SV#T&0
zc!^)}7H#}ZHadF`V>0n?jYW4$?tcMTEW-<z;f1ATDAhDBfW<KRdIqpCWwaRaM3TvJ
zT?d5fEbbIc2^FgoFs03!X#ZdQ#s}M<3?mMT9E9%P&!k&Oz^>tGYjK-L&-Rt|Gr1tj
z&%iSPY#wb&Rx=iYj89w;b%^*LNkN3B7HNhcGqFXm19~qjVwRTH)rBA<78kU#Nz$Vq
zWxg*;#pPn?kr1$O!Tp|XB?xj4-P$q|AxI<cf~e#(CZ65S`k6wIM!X0*kH7%~leJbY
z1jUHEB(McmprKX`=b#CMB*_@2NbX?9RT932gyKn(M!ZM@c0qo%$8YY`lSz_rl1t*`
ztoND2q^mV=&)jPSePeX5UzdWn9OA3@aL)ywdeCFw3n_|sTkn5i^Myw_f@*EHNkO?l
zG%1Q_TW&6PqSH_nyGa*sy+aj+Z`jA14v46{Ds~&d27HK8*o>&Zio($sTot=%fn*e0
z$#&XbTAt(hge~Tv5CU$+a{BX>C?1=@DCT!5+XH>UWGtcibkg2iPeV+*MN4Qt{T9u!
z>Ob|Ff8}%Dc6(`vu171e<nV`6gK`f4RQO0orUucfJJ5{82aFnX_?QKtRy`o;3gt%4
zwwV91@j`VP!ikC2omE4{id7EZiHXp!VSUdbn9~r2nCNsuI4{;R1gkVeFcV=!g7(WM
z$%IV8M9a`RXwWr&p?1xjhA7-bm<(z(fN9MtNp$S!b3v;gSz?w!d%*ko_Ux7RnpCW7
zVN}einko&^gXc*q`oXo-Bm%HzzCruli9Q9cXoEaMb@Yoqh57;pA9z*0cb1@{UcXN;
zf<))~uU;Hq%IKqre$=PXy~aj1$T!#HlixC2z|R2>#HT4TwRCbZ+CE;m7$6PF<>i_<
z$SwvS(&RB{CLv5T$M{zD+}bUXOgx{nu*q$r0UpIH6OR(>CrdQoe6x$gL_<{okIX@R
z!Q77Xmkd_gl%FSD4CywQ?_$`?wzwE6DqSiaH02_lx;3IPEJk^~qyIno>0kUaZw%l-
zTdo{9um&u&c?kjGZL$KNBm0#SZfkjj19~&rFD;m#<Js;}Q9Zt5huIE-Ss;sht|`>2
z$AK`6bdQR9vK7yEkBW=oCyCm~o7zWbn^_txHF{xUb-ON*R+BkuXv3b#rzs}zwq)Ya
zT=86oCdu_`{w+c5vniE2^{|ZaY(R3JOetRCs+UdU*J_8x6jipHa6y$b7h9EVcVoNS
zA_1CmtRJmik`zz-50m5=^OVjX#%~T<AS^J+l*-Q&rc|(m`KHvK;l-3by{2^9En1@W
zyNTBS&R0D8gs;71N;x-3%HA>APdbfq>$JS6ZdBH&pA)biWrnSpVmMfAimnI@{=^89
zfunr|#5|kQm|4^h$;YxOJpxfj*hu()V?#k=#cWFZ!(NN*&|5y>IFPy`yBPNWC|G|y
zoS%Yp;=Dtm`aAYd)fZElQUfU{sVVok?2D!}Oy@A^9pBw%iCdVv_;hEc)PZh`N)abY
z24#(?bTOs3Z%U(lEz=Z$6-f-Oemi}B$TS_kfa242_^pHD({9mXW~bkxIiUD={E;93
z#`k_wsm<5AgSKAn0Lvx)YCY=J+A=GeS+AWs%VAA3>w?H{BPk4{*rN+qyKn6)GqWyu
zmh75l)&=)qPy{GW#8+qcp8P9Ib-SQdz4Oorn_(1hs#iO9tlj51;$*eyIL?s~tFH*2
zd?T6xOCy2%yrP&{mprUDCuyp~*-8Stk|ZczB*A?ujRE_u)&f+L1S?$<m%*xm4$Xp^
zHmD@?-9a;<QoZzjKdh_Ex5rqfxaLL(Q>!)U2KmuXyN-wgiN1XZvwel1T_Qu5?6*56
zOt2>4@wVs@L0wwYhc}M#mP>T#Yn~-8mn?FTJjYxv5u;0<p)P;+t&&-GNsy)^2f})d
zEt)c#`z`>pOYYVEgyas5-<9MOxmSutm}}((J7Dls<ih?Iex0dlf!3AM)y9(ndIocQ
zyLB&g6!@X+A6^_yCe%(|N))&&=6`*#P6Ydk!)LHSeE0w>P@rE|^ux`l&<UyF&*%a@
z@qh!A2{Ro~h$GVhAy5JJvYqyCi84X{SS2bh5oO|h_X*u#S$|c_u#+$-E?BnX_-%uP
z{5%ESK-vK>?0j^?p8EyeczV%|X}4%`yY9yA`jjvDr62iKm(h(iDdfhd0#@k8Is8AP
z8)vy5GrF-qH^Z+&H_pxQ%jgEu12>QG%jm|FOz;c1VJ)UbEtk^Ji4{>hbD1GP<(`_%
zxM##iFo^XkchD&$k<5=W*@XIU3-nQnSCy_wZA3D6vK{7PkPN^ulKV^suyin&?y=Tz
zPU)a{<LPqfatALnek`OG5&|J#E9wT{B7M6c5X#T|x?l%b5QysmFWUVT+yB7ZzV8G7
zUzkGmOIid1a}r2|gW*`mwak9V0cnnLIe1&4iv4huRSt0t@n-ObuJI})Q=s2tH}JS>
zi#{fhTZ_JZg$TDoATS<Wf_oqgozk9#U>)CrXFy|SrwMbxNzq`kWc4tIM`f4;4WWp6
zfK~pX4yMk0T^*&gjAMjDw12CWlrawFV{SLktB;&OoJu*>bE#{kgtK32WlscRB~TM+
zC8Ga`IyS@wOAo|pw*@CIlOQ3O(HYR|l2VTd1s<{%@X6hT81W%9=8@Ccu7%h*KaP?x
z_M@Xnl(m{%6Ff=HV<yZAE{Rf24u>Q@vX}YFvF6OD0zA5z9SNIlxnRCABX{A<*YQ+f
zS$`_{v3V*$)eD9sc`EqviJyuyWV=iM!ISkL3~Chx5=lN}TWdJLJb)5Z6&ZJpc|7TG
z5MgZy%m$DMI)%~&?;4Ouw!>@050)Vyt$zv3M4}$weDj-RvWRP(huDI{>}f1+0)8r+
zSPDGHfww&5+!W}6GP3UB*@IOq4rM+=;m37KBz4^iXjTNhx$XwJ$*U7In6i47W82+7
z|GPfpXMZX@0qvIX>N)G30MvB=%N&AYpzfCPx36H1=?S<X{#vcuwv*|Xx%Z8pKoLBW
z9-b>==$BTi$BKLsT=2BpA%Rap)Tq_1V(JOFAbRako=#x6?|}Ey<iv`6JX{b9X-WA3
zqdc`xJOmjO7eP2F%F>Qv`nKbbxd<0L0bs_Vk;va}hAnc$B3)9$9!etP-yU16ol9bz
z6|z3ErqdPLSH$?4i*QLa-Ep85TlwhX)E6$+&LxkhHCy@k6KUQcexi%kPJN9ikuR==
zev#){oyEe-eqjQ$<s11rtlyn51FE^K`|i$!{!8E8yrTT2?@p;#if)#2ZP)t4YHgN9
zye<NKQ#xUkstb2>w_x3OgLVI^4}HQ1f5YXzJFv&nwvzsjang<Sf9FVcY1XYt<v~FL
z#z=N))?M&OW^Fn2rM|m!Y`iq<WkrmBfuq2lvV*kU=bkc}br(FK)el!q!44h$Og-9H
zu&gxeF1UwxDq$pg<p%t|62!Wsv6Zu%Zf(;iV@WaVE_u@VM^Z5%^$qza7qjk?q$3c2
z=7j&#UjDQuoi3LQ`oB$Y4nUHuZV&qMrN99d1lBm<lCvEEwfk1MKch#E7G7;`ZjVbw
z-Vy-1exGModNy2l$5*n~6!&3@Ozn`QjQ2<ape@grR=E_+NNGhv^au6yh=!`@?NU8G
zqRl)i1(5vxw$@4iKtyi<(m*Z0EDWroH<=}qFPJZTL~jy7rOhf_<D^jJ(^Co{z1K(q
zq;IFE0PMNGV1AY&#Odbe){79Q-J-=qn0|}q6e0fgZ$19aul@K^gsAx#5Bx1VgLl%x
z^n>B>QKGJazY9iXK6=nKp0}@HRB3`-5F@$4_`(<z&~Pg#5RetzV{*4e-(cC-cs^Fl
z*>JcTHtieVx7`DxXne)Z4wd^1snNsV&XLR6a12JY@odM=Fb6;MWHVVzQ~97)tL|*e
zb}8&n*(HB%M$hrxKBK#8D;*imxNx)R=*nX<@ozkbp)o{~wp8RV+3?%Wh6nao;eU0f
zuZK{yVg7o}HFp!1r~aL|e5<O7FMj4f{u_Sr+3A4@SUPL&230d=Dlj)lkTwqS7;<7~
zPZ!-foE(o#)#ivMq&l?8fk;mRFbPC}j;T^`m$>F3=U7!yTxcbhg5&JDCx-<<<&GIG
zL{<C4fP^ClA{HzOU&eq6JO?5u9cG+{@Pf6s&BauK2qGH`SvIJjZY5b+;lCuRjlp-q
zH8HeuuqN@vBDp4?1|<)j5ue2`RPm6XFi}*)ivyd4>mR)$0I|X(T>93B(55x3`vWd9
zJvxfBwVlc(C+lKWozW;I%1w3Vu#9)0B109X{Sc(+0?@;*rS&kkNdoRG``_kNnoZNx
zXTVDo?&P{v7WPziw{2IjR^No%4>x{R8?W{c#r}wL=8=9OOv-J<WEhzfb|7g~bDd*i
zW>q;QS*0AdG+8FT*C}ifC&9B!BuFWFB+hV@s%3vTA8L_v$uiZO6ZEdAbz5z&T9<j~
zjxpwO=rQB`=8@?OYv?T2&}p}*HH{1P_w9^1dG>dH-+%j)A6KH713H}gU=5WmI1Ae&
zCY>{fh-{+KV(M3(zBECMcxJ~ks_#)ZqF#fp$kHgf7q*3dr#14RToE{CyCTh35k!59
zbV%(nEKDI*UvaYe5;afdUOuR$G24Z7TlwM!L*f7Ie;dOw*u5OA5%m52Z}YWm>bceV
zG!GVrNZMhQ4bo{<nIloRsf-pc5!{k8xdO_);*=1eH7Rr1PTCt~vsD=@G;GziA-+uB
zpCD`6zfJw26S*=*lIqI)^Fc`a@9U~zaDY^{NUIFe<<_>SHbu2168Ld`&|pvET<bEy
zcuCMOZTVI+GHCeCfAEdpd6CfHD1A_#c(FkeZ>iKV;-zk@4*6DFp~!=(L6TueRD;#!
ziK#)-N27YNNnM_ZDmj!vKu?UdGxCo5p+Ti^(lWS9g#O2^k_ICv*tBh-xk0k92BP&(
zbXmTbT!M#*u~Gzwga{HR?PbeL<Y!qNeAIcOOQQaPatR*lyHN`vFb__*F6+Cf_o!V|
zi-gOr+m>y}rFHtnlM(tSz2!*-S$4GEU`*$^KJ>q1GVyPe8X_v0<3Q+vLeW7pT+lQP
zRUb6jdB<KH{ZLJV^u%1cpC?UENJm3lFyoF&H7hMa=tkL&^KTm_sCY|<0UY!6l1sZH
ze$C>TLu)@4^{>4XbCu`fn!kX;_mSCx!euvsEgorzsRn4;Eou$W;+o%%YySOz=4<|^
ze=HIVdNT$+2HMI7BYt4Ay|yTIj{$%@G2%UlXdA)wegh*zeqSvQsblLEsTkatMISqc
z`?ZIr?^Oh&js0Y7we}UFf^5X2iyeVPz2YRJ7j~$sCC}zgMBL2qZ<v+AS0i7iBg}0d
z&j-C}<v1BiBpc@efd75wAzl4Y`0z5|+UEp%)4@%PmYsJPyvjh_weQ{{XTnCG&zH|Z
z_Wf&j9rm^+0E9)aLLD*4d4D?iVc_W4#g=$)#lAhnCQz>V?!P_6b1m52YG1nl{RiIg
z?jH@!p$Lm>4#Qi}1tQ!dK={{3tM|39EU0(Em|Y4!?l!qV&EbMQI#Hy`8Oen~#SJxw
z3kJe}>d}2v<J{C7E(oWdc*5=hXidOYQVa44aY2Y#cM_7Gs<iAYTnrxt7u<Ikki``d
zP)NzvO~p%0nriXVb|*?Jrz@(Q!G66q;%v0))W6R~t)*`ATD6dF<SrQ3T>4E=WMo|u
zIwL8kkAx=Rg@|lkGC<<Pq{L|5lM)lEvH42OUW~g^V$+syT}Udi-}mP}{a=4WBtEqq
z*6S<0BLD1|BK|-wcsMtGh)T=__ec0Y0%4-IQ&o<A1x-RF=7Oa~Z){8vm6!{j9aBUl
z=7ML(6j6y4!E<AZXzD_6N3tI_R&=I6?JHc2C^45j9gnEgfL=snh3W1j$;4-oL@9?h
zR&2anBuVDRiW7PQ0p8%~;14o1Sc)EKip1gYHNo6~l(3v9W*>>3PiyAegPbsFtGK4l
zo{km_tXbWkC!CR#v}vP~MH?sLq9i$mV_B8?lWJ%^3A8JQ{<nX6u_RR8OoT{$QsJ{O
zbFNWo{kJ9a$yYo%oNa&Iz<*83`c2}k!hK`~^A2;3v5idVeC2H~$z0{VU?}&8p-j6)
ztpQvxl-t2j{?}jn+@Jfy;Y5&xc>NBKgmeoy#bFJiVem8@P#(pyn<G*p6kNkjiI=5Y
z=*}<<<$y9G%^idwt(32L>?LCY5+p<$v}bum8Yve<o#YPY42K5buWWp=qG%XDG{~Z*
z%<8nm)Wc>)>Kb3M3}iy#sT67ELrd1nR>WMQwGcYMoFmey&yh|<S+PfnlLTQl6e+K$
zp|mfFS%|h|hk~nmNzE>rB(8ys7YOWj8=2iytw|@sk4_{=x=nyu$&klNGT)u!rep0E
z0@^K$+?u23+hY+e@DL=n+aFW2kbXrNRjC2!rW^e1nsD?hLW!A3!b2bYoZ8M{l3?6m
ztcvs8t-Jc+xEL?eqO0W|az*LCH%V>z$BIlbmKgMpxWC|kb;a?n5nb3oFx$wzChnQ&
z8hh(CQ9<KSv<=-WJd?3cJfj4M4fEhyiWPvER$e85Y0w}d@lxzS6I>x;7g8o1ZuCT@
zRnnCa$LH{-Im41z50yJw;D12N<O`OUJ*9lOox;Z&64Rf@OS6#jNg4ntAGFIL0+2dz
zxGN9_cfx#k#op<~U3q#L7N^~!#a+3Zo|6CN8-Lrc|Ey0a)mRFixVz#IX0(1{_OS95
z*jMJRxF9?R@=vjb8ywFth#A79J#<0VR>8)?9>UUV_#G=|;1;fe^@wgau;0`;A((;N
z=n>txrxb!2xQ!ms&EEQ6LXaBEw%|#jO_PB?F2-umLXgVJ1xtr0+EdN<3c(t<^$t;>
z4>DgPUyZmczGifYZsBD#zhu>Q%E`@I!i`$*ndR0ra$@}WLG9+os-zow2$53rnGuKB
zZ(!P0_-Sno%}B#iyD`rZIa|BIIoEJg=9_AJ^5>fBskyCb%eQ8Ru<#%L<$vx^T?OBc
zF4U?>c-qmu(!Q^Z8T`dA(bBO$7>$m71znm|<k{|(tRk)L9C~UE%(YP!m5Ky_DcCG(
zYmG)H4xLqG;k*GUTcc9y+!k_aXiBGw+ycaI4xLpbRwPe9J5s>)(+E~7@&KM2DMMrI
zqE!Qal9OVUq^L}lq0>Fols5AfoyRZY%YhE_ul=v8$hqN(9Ig2uU(x?IUpJeSLNH=M
z2ud#m)Xw#1OKR&DQ(Fi|2nhId_YhmCT`0i_5(x<0?oGoj*y;UYr*_LP7@^imEZFJo
zV5dLv!Qb=4KN3|W3S_qlSO6G==hzy8%%PZ)$lO&4*lo|br+8BjkZc5LX>B)Djd=nV
z<KNn>VU^%h>=Y|vEREJ(#Gz?veMO9}1+2@Q;f~=8<Dd|vrFB7+n{>Ds6t`}VgF`bI
zaY5vM3EN`Du5_h{5uhVNOIrld<^r6PhgN(yAG%J!ZZLLTejh|*YP|g<FIrl4?yZW1
z)=4en(9-I^*Tvo=#?8%OEH$?&vsqL&^Q!zjCZi`fo|W|4H~+iLvU>|@Y^oL>z@C84
z6X?h71p3jCrcHs*9rSxhONtk{@ODmc=B3Hfp3@6znxbF#$}1r?VR@={f0ts%NknLj
z6@KlPtbWtxQmlaPj)^2*&eA=`&6Q(?g1dkwqbUf}5)!j(P+X3?U=9^iFd3l9u|kh7
z7|~!SKo+gTo@0drs5zmS0A!=dzJ+`;oI+w}N!6gE&&pif!D>jn(9)uZ-gGimE6#DA
zUQ#91lv0Qe&~I+13s2XYo0gcOnnGPs`g}41&n+C*(rAYl3&pnyn-|C^y{3rL>v7L`
zS!T7ywdEOe%WI{aBb~7HediOFbU^48D4wiH*#ClDUR*Ge6Zn4exN8C1l3tPoVCW_3
zj!}nS`o!CC06NgafeA`Qbk;Q2a-g#o?|<?BUwYpQD?S(-B_{Qc@aBqVQdy`WM=AGZ
zkGw4-qylB%_i>6vp})q~Rmv9K--L@F{Imb})pgiTwedH5|7h`0!V-5{TV89tpbQfZ
z+uo>JLa_4w4<|6RNIcPDLS*f$*WN#;Lo=R0>u2lKXYar7jwGWZy))_&n-weXA4ve%
zP70!#rV-5EzYXK;5%U1;Zv?aVk9N@8FTZ?(vPLzI!9iV_<l>U^gM4#c2MYRc8I`kt
zdC`&-V<nAYHRID1Ydb|o4?i9(jDT`Y2aMX>WTON~&qld5s=%G941G&dDci}4J*iQZ
z(M`2co#X5iC+m|@hLN7dc?qv2+j#wX!YHF&;c;NM#|#!0!+U>*ciJtY5v$_4d%8uh
zcf<R?AO6{Y>Q4piro@SEc#TF8&09NVWH|u)(m2l<7HeKfjG$up#&L{CDND-)lr+4)
zVj`g2n^B7uX?R@_F)d5tSlf64Pa7?C2-5Jn;Gx?cr6O*Osgj1*1&tMfXc}yEvmy;|
z5$uuJs$sV{v_vSzREeP&?DE2jXfSJ66xgjS>D{hy5~P1NuI6HR8-_A?7R1WM7g3Ej
z&7#WgBKl}7S06SsK25QdQ)KED&&Rh*e(Pz#-Rv2@A?(QXmX)5K5F%5@`dfEl`PK{&
zciVm1C;rsG997pEYqvRiOr|4a3yO|Fp5J0xIY)1E%i#Jzm$fKag}d#K99zaVXK=fS
z9tlh<=je_321!J8jj9R@!Hm0EWjI#c??5JB19Ta8JMKUN!)OFWN75skONlDn4QT+V
zFamVwifUG*3bPZzgg9g)RIndFepQa%PLdS|n6uL?S(P#EQEm><kCON)Tgil~z*?X?
zn3AZv9#mV2V_44f*fC(k1s%5*0>*DCKBG&TDdKOmHX48=neR`JpHs3XXe2g9;v`w!
zAf#20vB4D~ds1J~MfI&}_FV6+>g>?rNjvAVD_y9wFz9+_gro=YDuy`3bw90<>o#<I
zuD@!ia0u<4rROE5WG+M|8GQwIO7=d*Rk9sn5(}R*cF4+YR;Ga26wOiVXOw*=@6UHW
z?T^CeD$GF^qv8d-z)?wh{0!Ezu)7NL+DUS<9mhxEcs?CLMpIiZGBig+Ck4Aq@3_`g
z`?m`<zv5x9i{U+{u4dZKrE=kRDi``+_ch=1E0?j$$us#1c6oj#-yU=1q(^J479wMp
z#*@d&1&_4?4b9l)0W<q_MU+{nc?-deU7mJmq@wFHHsvp4mwQ-t2r|~%41m}QyF|KA
z1;Osq!lqT_0zy!Z5N3_TTBgNTH4aQb+c{%MtdMyM6l;E0T#n?#ie$GXaw=G?agHR(
zTvuYGBLrhlR?C<qGqij};k+TnPdvR(O@5R#>h`oM?J4fU6r+AyGU|ZTFsi|)#V;t?
z3C{AYP(_%(^Qfpg5DCVFeVvmV9QYTn!_htWR&#Mr7#(wBLW8X)oz!$Un8IOljQhSW
zd;n8JH(a=f=WYDF_yD)#1ANET-~Wd{Hd?6^D`{a=!i6whz%nr<S22hH6s0)I0mTBN
zg5#<c%rI)HCW7g<0TQudhEY+INFG{X5HOk=wTEDaQITXcytUhyc@4n~qxKj{0h@_#
zk3y9lf*D5LA5R?FL3GpyY=mHjQ8B&9$X1R$22<2fZv~?sF<V%W1)v)SYs8Y;`E9@C
z@zAkC2?y^F(orx2a8=7W%wMVnGiwaaEZx4Lxgc=Ph_wl}>f#f}QQVg8jg#Su=bH+a
z{N+4gVM_io6&0no7=gKf5_5}+?2*5q@oC`h8Ib+n_x``{`@T?y8lBz<$YRR%g&{(y
zM<i(l=rWAFKj1n3jJ5?32O2~KJL=gQKCJ*hM{e1v+G4>O?<>eH=JX6E`D8#gkXvC}
zs1Kb1*?z-`Nzg|<uu-04Ms5>W144?9^F#qn;j*<dC9b*xWUW2~l3PX`^|5O}7R4zF
z$Zi33wvri;jSf_q=hLiN!{ye2ItsJq+jCrV1{9&&t{?OV3k`<fNbow+PU4DodrVc&
zN1qI{s#0z;WvX;TW9#<iQczRYGqtwvH8$o=zPScxg?-4w;il;NfgbmOsSTu1wBDAL
zEL2+J?nH6v94f8Hrp~fQ`>u5LwB=jppUJb&`1tRA!&e4)r3(#p^~9p}=&v7{!JL&r
z^w*D!V9vU_^w)#tW-x&{>*})un6s`vTiu>@_1Wt7tgFvfw^zD)e_Q44)Dofv#y_|c
zQT>urM70-6IaO0Oxj8gTd{Tkey2yPg0(csV0H!ILfzP=BLHAcrH|-X+wqOB*ZU+eZ
zwjX`v&2J2Qsxev%1Oco8-yeY>RFi9=N8lF~5VSs!k(`LpdQc{pLP+E~uq0jPecug+
zC1)V$*sV#0#}3+!4d{$RXCNphKVt&4I^iLhfuIut0Ih!8u|}TWdI)A9$SP}?x!GAh
zCIl-WXuTr^AOuNf+^kpuK?hRmi6p|M8YsIMDHPjx-9!oTMbu)bA-z<gUK>|4tgjS;
z+%T!}$t|`2jc?+Uf9HSWo3T%n8rIX5+{)6&`jqss+Ot15Bv?%8{h88fw`eh?cSBG2
z_CNORANPHqT<GbPE6_tzCu}tuR<>j!a{*hC30uXKk}u~S;E&YqXVi{ik~WP>78Fxz
zf@KIGD$b?YJqwB{bwOZ)s9MCKVW-*^#gv+0F{NOzM>e89w3t#~af{R}Au=%E2}G6;
zEvD22Pn)&!=YxVtOlcMrQ)+_!af@;af^HksYc_{gQ)-g%2T;Wd$Kcd~`>vH_U4{ST
z1~_s}oNp^B&9r`+`#ozQNC}86P%D}54(e2ua2QcOSf%QpNPB^7O&hJC)wK1R7frre
z<f}(j73P&sZ=&Hei9)x3H8!r*g*>i)bah<{H1W|J)ULd!J}vwRWtKM?Z8z`yJ0|02
zZ+y0S)k8Vv^<lu#kJH2Vu_AX&xgW<4{VzC01dVlWPPBaVK#fV&aFCY#{H$eC;uV;i
zF?fNJ5Ug(v)=UrjYJWt%F(u)^?FZz^=}BK5HbCn1=v(1Jtd5=@^%W=xFiL_i&%PVH
z6DSKQ5Adp#<{SYpGtrpWR89+h*j50{QIE{mXWa|IK_!_U^;M#nJ_u%ANv21Ag+V~{
zZlw|(95v0+lO|eO+YPR03%<BkGO3~!>ZaFP-A;6?l}x$_*N*pFekIg!wJ)01p4zp8
zssV2|&lA6AtvaT?XxH_NhE1bmC{OP7mA`2Cas-B#CmurnqVc1F@cif{(8CuE%RT9f
zh9%k;jsH}RIr5_6oW@?Xcebc}dDDdH7#X0#WU$+i>Nr&+@8r1+uzI&!@s5-ow#OBp
z&M04o03P&ehg>hb>sN@YV*lNCg|fH2zdTlHe{M(l@$P^L5_$h_wX4dPAy6Nm+i8EC
zZ!X{7?N_^sM|vkOe>y(5V}Buvj?E6#&|nBDKS#G+byd@9Cx$DQ>;8ZC-UjHlG%FAC
z2M99|C=h`W&`Uxh)XWLz{|7;c)08AoA>1B9C84@$hG}SqxqYh{+ObLskQC8Wlu(71
zA(TwSR0wJ;j0q&ACRK@A%0PnQM~#Z4Fu)XGL@a_};`6MZcdhmA{hhtfcfWi4PS2UD
zo_qFp)_(WiXYaj!pY^QmVw>ULo6}-j;NK7^=P%pofcwQZ!@swi#kRn|xAL;319Cg<
ze_U)2`Gj|iZMpt!cm1-R_Q(0=`@cObwgoT06_uEd&+W87pQqT=$E$VO>aXRS&%AY)
zwC270*uul<RcdbHw{DwQYPU*sKKHTMjz&W|C05|^K6|jzCiGP=z4)S#8Z=@8$1mZ8
zP|t2JP!oLdg;ykhbA#OM)#=O6Sv||KjPk;td-Z?+(5aGlv=)sNsQKPn>W)21pac*T
zG2~q41L}fj3)FmbT`;<KRw$IfKm@Ojj=*k$t!ZbqLHA=7<h$&Gt!L+ix^CaB#D~}g
zqrC<+XMOt<pH3Hq{kHC!H7~y&x|C6NaJ*rbSsVvTQZLC@-q$>0DKU3DB(c=du&?e-
z8nNbwspA#!+pu3ZfPU0&HLKNZ7AzL`&Ht(Bct4IF*9tG~pbz)inX7JHUOB!Q`$WlM
zmIxk=5X&u*CAtED?hpV3R?+l=1M!1j7x_&=sc|9`06?!R-sC_1onP|h-#wKEH|>Qe
z02C-^)8I0{9{P|JCp~&m40Z!f!fU1CM2gwQXhw=zJR1{4{+&I45JbyEpJEmhZh||u
zFlb_Zb3B&@KLThd?(!b^mR?Ydx*xhVs>5wj<rU{B*4qUU3u8s5!M7latcmrK2H%7H
zStZfyF_07&$S()smedKxeo5sC^P?j)Q;g+yX~X>dG$%EuDlSW__vv;0atOjvO;}Ux
zo#x8wji0-(W%FjGsR!^$F(Lc_3U$uPaWqq&H~aN?pDRO|v@s+%TO;gn)3mQ499e4L
z;uk`o_@%NPj@+Q_LD~${0W|=Q1Il*Vzi+F&wCw@so8tnuRhGD9V!n-}c;a;Q=W$2$
z*0l2T^0(J&_5A$pX?gFJ2Veb_?_9XU{mM(&eBtfy4B9Z^8oO6K?r~q9KD3t#!|5($
zX70{kQ|$9^(Q4K$S}hs2{99k~hEGoHFWN)m)oSY~LAc12ENmsvUB=02U)cm07sS72
zRFPX!qZ)!V(=G^#76MUWeUXb-dme%`(=OPuRa2zPJ0Nu7=c9uUGxO8}#)=GEe8t@!
z8FLi`%2bSM2vWzoAZG3{7Z2-;c`dyl&9n=)U<Azw=EcN{Vy0aZ)89z=ERC23P<F{j
zGpWrM^23-N)xYI{B+Q>@snu|v!GX=Ok#l79WNHVX#~o%;a~yI7emxDqFMCiY^z^`#
z&NTz`mha5~RoIvQ^FREJzvm-cl@McY;eC6RP`8i{&`DAlo&l~!&5zqxrox&aXhV>B
zfgol}_JUMlE_hh6iU<{kL5$)aAN?z=NEPOS%upbJz>1)o(lmM;nvsSJwz?xYqy$Hk
zSELGaL7?YZ(?bsddYZJH7%NhRHNgWS3>Dm>y2eUF2vUW)AUer8zy@3?C}U82W<gP5
zO%hWiaW$0GxT#1o(rA(>T0nn7*{zgBx=6Bg;*wV4p(Hy5^3f;NVpDi1ayg8Lbp};t
z&tv?HeL|m>sJy&GjWv81*OFS*YddAl=AzD14^eb-MSS4LY}o(jAMPI=1{CY_TqY`b
z%r@8$yf`gEP^J&{(Wr3jE7tnbQ@uFq<Bx*vdTH#XNisdwUPt2duS49*?M=`1qD`(x
z0ousp(wgbP#?cvK%R9BUic^wIPxfMu^Ap_H4tO@Lj3vqRXfGP#8kKMxP#cmG1li`|
zuwBwR<Fzu@^L#~86?93w$r_l$>%d@!{J_5LS>c-rNfb?GO~Ma)$#ReMb%B$$gm+XV
z=f4CfKzY#JUIP5hUILV_`j-G-j=Ti;tG@*N_%Pm_!ApSe{UzYX!ApQ+`b)r%kKrXa
zS6%Cerpgf19285YCk4eqpA%6y`|?V4Mdy^39ZESx%6Dmhsdl9UqP|z6c-fAyx3BJ@
zVgd!4_va&Xrn-mo&DAAV_eynZBgwKjf7y=XgN&$L4vKTR*oE5M`C?yRjzhI^iv^=P
z34wC{vK`0Q@?HFXtj-m3CkWL2xt;d!s(UCwT~^&gbu^b_S=}qu(Jg<V^!v+p93R!p
zd^$=6)v_2`8uiU*-YTU+S4Q`-1tO`I5ymEd>$ZudP&drQG!*`zprX2V_F%;gYeloW
zs;&(e9OGG_Z`fEGbOYIhwy!4?ZrZhxG;mkancsWY3-8I)<uAM^auD~<(wcKWKO7e6
zcWYl2GIXA(U@zOR#;te1{NSaxqb9l3OC$A!T+)pw&84zU$OX*4E4kV@{KY&;!XIX=
zdf85R?5E`}LYSeIRlP;SW8Ac>@yhF=d5b>a?+RmzH-6Qxf6>Q9HCKa^J}q)Ki9tPW
zF%95>8$<gq0n>+R&x}PSEi!i&)oYj*IiX=ef)7r&>Ju$FEpj*_T}0Ohv#4y=rTrju
zI%x;|_W|&bF$E;I^p@)HBMr<hxkvM~xM&AZ-VG#a3taM1QZ00H#Mf3gzMCN<e*|oa
zQu=w!gKGZMdGE>VzPb={FX^~r4R;X&#HZ|&wNsC;W5ow&Q!emKTIu&%y?7d`7xpYa
zeJPjKm;BOy_qU?Bt1iqS<+9r|8O%(|2K)^*NJ^0pFdRtPYJy}Z%)B}7O2H{u$Vpv8
zhZrSFW>QWb;dUt(<aj_7p>ZStsrAq)G<`GB)0+1{{zJmueCQOJBFIGoT9O&-lb~X<
zU<yp(>ux|@BUz87;Z5Ab(?M6Z_2wON>2fi+D&YdkE0&ZCh}74@n}Eu#DAFRCQ!d5^
zgha|l*?@{<PPyy>hmkdRD3R5a3zT!YI|tUDaY5iXmT|zb=B&6h)Q_V-RjUr`zap8H
z*_aNSovhZQ%+;YPZFo=Hcvn*K4yu-~9vn<h#|iSZhiICtQu~oxLd%dMBLz}NWCmha
z6o4ZXVnw-xqRRYQb||sOqmJ{C>3nf~q)IL-=pu9mQD5301?CcpLZF0FvK^t6RDjc_
zMCu+pkrogvyb=8rPkOxCNEg-h#ic6sMsHq%5)DQW48V~#7-9|$B<7L8pq|*cOWh(Q
zbIY4mE_KoIeOriR^PbwiXCtMXqam&Iwu>4m^~z=4Z9&)+<isuND*@N`<-2KLUcT{b
z-|%xW4n$p$KJs}D3&Lk(De4Fh0MHPzml87u{2Cm~zJjxm3&LmXytyEJw$7U)pR*xS
ziG0q-NHpz!Igb+0s6F$<uvbaCuqN5*kw%QLbV+V(WQZEb@vtV__L~BgIWl^Tv%w5}
zofgboajbWUV-=(-9L$z?oNIaQL7q_SG}5;stDCocZ#9_f`F-E~^S|r85zHt$+=H10
zBL@~zaHT-7TQb98<T?P!1%YFwW6c(g6OulRQ9_U&j|=Yi8&-zcU3D0Y(O{O@1=%wS
zMaDT62P+K4iqv>6XvEkQRMOB)Ek}*#g4WOnZw#<eMrQdOsqtJ8gHjX%b0p5b6Qss-
zL91~yG9w7Kt|%JMC3kpmB!h$e&45v_kkuKY2WN@;v1ZL|5$2B=%=nrhB2}a+5=q7@
z;ga)16e=E7EM796N3R|G4N^X;cb_`0Pgjlpub|JbXw_0@dri=)_RwCcBCo;8lOu$f
zcZ+&6a}7@JCOG-4-}ECt_J=>LLA}NaAsWxa0n~xCMY$1M%I8i_;CaUbkXMQ!v6goj
z7)ef;;88P~M5`_j{fbf$_7#^Kz(J7mM3e%4>UwBNV3;5frEHXk%Gr{UwF=TyH>w3d
zQk1@7v&Af}Do9h^1OaZ5ydwr94_ex3s$CEhk<oOHLmyWl!su2MQ|*%Id^e7X)e5P~
zdTb@xZr5$Yk&#ce46ZHWrrOu!s1i9%&{CK)lO*%qIRGsr8OkG=%ljW)FiXCsQAy&*
zTq^W8-|)<2@a9vaqcEo2A~|}4jvI^y>90NCA>&JDkG_sWD{JOk#Azg&zJ{dcT+_AZ
zdvx4vcH(W~@i2UmOU`m=)Q?%F{N*Zr4pOTW%Xy39L-%4Hw(ffWOSInKSsSCC=y*qt
zdQW`|J{$16V6@w$LpDssrht&GDR3TJ&p2%T#00S(Mub#oL4^oxCZR$)7!6nzfb2*I
z6qHWN{VMH3q;h3Da-RSlL5ZdPk^C&F;3-s+q;AvxfbkSmjy7h;@nt(5pNWXHN#8q0
zjsfomjdyuKXixN|-p-78ljhg;-Nf>}rDj0*$3Nsxe)BIzK&a>G0im5Wv?pzbsQTT2
z*(U2TYrF>M!SXm@B`H>BOXdy)gzQM{$y2hG0nd@q4xx0Ug*Dd>+RE+cNcKEYI6yxe
zPB;X!4#P}Et!@F%DD8t;hZPmJL>IN#ttGgTI<=TJSR1~0L`*i&-U0%1QwVr~S_IHH
z4J7&G`Q@PXlT9OJZ#YPwMLtVyUGz0qY$v58u4k}jzB`6E1(^_jgDN?xX;ncLDk00M
zMNHV~T7KdGsqV|lHL2sVps~|)vgwQYzhg2@k=lpAVCpHLwq+kOdNWqy+ULN=?9M|k
zbLbbP98db~THE4_9vbv)Z3~^^>1{`+IDXxP3uNVM|K!x|HMo3o!KEp>3r*HFxV)R-
z^4tIX;!}Pwg3FsgEr>=O)KXFUng@1xM*_8Mg}66h6B=ALL7xb}$B2=BMJfUpWWH2v
zFGe|8glAtN$YSi$1OZIcW*$VLeKQZuz6(N(y-w`q!J1;teZ@)RN24GnLXFB;F{z2T
zacY04w&l#%=?I48ps*eiP5WxL#>0oa>d1lP6yy^nQqbV3QUCYe{nH|Q;D~-##e>$s
zIXvi|JOxt=OMX!xHYs9|?P4IRMMV!}i8wT@O~>PtlJ@V1eh3&NTV!$n(*E2|`{R5w
zOBamJMQj9g1=DKc?*(=PMIIoxF0LTivpJz22Nk5N1$%N9Y~C&EgRZLuyBiDkTmP&3
zAN>PUov;=~p>Gdeq?%E5rC%*r0#PChRjfm`U<hjgupruUq;EA_upOpOAs&^!)j=~{
zwqO8dqTDEgTd6x{<C!g(g_&Ft81n8M8MxY|At8%tyfk%tU`>0xBej(a8h#UPc$@VQ
zY)M7dBzH1hm`kGm*d1Haqb|7zcfWy0x5hkdUwL}8Np9C@5D<%e0>K&6K1q^+t4mr_
zC2coy$whL~cB}nt<ebeqwhu0_F;hF*B*^wyiyXC6*7j<&oDYYzocz;x{>~3MF!N{{
z3~t+@smG!3oV^F-WyWjvQ!kKiA!QOO^&G{9pE|>i#SptB(hmwJKAtv4YmshWdcuRR
zb+=htRwzlPM|`oz8-SzZ5$BYla-(sc=@|!GEV9}vxd9n$_wb~Le1U#Ej=RRAyTlah
zPO|jG9x((|>JK~gW(*{mo$+hOoH)%{?@_X-&g7sc*4x+jz-IMFPY-$U-y+*6lkM{B
zAb3$fI^KW6uGzbLMA4#NyTsEjX`?sr;+$|vMRMMYgEm&n`Ly??eUPUZ0`}sdBX+De
zBwiftuC=||i-QFWTE&HZ&2ujfTWcS7nWcDfaFpST!$01V_Uc^6ZJ+9v)OB(^*OE>Q
z$5RP!BX@)@MkH0!4v)KI_EGt8B^Mv(kA_<C<L2|1vQoNWZijE&rTVqQHkQ+d+Ps`(
zS!20D$1K`HpJ>PIqw?Y6d~-1d4YlZVO&1J;Tcm)~1=mOgKUn!W<?(8v2Kt9nsRA9h
zNnhT(k1ZJ3)?$lIa0$0fJgH#TjWFdt7TZDJwYcTJKFc>8tkfx5lWW6LN6#h$mKJ9=
zLGMAGl4}c&A;P(I!;|aAwd3}c#fvY$@{U*E@k%tgwjVKmZN?~b?#j4aTX5{IaF=Vx
zZR&$&(e0Vf{%zm2ilUq1Y`o}Zs2lj?;z+|2I{=yp+ns@nbR1kTCIMrRy1H!kl|egP
z5XcUOB!p|ih3Hmf?Baqk;CH_na1&FdyI@St#VPjSO6o2bG>3_4A+V)&ho+u#!I+zi
zA)LJ+6`Tvk*jxZN>d!OJwQ<Kro$;}po_;e85U1mk|Lf9iHLs_OCT<XQdEm3yhg_G+
ztMc>M%JDZ!q`V#s3I6w70t09!FAT0G?vnk{!eZE;L9q*Z^@*nD`o1uv<_Z{KsxJ)Z
z%MvPohsEaJ7a@cI8X{e=`2We^%62#`64|EB3I@QhwRTSU-941D__aNsC}pK@_j4E`
zX|sIyP{*YcDy!^*9KYuMo?PC~?(oDdy5{{J4~gfSzV@TP{z2GICB5~YEu+AF^J~bp
zZHWn_8<4e917>Gsm8*7+jX!jRlw0BeXR$s<$}J&)3GR0*qDw$6L8ehLvT<k$4ovX4
zlfs?=K_r2YhgfQL$0aea8ZZ>HRcs|kS0n|n(j=iqRZ{89LUOh}Rtp$CTe=-B3c7v6
z7=o~a$>8>>1!m-Q74O;#;RpC`j=`NH6DMOv*^Ds=0tQC;+OjnTNu*s(R+!Fj+7w(_
z3rvyCMC*10t|c_BJ;~Xc&ZK6hjlLRQ=Ui@)-;-&UHf44-ysy6eb?;W|D$HM=KEXy}
z_nOnsehGW_cfbF;KI>aQe5xM-^CMsd;1#3#r3w5%Ve-9od?Rg|2ofn;v52)Fp<zof
zB}aPbG7yqMtFPD?Wyc_;l9x2VWPz0ShZe(Yf@dM6Vt9Q;B@$H#X5VHYhSvn6BLE;(
zE#bw_Q~D7CQ@Tcw4O^ZsTf!2*?gKb{rM_`I9fs2dC1UZ@RAWxQ)9tng-6}}KBzNr7
zWX)uB%?#wV+k-WiTd4w-^UU`LR9Xt@IWE_$!O`;#0#^(NLUHJ&&NYcOCtV4e+nd~o
z`0jF~YH#$b6JcAoVziQdefpkl+=7kejDrDuOCcwL5jz`1Yvh`uX4E-Knq30j95q)1
zjbar{aO}?>giF{^P!>VULE0ZZ3#H7U?2nPAknQjY*n)sSyhZ&eiGe6INB#1ar~SDd
z`%9rQZFYHe&4lZlf-oPyZcU`;P2?w7L2YUD+1`jQKVj;w-pKqI6SaW5rEW3(J8_Gy
zX@L2+=n`+_<G<(O>3u<3sX3Wp3LQygCcH7wcEC?iQs}D#x+htS-K;>SR&(t%cb;`_
z{Pqa$2W$d4g^mHwgqz~fD>O59E9Mk>9%_h*zV*=5OMYl;^&~PwImmN8Ag9m)+%VSq
z<yHo7WNL(*LdR@G?Hoaerui6lFhX?*|M6o-o_~#Apzb8a8*#}<^aDacza^h#Au{0X
zUi?BZ3@11)y=1;Sm~uiEPM{djnyJ1}I?sH2h#HZu8B8S`+#W%%_<1&_^pi2t#d%Kp
z7;2;^C}z|pIOAwUiXc)D4u#Mo*mM$I$@3MqHR)=ooI@dO%l7J>neJ4&O-i3HEA#+d
z$=WA-D+V?D3tdwp+dK*mLI~*V4%t9!6Js*)m(sQMJ&s7#m$HU7r6dP|uXcC@@Hb%O
z)A8Z(T;ia}bnsYCf1b!-ph)3l*iu$VFSqy`?OV)Jg!_>;=eig67EIW#fqOAydD=Ty
z8xza-rjeD=xBTM&y?!==OvN#|S~pY^z?{pK=+-GzCp<uAFOhT`8H7T;;VW7UCG$<J
zJKny6Cgi<$!KVK?-=xPZQmwn-evLum)LD29r0G55=&06R5R)3%^v*-;+`|m};+=1T
zup=^}2^TW}Yf`N@K_vtwPE_l`c|}p{E{Ql$)g|XdsbaOSXaEx6P41{%4vsw>szLYP
z*96lKkb6|td*Ilh*2~eU)?E@8tm$f8&;Xd1L5YB=Y@p4tCZgh1k9^IbL?qppdPSV^
zQm^P@wI1Q(Fas_6^yVS%juo94Co!(md+mHqlk0hmQF(SsyxTOLekN$V>hwF*>8K5-
zcOF_Bzit9!b(^pUd}}Ta8tuiJP`d-Ieytrpx!Uo(Thv>|YtP8t^o;!2@BO6T_{6E8
zF^{8{#*K&!I`%t<Q!&J=2qaBmw^#!cpJBNraucSUykZU-VdgYNirly*Xd}=mK_h6g
z)yS@Z*B2ujf+c7?zzR!{1$#)#nGc=(O7bvpY8DCTHqzjc1#{52TVX5#1wp|hAy$qq
zYtRTENg(>{B<PP_g2o+sYLLRl!HudWAG`#OdxUj*nrN@7LF1%-r_B>HIA+-8i(=Jv
zBU8o1egpfi!ec}R@=c75Dnp~VVE(T&((PoYWMV%`o{!b8(+)19_7+Dp#cF(CgAWGI
z=j&^f{YQPyKmD(N!+aIFKS?PkzppuSv%`oJ32yMR4w73raT5fgr`@Utl8&p$)zN~6
z(0o}%B07iCk)#oM%IHyrU{;YQG?+`Z3E3s2nW|t`k#NX3Xn`tnhZzM`mu3}-OC`e$
z1;Ibo2^JLzR1E_gNG|sS=x$MwCzK8~09#_{LU)!`MQ%B|KrY<L(c;3T%4eH+jF(4&
z?3~tGXUn%yo_m+vD-{kEG-AqfMUP+Ynj&`KPS(AuT%Ekjvu9L-rjUInkF-L~KM}N#
zgX;ZXu#X~jlxth?^--M5xiUVRIrSygy2v$A;~*CmQ|2Zhtb<}6DpF6hm<L9e%qGCx
z-6gp;{9rltCaJTef29TM@=(bh>`OzX$DaWE%(r~(ue==aMrC?-c%$>9o617pE`WZj
zVC*a8ZK!`;(1y*D%yDb5`w*o5bwMNcld0#Xe?yS^*98y9HOJCG5c*X$K?w3zx!`Gg
zLIQ?@NZR!0$Y<OI(St;+0U(BJ^j(T>i501TT@XbaP$N?ieGXbu2|?=LCWx|!=wFg0
zvOhA9AQS!Tk{HEBhDHuj2e_!0WCF}3p`bDNm?<bs$5ChB4?d{@RToXv3qmuErIo_N
zOLDK3yQx~YQqrHvBwg{1mucLd9)<5|(s;MTH`>#Bsm8k|hn`$=Xx=TlCWjsmqTusC
z?2G@OfBYln;u}FHY&;<W;G^Yjs64fk1VV0X$b1OO8*Na#1Y1OJ986Gv-L&-ND+X*4
zxI|?qh!tshT`<8G!Pe2ODBh(XI$(=hfzOAg<@FU4Y!QS9-HNolO%Rq@VyWY1bsAv&
zG81M)EdnPhkxxFhL_WTz74hJ+Za`bv9b6(Gmu#rT(KYkk3Dn{WBh~vg^X*BfMcmO|
zQj!7oYbMm9^Gw)@-JA3f7_lV7&T-~t(_sY9V-%-)6Qo@bk(?W{uR5xdfmp;xGS568
z=|P>!*_ammpnF9o$}ZjixkxESJ^v8n<MQ#!Y&r0m+&Ep%9SRSf{9jfk)6>4#Az_K!
zq4>hXM=m|?i#@Utl8gXT?0^DYYw&t27kfaG5$VHiKCTBZueKbTWOK0hOxbpihel>5
zi3}&=c6hH~VKr2aKOUeAkxm+u1bm0Idq7a)_0<|?l1zZ!#SUS+(52vf2jE+s>fl%8
zbld>;8YNI#Gd=L2OIe|8%cHMPGV(URn2L+AH8H=Jq~&Z-UI|r9k<9m~aRMEY59u|M
zRL1ONKozrMngeTs6{&ySfOTl9W?@IidBPtb{7OkFEm}pI+)Y)1T=-&wc_hD>*tFLX
z{37ksjV~toPrS<)A0H0i-}a(F)H4?HT5&rgeu4tUzJ56MVq%Z{VsbORm{`BOnB2DG
z#aqs^LvHyDAxh70ve_(fD^spwBTsMOYBoI~$#Q{UEbky1w(O9z0T?n50+L9MkdUNg
zQ*;V_+Mfy`vbkWn*yQ8{M%sfFBOhNLq_jV`V}CN1WTZ0)IzaG|_J;r-+1OvUV}Id$
ziOrthWV>B#3zOnjj96N(CnQ-dwgrf^mDjNBP|hFco1x((BsrGzmsc+B&+Rzj-IBB+
z@%w|n1gs(H`XNxRU$)c!INwaByanq>0fOyBF~;#_JNCy9mQP0?uLh*jU&}Y2dFw9e
z&U^Q<B^sVO7C<U&;<s*uC|GKj+sN)?vE4Qm<?o+8SV<H$o|D!u6`g0S#y8W}1iho@
z2D#a*Ggg?hdX^&$>o5NLXZ_3XjVgdPUU?SE+OWV1zgByC*mz3BzOpQo3tBG=%qhwN
znmY+Wu|3v9Y9oCq=u@ylP&9!HqJLFP<YCDv$;|MCpgi&}2=JA72M2I_Y0fAF#W^s+
z!xl_llHWP4rEw{*C@+Bt?z|iKxH?&RI93#G;ex=_N^T$dEx^_4IZ77F_QU(KRM+6J
z1t_+clsCZEJfT}k3_c_UewNQtePNSCeVB$6$ue^0^=pb6aY>Aimh(L94&vOGHS_I3
z#*c0h)<gxQ|J4PC<yUmT<TE|`@qiEc+9yq}`jNwInOeGlVu@i2i)k!m4`&u^xnurM
zSBKHH51EBS&j_4j_8~as!#KvLyedD(H)EeD0i$}Ow|igt<wM27{XEZ3+fV$sf@@)c
z+z8w!w(5}7cu}Qy-yS?;dFo!*UZJc<X+QeZ4^{OWp8J8{`F(+yRY%$pv*uRAmp?Ll
z%~?(B9kce?RnLL(9%xkr@EqW=#U52Kg$eM_W#BzjHL8uhU=E7`$h0w0$i?@5dI}Pt
z1<^A>*PjWK{v1;rM?NIB2T~Ai9o;#m5CN)hO-u_Mv=s}T^<q+lz+eM?1r%J4j5W$>
ziyaD}BFU-1{YjRz#o@4HX#r=6vPS;{m9zzFGg_%eL0i;nzB#2W)8?>nO`vqT3zCAZ
z-*8kZh^T?{2wtZC(p6P!6vu87jkxe@4$~|71|h<wQs=LPQh9&$e1}k>hEoN}!wGFL
zMRK<K7iFmm*J3WJO4ZJu1hEu|O3hUKDr~$EwlS5|FuF;Vo{F?eoK>T&8e`oUVY$cF
z8wFI4J3b`U^~v8J6ob3L_Edi*qR=csIM}is4mMjSvxClRatY9;Iwg9FOjIvQfTq!Z
zI(`k%5b~olB&;7>LrZ`Hff8WIcAUQ?YhqJ>9ybO+<t8lvR4z*6sQ8Ir#MTM~cR;IO
z48!$YhTq0}qq_VG!=0bTzxHn4;1~=U7wICr_>L277C!JJB8~PS3R^@ALMvUw6J(P3
z)y*&e<`2CwDlPKD_0eSnjUaLFgN+=&V48=<f@6eBC4A9x2Qdzu;FyCFtF%}|v?uF<
z#5ou)Qll4}Ic9<f)MPw#GDKL!f;qa3Xbe3*{h@Pod2GQL7#b8_;VVvB&SoExR!`#)
zv84kMlSLh^9(3V}T03E9ZXYA^u|G9nD~|GHpsl@8Y!CO_o=wsB0yLAL1!sZ>puYYd
z=I9&AeomNyvjRkmfoc3Fw<ajtTr_z0eti<RrbNn;T+#<)aah8N$P<J)<%6TAr+0yT
zID9FV1(r+UMlWlo$9Dnn3%SvWRawcX*CLs+BMX$DfXZZ?zB*aAu3ypohX^U)^bldI
ze~6Gm9w|YMJw%Xns0u%J4-uAbVfT}Ih|c7&ukrSyHM7!V%PD1y*F<(MyQuP-hR26I
zD&x&y>p)H)5l#RcHPKpjC`n)B{7VjgwZNmF_m{UQ?a%G-37PZHh)fnON)8<Y#l@8E
zv_H-_vyHH(QC!@K8bt50iaBr>l|E^gzCgj^fL72Wlh*i_EZ)9JLUp9$(M_@Bx5Lf6
zRHX|qiHeqoZoa||iRdOck+5!ZZNZ`EqAtso!8>t9SJv3!j~I7_zFxD0O>lTu#^ui5
z74C9n@J@GyS+`&Kl{bCbNBq|2!YZ8Dvu+JFzcKm|bZbenDC6tt1h^pjqgVhy<!goV
zym+Se6)GvzRxXGan=@CS9#=<0p@tw`02jmnAi$F#c(i8md}!)37sTvX`nnK25=%f`
z9V^m>bwN}yz$pq%yITU%BsrZBq|S0dcsxK5i=b9}a^nTHnG5cbB9kJ)X*uLl5f{xR
zK`zd$8=NF?h4jBqZId_wh{1!Okn&VVJI=DSNuWYOZWve!&Km44ytYXk2^XQ{%Bxao
z&3t>n{mS2nlIVRaYfd^|?qgfwLwtDun-@Lkg!$jr0Us!ieM^Hq&*|nlCUEcpmUi%g
zFXrwUM;3MN86EqWeDs?8_>#M{pMS9j->tarhb^W%mfzb@LN2)jBHUga9V49|bLlB)
zl0JC(uwP2Px=5x69hK^hj-EUM63%>RkxWneVt2&gBR-V}bT9T#rR1di=$Q6QHV8bw
zc#iuk5|ofj!nfBpA9y;u`mEUqB9}a_4~m<|Af&;QF8RJDxjb^X8J^!YCW3WWBqNAi
z61i;+k-^Ys1z7tE8cTA5E{PbK?-{R1r+npKNr>BA5+w>|jd66m?i%r@HIptvc(v5w
zPsoFJExPmGGlU^tU}TshuL1sMZ<ho+{<qQh46^>7@nd?=u&=*o{AeH_U(DY#?9{(!
zPgxkmTxdbYz^g9E4*2!eD;BIza^vBPmP|AJ>VAjfhWAh)gaswj48OYW&+Y1lKN1uO
z=bOV2h6N?l3~#y~pWAVKanxf|XBoxMq0pWbm25j?i(-9Z2e{{e#^fEkFa|s1;{)ll
z&0!4iDo+#&1n3TCF~#`<3Iu3SKL0N6!w%*A`8>t%r;k?)gY?&GoA?$uBhA$BFxzNF
z*pP1;(8qNLkv&aVfj&)`oAMmDl@)O0o7bo)5emQSh4;L=@Q?x<`Ky;6q^}AMF@q6l
zB56Sex8D8ogO}cp9Q9I1HZi`C2}L)yn<W4H8Wm-l=cnbaFe>_-U;XZ%{_Hojs7T39
zJSuY9CnG#0DVGC`?JI+gxu8J|Ah_QMEGjceQTe$b;8o0_l0s``=!RI4R>B1vm-G(s
zDQ!;(K}JO`xQG7(m!Dz8%G|IJq$lEnX>KffsNpf?bEJWA!Pe%n!^!r7)Pybwh#cHb
z9D2X;hJ!e?L`6*!nQe)6z<DSgmigEc6}jXN!)TEVCnFeUNp)u_QBjiw;9W`|2#<zL
zTeRRV3GZ_)2m{=m!Ic;GE&}DSCL%{bA`~-Wi{OTfKi}2_a6nV>pvP8(L6Xcj2v`!*
zhvQc=`o?nY`3~(d%*u{Vva@=JP!5kP+N}>bJ^o5?eh0r&zDJ1XQSOnWF9%d?;?MgP
z8KRMQQ)9<C&q+_U#cuAQHviP4!1VwRp^oNVVc{aMtnhQ6zbQv^=(!$xoN!VFGXULz
z5na8Y+vHDhmd>Q_ZddB*<aG@24L!Fss3=ReS3;76*p}_^OZxOS0TRVclyq+1zq*N<
z4i7g8_=gF<y7P;KOFQk43~)hAPmB;1>h5$o;*`6)^UPZ%*Y58eA<jUHG(beRiJz9Q
zz{U6oTR!rYSA4`7BR*%0!=2+J=G`J@hF^<eC@CYYA2Y_GJWRtX19y1Z@e%L;o=^Xg
z$q`qqG$T`l0yk(8G*{zAUgdzWEpleECli7rKnjT|wP+0!W$9|*`3FU73BFzM9O6QX
zWiHsz_md;8)^sM=U$K!X*}1k&1==p=95h1$Ogr>hXhyF8103o|`4Mb}LON~DM*(N3
z1@u&tCwV>LG&Jb6d}0jw!T;;5qps;WrC~<N^R`bInPCVG;2~tx)e^A9D+R>UKmlPd
z(be##`AIkDG+q<KJMR`<4exyH=p{oXK4JA8|L|u%tmQ9IHbFtcfr+{WUmMv7$u=Oe
zbao*TWTJ!av<n&`0P%)k>6B`UeFZ@p-X`d5o*409c*78+;dQ~|8l-nL<>)~h9GZsL
z1rO_uc51<<!}zl_6)Q42c0qJ|Ak^iGX#UdHvk;`=ZGynDX~2yni<V$ra59i}+b8Br
zH*Pk3`u#Mr%x$9o+u6o}?WEjoW#pV5j1wNYmGggL?UoDFxEh`b`IS|P(A1|0JQ9*6
zWk@kF{w49RjU7ckXUYeRaxk_fqE)(tv_GGe2mx2!cqn9K&NrB0hq6D;Hz)oHpeu=g
zB}_=iuZe$g#?t9XUBn~O`}nbd$-g|vw1@L*>z-a)H}4i*ZQbLsb${ru{K?P!%nxa{
zP9y$4R}TgfPBB6X{xBTTkh8()MkYoEDfpe!vU(OtZJlks89mY3?6zNn?7B<G=3G6@
z4PXtDV?A0|T&$Q=!TT)`8<Ji|_g<e~&Y9p7CK7ob+K66##Yq#^tcRPgWXpPlfLo-t
zPnezj)yUUr(Xno0HABd-+J~=C25rvD^*n}oRcKz1*iMUF{D%@=LFES|R|Dvz(z^f;
zCZ*K=wTrRH7ZU=Lle^4lGE41WI~LRad{UCl=!aFnq813QAbe6%R<_grINx0Rr%5eI
zT`_ri|7rq93|i_q76RAHEtox<ms+q=vX%hCKs~=?SS!3bT$C!+>o^n3_u7|LzWs-P
z#((&uK~Z``$1w=(p%B<{42>Y~x%I`Fm)ts4l^h>GKL)|7LI^=gPkE#276Zd1kA-AX
zzoMk4To6tz1aW8-hx*Q2Nl%$zB1!|Gs5?h$4nIfmjv1{PsG`qx92-wEp8e%Y9Q54E
zE9ogav?b+$TL)B-h_EcEZ<k5#4nSB@y`k4guwzA1oF$iZ<QhO^HWVO!NvZPr_3WTp
zrE+-0^$d<KB>`I#WK|@bU=d&|c*;2Xq|Q`Txl|=p^d^;+>M*NdjdhZ!Km$`1C{^nx
z$w{wJZ}Dl4TGUfRamqe)07x<;>A4)T!mY<h6b>WluU@^0y2|QQp30=&x;9@%2$#6j
z0}2S~qD==BBw^BLvK{&ml^t+IM+k$G=4D;OqJPu=s3Vn-MzDnW_<Ygh_@eI9ru;k+
z5=aT}a)oM7|AfZ7_T|p^H}AaeH18JmM(H{p=WfR1eEctb;qU+1NPnpV(uV}b4@gvw
za}K%}t?4h@LgxX>L>@aR1w{z*eRshG-@%CYGa*61cSeGY5)w4{4!A@VMIDFEA%P8^
zLD;h0uls0(KAxW=sRJcXwnNy`V?$`zT@Z}y#uE<0b#0S~LyKW|$pc&jiCgyDr8Ip6
zG{pK&n<N|_g~e<^mfHP56~40}U!_1;Fj=CPECCyiiZuy#LC;AqnQsr88Wd~|@Q@89
zN>`LaHZ)5sAPJ-Y%;!sz`3B)v)OsJXj>1k=u07wO)BeaZCxJe|L-psGZxP!##8m;`
z<FbF=BnRcc=N?FOg)SXVgJFgRz5_YNzl1J<q@_E$7)@Uj$7X{8fj&c+k$^5-5^V)=
zUn#lW8HtPkN|P>CI8M_QMD=D23iMzM5~LD7JtJ`I4cd;cdIw59AygK_|FJ*Ws13&k
zwNrh#_aPjP4U%1TFaOR-Q(~{fi|G(?u=qsL6vKM#>i?oSQkc?{7b1Q`_JSm82)Ap1
z?SUIq!obIk?ddyVf$h1d6Bg%NAn?HKmoR(+f-hcKxLr!^(%dd=N`YQ5e5-v$;f@EI
z^rc)gUg~x|{y28~@BP`Im_(~0Tg9dnMXUDuJS)^lm7zY=E9tCHQ7cl~+$pqb<kY|g
zp;4ME3Z<cF8l{;#02St$JK!vPb(VGMe6%Vkilws&aiYu(DT<uXwRVzD4Ne6)FgT23
zU9zznPoq`gj6gjT*NU#hPCZM`$=6JiZii{df>v#W3}CJ@?q^$MfL3j(OiUT>{T3;@
z_|YSm39_{QA(C8_OGYviL?HcBBv~kzjBF-m-VTnQU6RIb2BwtrXjP0NX66K@2_8o^
zwolkJH9JtOk|=+EmH%rN$v)&DUH(LiWFPv_ems||!ea@6us&m-I*ZgZmB1n)4$gVT
zD_Zr6R(;0{uSoQkyJCa{L3(1UT^+(1X<-{>g7xV)4`>1k$$f<%ifuTCifsD|BDH0X
zyKbMS3^ZdZal*s6W)0>ib}j+U{F&eLJ3r;ygDYCw?mTN?O?ZJVg?ov(v-V2UjdH=D
zLO>j?zKl2W-9&}3-OEIS+*eG;-d7ANgppyD3`$+FQ6X?xB`s=Rkuj+Y1{K13B~vXk
z!vWnW7Yr%{R0GsMv9I7u&_ugnP$9rUpxMudmaKtGHYx<nmNd5JSW=KBE(xXtr9#*(
zJyDY+$yVi(L4|<oZ2z0zK!vd07?XEelU}Z`8B_>s@Vb^Onsg=9{#t~4run701M)%l
z^4PUDWnWN5kYyU>!4rngy888huk#|mh_#4P3)ZkSMeTgqBWe}LJ8eoGuLZGiwh<yn
zr?AkE`XhWFTkYHV0j}*nVSZ?0OS8n>0C(Q<y>(-u_TT@s|K=w@G}<vWx$c_TQtg!*
zTH^@&>gGc2*0N?H$XCCuc<0p6jcEf^5?vMYZO_UL_7W&a{3Tn6&QfbNjB})B_H*2=
zF}|FU4o2UeooEHVY$S#uh|qc<SPHe^_|Q4Rphq4`=0fdhN&ZQ!39@aoA90qoP<upA
zf}AEs0;vH>lDSZe5dx&JCN;Eb<|x!6o1AYChhoan0n`~z-jbA<{hFu!p1BXQ4S6t{
zjxI$xlSHVD$dW&&3{&pP+4&A3DUJx3YvOnBOX&);X!mljh*Qoy&|G#pdM>8hv;qE}
zNRY$U#I?`1HOYirPB(3)$Mc-o+Ne449P8+W;;~RtS2W(~77R0$db6~(SB5lFsCszk
zosNrqP|~Yi;Of@L|3%;`D9n^fl>%{2r3(9HQOcD!8WrSAw76>Gbihk_qdWQ%@<x{m
zy7KC!6XpXIW`k{-nN$#&-;3Hb`+~asJZ^>1W|`Chc>3_`qCHExj7aJygK4hy?Ux@s
zFA=PVr_{C|;E}2+unAY8x8>LR_TALC|G~fd2Y>PlB2v|~f%`+AR}Iz)KwkTta!&ZC
zYV>K4e9j5~Ad?uWM$_K<p@U2U^R4?s=kh5$4AKCyC<^vEF?hBHd^TF!w&Dg&Dk2EN
zP_>~Um=pdOJ!;Gg>+PU;nv=;vys%vk4qfWoL6WfHd<$CTIJQKpewIO!fZ(_1#whje
zJ<|LN;YFf+kRC60=U|=_iU|8!Jel+fXb!_vmXUvL;NKbGT4$lvl%q>C3^Qi=wPTD*
zzqSJ>a_8n1y7n|c*X&K1up74yG3PDcn<a*rU-oC;{*C{3s8o$rU8P#&nv;L<?Mp65
zAww=`DJyhKZHJ7YIlB}&J&?Qf8LOQ9!$=_lI2gj9?ARSTC;yC<2tMEPBr#dez)_|8
zIig1o(Jxjca<|VC=Hws19pJEG<haG;;_lEn`DZ0&fK0$<s8u&R7%Pr&dD^iLz`{s4
zxI;sss?;P&pVH3~bL1F|0(5!O(a!mDM5VeU$XYr64M$($@S>*EnmIL#X|L!`WRQnx
zv;750i0Nyhjge*tM>lq!IQpdWRdv~+hBb^?SsBlCua8^>cv!z5!?=v-S^g>i*J<FS
z`N!0-9?GFCwq>(Y^Qt2U?hZtWvj7&hA!JHFgvaOG8h~8_PB@|_;Aqi2m%}tZO&NE~
z?TC8%`Xo?O2u$++eVE7Xw11Dd5we=Rza%13)Wz+zzqB64`CoH?8#LrRmnOKBl3woT
z$f-pt=1+g-yZ)v3O)KUlTwH2Lq^$a~Lw@y*><fx{gH%wb%d%ny?J`qaqQM8+u_$JY
z-H?VcDrWk8p&}IA;<_X&<^g+cQOw#%B8qvyjhz)U`Y5mvH6G@esrMWjFDvHOq_JCV
z4bK>YMKOcmS22<&uugNyd^M#i18@*gid2o9V%JIXb@B@bV=+m=MTLt8Th`2X#|VCT
zK?kEvOXrzyk7eJe!ne{ZSJo`|hqgwJzFCR)9+I<FxGKj$DkjZ3RN?YvR-m1>l*LB#
zXL^{sge2zExLA)H>?1qTeS4a;cO<o_dC#O4yL1~20dON}2T3nwJx<%7^r)ogk{-RZ
zf8$Z7zQJ(ed1)>1#JQA~^a+x+VS65|mS@jwglDSZq?a4nGnqdU$+WULqvk><+TX#)
z<!{^DIkEhhzx{n5^U{xfS(^4KIYVctF!B&`B9grlDaDa_%Tyd8cxH^Um}*%ckR^-=
zmP!DoDM^!F=4YbXXe&a)AVBAc;G>}DvY=E9Y(><=No7HQTlp}vpcD-J&>Q%rvLbRG
zOzvkv-uZTDJpZCE(bm@;dQxNYC07MgrXGR&zMpyohWu%63R}vxRom3C-w)&(t8HK4
zMczt7nP!5n&^BNH*T4w&LoR^wCto}spUuXMy?y2Jh)eDPwHHeY2;yL{x0pSbjL}(W
zx#;hrmb~<SV;mQWNAUz<8kd>UboBJ}E~1cydZc<UNisdY;NQnUaT%Qjz=lSFNisdZ
zi{lzaF?rfH+O`@`+x&+JRPJ{>I;%aN<c&`ck#fd|S>^WQeu%E4vyz>?TRW$wE6k>H
zg=Vu)?=+cx5OTuRjvYuQTH-aK^Q=2$KVkDhI66zz3^?O$be3&C^Hvb{H(LTmdCY#r
zoGtklB{^mDZ<`yjJIx_^l9RNG2h#>UHK3-4g!E!Ap9sak@u$Dw-~HzIPw|2ThxcR?
zpo|Gu0+GH_K^5&afS{;Ggw$6HsG*x+W2gp5y%+p|E0P2|HETNXZf-1+Bz9_`9E#)x
zmZ=NIT5wZ7xN{+n$q<0A{>q~lG<5mLkVzYfz&d^sa$F&>PJ|}nE<^-|2m~Zrp;_Ug
zoKL;=Axq!EFP0)7uv6vzpz1yXytIQ~7*yi?*}-2dgR~?j%OKlf*#z~OHs$AO3J=L%
zpd&6vD9o!fyar{A)ywbMeSauDjnDcr##v}`&c~q0VN=>hpvn9tTZ+eruZ<y&b2i2t
zZRWPfx?i(Kxs0)2vON#pGjDBQA;{UXR`5lanYH>v&L{=caKq8vBw2r%s9O)6vt=kb
zpzn?OD){ZS)N*?TrMku#mULq}{Br%}|8|+A@Ru*{4DuEI-?5eBZ<I>JARcb{zX12b
zNaJZ}c@n&Jpwi1)qRY3d-by>*T`90CVa`aewQQ&NxL0eJ5+yyVTEqEfSQQdq7ZoTZ
zrc9S3KaZ=WXWlAlF@KB+TEnl|oHnR%sKCpWmaR9Dthu$)nz#H|F6fv4slW4s&qRtJ
zL(xzx@LZ5?NpQe$nk1wL=N1(~YBwq^7d(LJ4G9@W{YQaTfHJfRQfW289VTjs;1b*c
zqKiV1O3MXN#HB*Op^y00J3%U~Cb-<7K#E)tydhyi$cLuVazT_{Q7d3}>A-=R)J1V<
zDy=36ys9RRXmUD1DlHepIr7k0al5n3K&&V!{w9gx;F?}S2ESiYo=cbHI2O$zY?W3j
zpQYqFTvE}pJo-i_jugp!cQAUAuvz{@d#kJXc}^-<Rh!XHLA8{0V5eNwD|;NJR6URV
zydF%~u>Nmo)%c{RT4`BbxsLdNS18NV0A;bq_Hn7xdCQNf)9?5l+pqZHP^apvx)12l
zr+GtUzu8zvmwjdGv<Yex1Ox$Iui;uH#B6#Oj@zKiKL@@I90RQ@D4HB9=D>HqJ^~X1
zT>*rmL0RG)sZRYIcj)(q@+14raWKM(>eK}j`^{SBZRSH$ow^|Ct2d|<$Ot6^lf<D5
zI?%9=lw5ZBypH`QweCazLzm}+i#qkA)0E1EkFk{&IsoLYl~D)TXlWiSYtq{Jnz$m;
z@5vPnhLDMO<&p>FxYdfSfg$S8v)mxGK+1UnzA0mC<LHyhocd7pUWD?xJ&lfWT^eiT
zP6g4cStP_trQ*gEv!2FyJTKol$%}2=41x?5&vQ8MLU-)d3W3QkbQfq3FfQo%Dn9o_
zWISM%xfJ6fEHvT56XSA8ZIqo6IcDGhs={>&fs!Pa?c_7_#ZH^@^SBFrpNJnkjZbQ(
zQ^{u5UU$!AQdRrgIAJu#wE8!E#~XGZ6J(|Ovi4g2%4v4xG&42M5@)fxS57ko9am1X
zh8R`j<-BDN+}w%DG7IQTXk!g|VcNz%nYp_;h#6R)E2o)20wQxS9A{zQ@PlP@S2@kl
zh?jglG~ywzy^D-dw@CuD-RsFV{>HC;(sDZMt#e+-p`SVHeHQx3pV=~9@c7R_KTFp8
z9HKL4z0X1=bJqJTQnF;dwM}^(C`p&8UCblkB*VcDUq18*T8+}i9cR6H=yv!ihDI0m
zf5$Xe{Ebox);^MmfuEK=*lX5XI7d^~UbaJrU9;XyL<0v;e8Lxg>>EGnA4Zx+J8Ipb
zxBgmWNu@~#BLGe(<5&&u05KRwGSHeh!EZ0<!k`Y&G>xxlZJ|hygCkE1^|2zAmJ1@b
zXGWQW4~9exR9a0Cv;~qLKjF^zD@qJ!=Xl(s^M+{}fVPd1Fb++n<trX`q^KaK5(Gxt
z9T|cW=hYP{xHL-N5R^1cTT*EyAh}*5W5VDtNv1A#rsO%Efs&phN|I8jv7@(+Bk&el
zq9jR{yR!oQuUt7`r~OY<NG;G1wM60xM@PO!(`{+Ze195EFxs95^CpBm#&%?L?GZ%;
z*nsuUXqVHP`3_l^86+{}yZ-POC3KobHrT29lZKUd+^IUCGkK0&W&6LnBJw%*;b|jF
z%&7UU)`)W6M6Iwxy|cK8TM{4-q*`ibgxjHJnMui0XqYJ~#Z`44!_}1Sw7;+irOXbz
z$xAXbg#Q&ZAAI{u=!bg<i2&MKW`_un(=EJLd*mllFSjm#&Rc#gv-73@$<P0fKOUG3
z1@wCP)8M^i4L@mB6Q#u-`74iu!jAKlWHhcz#V{bk^j_PFE6~4DD}eCZ+B!o}6pgKj
zG`)8EpkybB{eTi{hm)^(+=1VhS`I+?0peNIOur(ic9CZ1{ftA4A#g!}L~xFHm{)*z
zbb?|COwdpuEPtYrwi8rC;F3F36>6c>kA0DfQ|)EB>c^($!W)fGt^)nv_$EI2cm8jD
zGxmv6$<y_y-Twu?Lq<P_Mu5qhn2XhSeB!|`$ze56p@L*PR1j1iU~1`r(omUHAOwmE
zl<nAGv*&4lNj9hbCp=X`F0u+`I{A{uB`N2`=}65cHU5Z#S3t+^9^fA71qPrueLJ7L
z%^u>%rrz}RnOJ^Iy}kV3f5U(MA58kWaQWb(z-quj>fJN>b=>DVlJJ{eDL6<J7Z$#Z
zs5f^FW6Bnk12jT*__7~`aa81rrk}f)7W^!j{oFKV3uNKlirI@Y26gyw=t`|#)Z3oT
zelDRb>T0g`17@)7=e7pU<7NdjP%ozXxr%<F2e%rMqGdnVD!}l@!Kl*n<;nAFKP+_f
zp1;ryYv#LSQ3%#t9fzc7`SyUFoJNJe5$<SzMfv{N^kI^sd6CiY_$-Aj3eUB5+Awc4
zHQ&pZt}*$q`j(GWThs%O_1}*vVwJXZt8L^q8RtLK6FXRoOsr&z+)@?8{;2x{IPkTD
zQ(o~fMAFfjG&f)Dv?;G|^F?8G;2B?DWt`_Mz6QSN-~HeI#$Wu);frc=(329LD$Jei
z1Bwx<0~b6?F;X(A=O{*~4BCn?;ev+)&{*i5qMN(xwpVgUfOuDcq(Q%ALEcke5gd{V
zazn@as%K!~-F88sf)%;Ofd%Tc+E*yGl}zec$`S@MzGO=v3@J<It2Vy#O>?PYh(KGn
zH?8RNnrJm1H2)X+YU~pwlQTM!cD*{Ickhf|uEXphp7027t;6OmKjv`$=0Ez1pZcLU
zwt>*<7~dREqhYI$ER#Eqc-q3`J!YdQ&xOZ}mRPmGvPHjOXYbm!-}Y>dr}qHT3AT)^
z>e>SlD~dJpP}N6<Vf3uPt8$o}<7s2VVD!5;$aAbH7Ry#NhZBNCmWft$!C4ANU6Rrj
z{5oqb_n5bLp-jiyueCUAR>=4=)v*RRy!?<xb>)(XDb#t!ZAapv<~pPx;*#)~mFFI0
z#WwxOZw}U!#Iprq`y=BD!malx3-oKIr+2|=Z|Y_vhtp?+H1TX}f-s*vHmDk}IH$Ke
z&-DB*j>zul(ZQuquYLYQ^!jcszws%$I^d5;p~Bp9P7GaJ%Qs>PB7l+Yh*<E0oe5x+
zJvzrT>=p+~>i8T9oH4f#ogBW2ZgFg)4+SMmd^_AkMy@UOBzwt+?c-wuhUkj45ktCx
zxxa1S7j1sgO}n-UL)`VncVO4EqlI32@G6exGm&0;@cgSUf8D!vaE<KehwCO1akutW
z*^4L2*-B|uY`y#C2QR%{=%i!TQj=^86+yWWxqyONZ9haiYe%l~f-mpEk6*SeIQG+W
zX-<eTN^HGFv9DjkO}p|s+OE8^5BR%6Y``b~;0xdSLlK6n8!&WXFJni7vw})a?*!zM
z5E|m>fouso!0_Md10)yVJdILzVGqzG@RNYNJgsFu?oBQ%S(Z@prwfbz+wRcWg*|~}
z*#(8II<J^ZLi=+JPPHU-GlkOy4owGrib(rb(YSirbGZp}bj{B<#noAEOeX$D$<(iK
zjl!i1_i>kmE|0=JcyN#N-YBsqHFzf0oHO&5irLGu6G8r16#iL1^#lLW9|(ds4d?qz
z;(lYioyP;_fyrBMaldt;*%oRP)CwrlpcBjr!6BG4iAKjpK^+Q{^L{y#*ua~|<Iae@
zVnwPjKXl7>f<@mb<3ccJ646ae%203{SW8_#bj~F1Ktw44)CtsaJ^ygYB<{8cWzO7V
za!~j4hzj$QwE6E$ckX1cP(HRqnJx*Q8xZJ2(IQJ>J<4%85@ot%WJYms`qPw(rb|X@
zls(t|dFI=51lK+;9i^nrW-vZa`W1Z5UBjaeCbUf|WmTThD6HEy4o5dkDW9wUgff&*
zO(WZfzWw(uu}E1@-=xpu^h{T~3h4hu`MzgMxK@20q3VNreR}N?CE?di6kFXUOGLM6
zM6>r`Lh}w3yF>`?H0M#Q;q~7fL_`QtTiC{(?-omau#Rh;cZ+)CcpZXsH$!m#=G))$
zPkdb9O0-|zV<hXIN7%6kj#cus&i86xnS<eiXrI2B41^ZU1;GJA23f>Nn0==%ORPu-
z!vzgLJx&Hf_2Ys#GDp@h^XgQyu_8512oe%3f(MLGV0adSbTC}-gaPRSn>%d4J=zJ<
z!Eiyq>o}GVhi<9dSWz4dmpsoeLR?9cJj*Y_G<K7G1TK(f70=psN{PUOM=OEq8oPNQ
z<1ozei6@f(i*MqS9%P&aWW=Tm1TP`n1aX%TZsJX)UJMwb3aJA}Q$ghlgs$$8Gl!21
zsq1FO6H<3kzdFu0GuaVFeF-XBsvVIR)t{%OE3pa8^yTrFJ<FGx>5_S?#@4fL5`WEG
zzBe&UA-(Un{GUJjvmZ851x5S;ay6>Q<=TRQC^@A%5?b9H1H9YrRP}^lP9Y%xKvsqc
zAN<=3mJ|}&PoVXrW;p;0=M)kGxveLBY(91zT5{i3+&r!})b*^2fjmyMUI^wC5~``3
znF>J+)v4z=JG7A3wke^|GwbL^>vT@M=xyJu5lqEg*wD}|I=b?hO#F@Ka2{^4Tp*YX
zYBxb&WIZFG!l*weHaK=btbt%NnisU{Smd*s0T8&(ijmho@87xZcZ?i{S_0WxoIYcx
zGH_G66SA75K}~vv0H3rezfPzb>HWA|&Deu{shYV)1Mhh7RnNcj(u1%5$`{`L&M3i1
z#o^v7`13nkwPi$VSR^^4s^{IJUR7VCfxC$YKKhgY=ldTT(SU|#eY!D{gCl&V8Muga
zBuKF&m4}35m(a@jEMSr;C@|*1JfWO!#4C^QVRMk6TPqC2!bb!m)M&QFLWzwWTLA{r
z9XjP5P$=1wrU@%9_eNhBE0%O4+7lHsi5?;~cOjV5jr%1{HdkB|6Uq1@1Z%nxSSUF*
z^q^Ffj#-i_&o2knVp?yG^l=!zuQ_RJn|T%6i1C$bBXyF6epYikFnjuC25#G5UMyaC
zm~AJ9l9g0;=j<1AhgWB7-cCIXZTE>1slYPg;0uRpGDKIZXTd;)=Wq$tvo+cHv&SYI
zxFx}<iWbM)xc|1^rFMC)`#*2_-lov~|JUC1?|jVXPw7JdIgrADnggWSTx<V<lvCBV
zP!E>*DC4xvSsx;yfRSa?huecykYmN74}od4G6ZJjcDg3(L)0EvRiWZ!Q+QQMSsxm$
zpiDzEqQW?I(T5-fQ#E%2=&f6^>ccY})I}d!`yr1#B%v<l7wfuV0fd24y_~N6@@O>F
zp~`&lZ&OO5;L^0otht7&GcAOAwC;;ki0{<twImJ2snT~yl^REzR-o4m$sJ}$pg_}$
zj;aTK-9$0eZ8Dv58;T)&W1l{K`scsp6aL9RJ*7`={x|gLk{O2ja%cbYECYY~nF+hN
zSwm-ix;w|fU-aoYk2UMlvpPTP)3b^<>(kvi#ar|#xMr=*XHfhF6gvBtdyrYH9a)2h
zr2EN>K0P~8pq8_JhWw~D&$s8iHZS+*5rzt=68&H+XB*@Q#KXdwlL<Ah<?PF-R{NPQ
zk_?TU)GeDBpITl0ZQuLmFa0m4b@kkl!RICc7iG|r22-=#&+*1pT@4Cs%`Tuxy;E*Q
zS2ySp2e5R4Syv<Xu!iqT{~QRMPB80g%mGH?gK;~Gl5~<wgrA!j(Tl=%5K3}nawnN}
zwGCgU<Vu2rvL=H&d!zt6`#>KVoZR5ZTc{!*LXYI{C>QAWnGwnU@?wwZbm!au4YxQx
z>Aqvx3q9UA<EO9a|HgV_pD2~82P0XGtFFF#U7eUi(#s8>Tr{~SHD)9k2p{s7?&<Tn
zKkC`P@us&;Lr8%nlvFJsq`gKfKKJTCO8*%U($k(Oc!7`(kfFt=L-|m%#OVDzo5KJg
zL-aTxq-S%w(J+rbPDSdE=P=Or2N*1rE|}d03F@rJ(PBynDFpGqKAl{B?$!vu+8z3|
zNb$J??zutBVrN8(&kfM-2EP!JH#m*nE)}1<wZbEs7#Jog8M<u%hoBA}AcS-POU?IZ
zkMxZmedSG>{%cO=o>h51E}xrfQ;$%l;CdEX^|MdFRlzX|5tVa2Zd(`3%?*v->G7-2
zeIGm^AC#ltuO;IrS28wjG+}xB^!~MEd^aWIkNn!j_x|$Tg^B0r#dDZ=mR>xYuP8!~
z#FIHpY^^Ndx)B!KT(`|(;@O}sd6JmUc_yaI?#20#E{BQM9m6^fe3IU2$YJ6hxl=0*
zZHzI&&9?C%xGMl>iqns<CfZ5{&P{RtKyfB@`rUE|Xlxgx=G)V#)^@8cS{FOXe1CTM
zIklt@@N6HQ3o6Oa6O*95uufb-&I{k#5m9Szv87Y2(~OHzSD#@tlGGhXYva0?H611&
z#VX+s+&_s!JV2G4g89GjD&kZAo}c5Ju}_ppQKe@BJ-S3gONV7uY-$FH@gYqht?RHP
z{2mFFCO?m708!dWFTaG5aD6<Clu=5zA>5s3-3A>jGs0F{#F=4hZ#-EW|CL`~{=vUD
z)m=?cDPlH2V>g&*C+}>aNvT?FD-rJQcO9orB?xNOp|Lh>Dwek5dWC8{Vm9b~kX=$;
z#G$G9o8Sp)JUPehN=VJKAQit0?ocLypfq+5$X$5vT`-tsP}1%XEf0->ZP~df2q3iS
z34dcgw8WLZBw)D+Cvj|;ltBx)#Fb6*uw|G`$%B>p?W=otrY2SU-2fZvbWu}>_91HC
zy2^OR-yBspM<!0j)S;`vk>KnK6}qgKKvEA$9nt{^>Jp?IZZ#F&9@YtW{?-a_-tuD#
z?+d@-Q@?4tcnx0)FhLfthh53Vms(@IvE;|r$3apjyHcfi-Mf6nlZt`}rk54}sDdNU
z!Y#9>n~T@0K1q~}9nxY4PG%r-$A@x;vza~J#^bd^hc#C$#f$9e>VrhDbiJ`Ygjlj9
z(rnlRB)7|{UTj<uRU-kH%yO2a=eu)&wZd&=k!36S&?1>{Pm2ZsMKZpzbe{SCv~ks-
z^|hWim%O!N7WJlzbyyIQFSC*iWtjFGW5;NkIpo@$Pd(ZbNu-_8)z^Z^-4{eIZ>X^6
z_i^d!dCQOK>KA|dGk^1Eri&hPc{7ySW}FTqD}$Cp7^B0;y5KAwM$Sr{rNhX&8k24q
z9a9B^TCCG;Syuy53I|dIw^E_Vs;%g1@FGel7%~agt>*d)8HB8>L3XILLnlnQA7l`6
zR^mLvMlN2TXV}QP`aHu%zCBTL8DrSU_vbvrM!rF37&h=L<vWBriWO4ubPUQk`4$0L
ztKHE^AW5DjQc<%lMQCmZuAVg$`ejaa&~T_7$XO0qHrtdC8+YWJxv&qt`;WSd9tH4L
zSv;LMDBN|gL+lkYW1o07XLBBX|E;DpQqBVnvG8IOJYL+`)IflSTeuq0i6?;0x<gJ9
zpaKF6XY9|p6lI2oP^IVn`E-R>t<~tXKQN)C;aIW`d4E1hX@6-DPWxkqN9n{<^1^h%
z)B$OKzUXOFejd+xkj`m?R&)txIfG-9UUqOBrf*4gST<*5C?2J-eyzY<D=;s;>m6V<
zq<>)Ci24z>t0h4T(btfng*zBIqJGT#zUv%2<;@h7+A!JZ97j4P$q6&3uW}_L5dYCz
ze*MQkW7^lb0zA5qxcWL*fCp+ySAfTuQxSigbT6(PVQ`l&ac`a~Q1#VkxcUqq96rOZ
zeD3e~f*%dkhE7uQMt`4JMjm4%K{+K3z(YwX_{=bEYz;x-?9{n)gmC8JZw$a)FwIxq
zAir7#8GpMVDsmhjjJn~<8{Xs4QoLh7%X7fG=ecwJp{00df@kN>F=UEEV^ACOBb+;@
znEg03eFhiA7*Cu61y`6W+Fgtm@BG+0=K_-J<zN!C7Vlg#4W1iJffi7xA3erEqhCM~
z7IB(VnQ_V8fuksJp6LAri$ML+l}E;ozT05#wMZgAt~sQ%X1+g2-zy-NKhogn<p%B1
zqRTZ8tcaAOPv$Zrw_p&`8`ujpNwCrU0eABMG_&xS@)zM2#3xHvjN~o!R4{tZKICji
z>~9XnnZ)-MvvJuNWq@3A{z7?&TK<CcX}94Owb$Z?IMT_-ZeOI-7jj~GMx>dKny8Gw
z^-bUM_de+jjj&7;wyxMMeaolo=6=|mT0NqqC2IJHa#)|T%$e1*CB>Xr#oXmPW-K_{
z<(jjqXPaD0FbLu^NqjS_)~C`-;`<C^!7Sw_FTU!G=Uun8QBd}GkSkLwh^Xr|{_9`6
zcEf&1-wwpGsAp&)I>N&E{KG~yfRE=huK7gxIW*YVCrX@SX+feq<qa3j453spV717d
z5ex8nZ<HuwzePu0h6G~G^dv8u5kw!JL2^<tBR$HC<N5$(B@54(=sM`jNYC;Dqo`09
z5lJ9^`lF{J!QzM)N$EG%%K<}sE)pz2RcLU?4cSM#D@u>^0{BnXad4hHM@*$FO3(9R
zzglXW3)q8Drl-bp$qS#-2kj}v<f+Uc^!(YU6vF<L^5eOu6yN((%8%Jfs^N^M6esgI
zp3<*$<Lfy>{}+%x;hjslbeS=oYXLpCj__fo9hNKx<Q>GRO38O_r))ct^@Xhy(e<J1
zFD`D{pWA7FF>mSkC`Me8{O4RKc&&}L5VZ!R`_uVD01j~+U$)cn`C7;3X1zc(5zB41
z3%aFIOWE+1&CBf<pw&$~pn_1c3mb7Y)A6~T4v6zDbFOv^OlHal6wg2HU%iFxQf4)^
z>&H~6ZMl93loOWiIQ|yro2Ol1oYNLn<hWo6)cprPSiT&gY6^sv{#x$KJoDCVs-NDw
zk1a66HvB0z>4ZzAhC`{=i!JCy!6kv9X~p8qX0grOO_ac?`Re>WZI<HAxtT|Ff!Yhy
z1f5zv9Hk;Rdv$t1b5_rC^pbWT|7G8{j_?<7DJXLHl5`89<%+b=cwl#^MQeO#Um5Fl
z69n2_pj;dWsR339(zLkX0Rb2si}jmf;RLA!*HaF?#EN|LT@aKRgdah0cO0z9N8ANl
zoDBG=jS7zysS8|i2huvRRXf1w)u#zTKJ-lxqk(ZTz(`>{y9WIsNUP$4C%A?ZEbagk
z=mo_~a!HH^#iVyGc~}bLcD`(}{4NP9DIK(kfLf-66iGgiE_v7@0%cf<o=|Bo4ar$H
zO^wMgtW>XR9e$JwZdyp${HZW$=M7pjXG3Ea4%g!_Wd359J;Sdj6d+3g|F4`*chBi$
zPbO4r<#f6&iIc4t`mK~ILvz*`aP~~1dCQN5m>==RkNuXHV=}NVG*F&^LgAgK@`S@J
zKBxacnme>DKu1B1A%a_sVeJI7@?>MRKr*41WY%!J@l|=+I3%iutu&Np!K^$HGw6!Y
zU^3l23ufgB+I}LTfUN@dzFy2MWg{_>Y8E=sWizQ7`FoD6x>zcI#n0W>W!V8?1)OE4
z;(Y#rH#_HD9^m^qM~I_R`g~ZI49nuERuahQ^-`h`bi*{`mki6oer-aiIrNtvYY1k;
zvVd7e@4DD343y}eoorYZuqSx9cn3iup!6zf&16^>7-Pjb5;Ruqx|j4&J532GZAXMv
z2-b8>OpGp)7d{mdR>i%*=HqxO7%x3+w^;33JQawxhEE0mc+02aOc(`?2-eW>>a}Q^
zykcqlOJM-n#1XSLH=OpXgfSCr;(gqPoZz~+;RDcDb;F6-%53HUkzF)2ffgZ$Aq1UW
zf+52XR>FWDQYM5Y>GzY#O6m90@xJl<tq}&5FlHi*>*F>~w8iTgVGIOH7$e&e25FoZ
z$0rc1Tt5Wr{@hOc3+65DzhPLIkKcvSo52s3m($0qMK=0t`6e)I$wnY90)xRm;wEe&
z0&igymO_A;!YC|;2s8cR?7?OSIwd@s8%8y`hBX=joDjbvhH68obmKbE_m#zqFTe7R
zSKskU%+PH=Vs^bQ4?jm19J?!YysiU%mjK_v;uC?#u>C*&{Lgy(-wmXjj&<pWRUMXi
zJEe4t)>F;j+WePpSk?YOIyfk@J&2n%c^raNK(>XkPMC!vu_k$d#32bmYMLgvMWI|)
zM0l^{KOso1;({^$s<H2cAU#hPY`zdi30oIb2-3T9!Tlcm^>kYfAQRFqu@Izp<$?$F
zuxcaeW@9Py5ESpKNjfjU0m%qO^(RSM$dKHi2+V`8$!JfHElJWgxFp(LNo9mY6gU<J
zYcj>uBsCR)HCM;Mk1kc~Cb`FuVmZ201G=N<`-5_VO+8*6ty3(WXTCv)6}k%aiq=Ad
zU9KqKA<h)l-*dgU`JQRbe2V}pux4MRi~6TSJeYPx$h_zSw?j)?`8{WOmg?KxoZQgw
ztzird*5P_S%pCw4v1|vldHVND2@Z6x-UDq>$(Z*!)A!WN86WvIOn&svpgMQSnb5>%
z<b>fZ!CMROgWg~9J|IxM7ugQ)1untsDT&|Zg|Ue!KOCOo(u@y!p_Ae7`K9TND@5<f
zLG(-=P28eBMRX-rx*KApulZv?`BnezZ)r#l?OS(e$GX^oQQII%4<Cl3=X$0Isn{6P
zge5>AxI;Q#Op2`muSlXV7sSMGT@kqzwNfD{ip~WaZN|z6TZbTRsh?w>dS?ao5Tq$}
zLGV8+5eL8~=8%OTEvXA0wj0#jnfxCak4I<61p!~d#nLfB(oj=Lv7$ITE(t~>Wk3hD
z&`?sUbavhN`ILs}0P3Q_ni2?`WVjp1AF5mAN1rs~YAwx#KL}HvOtsnXG<;hPVXaj}
zx=udL$?z3RZ5!{B#E~Ar^F+3F7gUK}oA7_HHHt5ypHhnBa8&SQC%=T-;bw?WoHpfM
z^{mEyRw3{j$dk!NJ@r}iK}E?Teaqr-*_><9?b)6%7c~AEwDzRTMM-mfu+G|^cZ;qT
z{e`ba<DhlmwY<+GH|qVRdxwwti5MoUb%aMKoEgFL4vqh`=(&1Di*9ua;KMdLJdTC4
zzV<;a;etEB(}l%lzdi{gab7VcMc<4$R^(A(Jq+cP?$Eh#h9eVtj$#TRY84qVX#rb>
zOD#|-n>~*5h!;m5ufel;6b^+J<Ne=z_fLyb;=oH-QuOMrg!V@%s!Uh~F1%O^Z2>6q
z#ai?=7!0H>L9NsrlC|k-5Q9cHDRHDIT2PI7e?Aw<JmGvZ6bxzdi{@z4%S)>X49kt(
zGjEk_iapkFB~U&>Vo8i0tQ&sGH6Ho~eVS|EY`v>}d-dh7d$(%HcfIhQSKH`UY{YEX
zS;`Z2hP#~}JMR`<?c3wAZ=dip|LObxM4;*vW9;_L5XZ0`b0@|$(w!|iB$?KA)Urmb
zzG5TY86)nceRIJ^x`U>rvjk~Dx&thn#=voC>M>t2NO#sN;S3IB_1m6h#Oi`Ux`WKK
zTFh9Hy37UljdTYY=mDagYR)BtbZ51(h(4CgczO<sSxRkS2TI_I{{~8)5v#9>@w#m5
zLTwha)dojrywN0qn3R<NX-#N*y*m<$xMUFdpo~D6MBK+oA3$yKGAF1zKR{#9$y7F-
z2^DQXbVu#!F~(*x>JH=hy*Y989GM8uDSei@h9)wDp_RV(jLGe}ZWsd=p8^6kqU3g1
zRl*dCjTS1IbihHToX=9)zoX7!L|e-KQ`9-|QL<0OOnvcDG>8o!N4DemQhHCDl527&
z;XWJ`q~%jYoL+2%!0Frl98jGkwe6X|)J2%#fzW38%QvxnZ{Aor{mt)w<<<WpFnbyY
z_Q^z>?S~v8bhN(Hp0BcgUrTIR6Bh)bS+wyb1amU+h+-u42}`W2eZOK(CLWG}C0nA-
zm?mSzoJ<7hiiE<<=#gBV+9U+!2HQCTVvPym6a?p3O}?pWw%t%L#Q+)++_E~DSDe&O
zd}mWe?j~@bs+i}!(w6l?y#E)b=Z0XehXLk7gO?}i^6A|g%;ix^AH5)VoYJj}gZDY(
zW}5Meo0HS6i)M<!mMTE+d>h4h*^Y}NnwL?DC7P2N+I)M=cs0r6R*~z6J>t?{G9|+o
zr{kV{b!_ooNk?=!dU}9y^rbS};bB_#`E?DE^mzjoaizmH@jN}+V{{`LQ0>v3K1NR%
z6L{luL~RV1RTNnnvz1OA>EliDWM9UGvVXFeKFN{B3Da0!Vw~=HG7dVkfJ=+hQOIAm
z)$*c-tsG$b09<>bn7+QX3z)u(TDx$*a7P;^6p%k4@zMpOSF1QTvK`(4ez5GT=;PI#
z10}_4oA?%}K44Zh$LE+I2mTR&5Z~P3TR64wh?o3!xQX*<OQ8iUZ5GQv#OnprjP8ZM
zJpmz`=%X4gI0iK<nQ`5?0syZ7z!^CnA;9xz5F07|!$(iB8ZCX!t#`lt;H9?%ak^B*
zDFKf1FyDyk=m3B}|JQ!*Q{Fe3VYpJTYafk8Bj%*FcpT#vHF<xfV82Z+LcOq=75RZv
z-}(C*8GHaxu)FQ?f00JNdTe)3b(hi+DSZf!qs*l3Hj5K+26Fe*W+(oYulZen`*TCB
zYe3g$Cy*jIIWWok$0E2)(cPQ(%MC_05EF$wMGw$P!5svJ=Y^Q4qk)lQ#hjgpd<S}`
z`=mq8P6X6x4|cFF1kKrrmKXuQnN(171X`d@8}wOA_=^_BJ^)RzHxgN%K{=j;IV`W3
zV{eRF@)Qb~Lk1CbfjYGu3ZQ_4h&n@^B8fsi^m5^oNfgzAPXW}4c^N$!Z<QR$qTE#u
z1;5_49UB4DVsr0<2I@3JQA?iJGg|Et8EyR@%k#ec2R`@T_)7sXQH_855*$i9!hnG`
zl-IBXXUV*9XH%vgIq@Ty$%sWkA33$zO(nS3-89bglQU`Pn#+Il<V@sHbBT0!JfIU^
z3V@j3E_ytEcB!ZMnnsg_-+k%uqa<1H5C=Hn4xP5zj~@j<96h-?Y`NCtKB|wy@*}OC
zA02cj?A-#eXbHS-ch{w?<&v26ffgEhKDI^_;^#TrGNl~)?aGg8RnSQz9}07b{bkr?
ztzV$}7$JlG$@};(?WK|3A7ZG67a;5pg9e{CqI{xws!Y08@_*r-c7;OJ^(LUJeFrlt
zgC+U*n1^Bv8lokcn3IQHWmFoZBYB7h=Gqd3gkWhXhd@m}ay#~y!fDzR_qC-6QRk%h
zDuo3Zs*wusLe$j0WwtR1zYYqOpZ)yq4}WfSJ!lJxhXT<bw`3O~%8KY_<lCRS^Y~-A
zU>oDMZ~nM_WnB+0*ybwlH*0i{))lEBUGR9q5Fzo$cc3Wl1Q`mrAZ9wNhGHvW?csad
zvs8~R2(Blz5ul$Pf`da-JG!9tf}*0iUUgl8T848$ju+Cd2Mk|U2j9NJRZA$~l89#5
zl8E9OA|Z9~UGg&J*j(qr3aHGLs<kfaN-#y|WVw%-IZ2OJ`v<+!Y5!(#9Z1Q7iU9KQ
zJo2)~ZNPCW%1-QRDsA<+g^uzU2K#(zOl)e>F39P_<hDu)fe%nd!QL<h1Ht*`{zsJN
zE~>N%!YEEhfvHW|VH4aMm!mQ5IgO&osE^EY#<knPVU{fyKMG~Pb2R3>Thxa!PpTn=
z$9+AI1^R!#boWue7?xC_u^tK<Q1;E}FDGUKM1xx;Wy+9$TGA${ct27Xt}$Nv=5B-I
zmRyQlafRGgCrC@$R@?wmAuc@n4m5DHuVAHew*lZe%;&L*0Pw_aMOso{5d_c5ol6d<
z{?N3fF32!L`|H8n-}f&t%y2=pI2uI+2*7$lv7}9MFQE%8DX^tXI_4iTQ&GWZIa+f+
z%=kc(Ni|;+K8vy&gS^=2`0Xp&@qBk6M+QnZWb7qN7)QU{jyIoQK4^@vvB;%baW$M7
zQE7ki2xW{TpbIY2K`xDFJdE*w$5xKNby18P_=sX?SBssK0!Olw13P`CrOH8#{yc8j
zt;NtESoRcV9wi7P;=A1J+j>{Ce{I6ZfC3?~5;#Gve+}_2uXnTmE8n+1UBZ#H6>D`<
z0FC}WVD=elW7I((N;1XhktB$b$ngvNHxg;*02-b69Ik*B0lw5)nunZf_I<@Y#tsWE
zcPu2&0W^5}!RXHwv2-74=K$K~86kk)VgfsCbiQhueLwU8gYd8-1Tpxy5AsU@jS?f^
z$|AW$OJrSA%zj%Fev>f@9fc4zA6!Btmpq|iQxLC6T@D$Va(d&m-7r6o^MoH$!*@6c
z`SzUP$LM*k*20uoj-Kz&X}Lo0C)ZrB1_=&P@qV8BEpD|+qNLbgd%i>9Xf}v7$dm@>
znQze%Ba-!(U#*6`OZgtzNQGOaE+ShIFb?%xR#pJChLXgsko=U_3rAA#0);EogKMuG
zNfQj2_`$L(q>VA|3Nb%^<w$zz-7mfT;CV661ORfbE>)?0Jf)eu(pB1W6}7GOY3n#O
zWjNuFUUM9er{nZ9{)a#HH@`jshh%J)=Qw=+-Ej?DA!7`Wd&~@AXdYATXii<wiU3IN
zu8!TPI_)@hK};oLq5(CU_3}VRBCkke>VmuD7E>802>vCF_2bY29MVBwSZGHcC(aT)
zT1FxSX-a*?<7TY{ipSN;BK;7gC3QiNXVW)ej|)3B>p9Ypx}cpSQrIhG4?00{c3kqX
z+yGgGt2tqOh30_b*xIz?m!tHFSaXS1n|@6J4w>W$2@74*`bhInsi|U<j9E{ctqrC~
zM;Ba|NrH!wJW{xHjHqBSC)T_y8=|=nEBS`gaC2GX134k$*5CgH!m}@2NFgF8MC8eg
zo|0?BDc-A8>5!kNc>&sRdU?vjp7IG3GpKaT1{>*HGqHSc!Pr##ckX}tCwzHim=(3;
zwOYe4p>0o?_X)Bb63>bD^`_Eu#B=g`H$f7@GSp;LgV#GF9Yy<^V4yKUELTB^8fyAE
zo+X}>o7k_2VWwyWs)rWs>w>#A!K#R&knHUbE!x)vZGs>}P3r5qqH154+%a;KP!kHU
zNKR_C&|SlTC%&_w$J<m@`{iIKM03tpA|rm4|BJk9e9GVRb9@t@=Ezj(v&a+#*4JWf
zL8eHgl8Vh;<T9sN8^{!;q|*l!Fd!-A>bLWuDE1Og=%0alyRl`cF@|d)G2)2Se>6W`
z$m2G4{3~soL6%2r?Y)V(7IN=~2=<FV=S`pZ-Y~O@Ui2WxVU9=emcrm*O34nS3}PJ|
z=BV5aF4)*|5EKmvW@u(za1WL@T@fg&enpyD7qs|Fkf1i*JPyqu#|7a5aP}_+5p>`j
zGgOym)&)tkuPD?d2<5wrp_z3-Bx;p-9<VGqbcUAF%(~#|bU@Dt4t+XurajH9edUlS
zm&CX#YmZy5EC@`JO!K&;_VmIapOC9m)14$4<TS|@f-stHkmXqGic8Ki-D2=)8T%xq
ze4%#wMi%$jZ(y`_&r7?{=9uU?G6OSyb3PKeY+$Ssgh?Wk9EphE7m)}cP-Wr{BFQI<
znd%U+#7vd$dd(2V2@esWg${uU*#a_C%bWJ6E1g0QzR77*ex8U#guCGKNW>o6tEql^
zi?8!;(bZHxo_yqQ|Lu!^_WM7iaklHt=uP!$jUpHGk+24^Uey-yHMV<5b+|(w3wi^U
zw%%xEQ{8MjSe7gzwl!egvZ)T6enPuUcj#=YVcW>?%KnO#^ibYpsvE+3w<1cb+VpIy
zTb~ZfwZ`KU=a^0Pes^N_j%F1&=5mg+jHTMhh;x&TTxYT-Gnm;@g+-E2`73_zzD^4p
za!Kv!{xNZ-yLq&KGb9=BGaj2j_zE{{Hjk2W4QnxgIw8y{<TcV1l%ho0PWGhl{l1j_
zwMS0Do?kT359eF_0klWM-pz(IOR_p$j{H1s9)Vm+ZXUu~{JP$bLHbyj_S*oK?P<T%
zc+O4Z&0D@#{7mEh+~5BGk9$)TQ50e2O3Uz82rGP^>v1WC`9K)nG|!btNKpv326PdX
zmak}_0C+X0m33c-AeEL2VyZUsT~LENbTd#5=0j(os3As>7~CSG*9kJd@D-7gLs-EK
z;#NKPydsrW6GRB4-PhY8qLfOj2?8ggE3StFyCse1k}bZ#^`D(t3OLJww4DTX0-W1j
zj^t^4O&pvt3lXxK=#1-`r81Kyd&K^>PDReqpmStYv5s}FskT21yW|eJtBuD<lWIpa
z=rzE28UhS^pdXixoVWa#j{N&S_x@j+Zc9_>6oF_uPdbCF=-4@O3O%+gdP4uOfemkV
z7Nm}J!LxG$sUuwwxg4lQu81m&<|6DXXkF?^7d)W|14Tv17?_5;LsLh(pwVp*2Zq^#
zogj6j3!-d{@dL7=)_U{BP)EAp9xTKH_&;ngIk{U=bfiljPtbUJH5bpnQ&nM<!F=yk
zL#)#Lnw#sl6Y5Ks@|IlNvhg>1+%ZS6TU0h@E4>G`EzOIw?hE?1xFy$0$6Z%CE>Drz
zBYdd_yH+!<W9?pg7lzKD-1PcxOZ%G7`?W9r$D*3?$e@8USO8kmStWkSj#`-=uyiEz
zY0glEPy=aem?iZUPu}r$I3Qyo<}3s=XaG~<$bW$qw|hX}Yl)wh)K?_NUIh{I)I-m5
z<JBN7lciIuCl*KT({$29<(`_FfE&0GmwmY?UCmPIPbmNeq@|ok=i(y;QOM{8FP~E$
ze0)-lpa(-C$MPh*xJihN>R#k{kb=1+Wg-q%x*VoBf|V9O77{3_urFV?!hZVpTG)5U
zGJnwzaP+cio(XTI4~VXX{ktjb|Jv$L{e}01DOA_d@pCN}0)Pww8-mIyu9M}`LCM3O
zt{hYzj;D=)lG%Z!Wd%E!RSW#kr_BZ&P(1WVV^t0+F;<<dQH(x^q$oM4#6sGkeZZvG
zzWFN$l>h~6Mhl}}SAE}E4l2PErG!<$C;$LaL+KJ!wsJj?cEjQC)OUW}7!H8GD;n&z
zQby&7RuXS631l@2Gvsc;P^11d1-<N&z*>;nia*h<nO3rWPd2@)YN(VoY?pcN3_81D
zbaov2Sf=CYsn!U$k8IrmK!khk&%SJaPG7X)E1OKdaBy8C+-p;k6OlflB*g1I!u`A-
zfAIdFdP4&NsE_4ly~TQ~C9|gN48myCE-0+9c$i@o#OSzAFh{t?ezJir!cd(~Fh{sM
z_CeFU?e{wrt!g|fI>JKX<93ZUX`bVHJs8rHBV21C0(r)2Cv1!vkzXR*)dn1lI5apJ
z2Qf3vdi!}WhfeVG7;tZnf_()aMa;TO1}oZ@^Kk3cXbfnVb6PKLBGh&_Xa^9p-qwVJ
zq$zD2yn^!Q2jZB)<RApjh0?hC`OJ?735VRbp*|)ScKi8<4%@?gCufkvp7GZQ4Wf^~
z4tXRyi!YmV%>EpiSew$R!sb@n|K(jvPxS&%Am=LK(c1LQ4A!}B_X0DeyjFszuz&s)
zrl9wCffotQcyzH&c)I#0AwAeQx>swDr@<S5Hl6kyV*ZnHmtt{Y56w@u@T~cH?zbE5
zutx{qw$Jh)c#ky!(AB34oqk66XN%xhQZ6>TBDjh=WbwF0rMkN!0V(*=jmHU&D9%@F
zD``!EH~5<C6+yTnImmBiJpW>UBtH?|;60}}=QnHqTkxR01v1u3J-+f5K*GE2>sx>w
z{K@7=Cj*SP0DHz?+grfDzQ%9CSKjQzf(EBDhsvD6l(rf$p8`2rh9H7lwd8$tR8i!)
zWIGZ)U?MGZlGYejRLUe1RHe!<8UW}(7r%KYG{WN*OD7BgJlk=6*-ppD`If;{=!GwN
zw~ZLFw13UJbu%3|{n#j6&e^d~Tbyss6Jk!(wm^j-P|jbr<NUYl#WvGDVt&)MAbBBB
z_vd!nf4kUbsM2<|*p}<xcGoZ4X@AVM%J+Y}S!@ebYb&-rem~ic{qck4)6vJvp-%U8
zNLJg#x7(PB$#1uZloi}2TYm38wwf?-QQUN4Zq{gHD_YeLR6tF`DOHa+_G<R(^hBG5
zYNz1?jp8h_91&A*`Gr67A^%_!F$FCTbF>0{2kp{Op8?9Lh^gbABM>P#0<Gf1;V>UC
z?7*_XXflP+v(fP>a6$A!(8J@QhZJ+XFD`f%gT*`Mf}rTrEYuhVi%*3MqVpPrLOe&9
zZ5rWx)wF^xxZ7^HA}~wvR{M()53flckn~nb0to7o;^Da@k}(9#3Jsod!P{5#KlolY
zN%in3c{)$6x5qdQN!-8zkhaTwK~ghlYho#FZvj+}USpBW_XpJ-K;!7C00Y(|+Js}r
z*Gx=>I@KfAyub##A8KAGd{A{W-R`;<I+x{d)Q42lK5tsG%3?&Refav6m(o)M*2_M8
zed{X?Z*%NZ=YftCjJlo>?N(2gOue+wr0B`0^N(Sxi|U_h;&{~g$306r`YTJxY2Yh#
zx?YHW!*tX4D7F$qj*ID%?JynU-=<A*VqJv;C7a%RnNWm!lsA9g$Cr7N{V%5{NB37O
zKxKq;txlcp>L853tj0!~fWPwFzWZPN><IGpeI0;in+X6Rw}RvC#fCnj+vKl#m;em%
z>7kxCm;kVKbUG%h7+|tEj+UU=txO^c#i4*OKlEOw<*_pk<^?O_HgS~Yb4#3KF#(AI
z)>t({Fg*%y4ihlK0Gmw!ssT3J2s1o-sBkd>u#jw-fWpMg&EA$46R^XCCN&m_1_#C>
zn*gL;bX+jH5`|7Z|3VV1`cH%jOk|>?u|Vmd`_YRDfYBoh9*x>|XsYu4IUzj5dE$ze
zU@_>|%r+Wib?n20B(Tc;LnOhfpQnYOI8Okk`-ekbW|u@m2|Wohe?o9qu07u)v|y0)
zg{EpH#iA@J!K$wb4?!CzN$^(<`eQX!Y9>5VWSmG#poXP|hxqzpqooT2(PtmR5b5HN
z#eBItIy7IsHM$Pjn2215EtN2KVZJZ`xhY_b(SWT->Qs^>oT^bbkr&}Bu!H0M9AF>!
zX4n@Zxm=S@*cXakN$OuCl6q5L6Ss)o!fSDhc-+@BN&Tlivw!=ifA~bLqyZK%Xv!-0
zk=#sKWllDv@kds9glkCBESdy`(Ixnuf}7=FP9x2<t?w)1-5=o^k_?BhcsMCjJlGco
zqY}l<Ho-QJ3g`<%!N<j<{0fZt9wZ4&zk<rG#}|q#ZYz=>N{NAv;DHqnE>^6y5@nXf
zl4E2;3GxMJP$s`oQeB>s_on9bU&zcpBvF4E<mdC<Iju1NOD<@uL~~`$e0z?o4Oxe=
zCP#GkSCsD$pqG}TW|LHroOC+WtXpi{YBj?cxj7DM_HRN~=(mr_<G3l{%|)6qnfRN9
z$2a>Ia3u@n00D=`oahw~G9`M+Nl>~@5w4cZvQ{WkJk2L8;z^|oO5y49vKFL4Djhbv
zWJV=*oKKifPCC9cou=a>T)!l|7T-IT)1Sxvi~HC_uzk79VDHt0J-WIK^Bef@e6G#B
zTXc09=HH@~JAa;g9~n?YUN2@|e(5J(`SL`Er2bo<c|mNC7?c@sWM29-K*_v-4hW`3
zvh-5q%<*l`ykPE)cCYP5#?|D={_MD#9N3>7SCca@DG59rSCca@XUEm#sJ`_sj83az
zLCmB2^V4dm(3|AhX*DU>0)6c5r`1GkA4%<IpobsaJ`Rnu{pAC5Qcc&&phT@XlPx|{
zSY8;TD;-iY)$;R%slFv0(!9{|YO3ekfjc+V^KQ}ARL{Rfmze4|{^dXV)?c5llW$q6
z5DP3A`fP!#sXjwNl1;U-0&1PSFT`e34dxM2>hjP-u99l1Wq`>zS4lS2XSqtUsg5yu
zQ+0CGaRf4n;)6_>$v;milEBqL?MR9b0GIY9g<`6;oI<`36t#QFVyck_kTL}#_90tI
zzB`DstegVDL75`oo;`{axFVJ*B%gY7o!qdUEK^_tUVonX1_h#TjDIEHp)>p|lNp0C
z=R^I7l@$qYTTyS`h&wF)uYTc_1LEM=pN1GW{Q_l)i2ChM?&XXzsD$v?C!WVST<3jF
z6Qn3wvI5B$QAA_-B7NA;K)C?Q6ogoIC}De#pu05e7sOpUVF9S+6P9#cIzG3<c|c{m
zpaM{Y#}l0Qmn>e|pWCs&pbTPDf1c)xVDt4=DF4ns@{zxHvdQfPm=bE7Gn(9vYn$~o
zGChn+#`~>i5A+7v95TQ>H0rC0AGbA7|E7xZ70*uo<|E>QreT;71rL?vBx6NB3r%pd
zWfhi!8{y8&eP#^TTo7d`>xM&H+@Q^j;hIaL%gpCzq1n7UwiL>n<hl9eU6b3H0p+F1
z?M}yuhpu~gvsM-T)?mV3{OuH(3ZbRlKJ<m$d6=bcQQ34Y?f;I+s0to$avN58uT5@H
z=LH{&I(G#raXa+qwaHBjgr3;HS0GHo`Iihwuamc4ctu*c^9a$qog46aFWmlT@BgF!
zYnr^JR>hm#+{PTecO8Ei%zb3=;DT)^D0<yjz1b>ey$uD0ZPgwhTLadbp`EW7LqXB)
z-kZ!~);T0f08T=;Nbf6FEM^@&OA?5obc`nUDwxfBOb^{}Z1zj6Sj>7G9=aPcr%7n%
zS7S|3@Dy5^GnTAoy$uhAKcnT?B+1au*Nowz>!So$`NFGNZ^J{^TkG0OYv$V%Lpj-u
zReqz%;7W~iB5o67s(-!20nk0r0@N8}{rlKNa{1=LycnxnR5soE`@dr{dW>-|z*V>Z
zi&poZY)108DaOzywG?AOt>-QmSjCG7aeHtX@w!hG>Wtq0+~vX`@se7ufaa4^AR$}}
zs_<*wYS_3v<(JlCXQ0Dq9{f$5SiVnAvIh3Q{P6eu@cSaMrrf_?12ZOIAU4?tjK~H<
z_-vA|eP!rl7tDm@GM6I{$KdPT1Tppxuo)EjK}fDhlUR}3*9CWY{n6lnL$9<`C9g>B
z>w;*6;Mfv0NG;KAED9r5<V|$Jv&`kxzAkubT^N9)DhxGNr1o_|<^E+F3aBAXScRZy
zUzY^N1YH`4(*WWgj7$;j>yrB=F+C!=vnJ5ACbh3if-;PR!AQY@U|m~4k|b@TO9G5d
z*bL4S93zAC%(v&bfgPbF=QAo8CaqcS4;o4(^28NcnCOzT^|WfVt_r70cBrlgda~1z
zy5FVBS@$x|O=@5JgQdG3jHkj}P5ZyysdSr^I-dZ$;%4q1H<Osi(#tJfCP39Rm@ad1
zu{lHUGWzj1YeMf1>UJ}h7qxD0(!}y(YW@B<e$?;&icsr1_|et6ZlT_;e&`CgKydg%
zWyW+<Z7OlWR^2!pH>j{wLFx?`Yz-SAJTwhsUzt<g1c7mcuZ%+<kmTxCq*`}De7%$u
z|8a#Lk4{i*vyE!C#uw^;Olax^dFOpa<3@zw3iM2!Ak}&k^j4AMW_8jAMEeRCqi9Gw
zHZF!VPaxF;s&pVJXh@Shprc<@Foy10drn%DYTb_x1<YRvDtLt}?@m)05d7#`d!mUv
z3UV=S<D?31st%~d_*^NDRTYl#J<1o+I6v7LA^K2v+8NieYfk@HzjbYETZI?d3J>zt
z!-EdU=oD?Y$+eT-y=KbpBN0|W9Q4`mEK`PdJ~{~gSl`DM(4bAx!oR+i2W{(3=)zm8
z+Ih>5soM8`?;ra6pFgE)kE;`!?5OXK7*pN7&Ggaywa=5oB|?suS%+S@;A5B^u1qST
z7zetks#@}rKsBN93<GwmIVh?Ym}_+-S8L%>$rn>qt#BAY*)4%5?^Z0T_5j*HX?TVw
zJs3tV9<={Jm<7T?eNc<w92%@yRILux!}V+kAgI?<RBgks;Uuho&klEQQq`))vaEz|
zJkmVy(54T^3KE?XOwyP3p}B7Mf5%piznQl648&F2K3;9h1P}5Xw?K!h_we-T)59P8
ztN+aZG&T9`?3}V3^PWu$<d7F*w%N!7C9pjhlamLsb@EvZDP2RUi?#^Cl96abN>^mE
zpjrvRoRMfFMR!Y#Eb9bQ(1OCUPZV7#-&$TVXCxX!DWY;~dx=BWj6@qKiuo8d5i_aL
z_^Qi`-#(~Xi=drf$lo5^)aw$8Lq6#O7QNd>9)jknRbBKZFS1*-og+`j{~MEuzfmgr
zjIPc6-|LW!FJfNzk{%ra0;IgEI6a<Mh0mB$S_sZT!Jc|(VS@qexm?HDGyk}B+`Q$-
ze8%7RC;s}E{7CRM>p~u$+p@Hr<e-tb&Iat*S6$XMGMWe(>A&P0>9~$Vey>mK<*eQw
z{CCn|cN!U+Tyz|o9)WoQ4dckz<f`L@NC+Mt^ru2u;$pIngVH3YG{O-&TiwNE9mj?~
z%{-!CQ0sEBV$pF(MSwGdq4a@VkoET|Sy#{l!Re+XD2J$t^Dik+aJxAtj24p91V=%=
zp80xH6<qQHMFHtwFJjO!O*w)~36&O~RQidyf#&`p1)}rb{a+~1Yv;jbXhoq1NeWbg
z?4&@`iw*^fUl+uT($@<Tf^L&Fz1#SV_V8b-PoEGQFk}A*Xzj9D3exP}p0^JcEX%xG
z)Z3)%9EQ7@!|=|p`NEecA*uijldy+4QIyxj$OH(2Zjs|ETKB<Wy&J$k`MNhjpxSjs
zq|<wd3iX5w8VnwX#)3-N9EYY|Z-UFsM$#;Bx&~7KY1dt_%~%HVbfb1KR-|2Tf{N8A
zM0ME#I^Omy?Yax%9C>I6t`3rhDrk}lQC(x^p-8S!B(6A`f@J~QlHp_52cs5<vn&u*
z!w=)=AR$s0DM{wLgS<S4G0`u*^=Lt{#Flzbw*QIEVK7gihp~?H5qad~|As>mpY(z%
zIK_4FH5K%frh@DNznb+|U;esxYyaB2UU<)|3vVIE#`E#jD-XW<E8hu6!+@V7{pIvL
z?x~nBF(UOMrRG+G=iQ>KS${m;hd=y1U+}A`#G^h|AFem5To^Fn;mfgOPDx@|0<b@<
z7K0E^&6as>i0hLV4{~128PJp@K&V|bTEcbU`4m=!l6Ic}DB(I-Z6#bktvF|?#<w+G
zm$?Om3Zc6Lf;rQe!*u{pF{c4maJSkFvY|O#-ysJId=}z*raQYsmvFt6cy=o=%y-AG
z;W|1~G+f6VhW-aC;W}p}>CpjKs)7A$8LrcmstraelN(`4<C7Wbhf$z^xX(G!@oKqS
zY5zCY8~a2l<U7xp>T9_E!4j^|wMn-NH3>TX3;)Mo`@9E{{#4^Og#6D9la|PHP@hhP
zNqc8j0|lFKs^-X|gh^0(N>w@%{p2tST8E@zoZ5!2W>IPpE#xo>WU?IEM!_D={<ddx
zn1oJd4(dR51_{PKp2}eoI-XHdXYd1S(;r&&shtTjuA+I7Pe*xMm42LL36oAJ)59{|
zNl2qwtIusx&uEOWX_c7xTc$iysWzr&4A6O#B6F3;e{U<JhaV4QTub`DNM>S$YZ<93
z1LiWyo_0MEhz%&xQ1B>(+C3~^wo~>`DAl6%m@xk`%R_pD^8xwn#Qp=uHEBgoCp^Wt
zhV$K}8M16PMxo+>y!msO5^_)LIqCRP--+W3sv>R5&*RBV=7w)o@3F`F8puSnat&mb
zniQ6xtP;2nTN)Way`D)@KY#V<Ke`ITJ08gFHyfSmiir}S1Fb<q4rI<2C`%xNvKxwJ
z)R5#<uPc^7X1^grIRr;4nK_U-Tl_15%(>cM4rI=j{<=Ws)|Edsienfs{iaL&iSasP
z&GJ__89QD0i5B}t5`B2F(1#Ku$HiVIb0NW6G8cp9brg3>7nd|^*B!Eb8`xJtyygS)
zA<6r5I~k-$wXZl|K#AglVPCO+vX4w;r{fEGM>;-1<7rdiieVpt*Cmv61#7#zHv*n$
z-_9o(pXbdF@U_?4-a8(A)$=3iy~mIQoOg?^Nx;XG1pL(h@2h|4)sL7U7`O2v<PG0Q
zGhSw<rtNgH>t<v@i)}<K_LU_8n;=+41w)OXRVKgW6=^$Na0f<D2?&wv5`wKPNZaXx
z)+vJ`;E7=_t#KS$)S}aY`*>I7K&^tboxY+)w}?fL%8{B^r0sM;Ovths>l^QXj6+M%
z+9c7&iBJ?vZr0s=*I5ZWHG6GjBqp9Zu~r!wIe%~}?W-^9aVm$W-Y^d2wsGeilOAhR
z`W#FVeM-`Oq&E~3P{9<hnG)dFyF0HY*d^B|qzae`0Moi=l+%q$53<kpgyeCFYHWQ-
z1hZm0E?Aq!0}9ClW~TL$=~2e4)UD+q0LmSlXL^<wJG7B$3HY=#hJ<vU>0w@AkR7MQ
z!6+|xgAv4F4r>qTf>V5uPeQH+O}c|CN{{mb?>O^BB5B29Kl=QK^e*R`wuf{u&~)HR
zQZyc<_%!DMoFh|L#6x;+lxfHRuEcKHTN3{#kredIZAd>9_i&1^{ZX0Q`cbL?;g|Hw
z)F@RUs1NrL-KQ9>nl2c#QSm^=1<Q8$gOZ(zP0W}<Yf&tRL8y4p^K$aWrsewCrx@*8
z2<v6mXFfh(x^zI9m6{GHqeb%p<%LQI<aX>o7^RBwpym3fMycX_fjMpNHAkryFfwMN
zmfugd<M=YMCpINH)du?9*EzJ!+s3z;(~9INYH%FrgR!ZSOVv$Ib%jzv+IGorhnqN$
zwiHILrp=OQZ$m>{W!dM;5zsboy6CuETX2}~(4`w_j{A$>+D^vn*Ej9j_@B6|RJ0}G
z)DBro=kI#y!K+a@$5tw<pGj27Zhj_pFP^A`AaTT(AH4kTmmj?Jc7XxPrL{}CF<4nD
zk=3vy-+qX;e%uv0+ARg#1pPdx<l2H`KW)lG@rPAh<GsN#ZrYXCVJJwY=(_iTepgsE
zddudof5^WXjX`Q@y=r83m!s`i=%oX$15vXpn*0{lSLluK#r8sr+iq*LG%xtbxrZW%
zb{~c7g<$ep(EPnMINFF8oSYWu^&O`bLV@8!?+7KIg_CdT2f+yjn^o@zC6@)7aK}P8
z1b1Et_j8=(h={RP@75|dy74&kQO#F*Qq}ARLPx!3xd1w&nGd+Wt71OXYYy|(gVm88
zD*e*x=N9>F?pU44)e@GAin)bAF;}u3_6hE3>DOj<tPsVj|C)|p%`J*SwLAr)k>ajQ
zREs1sOQ$2owHY=1SjcUqY*Y$406kCN5{X_miv_I7`K&)ZehhV6;M`fXj8>bmJeBLr
z<tdt%r%w96{_{WctCLg55Ogt^f~sl7Uw=D|XTwxY<5?QZ9!QC-2})9c%4?WC$T|tL
zHgC=zWSz7J7D<cf=x5QSf1G31Nx=D%xk=)^LBy4Hl2x*ylQ12%52I2Pg}JgTMK5tW
z+aT5yN7yd=1ado7b7Sd)^w^<!2-C(qeV}-sxSSm6q9qe3Bay^~DPdretQKR*+ejt5
z#ZdH{BVFkAH4)yB1Vj1Y==uHtT+Qh+kOU~a9(_`AtBN+-q$fXhENsRD@59tHG8QG<
zxEa@Ut0)r26aRY-MEmkD;zId%37vjrPr7^dq|19Q?d7>tRp02E-z}M{MmV%*5>!d8
zw>?6|sEqs8E#A>+C$93WgZ-3&iRay--rQY#gzlzC=)G_J)PLc3zp>R3bgqnpiG2hX
zGK(D9iNPZ~rxdiWtoOGG9x$O>1h+>?)aIccbQxUm?3fUmBo_n`!p&ns=rT0Hb5la-
zGPt0{WaC3Z=rXt<NFl+8OWy(`(iHh?U*W3hGPt01^rD2Z-NVuno*aVWtu{%pC&IjA
z$u)eTUXm_@OM+tegvgNxKN??5T9XOLCV6)32weu3w0<j~qX%P0W}5fsAND-9G@D_2
zQP^;5h37%CkZr0OV3a5xMB&0agz;CO<ncV|V|+i`=izQZdRq=@CZ2ow9{aZ52w5Tu
zCHUSC;{VE$H~t@`CTbh$`Cc>*w$u6`L~$p-3wU+}EnqigVXzf8d9SQuk#2N)!WYLA
zJG=N6f(DaLae2(rBfdao!)E%S5q|KPrDuE*v-$wb9ITlh@&$qhB0TXxu69!S%SRWy
zfL{^bd{Q0ZF+(DNd4eLD9&-FjV0Gpdfzo+!o{|RjHFuaKA#HeI3K(3w3|4W;J<23@
zo=d~)$L}ee`!2Zyq8~?xBEY5h*Dfi0m$doIxONO`u2(eg#d$FG;y}P#>_=amK?Ow~
zbpF*jL5D96);#y(;OqXy;h)-iBQFk)ciR`|T)m|)e@HnarSDOXDEWvD^6eSFgGn{y
z?hQB#1o|Cv0t7j8Jadt7K{*3YYu;Z1tw>-<3O+Ip8`Kv{z8?jTQtyF4JwCV7{!*Vw
z$47yrBw!}$9c#YpX}PZaq2-KxITGK-<*+JH3N)y96u2w|%K6K79B{jpB1219p`5WT
z2`C8E{kfg?-!HZ~0fjomwp{<VOF+qX+F$B3@%wFei*11`ZzV;LE_m>R<<rr}t0j<7
zy}`-*<}+{ICVh#D3ZPR*OE0m&g5MsveaQ$gpLASoq3J;y0)4W=AF$XC1~~q3_FyGL
z)bi^sHy@cL1`aJyXoACJf03KLI(_Xqt7kc;pFZ)IfBq}pGno>1_U;3?wEJvCNpN_w
z2;B^WM2tLOmWKhsHu}-=MjzJeK`lL}H}=Pka<riH!a6P@xsuaQU}n**5{!+_cL+gw
zw*1g7U4tQYeX>ZjmI?0h^lD}W1miWyK50RkUo*mrn*5*<O4QDqQRnCbfzIlh5PxkF
z;zdP#AZEb-xo`WP_kLbL%Jr@7t8!pHWo*R^IJ|Q>s5e&SmYiQkL3CdAIo7O#ET)8m
z2>SbTRXL-w!iuYv5QgSMXBD)g&q?PLd=3)-Wx=e14nPGVHpii%tg2?wUM|yIm`b_9
zAaF_UlFPYXwb(q@RqHk5USxqmu-&gH;jAwSI2H-2DA`~3q*ha96#3%Nat@7KTW{7{
zLN#@GJ-P(YO&4h4<Zv*G2X_8%rwwJ(zw>`@D--*1rSrY3Qr-*iMXPzqU6XF4gmxL!
z>tJ__yY!grbqMb23>Nt7@Snonmgp~?aEBg8kq!09bisGY)yHNx^m>Jd^_W%IH8cF=
z5ay<4!WS(marcJlh2}!&H8XrSnc;8!{fF=Tjbut$;(E?A!%k~$^s}6t09Gi;^*9A}
z9oi5~;vnhP9BBxODV6mR)`Lt}Qpn(&8muVZyb11(Tg(>_<Gf#K`X*KsQ)+@ZGw>|J
zLc+ccMl6Ua^+SW0Q3aPEn&>V@ym=Emc}F$^3Blyv#3XL#h~&a@1t1VZ$2dndrGApj
z4YF0Z8gS$e$jKD|;*!dmfy+VPxF+|~ni9GDX@cBc*Te+a{ufd!W0IiD*htb0_tP@i
zY0Z3l45-8(^SG+N(QK11#!}69>d00DUyZ$+W&W$*yS*pW-TvaK-a+E{T=r{_`-;q$
zGg!oF-a6~$QS?ZCxCh}}C!uvoGs(b4(x(R@$&2e*8kXCi<Q#{q7w`nV0M$`fFCewi
z6S?f!z6wE}!w0sS#Rs-pGN<?PU;5zBJ^Nv;K72qmYxkT7)VhV#`<|^SFkX8!(-?=O
z2-00(PR|9=!vd@lqgrI*dO?~Q7i{%2BneUYVX$gnVMQv6Cb&PK(9H06w-GNO1TV8k
zG%=#_!`A%&?7a)HE^AsAhGZOIAdvtilN1!YDutPN>No%WA}}GG13?@T*yk`NIL!9U
z4NeWS&f*+03?xtxZe?Ul5GAETX%rnG1scQ*TE#`6Di8?K1VfPsB!-Le0wS>rGZFJV
z@8x^n@9SRO{ja^&+WVYos59&D-EV*0|KHv3^?9Gy0#H<D$__V3@(=Mp{?Gn5_QWUO
z=YL~QeDZz%H}=FQwR$xd$kjNc!(yL8uhXIeYBqHhxEWPnG)z=gR_WkSS!PKZx}_aK
z+*p-WI)N=8jdZ-wl%zOOSUpmlIo4&puVJ)kvOXtFra_5|k)NiR7ctJ~$C&nzj;Jms
z=^sS^!}FGA3vIQy;!0n}=%Z=3XpS*&r;GWIz4r_LX`<fhPuGIfit*cobgh>DC#P#6
z2vh-sn4Da#Dn<x$BqHp~>*jQA^lu=otM<yW7qC}3T|1c9ttv)G1euas1*u_MC(G$t
z%#|XU7n;Bh7{1zknJQh2@)zM2NY{d?knv#t+N#_=1q?`&N`fD(KKW#;s&>i}yx#A8
z(VyHE>*hv}f5Y6#Ct1spLAH@e2k&?g%RpGy+Q6B5tHBc73H8>r%;9W!r)%{a=MbKD
zi)O<+{T7`Q*1hKkzxl)8kdnKi_dTq$TN8uU`x=0Hpc=HN-3FklPU@JBarzKU1C~G$
z^dW5xG8^6k{vdrwD_9v`rLu(a>uCVWhIg<5s4~2R{XbQw(AE7vqvn*>@G4Up`<hTy
zO{AwtFOf72(VRi;*xer6d!sU8*LkKPK<#~PgG}L|aQ^0SP#(cRdChgwDuUH$yM(Y>
zCry2k=>-OGO{%DlW1DNJbPeY28XGFWY`2pb+-w6B&AW6x@%OfDgge*ws%mdH1;GQ1
zT0lP{)+xsdNkain)+tiicQ@J7ANt6T{QB=3M??x@9o7@*pca^u-qK+<fox_Y$OOH7
z%c+&)+d(#gY-Xd+_Oy}4l^i-|Gi$vtj4^@RY+}r8W>32{c)=tX-2s<W2cy}{0@q}L
z@M&im-UzL$__jpC$QU$e%xjt1V)@kmhK<$jp8l57-BOsi)Drh$F2fYYCmO~4U+jrb
zc|SkLp1x0%=)#eZxGjyUGaTs#M~B`u9BG23rgD4;PJvH&<=6hk1d?9qRzp(-xIr{u
zWb}|$0J1I4+ayOB$_ijcQRrm|QiZu-8L5mOB2WQPJ%k`tm<t-Pge1KiYwQm}sxTKU
z<ZPz{`;+Pwslr_FxJFW#2{od`wMZ2!QiT;k&8I;Sqfu1Xg&<X!3+@h*qC=0w3eeN)
z)T4??709C@>2+13*HBu6ZUG?S`pEyHfgaB-e!<dY0{<1PA=&+J5=*2ZsbGfiDXOlV
zvGP&du|JdazVodws25f|DF@xrU-QR1yspY)%c666%S-O%mz+s@-RBo}Fj^@oMivcF
zJxni6_y5IT`JC5%z-X2YK!3wAcAE@p_g@72n+&r1f82t}OW+u%l}&>Vk2$;lXyzyA
z5Y9T9l3HiIa{qw~1U?XgU@NWbL3MfSh&h#BV(QS7Rqp=^RIcX!Hw-_cCXr@IUGQbf
zYpvw7_`qtf#$g*O2j|>Aw38=MR?_qAUQBMbTSfnSmD}z6`L|Cd{*4mDPWs#s^|EY;
znsJ18h$Gb6_K3GaJM<{L6}5jaUsL!AZ+`lx7Qt7qk&$;3c${>?I7GlL7#;3AQyFOr
zi=Z~>Qm_rEnxU1z4+0#)Zhz<1v^5Mz=qv8itQSjgg<us%qQg&^C{D123bzQsDvXr<
z3?mWi)<K^(=J~0Qn;kP@5ES~gIxwxm$RmCq+Ds06*0i3Vdb-U7`H5pP$U>j&<%7$L
zV3Uj#vUk9a<y}{qIkl}_vI%g-ctlA;mWyN~?T~B3?i~*4zNG)fJ(q-`{c4LH26kG5
z#JZCb5I{_kR0bq~Y>*PDe|oIRNVG^EH`<ShmPl*d^qU09^bjxhs|`sG$=-}iLzNYP
zWJ-oC@K%6$o;QB2EG;=1B4Mqc9Vcae1<z8?(<u;om)!5S>ZyTurt^5pd^eXwM+n<U
zu;vznTC3}v{@8&!#2!14jO51-oB6TB<_$h}Bs6L0g!oT)&v8P|Qw*&w*$gEfP1%f2
z52Y03;s9`}VhCM6KthA4ehLXr;8)Q-vCi2Lz;5oAlU_#n#r1=u1<g<S`Xw4j*U#;^
ze&K+PO;EHj16Y1P<(N;)btqqibUqFM1wP-OXj%m;eZ^5C+v$WYCp|c0Dm3v3N6V%m
zWg%xw_5C4GpTGY8APy0$#iqN(=HBZz2NI3s>z5iy{C+}PlCGclgV@x^s}zIv*YZtp
zoKV`;)O~Cf3SDxXfF8%^JCWmLN%oikL*K_@ppQxaFnF>mV_m3AN?IhcMobSB#a#rw
zZZ{@Z7VKsYoNSS%ATcjaBQ)n#mBO%Y02(#Ow>Z<xm%BH3SCR|tsUbj`%CUau`)()?
zeR<N%fAt^!*5CWjB88+gMIESR6Go{Q$?(hmn-e-%sVZ%km0w)&aO1;?IZF|zMpBBi
z*PBz*Z!V|aqV6Ks$jLj6ApK?+G?qeY6!6G5f>e?&$efi`ke5~>vR(Mr)Q&C)ULd2X
zz?gZ(h=rgyghg@(b|T42fp$^}KawQdU0iZ-*0MN+MRI7^vN(iAa%kGJID{^F8>5jm
z(-rva6d9ODrfzvgmD#_zKb4_nx*S+Jro;$0C8H;;d!yWjv_Sz%Omh$Jc~0T&^x)b<
z9mc-O{D+}`G>I9SqPa^-&?3nn>%B#D?(BBJ+W+vgKjyi=9X+_pO6s{Y<0y6B3{cUd
z8CRJ&Y?t`}E{Jp#(@hWrV48WUOwY=os0jM7VvrgyX$-R<%SbM`I~_Q*06qZnQ|*fM
z0bCG10?JGf+yPHUA0V$tAHW4c*r7~)_%dR#LvT{cA=$2+Yw%1%NmXULBge%5<XuHR
zcBeD+O&Cx^<Vh{tdPg^(cGb#pB1#O_hS<{^ajwE9Qk<v=xrh@LM-d00wYADCh)0sh
zoMbx!$qvfm+$P<JMOol_Gj}O%cokP8QBuX#vK`k?zc9A+$B8&tvJUnT!aqRL1|FZ(
z4G{Q37-4b5xm6@93bBMY*=YGgJ=?cLVDOmDAh26La*JmBc02a%cmL2o_=)cbl(god
z+`d^>Dw>f_%cFJJdcsOMCR`A7Av<6dfEC;Br$G!+Z8FlvSh0c_mf8>y$SrcPt_`7#
z#PAXNQ;^)y%o}F#maxIOHU#SifHDo?Y72%*8p05y0`o6(+#J#T3&GO{1Kl8)Gyl0Z
zv`6o+AT(gz@%6LdsKpfX<jnrM(PuSv&kbhhJvXll?{h=%4O&i*`|K}jHR8;2Mh{+S
z{M03>tfn#B&KY7@o6CmqLw+8&o^04!d!BfA@2MxH)7KyC`z%2C-u=C)w$lzDQOu0d
zs-pdb<*Cg5H5*yJ)%)bd`MiJgN8a;eA5wU66kp|exza!>jx$uzDA)Ds#D04Ut~&g&
z8QNv@8c;LrA;r$nE{yoF21=yST0#QqEWgImK-tHhO`YX}#$|`1(rVY4pe9b2lLu&B
z6M~pDr#j2lu)LhFhzcZ$9VoatweT}_&1^}_39_qXkFQ1T7E4N@*4M<ucTnA7&BGS)
zO)Du6tgnf&-_l}=@@s>V8`YR}%2jKXvgX6jbhiaBeWU~DxnDIbg%^<ji+CYE<^B8|
zd*ag+nOb_NXVVJYb}trq0y!X0+JZ3_sJUzDvBoI)wU(IocnUR(obGRWt{1>_p#IKS
z^Mt3XT{At{IQx=74M@UYXx{)SaQk%{F9swrC9vg#)_6jn84Okd4nUsR)p6T-Vx=Ny
zlDh+{<{}9;<#tUikh$b$k1(8X!Ft~rZjU-~c>@=_E!+wj@D3i2w%1v-t$(B4dWFd=
z90d=ELHjF7rPw9+sP1dV8$)C2HmvDyK~vn92O0mSh)mydI_Fa0w;h+BP)23mpLc%r
zq;k9kSTbZe`DDt|ds8x<w_s?ZNn3mucEaRXpc-BUsi;oV1uUKj=F~vN<pbo|iYQ(t
zx<?#~5^OyY1=Z(@x~o$juPymQPej4>9@6!*JbzBLz2SO9Z5_{U{2W?LPd^8$;nnY_
zBTv!+m<WP6KdSvw;7(Z$*^b|@GZ6(7+^c4j+n$Ib=zzF>PDH7CS1{tF{=(dj>lZLW
zZ0h4x95em3$|m+=+)7N4$265gY+}|5He-T(XA{>^meN5hzFB!)rEc4k6rsOX-0&jk
z1>Q)WRC1G7r@J;~^(;r1?z6t=ZNKY#etU80v@GE+ombxWCz1`*rmaCZDE7y8S(?=a
z;mI+D3Bl#jP*WjD+vkEXWI7xcZ2AD%^TiKA-ewm>2#7OcMHn>6o@PNR6c?oH2SLL6
z(QntR$T!CYL9y(D8=IaRr_QBTbS5M4<%)nRwiQ&Wrm~_MatiLj&Rl;Pap_$0gwbDO
z$kBVyDIAMSS0uL^V%o4K&~I&txhl1C_<~;Mnu7_NvlB4oYwpo}tnCLIB&}P?e0z>8
zZ0p%KhLf{JaKi<A=W7CDYEBHDlxodMrc^A9I)P32t(G$SkCUVu#9}&WIKx&Y$v%2y
zUD(;PLihsI$*tj3Sr5#U?8K2^dVF1*9f-}_Jh1@il16Xyyo#s`6^g_#;>~n`x>Cu6
z)+SIHFyeUlXm<;^Ln1pP+~@*SfU}Ozz*jzWzIe20QzA0g%umX%g)A4H!3}fSfae{q
zk;}GcJ@jjDA@JJq__XEw;_+|(jQd~yuYGV)-pXF?IYi?!hlh=Kl%=nkt=_Ye;#WEg
zyfW$|**LS+KWp)QU*k13qpRfjJVpnhM|fD{WQOJV!C8%-DGlj@VZOdX4lBWB2WyNo
zQU-AB$|0ACB?S~s1T%<~L26XuKYi_hsD<i>2QK*l>SjcX*U`<U<<8a3a}U}b_MpvN
z_HTLc$k>?v_z(O~r{~A8v?t`lkYq!Yg;Zo4JmnAq2|mPi5(#dPElxE@5QQ5UQ|GLF
z7!gDc@D9hd2Y4{RRRHnK(>cIHsSmVHyhaS3Yp<~i@IYUz*}XL&H_eJUz&kCGu#_kV
zye#dP$pIc%7Ez#JForQSb&!K_h;0NO4(*m})-;fVTp`)moVyP2mV3+8Vs2_30gnbw
zVpUPQRO{-UZB6_X!ODc@B3<!OHHGW<=#P>-so{TNDrUN2PJhc$UQ@D_w0H`O_y(s<
zDMEOZbRQUN#C+!?e5Qphov3KGymx1Lr`@79vYcnh-OMbx|Naf{{!c$8EU#AeJvw)+
z3lec^{*I_gsljmcz*PvJ6|;Cu^MxSaXkRgrh#h6<To$C|b;0S~-|G`B6pD&$+SdGL
zoSK%`S3I2#=qM&|=g4GieT}rdE@*wYfC4R7lK#z5AX;7*)T}!!FS@_Gjm5OQMRIjS
zHkXpfa@KgI$@Vmv%pqf{=Bf^TBd$z~^f4gn!Jd7ztusKf3|sSm&54^$3vvfijx!VD
zc_~xLy(eQT9m!!#uQ{02p5i%-eSG1v({9mhOm7D+`xn0M`+s3{#(6QOH^$u`h_W6^
zei~DQlsr)oWqp+VlK4{O3m{q>vWgL*1I(`2NL$0;vc4jTIIUW@F*_cDQs}T1F^EoU
zsQ?t6THK4Ix=nBo%#Xsv0Ho_clW0uKxs_^$<8{E4QC;MyF{Q~-v(^Nru_KCleWq0Z
zt)OC1>>Dwujm?wIY$Eyjx-xMbS4lXq9%SuoN*@PPYR~X&N*`ZSI_(zCru249>7U;H
zz)z3QpTo#ggmOgkbb-&}^SV(%?o?98eKuDzO)j|E0Kdr<d*<b2Q@S6Tmy=Ct$uS>*
zI%<Kb0+zE=?|>i|v?=DL8tGKODFw&~6l_fNf>UA$#eEQXEd}xGw5P66+`vI02~9~%
zZg1^<h2lOQwrd6QY_|<EeAMWQ&Fsktt1VqwUDwg5I&ZvVC&SjsCtdSV<%Hv68pG>}
zVE;QOgkMM4&ZAEVPt)piLU_7XpXbkA;l*|7kmxKt?t^h&^Pha&_Px>asoD7!YkNF$
z_O}?`rFAh_8o$NbVn!ScF9OK}z_b#hg<w^RJzz;%pfRj1n1?oK-@uAOSx_TMt5WRx
zMCSlDZSBoYaq6lRi`{Z+^nP}VyHzQ6v*M{~B~P82Z7#)@gz#Yxx`gIiSEX1azjZ|H
z{(NMro6}+<-Hy^0>1VZ}`gt%F%tP{jdPsi)SoS&Y$u_h@G|XXq%B%8o?1@i$w*J!U
z9KzlQKDM5pfu59e0JOK04Yrr*3gs8A0@rK+owj@%ez9BN*FOH2zUto!z^SH0uU*or
zn2+0XQB~3^-R(WKuUyg^tbI{~d9~<(Qk5vr1F~mrH7%F428(35q%~Ok$|bGA+LvfB
zzsRENdW&B~au>;~i(de!5FJn?Pu8tZu3SwP+Abpd8Q8gGwCe*l%bzIM=rU6;j_`i{
zwQKpbX1+hsu)o|l1CFj8;)t$OT^aF>ZjZO^tK7MDIz8%6C~&-T=h2S;6~yFfpZdj=
zZ8xDp=4JuD@u^hD_d0D#4m~P&*K7{3C;ePqJ;Pw`4hA#r7Pa<nhQZtn4CZsb{$u~a
z>%(X0Z&I=DJv6Dn*3qp$K4Hjii+a49#U|f@4QqMa5{j7xCEaL!9fk4jfLc~7DCtHM
zJZ?`wvNGU87LgV)uPEt87d#z_E5$XU&Paqw7L;_O339LyaHYV@fC{4(6s_YcZja2J
zLJ;s<0&DV$Qn@oh3^e7qBw0~{!YruiMnCsv#m0I{ZcnW7Rg%(J?~*G{HKHVE1GbWa
zC^SjnY0&qNUkLX`$c3skB^hjzJJ3qtC*qnq2cVM7w`b?G1rYBFu|_4C@6S${H@GH#
zBqmTR$?68}IW`@Vha)BeRFb3K1>+|%>(1B@zJA@#4gFEL6t5!(U@F|HT4s?rNB@ka
z;#Sy)n9LOMTzpcY!=>eR4<W6Wa_~7$*b_&^Ctl5PJrk^RxxXD*Ml|bX2SXmw)LbZR
zH_=VVo{n?UlI;G&Z4N?!73uub2_aB5gv)kVbhMP9UnLz6FwQyMglk+c{NFe~7!3~9
z`Q<H7U%1Dkh|3XPy=WW9CLZ1Makg`Z%LUpeo_*JY$GD!U>TkpcoE?nmnQw65zi{#S
zZ+iQ}`4M_1M{*(olM?^>&%Nqn-w`<5D;x}jp0EvcFgDV8pRGtXVadVR3hX8eW($@a
z4EhDNUCIXRh^z#1n{+VHN74vp`(<QP5X3C=)*@F9#u{9)YUD{aRG+$XFg9!U_0V?i
zI)d53IAPql5)+(`UAIA?L2lv)`(-N!LkBIw0^lNQ$Lhw+ahTLP2dgG9TVwkdBiNbT
ziQ3xlu%Gd1icBq?`c6Hd_rG&F^KmI>PEzV~Idi&Fzxi_Jq+2xG-RZaJ9J~AUZ~dRI
z_>Y32U9&8mVt}K5P>thMr>bowr<+gUBZQSi@nyT-*8LmJbVHErz@{-8-E6f27}@5W
zY({FIcp4hNli0M}2t&JThoRglz5(VXp3t?~TqEtS3zng9q?*&<I!>L70f!?SWpHXx
z=UQMNf>kj9(T%jAf{wS-{!<kL*m|n{Eh|=O>mO2ZM1GZ!W4JDzFsrt<Q56GV>oIHw
zmkHM3Zg)+-J3Hh^@S|f*);Zd<(=GC|quW=1_1y|T5+tj#LB2moDiF8KvtzJKyJmHR
z&?2j6H?IABCxrvX&%WCd35M(3VI*^>Xi?pw1DK2S7Hy?dH?DKkJJHa)k0nF*Tv3P6
zoteBS+?VXCh_BE(73#m7TQ=6CoG!z*tlLO02ML676_<yri_KR$Fx@J$CJIs<EqQ?)
z$BUbo;^-#uXQ+IqfxqPb(*+~&ulV)X;#8*#=5|E-WHRKmRr1SlZXFW|Ri;Fk3o~&B
zbwE0v4tCm<pQltNP@@LVp)zHVVpwPmU7c_cUD*pBje4`9j~ig?x79`h*p9C=VR;%~
z*9{}fw|1Jb-B116Q@`V<#$r3BI-x|#aI~{-!iP*A59Wlc*ls8%oMXGeoN$iqkh;7&
zCoGSl1!DW<lCT~jE)r6L9H{2l4s;2&BFtNz7B%()Pp%KAZ*y!noW9M;<Kgsej=YZ#
zk-k-3)~At+a=SP`d8@1TAz(ur#-~<e$Da69bgq4P$fT_Yjs6$G<E{a;2&G)oy+YEH
zRFRgALwBLFKb+;2v}UEOs-!7N*3LoDJTwIzuD8lk!`Pf-JA}<JzK;GpMfYM8Ddjn7
z`~1ZNF&{8g0<@%SY9BGay*z${BS9at@h8*r>a9_ltHL)^75=`@e(mdjb8M8r0dwvp
z)H^KKVCtb$6@43GI=r`Ji3mYbteYjGq*_ptwl3(l5N(>BnFC_jP4I|j4N2N!W=TVo
zue3yVA?Ri~>F9Ixb4sWe*Elhbcu-Fv;iPc24o)U6=EMF8Tt2?D&Ul86kxx^M(M`$3
zskvgxZMNX0y2EvWsqOe_;lTjP+v(pCS4VFKnDVWtjVW)PR#<U$OmL3{CFIA==n&9r
zo!lgkC{)|IcQ#`t9<AJ#voL4^8+KRdBFfL!v>Oaw_w1Np(@V?U8;qTHgTed$_}<_*
zxyJ9@;hCN3A)9eJMR4&zk^|QvVQhm}RK3p$UfU`22wo9TrV~P-s=CW|cuYE#J{(BI
zx=hXm4Lt<v<GG!VmnwZ6&j=PlO*&pQeFWd&?a#+IAOJz8M%7(^t?=iNX#hE!D1if_
zWl+$g=fF2{EU4SU+q;jWu|NI8;ObR4To~SOiVD8FV7CiKeX=sSmA=}=Tak7z$9g&S
zszc`~?=~l^^NVHFeIM0+xy^k)ExkzI2y>csxbC#O!b4x4Q{KP)lm6~&e=<f7Xb!4V
zKX87mu?cw5Ot3!Mj2zFAHT8qiOwcnbFXyLAGeO^|yqumc%>=|GwyF7CKZs_6o|$@d
zc<hRiWj7+N9dPnmI*%_?)ele$kqkc4EHdvWve=f}@g<{~V2wdKZML1cog!J93AW2_
zuAj=gNP05?@(Bikh|9d9nV@I(pD0ydGnxr{hW|;h?vl|=aQ*3j;!7vUUvjmtNaAOw
zIUL_~+)JRDpqsKs5oK;BI0q{zRi|)bkoAI(6K;n1WRbF$`hmY1_pt?Bq3%V>zTT{y
zh?io@{>Q%RhkyTXMao{M%(_~)nX^D)No^MMxOW&{2W=Fyu9f!C1r0paKjE8^y$cpN
zR^Nngs&yBPVQNq?ircbX2v4cjiy(%WNrez)N>S_i8mZP@5a22fUqyttg3V~Y3?B>^
zM3O=?@%uH{of<)^br(cMl!O(iAA{RT^}p?6mlpkTmqh2)4w(-~f`6l3QsP*bJPl1Y
zm$I-+4vsb#weFIGv&}`VyX3<THy>2gqJ62DlNxTztS>cP?GbkS7b$))=VzERbPqD%
zDPR)alv4a{Jr-6JDf@H)1gZ#4wnMq;6w`>%Se~sSJRQRq5n97P+zDyV#dV{m0ly~7
ztB4qHY%YOJ9@wWCZ>j>eCp(hg)271AjbC@y_%-bo%_;ud!H0dy&;57b^EbyF0TKnn
zeyM$LtuYflZa|WK-%5%<TjT5q7zrd&NJ#4_{K?jU?aYn<EGAo})=%s(zpXXf*%2sc
zE}*hyIzm2mb_CG$#5n;NQ2@qd#fQbIvm<cY10W_I!;;NswfmDDfxU8fFh$OhrL|z~
z2pl*XmQKieiOhB*$@tEH$vvVvl?3TVXHCX;MG~+pb3-_UwOKRY9gLj?*o(L;Oh8cF
zm4EZmBza@F8|Gy-{1%LzGs(aMH7%E5wp-%?BwxWgxp{<2W6;pm(uSA{>4Q&gMaxwj
zrWcNV@lQOYTOPqLZb_%PdQVs5(O2*7(Vb2E<J)pI?H0|Z{dP?I;`9FCH@+`Yw+f~3
z7~j!ksi*re(sCuEThY_KUJIf-BiW>SZ;-d*0~}DkB_1FPO7-3Z6Mhe2ZzGstdFWGS
z{*=%`@Uk?58J3sud;67|cKe?2DkS;irv}^(K7gRkC3~8$QEJtW-@}z@uQZ_F?Wwg^
z?UD{!z$jcHc+N{|t=c5fVWtMVw^N&@-ER(pDGZBcp>6}GrTO9#<GUn=-)f4vcg>#L
zLu5YS6YZM${_HUDUa*VEm|G4eeocj7`8QgxoT%p{({1CsPjW2O3I`LlZr@T*ceoT6
zy9#98M->xpL3~p0qYsj8-h??Awq@st<ITZntMbXgn0vZ!u%{a{4b!VHnOtBeb7D7d
zGHr6YMxw#qjB~w_33&{fN7o1=%eR)4;Osy8ouB>@&jhoF=C|Dnlq!uk0D<ShXbL?M
zUJtlAp@f7G0@%^jY1vlMsAhb{=oCUfZA%+QE#rcK7ip)^@yL!C{`zt1x`1b$LI<#7
zwWqENc-|?r2ccoJA|C}m_35<1cYqZ@5Na%raq6mo2h*s6=&Wf^EmpvnjJ6&SVrX0&
zOI8g)(bltZ%Hbqg7x28T2bZDPrX<M#&(B`kdhqK@(3pSAx`0>OdcgPGY3s@N2W-sN
z)`Nk5_1Q;tyJ||)>+d%OJXhqcf}h#IUdJT!`$GY5&L7`h{@9e?nWk}uh~ErE{M%mt
zrJwnM(O;}?kh>Qioml-70o-wKDc6W3Xuw=Bcs($2t_`<x6ccnE>t%;;DhDxOUEUyx
z%h<8yw=jsf#V~LxSffQ;grZ#AfR%&HAllk)_iX@`JWUXUkoSW@=4vma0wOAU=II<{
z+KLoh3f*TGtb<I2(8FU{fz-JT-g58P9;{~SvMe{!HC(NkgUoOmmgvE5*UWbZJ|8Cs
z;wM51p#6yybm_q`O6NkZc3ST`Bq@Rq@;h$sAHPu#!CB4%?2reSJU0>ET11bBNUN!K
zc4OxT#n@@xu)|DtSt3dV5L`A&D!J`ZQ!l42Woz`;=@?ewsoiQSjlk4CL?>E=lkrJ~
z9-3@yz<)V4HD1(+hilX*0_UDvRKY<WmW$f*e5Il^w^LCYMeuDdDkJlJPEnaXzL|cN
z{zp}Xhd^Ca=XN?jt``}vC~5=eeGY89ZNrliegPm6g#NOMHGlml{_yS(M69X38qS^X
zy*SqC3IZj7d^DhlrVe==1nIqEHH|a@c#W4FznXhG6fEj19yObX71!WgY6Qg?_=;t6
z4AOe-ilS>wFeb;K^vTp@^b0-nv^+8<7=vTL2Gt6RF>t{$H)eN`L}IKss*{T5xqN^i
zDsO3uEa@tCrW9>ON&XiaqLM61=)vVK6pJd62N%wMh;w3ZEa8j#-`EqMe4qb~J$;`j
zl{gMv{rF#`ZpB#Y4~aG-nW%u9je&aY%~oS+l4u1&E(6cc{=}+leG{whq2p$&nNB>B
zmaK?JQczd6xj_Avh7CGtxwYIvl1xwU0@+ifpeeb=^6lBhm$B=_e0%s297n%ifta(o
z&h-2)kX%Kc0&5=DpaZQWr$0pKSxOHPw%UhC+MDD?`TgVH=FZ7u0!e>}__2A2u(Ur!
z{CFo1(VN<!yF5jN5Y6b>hX}qE0UNeZTavOZm)A6Gd8g+HJxlN%Q_qmNq16G|_-@eX
z1E07UU-leTWoHOf##^?-cyIP>6iUZ$7Mtq(L!dsM+v#}eu}a6I&!}=9HjVQj+i|?4
zonx~>A1m8P@ddDVbF{4V4oPbCXL*vEbRt{v98_W4yN|6R3~VwtU6|}5Vt2~Q8@VmM
zStXoH|4iwNi3S?BC6$+_2zqPM6>^hTXQq0}>RFE2?2miLpIQE^0asGp+wm|wn++3T
zN!U=*Nk_vlpa#i+rf)V~QqfNo4}*ha+t%fzp<x!tIYkY<-|SkgUwIh29rjZYDPv80
z$2DdTqmU(G6oiCl;W$`LQ=bY9x7{N*%@qNJzJ_rS1+Uh>LHDFR<K9KK89jC*t<@LS
zs96COl6^SWXoQvGxszAX(@n8lAU$5R3(WkgqQp=SA+!?$+*MXbh=nR`qycaxpS4K`
z5WJx|O4?8>s_FPz8!3P|U9aG6^YQZ9r{lSu)DNz=3dLX!EBYgeRT3b%iCx6CO3O*I
z!L`bL{8)$tQ!j<=c6|kMq_UU26xC*>GX{Do?DYt>F++KU$-`B0&AzO}yhX3~F!M^!
z=>+~>bf!+YMOvjakG5#`-dogGDd$w|&7@*q`R{($gUi7CXkOe?v0fqsu`*}4LDQ{|
z$F@L8+vtMGrLkWI4jVc!+qx`mqYDl;R?;@QU~a5zDv6qkErOs36We$~?YKQPZKDew
zz#{<JKqkK;fL5eKEvU9#hWBwnt45;%XSv(bHs%gY`bRDZtIYYgQ0y3<*Zw?JrFXBX
zSdtj7a7evmUFr3n9-wY5`yE$VQ9T;o2N^O6av2FG$?EQ)e2m-(u5%4OFo^_R@{C9@
z5I15sX)@LB4j_3|;MVe*x8?x2PV&Dq3)kyz1IL?O0QxWfP~O+E4}rQ7!VKS>U|$%H
z_-g06027uM^JN!1vV3d47?%8hAO2t7`+*2c6!+??*HExez<&qA5*igQy<rJ$7qk^$
z?jm?x>vYi_dK&q1=T-))UKcC~N)%a)G%!}A>UBY$5tSPv|2ep{UXk~y2p*t=R8UoK
zJ~dUZ3mTmx+bI$0G%M2cEP|T&gdjQ^+EY{Yy5Q-!rZVS>3UkS)7S-#LhZR(=O70E4
zX}j=4it2Sqj0#^#3&#$l!rPNq?UW~wSV^&tW60G)_?n{%Rdklq2bGwoe9+y_7*z8G
zy;QYnPdL?tM;h<s_(bC5Dn6+=0fA>l17SX3IH2h?Ov+%Gbo^~MOiBP30U4v3b81@>
zFQ$M>ejX2~09s5hIzlG=x=x9M2#U?2nFD8nh7$#9kMxL!qv#jB_+m)SaF)A+vrM~1
ztr41IsGEtQzWR$^{gWRRF;stk(RdN01SP}ha!RhsFDeKFf<2|qoL}6d@B@oRL2%KF
zJ0ICVQ4E&k!&G1!Q?rHLZ3J_EamU6E8glUF3+GI}#++XS84kv<@zelyw5Jvg?5Eyt
zz}qb4v~{P{DLG~r1e#W&GGzL}N8i-5QX(5J0dXX_NW_o;nKqIsh4jP@k(2l(eZOR`
z&;jRjB-JAms|pi|FFx6jt5t5IBQ@g|86^ye3fE>m(vT&vqC4RKHM?a~m+xzG)Dt*8
zd<b8l0n%6WzkMsmzfo#9CpsJP8#Ll#rZY3$R5N|eROf`{CC-_)d~0GD=e+jxE8qRo
zulRruKt(Fv&QAGd1udn@44}K_t*+Fg_BccJfDyhe<=5&_RwNPx<^N{ItR4ZvU?HDc
z?_iTULXT$kSeRoEz_hie&gyZ8pheWl5$#fSRi!S!5Q`=m8T42Wsz+p&*h0ZN4S+4p
zm&pJIv?WMHL`jVHs3b>K8DDi(9HGW^d!VgKb<jj4o2wlE8)_y#`9A*}d*YMt^S`mD
z?-QklyR)T^6K=VdZBkgEeRC-eX_;E^;NN9o=Aq*lTyMt6v4g29WFauA%G|P@0uNT<
z)26(k9*2-A76`tS)k!Zf!jiOiKc!3{QOGp?(}_ZEU%maxJHPLreM<8F1W)Q|97n89
z?wB2HgcbGXc-0tg+--yv_2z=P5tgbWgL*~1nc!d}tf)6%F$P&M|52|f-k%Ak;l}$;
zGpKlferl(IMiQ$F(-rT}Bn{1kqU74g03|@LJhZ;%dI_)<!63*CwUBG|{!FqA$3R73
z+kPK?^Ig(s8=sJstJgeBxl$pGDpuERA>2uzXOR#q0IEUwl%Bu8YOXrH4;0*|UgO_*
z4aryZw7LJC)91I6J`Wb-3EEDTsXot<tm&<}USF9`TE5R${QCF(_#b^sC{xXAxH7dY
zN6Lw#`I8Y~PWsZ+xL{Ba9XO1w7EGx!KzR<tU6L>ep<p9OW$G&y^}K`ksj9+uA&Z=I
z;uvAS6Mq&^VC$F<!JHG{A5c@32zh(#aQ5V!IDAw1a}1@8yfuI8oD(N5Ni*GBOm%O*
zOqCN4vZ6H@6I)3tQ~%z<R<uFsvX!JWP$ZEwmxv!YZBx7P#YLIAB;=G&VRu+tebpsL
zm8mMIOuk>J_gAV}FV}%-R#j)o^vmq3>N?v@<s;gxRji@n;*%bGC&9NX(Dv`FP;XYD
zB7>gZcDSm*OYO~(Wd<Du$U7tyoS@CAL;cko(dK>X_USMAt#5eOXlTCN5E9Qk<49=k
zY$kmXcMi=51L+)^@Apd``iSglXO2h}ng=(_u`@>`hvtTsV5Sck6)3XE^wpvHafg{|
zni53Du@W5BPT`7W(0mJ-pWJm}ywhdXOHiJvO7o$SqLl2PrsemhD7a8{lpYSCXI$i?
z!9`Bc5s!EH{Jwwhi+=Qb!sA2E4tYS&4(b-Vx(*0pt=_QHoWJcd6od;Nw(N1Cu393k
zCvR;CQU$r-9{scOdSg7U@bCi*FQ=xnNfqRR7+Orw6qp~6dw6tI7$Ha%<bt8Ako?oc
zTL@AGxgcsLBxr&P0%)NXq{mkTQNV!WrLF>cp*b}@z7S-avIw#+Q(vQad@gx93M~kd
zqTof*_9olqD2O77go_qWHcNqE<nJxvbCKNd!F0*9ugRg(oSpu-OYV{6)Nc;guXFZ%
zd%#RZub69M)ppJ5{-CKD*9o_nMXO43lAaFL={>vZ*L7<)Npgw{smna9?pCFK{W^{w
ze+*xESdGu^PB+Lk@}zX{Af_Qt(1!k_j0ZSBT(|dU#KF6ExIS(9KL7Fm{rNY1&L;<i
zO&4mFw{_M-gF^GECuL`Bm3OIAV@|x*fI={92QVX$S{N%r+t=@Wt-OyrPE@CI*dbk7
zDF+SLZGEuuqXI1LluNIC$EmaO1}Ki>(gCZ%6v6fyE9DK|LG>Ro*}T<YS$VUy*OcN)
zru|lmmbp*ANO-o!Eev|4T78bHI@Ly2)@fOl=-!ETD=ua;p-#0(C;h04nu@cHtLKbQ
zaXF{R=*843&7fr<n^yn917*B(b7|-1OFMU_!e$WJ-GRtV&5T%?)(p%bvYP>s{cpei
zAOBbHj#P}o%02UKAaftCgPIoD<uwj+uzXFqXq-qWlVQY}nu-vlDfJc0P_EtTXf(MY
zNI$y>g6jx27u_%`0t}c-4?)I3E*KMT5Z%z`W<i=#7qn|cJIZQr#00S-O=%GXACp+f
z(`qBbb#jLbO{oh4y`=%uX$fCL%)PHTY9K2c*cij6vqddkZw#QeDH}@rmz&GVOfivi
z{pCv}m*4H8|Al?T3yoheT3Ufnt{U#ORGOd<?HqdeofW-~QZ-cvR9JyF#sGY*>X2`T
z+{kvq8Z>-D#EhSldm(fpx8%|($Mprnzz76fdIi$u2p>T}A3!#Qd<8nfkX&3%hd0=B
zI--29?cz^czSZqSZ~deH<;k!4i7^-77*3FOS?@Xth$;i%i5&FA3lCa$cC(9*xS#wc
z4C|F*JPYRdzhr!noE8O^1#^BT5;?fU)^pD;ek5|hvD)U4Di^;bau8*-iI42!7rg`u
z7NHo=*I4CecH1L!?F=uFRcQhidGb6M*lo~kXn_cLw41C8AIc)B(@+5YCw*)ynePq>
z;`B#H+flvdB>kznu8SR0g=qyj-7ro7-FTc;seY$A+HmdZQHO6so3_ePlwDFTore8Z
zn?W0%rOl)*KB;dZA4?}30dgrAD~^ZqJF6juJtl`_K5nBu@O*7L&6VwF(>dChqmAd@
z@m9=orX{qo&iWBry1eBTSf)D^ng`fiXZ@IueaCYb@5IW_z93NpwjQKOEZkR^8LC}V
zm>E{fdlQ%$R!ds-7k~c`|MQPXRvqw{T~u9Ui^Qv7s#6<`rcyN=y2}%HCU{(fc~$ap
zsH&RXD1u_ueML+t)&`Re3Btu_6+yA;CWr)|4c<h;tv$6^bzf2EVxUkEHn^-PR^0`W
z0<<c{Ua&5(!9`H4x(l9=k<-!x0XOwEid8p3be{mWBFV*#;A~>WN!GIX=W1dCx1!tY
zAnijoZop86vtL%sF+#KZMrHbpqZ`GZR2*K1In;RMp@u#7SLm}K>6-gFVF^s77L9#3
zoH)~N5v|LkXu0KiU(Uwy6W;ZyU-*;BIQBvkPT&a@NWy4UONj><8xL2tP=Nx!tRM}R
zZcna&B%mEg1c7>L3u-y!J)RKpsfFs6zv_^8%SlkMqT6jJe$OE<2WCsd(gEZUZ4jM9
zUW>L_z+W|?q|LeZ@Dw9ljDl{pHTE3a)j|?5nFTBh0t(m)m3S3K=a3h~3@i}h>?<<n
zHNkNe@&eqTsM?3Gsg)F>8tW$BRa@C-$;)wJs<Q#_*)4*4mfIl2JJYPsG4JCr+H#J0
zFW&N?=Cga;sP3lSqS>t9&S=XI`?VK;?pFh8s44Nb!nWTm5y8R;18ZT8wq8Q2D{NNg
zLeu2Njc^F&3Y#_LLU4=ZxY(i)tSW2={2xz!MDkaSRtV+_+YTh<;wYdVW-z)C%oVo5
z;$E(>f#Uw^;$E(>4How#lWkW5u+P$I_PW(Q$z&JFq4J(opIox!(Yy6MI2APOE@|_+
zg}cutl-e#j75VnqWC7MSw}Obw<w_}K`I<ZYoRYi%3!;Hg)0+7P?KdY)A)MB{rF1`B
zax^obR=o?<QJWk|f)d9kU*Oz4x}NJ{A&TL(#HT6#OD&y>ls%b)dAQ|yGe}U>tZJ@&
zhj(72wBeTiNZw!L*M%!BOe{nxUUQnIt#@u-VFH4k7SZ3Jk>y+C$z<nm`;veB-p>qZ
zg*KVF;`eSeW9tTj484NXzPY`Qs>KCC$cbJ;sOi2IKx!5jM1773YLqb10!S^8SES;1
zL8K9(Pekx21;;E%z2buVE&7isxZ@;=W<@G~7esNDVHyOXG8KbiyY7uDpb~DVcIcz^
zE+Y9gkJni~<y}R-k<l~UMg$Wl;<*QP%V`0PAMgh}KouH5j9O;67JNh;fOn@NaMOPA
zgnr<&li&hvumpJGouVgjy?Hnh(G%wo=uwfRZzHYwp;v_d+n+Ql68x5-S5R8SBYHM8
z!qyvk@jJ!5sd{DF@_l;cH@@tLfB3IQo=6w!=#|wHr4?`|qs_!P5c~(K2|Pmq!F_07
z?yOf(e&EZ^X62PI9cOis^-5@}?N$o^SupFBL<uTb^-5D^y#mmIGks#_Z=--{a_p-?
z?_e|=6ENULmh?|PHJ>3DJYg<8uwBqpNG-KWQr<n6EVFSi``1S3#SbYCrAvn5#U%7v
z`@#9k&B~#9K^o0;R$S&JJ*iIF2;hMlNCj)YFa5WS73)=;D%Q{GAp0)+6lf^G_%uZ&
z*V2QEQ%Q0{6H=87tFp$6gQ`4B_dGP|jbO9V1;f#)pl$#!)TB4u4s8dlL^TX(Chts@
z?B_3@|KjH_o_jNj=NE6qiz|}?q*7jLC*4vxm9|L3j(AaFYMGq}yp3nlW2NF=j+M+$
zIq~D26*9FoV&7T=G~=#s26z33UiE3OdS4iEP0?Myg$V=w#5V6S)JNOPqnJS>Zd)8L
zr<msbW{u%+b%jEZ5L)^ntG`u+u(UxYN>zwP+*dq;!cx&1J-tp<u?I1MmQl8=!D7z=
zi7gcb>4za9%Bg9@{nQ`^AY(Q`7?`@)d>Jv~MRJ2_i(0}t3QKua!Xe{`OB&-HI#rQ%
zX~C6Z#Eay1qnRd*#Iq3*wu`x*s@StVGJaxNXl+39B$;o|5x*v`lZ|87ZnY}bTt29&
z?sk=`dG9L==@DIS68-l_m9^39t?`fpkdKxpPh5ZmPi(>79UBkQ>M9-^L1z<xSj9Kz
z+IS3m&FFC2_-bO4P;94oP_~ngfcP%Qlf+k6PLU9d<m36W$MJ#>O`CjiOX7y(2(Lvz
zVo&*qiRpw;GahorL%x6DA;0T;Kl9hVWy}j0Y&*_&29tm}5Qv-+OH^uV<DSio(Q1Ku
zcEk&KgpJG?ht5U=!BTXLX5|GSZ)(8FZO2`xya1agg(cUkZtp_&0#x;hXR(#39hJY3
zAs-LZ99{C0YFe9=r&_!kj+sMg-9J5!4|m{V_YUp5*7F*bm~A{<Beh;sznS(Z$^Rnd
zKT~+0*Y+SK#AK#v#FLqp3H0Y@I%hLILpZ!M;9B_<CJA27$jm?SrQiC${!CQzwBqO)
zcdI`#7>OZ-YJI)bSwlFE1#khl;82tF^l63YRaA&T&k(|l5I|_Hj7@z*2<h{eFC)bj
z7(f&ctZOm8Oonive|ssBHH@%X$`GWPPAh7YG=dOe+OGf)Ea<r80iiGAe}P5_*fS(2
zWyizNC34QlhO0#_QL>xV$I_h2RhCOdTHHfkx1s(Qwsa;Oxk17aU=`@)`J;RvTd222
zO%dTWlQb`zcI&_TTd)0$zx6N2unb{Rb`4k9<o6_6Lrw9x1kbRPt%3xdfrtwlq#UhW
zAkb7$LkKbualujnBzZ7ZVJ3+e=SC@=!6$JfQXDJp*EX3iR-}gW6_K_>;Sb6KST)Sn
zsaKS&hOfBB?GwS}7R<r5AT^w?Xo!2<eUQLwu`W(c4d;SofFJM|Hoz|gB@l7RgAP>Z
zMWP|CIk(glizH^i3iJX{Ib(=UYf9b7zE9~hhfR^zwfs{mI>00W&cJYX{zND6-L+@W
zw+HN}Oh*!xNbpJh8!6v{pB-OG640;)M%0|Hv${b_mB^nHysg!m=W6!{HFe$ta4%~R
z^Pr48deFyq>OvknT|T%d5H@`5a%T7eUEWh1>a3`j4_Fbc%nARg!||NV(}PQJ-M2>^
zjAa!|A1*Xb%ZFTG|8%o}Omuqa7a*4<OExkDc$3?QUcg&+*B1L7X$>if$uFH-pC0=K
zXeZfRiAEF9^tNxDrcL!BS=cO0tcl!u`zC3_xJjOXMZlVPL~+GhN{`1$%cUk+GUi8c
zsddhtl+pq(l9I9kOJE~S)0*kAUx1C>=ICGwYUk`pEiEtt178H+9WAR;PRTDF#>f`s
zu)vDYgrb)=(r!{o=6iHnuknL(%^kaA>X#0fxmXhbuamyWpuAC7MEXYg9)UETS`lkv
zIuBcS^4T|ZzWlx)Au7%nSm6bTTuG)u7Yj^*BhwtOla$4I%`;!*i~2>zrq?UVi;RCW
z>IQ#Z_*Z>4ys{VZ@iIPM@giT~o$b6>{70uZ?!L%4{m_ey-R+Cae=6(syvR6T-;4aF
zs~x<}W+4w6o?g&8-h16<x1fI>1Tq_Wh64h&Ie`5!Vazt`#inAsfdFoNbwI8OY)*?!
zt|@Hxi%rE50fG8>Zbx=vyIO4FLKTeL=D65a!+s!89WUGIcwFx;9lu>JwiRw-EA}t0
zU$*0T{9x7PY!_Q7lyW)S{bE~{NVf83r{!?HnPXzRS!^rJ+E!l1>VSO09j+G$>^R|J
zvBUnjVGyXkzih|#@79Z5t|@I#i(U2oAy6OB?Q}e@H{bu=3Yx7tzo^H!{$1w>%ggEG
z<)FL!`fK^-Q%~-a2EBJ5Tg;w1wilcDt;;5s+U1e3Tx^#a?(Ywttb*9R<+-=Lt;Tyq
zD={leB|<8KPIWLQS8yDC020NG7z{)=PRo0pb6j<3L6GoJVo2@{LPv(!8=ievVsCi%
zUDJpic%f2eD_@dZj-<(0nj+~xTP-MGM83e}yTWYIl)KU|S1!2kr%j0{{;-PjnRZtw
zZS)y>D8JcD{;m**{xyI3YhL@yuPm{h;(k1~bMT`1JRJDG6jr=|B-VJ*L3Af|Kv_}4
z4B|!EU`+qOb^#wjRqKL-xJmj@E;s<2eCBD|G8YWMItIG8m{uxn7Yx8UfH5^>bJT~?
zpulFq&>Kl!deeg|d$Qva4t!@<S*elH)AeC4)eGvMsFhE8)yi=qN(^H!=Xnd22PDAr
z<Uz@HSYQeI)28@4ZZb)Mc$dKR8C7w2s0!0BVdg6CCU-n&a%LipX<R4guuOgKSG@k4
zUh~1l;OPiY$5z@vPvkH+YYB-D%eB$K+Af$Uag1$5xJB8>Z|u+u06UgP_lVQ$f;xu?
zf*a&V8$pRJiXdh~G8X_g%FYCJNgB8y`bi~SgUm@YR96k2e;LqX7~=-ApNd+HFC$Tk
ztyqQ*V>V@HI<bUvE{KWbxJLT$=%{VJj3zTp(sCe>++e0jD=8|^CD9ayBq1d?Mj#Sb
zDcDN?K1e>G>O{#c*f-iWtGk2Kt0WufTFHESfK$co<C^=GQRt_$=lin*$G%eI9}bP=
zWUoMt@)<1}YTrv95-AN@V_l}?H?X_cVNfy8YS`ATfjq8s7~HG(zo<&Jth>THnPOl~
zIz|ji;A2i20GN2zgy4rJmJ|#{1nmTNR#}1(ASIH1XlhNz1K3$jXx6M?`oetSlaD|@
zHf_qw8!p2&CwbU|K4Om;_dE)F#Ph!2w_{AZMYGFrJ0u;ukN<=J^s6F9*1E69$PP?6
zVWbLdB665uj#sPX1!8p>TrdzGn4r}{L1>d)5JeVt2C#nM9LcdFO_B@l_Z#+VLlC@Q
z?TXYOE(o)YLMrPF8+2=nJ@gevZC4nadVZjqrY5FUBU85)hGFQnQqArgm5H@2i1kFe
z{Y7e2dPtV{?jIL9s|#PI3hA1hb_=V3J*#rC>b8VBm+hp#TZAKC;3{b^h`t;_^6^N=
zw~Y+a3z+Sv_>1ZM9dlB1U!qT-ssXf(5V9TTr}>F3`E80Z5>tL>7>GH1y}R($G{%U#
zFx#Tru|=QwZQuITZ+ysT_=-9b4FbX+se9+Bv<i?gn?%aVm<!ekkaBzkl0y!?#fp23
zC2u0B93BCk2f7dnZdcZJ7lD+hBab2XX!&Er#Sw|x$QOcAdo;o0mh&UI;(9H>qWooY
z_!@EUX$_9(Iu_62>v0MGM+x>&PVWSeQg`!<T<`XBkpMN>w9B|i#p1Z6f1LwhF>NBC
z4qp#Do2`aydHuyljj$Tq2bUf?J}6Vu>MA*~CH-(0Tc8=G7WQ$n+4U=_`U6l1*A4+c
zjn9ZI+ZJ}x4Cnuv3urbQqwU7p|9VHIJIP11lHyq<1n(qxhWx;&N!6RSD04b`a}MdT
zUK}<^vdEfv3bo@kJqYQ!UI5gFyUMe}E9*Q6>A_xLf+{l}kObDMeh`4nNl*6T2pS4J
zu>3{`oBol`o*r%BZHO78B<E%{?||ZM?7L&=DiO)Zc$`iG9?QRy;%!V4KrldJuqMW|
zc9ewTZT$CyC|-Wa;aGocTqlBW6r@cO-0d5@)F}I|+kDHkmjDn7dkFxRD4#0K7exA@
zBJwoiu!r_fZ6SwtmCf`L;55}s!0m^52?j7ANIsw~G-Vg0F*CV?o$LboP&0-DZKKFv
z<^vjkcg^ka_%^G>CZ}W8#|7h`z%W3d!XU_YI=%(1EEjmn^6~P>g#X%rHh=?IXK$St
zmdbxtpg=ml_MatNlP(7kmJBHYBxM*91I!69FY$u|bOTIFx_$^$-(R-lgn*;~^OBA~
zorhuBwxAVYYO3!Kf%^RQ_Xn_|!tfBoQl+yxpcksdxPD-M@}@psB?3?YQQ5>^08SL;
zvAcI4dx4+v&8MDJxQuFtd-t(bgu(vCO&7LJU#e%XXybC;t*BhzoQy+Y+Nl_r4syeb
zG`PQ6Zu08%j;E}i<yfu%%cno^BX5Xa6V;||@uGBkqSP?x{gr$_G8Y2l%qAdve+4(a
zKW!|*5PChC$tS>yV4U56khUf*SxF>Gw(bP6+)?{#$w(3eZLHbg<Q^(sV9E!lRKfOu
z#Gzhsnni&<Dc(={pep_9KFc0YCHpmc_#@{@@<+}8BK1Dk>MKk4NNV-&43*o`TL|tX
z-YYHBk8Y#hD8AP4@@=3_HS@ur^?$wor^nQck<>CI?8c7C*8`v%XyL13$E;>7$OQTy
zj4eY!CW11Ms^DEm?09M;y{u-8zfNMujx(Os4DjI^jUHDgxW%<TO0GEYO4fM}wbfBv
z$rFbgfEu#sBcQ5VRan<Z5nO6Gx<y1-oqJSsQQ4@H@sMz3+pAO}^jPr90e7*?Xf?6X
zctulYwRc^aIF75$9c`6&g%>q@_t02p)jr$Yv%I117Tre=5NPMZ9m~Pmz57}>b%gE&
z{X?BKQU5#w_4f8J|CZled}zs`X+pD8&)KZ_{*Kpk&}(9?y_i<4s>6#a&pb`_W?SH&
z#Qad|L6ma{(@zZCig|RwT+cB*7%Nh}6~QHY3?=4a14+Fi)td_%41>WHE}{{ndUHYG
z(LprKC~S@7Nh3)0=7P{^AOz*9(VVBi4%;=2%~zBbx|m#T_2jQa8<<>QgGXo!`IHq9
zKR3Ip)e2G)8LJmdCd#kL(UQ14?2UD%oS~K;QenvPFg&zh4Vd>MyRT6{T4@tw@Uugb
zfpn474kVb#{qj)%^pGUXzeu8~N$%zWQ*1ht)Br9C9yg|KvF7Gv)Ni(n*|qc(FF>ot
z0eraeYs}4Uo{-E<zu2#qFlT(0kQ45lJw3;ZJuc7ITz8&2DLVOeB5wqP&oCXm=k@b>
zhOI1*9a2P@SVb~M@t|O{r>`jPuzZ^GP^g8L&Y|+LdF(V#WP5Mmu^Y$}!E)4eV1lYi
zX^Re>nHY!2Qy@2a-aOVeF?B9%MiN<Ze&(sFI^|kixybX#cH}9Lm#X;JkcvRwD}Q0}
z@Y3<zj^i8PE?VNKx=ZU0(@w)_FZ8?Q<|3rgL}x?CqFHNs@5zxo6??0y#1!&o6>LZn
zF{w`6BxW>`l`dHFKI!{Ipvn`;c6@*KdeLf?<}&n-RngN(ub1=?#&Y_271u+PguaPP
zP|}J>{Rly~yB^%cZ(TOA6f$U0X1<Tb&<2+NVen*?CsUF<rHSN<EKd>irk63fvS43V
z3jLpgZ_<sqSLlVs+n#^nt?zv63(=lae#H2-b9O8QyLY8ut}NJhS9pk#*6^Opy+TY2
zeCa>=z4y<US%G;F4B~TV4_%JZUUS+r<OT^n90hG<wq0;J=y4Q5(4L}JgqqfxfT(&!
zW*=S9TF4=2iO+m$`Wr4-$QV#g6RdF-q%Cnlz@?Bu#i=pgh{`&BnMv+O_!5<$fx1-j
z9m)rHB;+6BfBc{QZ|sRr>bMrCwsmP)fl|-n7TwwV^UR9Mr)aUuvK>aSuB2%`C~Tm3
z9QF_*S^F}dS@CPnzvexk7DLdKZNTGk;~wzYU8t9>5I@VS7<>kj%uvz?I0rJa6kftf
zNvASNfutKvaCh928<GjkHQEIDa>t5N)$kS9J9dM>g<Bm3+mQt&-DrXcw>W>5f-9+$
zWI^#!P4Kj2Hv|R2h|+!;amMOvL=1(o8rrNF*C^>mzs4m7Z%FuvBE2ff5Y)%ZB{9WZ
z&W#F<&8$e0QnB@`EDSGe*M3P-`pf+?K~*F02p|<CzmUIp#V@nht`&T9FoUak@HKBr
zqpGT_i~IU-Ik{>7;(RK7)YYM2<C7fb1%K*f`v<3U@M6Clq2~ZOge!0V8|(FbqEza%
z^!&a5g+gct_DCZ|a_EJzIRyl5$cKm?jR^Swd6psqYC@h)<Ap?2>39W<#PPBn+U}tn
zFL1rN($B_=s?t5efGv56xE%d?T;1Mh8%bsysKSE&0t=l&*A`L9z2W+ezFZ^A(>cw3
z8FbV>?A`DF#;=SXH#H=#P~Eq&R3C=`ft#oDZ4|Ix1nK;!qlVt;Mld^SMj*(h?xit8
zxeaTYx{K=vToWrE+5f5>HS~mOIuf}iO>oAF*-_h}@+??+B#5BY>lLX`%a_@r^PGBe
zw<5(?Ey$O^1r4FZX+a9p&(|mlwMZV&NY0EH#ySK3R4*x+JC{s@g*b?^k)%R(N#?uM
z@x<_>_WOuJbxEMiNS#O*709!8&3t<-cP8JcGfht35MT2EHLe)8)mC7S)pxIMkdBOi
z%Pm$Vf1^q2Ts5R8funY%TGioOQNL38G2Jdo)pf1^)kFK+;9SQ4s;ujB+p1dTjM7JT
zT^_FHMGpPWwTJS-_u(PdN)Ae9P)Sn@X8aH`rRU8KLLLo<xa4)H<<HTo%%kPJ6t#Sn
zmr_JfvI=~3LJcELSGDsIz;;evuW_lxW);F?8z^ayG-_D*b<;q}W)sIErx$snsV2ad
zAJNgY7FkE%)tnrjx8#;Ap;5eu%2@U1Knf9!v7eM<2l;5O=A3!q;`871c3hG13BT|h
zwx50T+k=%xaxV8&%=0)sQe8@!ek)De=qh#O7PV&du5OX$mQD&Jv%6J(=8;>(V~v$Y
zKf)}PW8X1E3&ef?`$8~ofc^Hvx9FU9`)~grcK0q~h(SMM4Sng>udswK0vncrXcF5g
zFc29a?^ggNO^Xnb*schAzd}z3L`JL?%n91<n|AJJo|f3oS1gSUD0sF_0}|VrV1bfg
zOpi6$1z1jEJ6}<;+CZ!U?^5GFo_SjQaT6rFr$kqPUw7bl8rzv9#xg+wt_XV}=&I!(
zvg%g=r44^_Nbbncm?cLIw;DYMU5^`YTE31+4#Oy)bWMxGY$L;{r`hdh(auTBmGlV~
z>0v9~%v#l0EQLayroFby9c;msl^f}@>%?x-M4nH0%dwkGgH2unDl%1<qqYDfD^Eu@
zth{)uX;WU)h`q!IIp6SJ6FWCI3f^6#;Ivyb8{XS#6#O?H{NjiFVl=H^Wq2p@+yqn{
z&1;NYvth}GH=6Kz$Y!$P9b|E-4KKR0)KYcZkFwz%<Z#J`_a-P@im@mk#AtzAnl?s1
z*I{a7awwMn(?j~roZ7>x)y^92nnlaS<dyqm;@>Ell8xROU;M}!Dn1g0H>pzb$C9~|
zgnF2}Ytp(+)1`BqIbD|mWO1``=CoTho4e_^=$tt774QA24}Nvj5EU=zDQ`m$BGo11
zXn|!y#MR<u6C4tmTrk@!7!!@EV(x4W!zTEOY(S87@)6--i-x4Rb3wF^>+n`ICri8)
zb89rtbiu=s)GW;Bu5gt&brz(#b3s58I0=Uc+`V;g*)Cio&7BJ#wBSd<4Is_5-66=>
z!39s45Qvx%r$%{FYltBzdd(#P0_990UL^V<nv2X}RSY0QQe3VQBZb?aJcCuA0HBz(
zZYXsE%d9SjpM5kUr4cK(Pc5nCk{xQHCiFTD5PIZe6PdbUZvUbx*wV=eJxmT1#s7*x
ztxY&1QUfqFA0S>+bwG~nfUT?O%$R@1{^<Wz@Le1)+hH3!`RjA%;tJnQicDc#@%W_k
zOYSJ0pWAVMiPY1k{5)Z*1+{v9WNHs>Wa6qUUPiA~Dd7njXW9;bSx1&{%?zu8f9bz{
z<sbX#s0!+Jwkon@*f6xBqgAqstoW`p73Z&O)Ao@2BU%kmsmL<=tcMtj5rM5wFcyfj
z1d@tQibkPj6?t5PI!hHAXc-zcRjEjyxr5Na25`ie$tuzmA9U$*jS^X{ODh#wrl}oI
zCpmZ4x|NCq{{p5KQSp(vqFpoL`O)sip&z24SB8O!%alAz`HAE)7UO`aZ|#~4*otJC
z(S}-U{f$P|uByiIL|{?P@<ET9NM`w%X<rYIy2N1s5v+1COqFX=Ms1p}qdlQ-?o@j|
zZ1wLBri!PAwDex1m?s?p4g;T{IvE-HBR`@#=OAjjhkoNhlxd}rThv;&xmfq$%Czn|
zLDXwL?^l2Dqaujv&lygpmjF{3+8hO6sQ{y^a)xMQBP}I>m^-v}iLtU^8j*}RWnM7@
z3P{2-bg9Ywsk~y&8AiIYhuNaa8E!%6ET>*6dM2-!bB2Qy7`a$CNLW!7>joGrtmDsq
z1vosy1S*xn4taP*E_OgG9l)KCM4_~<QeNAdC!;+LkRu1g|Kwa`3DjbDTK-ND>>fDv
znD9A(dK?0%X^FIsnV#SUNB}i$`PRfRfclbu@kuX!U(jOcWOQfWu{r64Q;}Q{O=xJk
z)^NvmL76dta>0U@glaJw`w*mu?t;Mekpf3ZEQ|m#1gRcf5Li6W_9Hw1pN~-xhalCX
z3j(6R$%J5EL(94bP$5Y57=l~om?(HKEJFxVJ-Q%*Mc@qtPO!vO0>(ulNcHG~2)Ehh
zOoYPH7=l7j)MJrctu!CBCqrbl<in}5qJ#KB;){j}PX8@~#gmRgBFJKr=-_RXnQTtc
zdQ)WdVvdpC>K1DdB07CBjKQjc1d2+_QAm>#>4YtK@GA_TVx=R8BHJlx(ts8Thq%)m
z60Y~QX)(^v0ogM=;>KQEg-u(&PlbKZ-#Z?jjvSD}7d;2$@L$VSPe6u)0|@>YTlmJ1
z;cI4r1sN_>ml;q@73QZ#s>GTCfefei%z{*5E(ns%Yaqj0^g30TuUN)Sk0Qgj7~w({
z24uLXuzZc8!hA`1ZS;Tz8IB3a^|z^!;lxi%W@o>&rW{)YRzo~IUo(>Q7=F@OlW(_6
zMwcUK+cce@)})1T$<*e!w!Wn#nePuO@F0DliCJyY6wrL5e1l3IW52`?T1k$o?@-L+
z;4)Rln{yO-t9Dhz_OrD0%l0=^>ZJe~ZXbpXL>LX8BVo>Z9MeY0YU(I!b%{ViNp~{q
zGcp{NC_IU8TyLeHl6qxF@*G&ZdlNiI$sgG}ajsUMF=tmMCvH4{H0>6(mT}G>-Aw-I
zAHV9uzv<rzu(!G?ZGdz_x4$HD5QG?0y7@D0fV2ULwT3f$DKh7nq6&~OgiMki`wfcB
zFf~~)2S_Nz*d(;w*0_t})Hy&pq5h3)M3e%vtbp_otOBGZTZbh;LR{ILItNHVmn&Zu
z2(K}1y}rgAAc5x8fZ4FHZTwgVNXxxew*WLZ;xWI-Q46aUDlwj_4XjB6!wg>!$);=W
zGKH3&w=d5V<}g0B#y9rFCp}3WA9<C=<0AhH)j!8aH%}XQz9qHibhf1LeEti+Sj#Qa
zQdN3#s!`pKq-|>2Et)Oq^kUgLm6*@?<{x_Z52Z?sw1Rt_ZiES-#2{V(;Wt<*tTEfU
z6g1W7f{7Ai)1iEzC3V3P1EcHMdctExTGAqjLJaI4NH#F<MC0@jq$PDh>;{Yk0poFn
z$(j-dhM-hpj6%YwdP#`^JVYZXl^7FbUKOX76)i;&D@q*Xf;w^)f}54F3Ra{PWEm?L
zi8O{p-=`I>vN^Z5P5D(SKPLKLHb=pKRBIBe;cM<Nj7=`HcTLH{*_xn7<Qxz(KOU`j
zJ-(236PYAN%<3EvFa}GrT6Ok(f8b@Hkq$o+;&tow_BBU+16p0NuWGC_L1G!G5gpkg
znGLlX<WBZ9i}16G-2~oUFSD;9ThDR0NMGjyz1rbCl#5T{w>t~|7fwUl!8Ok*l~+9F
z8@Qd4!hEsQro6r$exorvz4wCUK*rdy9PEO>R&Z{Q_qi^`gxH@p&R^@1<y&*es?p#1
z!uNdApN*hM5e}}(9fg&KLV|%@!UNl7L6Hk4?Ay*p0fit{xeKE3&7g=wA;AdLtVmVi
zf@QeS4s5b5;F$N-1rLA(ibexGSmsJxiA+X0UopSSjm>O`Yvi*~R$Oh!azQj56k2nQ
zROK$XTdh#<ry$S=HAoHV(L@-D`?Mu88IpTolba<g*d4gvIMNA{KyG&+auw`u$4E>h
z+tHrWm1*d_n@1+A21KCcO13Ch`;bF0m+`-iHY_U9_w#R`O#B-qhO1I@Vf}F^tlQf(
zqQg2>sX2dnclpa{x2QEUbN=#X@|WNC%5VDA?+;V0$z(UxmRf#dw_RfJ2Wy$A1GXi~
zTz|8O=228fOB~l%%cS?^Uj{i?%ON73Y3q43)kSa(4nRr9Zgw47SDI=UJQcRq)k>xX
zURfOtx>lQ*T}iTU($^fWWYSc-<Ul2J)Kse#wVbGKn5n*KOg0UkT2`w&UbblVFpiV$
zsh+VfHL9oTuQ_HbJ=s!wezd33I9uu)wA2W7Qkfm04t`x=sRYf6!F9mOT#I+m9`@Oe
zKfc-1X}4&$<F}JN{h7b_J3f7Uep%s?8k=7>H2Yezrw&h7!720024-JNYQ_1ShGt(&
z7R3ZhFpKPg)dCIYiu{43UtDmz(yn35FKebxH>6WK^_M`+vH4{f@Fw9gB(1dR=N`4W
zVM)74pV-%A8`V;_CReTGXx_20%#+RYO&i*|5P9>3$kZ+-33h$vVRzGXv*~c3X+ZH#
zxI8(ds8=^)#ak1>jN&_f{ZD=T&jt=%V@3~CO3KWqje#DZlQQG4<AR+3Eu{h!6(j`6
zjjB1LxC4SgswBrPQh`lst;#4uwJ3S*dL{ilIZ;?;6wyvAXu=)JP0UedL8>>uMuUZt
znZTnD+hyv_1<}XLS(8ZWVN9c<Cql5wD5A`Sx)cOK+1@5xtBfLMP4e4N@*t$W@%v0t
zZ$-n%2i0BCM-xhJVkn^t^r6sI0ZxN4URMjoalG(UHR%Z@W({|P8V;dEN=ZYd;n#(u
zAv%Pi1T-8#xo1Nf?d2FzLf6)D)0Xekaj*N{Z@l*)be#IruH#Cyua#2*)sG!U>zs;-
zG{+XaW1?tm4d{;)qM=P?W%%Z;503~z>Nr330W6?W4B2l{Gj3L-j&s4?W{r|N)CVYK
zF!H)aOy^V#_Uiy^G%xN|8OEu5QZa%*mtLF5n>>U<>QhtM#ZtYq^*IOG&r-#MT~mCd
zo092hBCprBfAMn6!>$K;#P5W`%%0`j(lpbS@6$BT{Y%e&^)H8}QLoQItL6g&MuCPC
zCVhcD>BLvb*F;~0487z~neJ5VDp*{d2xFljh@PMqa_6x?IV@s>|Dl=JzNy$bSOj8=
zf>?38f^Mo;tb#=!cFo2*b>uu?tAj<9P&sWA{Z;r<if#=-f!f$LlDGu*Sz>b5*7O*H
z;!XJvX;UW<R#M+J7pYJiUlXHo#6x==8H$VBcDZwn=uj3>Oy-N-oJ#DdyMY|;%uxIi
zW+=Y>@bSn0+UGu-SRNGU=?c$apXgpLO~*S7ma6gURCq2JbP$+gugb)BnQP^O`vdvx
zpiJNg33<_#DE%F2>Yz-}#%wGPu_6_ouZT8gDm>{<Hj1SXq{1tLTd=^JpbgXxLAq8h
zcv>TD<TWCA7KbulBNd(tq7RO<!yvff?^ORhqVQbuq;tfXN8>EPMv_%7m(&I&uDM?e
z_%feddH`J#tXI5D{zA@|Z@Z=q-wqYkjwMvRbv22txq3cN5DucO)jD;i{wm<zu9}~6
zlVrAfw*D4?p15=_aou2vONv-{r_YW&?SZ{5e{kCJeg5EQ{?bo>+h>2+2YkT2r=E-&
zbQ^h21_4xqsfHrY!IFKBJPA7x9o5%<Tt%Kk-N!ld1Tm*ITETCmu8)9H{Xvgqj~H~N
zdI!NVb$u3mIe`EkT&C8jqT!O-<YahJJC_go$RqHt9w76hX-PZavLx>wTqew(tls>O
zA5l;Nm?7Vw{II?w1NiY=q+gtnIy@!xI$<<`mMNvOKm))U77+MSbfjx+5a|j*t$RoY
z@VfT4A5R;Bj$qKzkx<hmJcK-VYyqe$xo=4mu<qnagm}W&+@ID8-b9vHXe#rQC`@}r
z63ub2{m7O8n4<93boP{2!P$=_DL|5$j4aL5EirOg(?c?}>PZnTpIt~!T@vKCJ3Tv@
zf}1sENTN#`ZU($DOSJ2^k_qFoKpvj-m-t2p{GhF5zDFnqf_8wCpcl}-2LJA(nIbi+
zV=ux*c@ZvpUj+QCzX<%8Uj!WLF9JWtV;zrVeDbUD7Xe4KF9O#07l9ui`bBt?M_8RV
z;XVhIWzrF)BTW7Hoxx{dwnc3tCar;cu}aCTC7MovdTs}T9%Jn{sD{Mx#|3(C(g`7e
z){{71w$t&O1>e+i{ARhpbDocv97Z~x+v#{*Z=S!oSugNZ<>Mu_k&fqf9FHF?Z*KMr
zc<t$O@O7$@I2%b<#By6)FUl@){kz2$3kK6K1gh^Z+j0Kwda=#4IGfXATg|Y6Kz%&7
z)A8HI7Rilr{_Se9t-k-Z`Tnw<j^8ad`TlP=i|wwue#!WxFWmXT^5y8`<qZ}0_1E&v
zr=Hv<FW}yNY+)*2sT5!nzjfKfQrkmv-?-Qo>a6&4_Xkf_MXPUl?rm@DAH=5P&HP2W
zR|LJ^F<6mVYUs+9d1QTa>Uc<*a;F>M73iGfszb|=J02>N<p!tQ4UJX1dh(r2WAkrY
zPh8`L3dFj6Np5}d^B2#(dBNXJzS_lG?JHdg#$Mvu5qNe-<CPzxtRKHN{on4d?bkUb
zR~GF1X}L77TE2sBpaHU6jGyDGLv5}d?+Nb;4t=TL6;|%Q^|wCvPyV53>r`Xh#ulLU
z$2d4)Rq|rDTWxLYw5=yB>x%Lh(h;?JZ>n0W19~sTukzvGv0{!btQE1ppq8}C6Mz7~
znc|Ab_qJYDjx7euU&;GodF<-)SB@<#AKq8~vR)wnec&Bw<{YDOjV?RBc16F0Z?TqM
zATT;f*HCuoYWk%;<}*m@Wxc`TbqJIlWOV0Bz6(hjG(pvOXVm#B>E(+qEJ|a7btGQY
zH>xQb^xul><%PV9o+6!!_Ghp4T$ZaT7j=n==HZ&fr#1@|d-^_Eyy5Dn^|k~aTT{Eu
zQ_dSNL(s+HWk@WQHu=I9)*}E2(whzd0f_pNTY%^aUP74t%CKK$)F)3@r5eB1v;%uz
z!$|cGQ=pB=%Tv|<t-tfhUp*nqjkX7>F;LN1XzGbnRkgN-Hg^o<7QrQADZKVMH_N-?
zf_p@f%0;u?Nx+u{c>`SV2*iRk#*dP9DpF|*Str0zPS)9`?5iv{`p6}NgfE2{zWy>)
zwSJ9<Bbx0s%gvCp7Ob<}s~tzjFw4z=w~@?QZWKrr4*~jPfjQ(KsL67(LMU18vk5?{
zTtw-p2D_q%y=b()98LtT9=5jnt=62jb*EWwSDx)(D9<^|J!iS!`i0MaDg5s~_4w_7
z<K6H1%9#k%(~#K{9n8dMPjoO7FY3`f(U}O8YJ4UF?RA^OIX0KLb%kBKL#xk3piD%j
z#Gi7BMB+@glMl=nJ8kmy%`S0z@8J^T*M&DC_#<Fyn@v;z;Pu^^hkRwCC(=AuXN@9f
zRJ-o|*_M(#vy4r7-YRFi|Jaf})7)7&>VxT;s}p69gzM~jQO4YLe=}Y8Kl|tY{JXv-
zy6!djXz@r#BvTNxpgcCl8d^}07|^CDNDF6LJdz`v5aXZ=r4Ctgq=srB+S)V@>F|&;
z#*T0a12p9tF$1Cvmogp+$j`lS^X&I40F&xd=SU6vnZaUMwe29Ba-_CjqiCsu8ymA3
z-@1y_0yG5-QTw$eDdt}X?v0_QFe9h^C7Vdi@krNaBGvF|B;BRa+$M*1p4z%ZvMb`b
zocR*_+Qx2SbmP-a$>>+<$0NCJ^}lnZ_BxcoAA_--_SA;~tBhYRh2%`rUD*HzaqstL
zdfF{&qusl@MLe$+y!0c~Zh6bAhpFpH@c^rM>BoGm)DBCntu7u8)D@?AQs+>Te&G7Y
z-~125Oe^1>*RG6x5BPt9l93k_Em^Q^nW0iJ21aFR^mHi7qw7?=ot&UjYgc7OFm-F6
z1UjzT6=|l6V4)<%3|j@K#fp*&w^JudQXN5*uTg*pCJ2U4LWfZJ2V=Pwxnf0{X+QOT
zxl~@x6WYhxFC!s`f9pa?3eq`6+Qo`urhQ46bGBaK+^3@qaLpH~eG<0jZgXG`oA87K
zsPF2ZT+DP?bG6f{-TXeCv*){GKrA*Ga-LjXbF_A)HrnuWx?$<pFwadxe>S{oJUjMV
z7jnSR7+feX$j@Qp<CCt~H?2c20kom^Aw!Acc&?l9au&1$H&SLXp~8|;LP{f=V%N@S
zCE*nflnHaV6-r1zXbI)hG;Yz5W|R=J1?vhWB!IJYylh8wtZnjfejwS-GR&m6$tWRC
zA*oO@Qm@MAuTer%>R0}{+*JL_*1JMe&t@NC{DYv`nPO9Je5S{=TSUA0C_FQ@^@4NJ
zkJ)s;49xxyzwhJjeQH2fw4>Y2zV&w_A%`{~LRK*5!e;f^E(F~)EG`(Vw5OGI!-OEU
zfeY@|d%}xh)wb-DWQZJsH2W?HMph%6#i$M~W`-cmz6+vb+sI~D8=E^4f;9UsSjc8~
zO8`j3_=O<Nei1BOvp_(%r>5C2f+ygB8J%<fh;|FbiemO%68m-NA&k3xo6LwIX5S@`
zOLEcT2g0lpA%D+5keGd!MDanJnob-3runBFHRi>5s<ljpTnwT9t3lzX6;bt&sfJvo
z9rfGA{1(LT!{dG3aJPTw2>oUwbR)&{7oa7@yCIG|TmD?TJ^}VZE$?sk$nvdCA};0i
zzvuUT#A~APs(sO(?es3`)Ks~)Nv_dPEsnZ1Nym)s9Y7uOZik?tg<P=A*xoEHi5Y?t
zM!BF)?MAuowC(hzOBm&XWf(Wexs^dDR+KQx1S5ZnJgVxS5R@>=1b1jE5~iKQX({a=
zxg}o0C>Mlw6nzSaopoQviW)|lBxr!p(ZmGm26&w2_t7xQC6%|7k{g?{o7NO~xJe$@
zOV71B)+I^iyK`ER@<Y<Mn2_0?J>Q;~8jdQdn!B`SzCSTFywgBGs?AlKT8{M`XrUf>
z%~Y!#e9_NKbhUnuKr22?3F~U<L`&YI*XOwo1pTFmw9~i37d`?+X{<_{^74A$flO}5
ztppQvDbt#0%v!B?Z%jb+%4?oOu*V?;n{<m>b2n#RZ>B){M?Ug{{{Bx!=2g?+?lO3_
zZu(e7j1B_u6qQs|DO>6S+9Y4mVl^~(kBn73ZDD3*MN9=&C&bo3^hXWiD-O<I%mq@+
zlyVcR`Ns?rrPlEk_nWOoRtI!Nw>8RKAO)(!Rz#(=L&L!CF<%ng3J2w#L9(`8vMP`c
zPKm4vqyWc~?1va$XghHP;un&xfjIjO4s}d1S}+c($&Hw;-?oPtO^ftJZ9~?5ioKp9
zqvx1hP@VIxH<)+5&(46|HE>V%M300>oz8$6_jGsDMyB1O*{t6VOZi{?&F_8T*)Z#x
zv1l`^Y2FuDf5dWl=A_Q778+kr)foj_2-cZZr}5qFz_yUnfzLdhGpk3829Z`buzE|@
zGUp<z%qm!Sr2+|dULoDhf;qDareRcOnX_c;Vsq-8SvC7lzTcIVk>ktMnN<#TXJ&P^
zk}_$P1*KWH<DS^9jwZQAi%xa!(YU!}LCXg<nqgGAL#>qag0#W%RR*;jc?p7~vcYgU
z4DW?=CvkuNuUS+!^L~ErlZk($#BddJrtv+miYks@3X&xu<U#V|MdLf$+Pky0riVt{
zq1o2nj;;NHKldqL_YogbNWHYA?6%el%;$iYZ16JL?yX(i)-a5*uUPu&4=9kfz#z%$
z8i*$*G{e*?r%$XXS$7jGv`b#h%eWxPx|<;8Gm|BVlZusJHVaDP&QBc^n!9i)$-4WB
zLvW}Hyflc1s=!M~7#PH=4-h3;cfU-Z(R>74n`w*ueI$hTAKiGII8V7}nzLlxZB2|u
z#;{fVM#uF^IIOBQtNXKFqV1RE=+z3tnwzud8#D~u%6DiOxRq}a7&r%jTh%>M2Catz
zZmA!$OwLHp_%rb!)C+1o9X<Xb5nQ_TxrJXn>c%i#-I75Iu~NuX&Y;UPoBEMjswiNp
zD&`;Q%Fmc6K6c?gD793=WC;^fKA}8P>4e-)$G6N=h~_Iy*%<SbV8GJ(LDhuygLw&5
zQUF56`DHtupS|B{Q+}TChLpETU&nj*v9(4oz9gH`fYodQPR6WdVJ4bfU(n@8Ebp9%
zm~MGzh}RVl(@PWC{gprVBVYTqqmYEcfRFrmi!{hZ?_j`(fzu#AO4+&Qy)O*-n~kM5
zLQssa72FF0J|GF!h!lcidrc7eEVfHQg#atw*4sr-y5M$0yc$3bpmb|t0b+Sg5cv$_
zc?P(;6%@nk*SOk%VUeYNCSoML5Z72i5>VP$E2#!b`7Lguh9qn;amm079Xy00yY1{?
z!(5vrd$y?J)7kUg0a>RJbzquNM>5|Y{4$`x7VyFreB8~N`TiiWVod#8rR}WFUfm$L
zPYTBX33v+yk?OKtHk!rP$mhuK2|!A9_R(~WdI2{AbI_&En|dP0lmt#VN*6vV;PO@p
zmArer2aw+2%hj1lwp^%7lPzxn;RKXdw2=^~jK6FrYu`FQ0EFME^CJNG<h;Q7IcDbt
zE#CF@b33lT#n+3g<Ic-{!sD;g5N?n76((fHJIr{8=ic#Fw30phg1`s*eTtWJr`c0~
z{^#HLsbhr^G<hkE;dopLy<X1zQ!RPoA2S9SJflPalTs)CwbRT651L^>%gzb&2F2vq
zE@X9R?_6-Oj6rkff`erY`Xep~N(xRBW3$XoMtbEhL!IP;7&oC*?Tl$OPh`7rjkz##
z+<^R9N-b+2P!WO^<Q%-zIHmkP0Ovp$znJ$^eeKDXR*YOJHuQhszw$pdk5{J$ii{UT
zqF521)GnJHwT)ap0WLfl-o24>`6c+@Sg-FBrRLH|wuf*S>W(%f7tU!g8!U~;48!Zh
z_x4=R_B8smgnY#*b{_+KI_(zC#gFMJm~%R}zw593hrjfOu&3&OI)1POT#EZg?A+5y
zkR!<bP$xkSAgwo{zmp)xk6_ef`!uJ>V1jrR>nVQFuMx8zb`syi2!cSR4oP!-KiEl7
z#rLqIS9TKQ_<p#NKmr4g#jkE87`3Ox_Vs}CV_(xft>$lH7T!&t|Gmoa`F{Sru1p-q
zRfeJXGs@uRO{pf*cNk=(ak@UBAU3<pap*I~;O;O6(=rRQnY|q|`})uMm{0q~R~C$c
zlFB*8pfG`AToJOrAkIfiup$C%7Xmn%Sr;6f0zflc1aF+&-$H9-`T#OetbAM}&8(k#
z2l`a0pX0kAr_JPA2-3{D;NbjinpqbdoWCt$Ympopz%6NXmmC~HAR$SS9GXE;lly}~
zTapSG!q&{-#t?#hdxnP)&<!eQ9~?r!yn;)f28R&jJ2Wta0M}XFB21l<gaM{XS?9T(
z4iBazLd)4VCp1W+c!D~Rkf!Imo~K_u0nWaItO-PFcT!bM*TXkcrxL#67PqT<l17~-
z^?xGWPiI5^?ILgsx;^SRnJeWZeRGmRSGckH3A&u8Da2E<f3lNb0>$7?m|oVQf9k>+
zUX~jEln<Bud@cZp{~pEs4*CEI{B!760WN?}NGCiOeekezucY7*=jYt3YVH#TSycr9
z*^UB0ThEZ6#|r>YJ-JB=8*l}<RjB`omqqkKy@|ediEzM>_(n`#xHlEOU~k>I*^LRy
z(+_Z!GO~Q@GO&^TpMU84KJ<4*)mTG(H+L5BlbnKsRgffFA^j>5o9!}lR|GX`qTtpB
zEQBC`b{Dis>_9kSoURryLojD)gKA{Iv4V97R$1D^60PBq0NvUo!w}3_+WiTHAS#H9
zY`#pDr3HJ5q;oOj!AhsGV$RZn+Y7Kj0mQGZZX1GimezrC2v~=SL3Tj?X}%jcxi;S|
z=FUz5)Z2lbZiM4Q|2E%8%w3V(Y?PMdv;h#VmCUy%$VPyZ)9SW=qftd3iaTgPMZ3k0
zMuWS841r#it@;z)Yc6m9(63N+XJ3t0_HfEz=v@1!X@lP5FkZ-TK)he9@`yaxYH({M
zRjt+Xq^bd6Le-#*r~{c6$iUJ8o#HN&7D?4XRpt?SF!{h@<>MPw2{=}DJb-EBphI2a
zjf>4a;S6Ct<AmOBK*sJpIYR!$c{G)&fciYARxD&{@pbg)aaDaEn`H=|1jOmRMnIhQ
zlK8vYoS;dijolve5luS7%%%rlHx4tKc8gkLH@C6hOdI>BzxH$f>(MrLC=gI-5$B0`
zL~W9FDVoDzTYz|N8C!64(@rQVbBj1FQV|5>wXS$LSTq|e(qV8xfXfKCVhM_bS<Ehn
zARUGxxZG@{jSW~-YPU*|ip2#>X%*e+GFUsWNQa>aZc(MBXK~mr5AC<6!{CAhz~R&o
zTxm~UUQrx|BDq|H5J)6fhxXf4ZS2uQUm#(&2qQmg`LgB;#G$e#C~!1UpCsuFhvZ5f
z2Bh@OwQ$K%H$d%g9nXp7)EzeYPB+eMV|V-Sf5RZhCtdhl<hifte`CG)Br9LkZR{<?
z+_%9xHn*|QZR|pYGXMpmJ2CTu3*+t>fzWK$r+Z%KRF{ALSO4da|H(0e&^=h^B<&4$
zl}-Rj!{L!;Bn&XeqD>g&K=V`*20fIcIS)`e=ufLn2V0f%041}4fs4j`=b`H}Pp5bR
zBS<7+fVMG|q3am;nWu9epoI5mj7QdAa$%SwxqRaimNn-AN*mm6we9q~S9yRPfL$8h
zuaAb`j*Aqt?!V-IbJD8%31NOGx~Exp$;cQ0_on_#S~K6Bk}&`!fTVMBr@y4Vea&OZ
z7=Qw=lQF37PtcQsNU+K+ob4*8?KYND-LQ<o#X#mDEVO*m<NC4%YQViUKZJ*o-Fnn5
zWDOkYoqUFw(eCCM?NS*%z1%V%49W14?_C3I9-FtEHJGrxq<8Ig97#&FrjhC0pZ&l8
z%y<14<7$1Q6OX9Y>vy+iCjr|tc{q{Y9aHPjK+taxL@!C3z07LkcwB?_7||MvOcvIK
z(#mRm2cAqdJ<$IxlXO<=z!4*wV_j>#!Zp;|I^B8PauTOd5S`Wh)>#WyY8~k>3F}}d
zIvC|jt%Dbg6l&x#*>p0-m0Gt39#W%hB}&aFuhP2)eCB0tgz~kL%xWFyHh$_g26$8|
z*s7VX)(b!NX^To-C3$USt@<%)b)r`pM}>mDoQQ6!RJUzpSg@;ZJ>{*ls<>kAX~mh-
zyN@cpJ3)0mOg?wQ@(-z*f8V!%(<goOSmABIG0lv=MI%NG^_buN(9As*y2{}$ni)(>
zb)P`p9ub;(2OVAM2!(UHGAWw*c%=Se9xbl1Uo$Tc!j7CZS-)mpZl(7$3-)W~<)%|^
zyh1ah@YV(-{hIlNF2^=3=-13>H0iFH?@nlDP(ZZ5W#w>(X5IiLTm6``W)@3Q?8;nt
zs|t6IP2p{V>U<c@JR?!>4vA`d{LHvxuC(1urR{hAz(@UO9~H5iR$jc}qm*b+XhX<>
za@Xkqj80v}q78CE{54jE&5_`xs@#<;ZK&9BegjK#3JlD}sa$CTKt&_1JwQAy#d5B+
z9S%sB$%;!fi8QCqmA2ECK_I`(ac?aocKOJ((L?Yr6D2utM6_kGTxr{5SdNzDK*-#w
zbrCz^OClI#lt*%B;AonQtSW6gkX7O;DTx@emCThkj0qy|1n`i1R3j_No3-W|B)t?T
z>J9`~m_wJLD1e3lOOv1|GH5RG94NRZuq(hm0gI9k;3HHYP?=Der3!lk015Ud?29xA
zRbZX!_=m;b;ClBB_U0U(25}@4c82dNT9Tn2)>|n=0@rvx?~=VABQ}5*y_(xL!3&T8
zYTELBsjc7qJ>UM@zB>XaMOZp=(NWH2k|_}0mgKQr21F==C@@II3L{=5zm(z7RF5uL
z5DPmLL#WKMAb)ZfEUbZuii9C13sOD0;2u=A&?j=Mg?JZq6h~n4rM^J*=z{nPD5gL?
z?!cE%g_~F8v+aVI#frlzhz2`qs4PhJ=z@TCl3s9&J_gX(G=dU96-lt%NQ)gh9;B^4
zFDdHLC9Mj=HCLj<D@m$HmjtMcmx<KYp0=sJ%nGQFmzMn&SQ8`CJJ*?S&t5SYToWkA
zcFpSkC{Tow$kw%z`3B*~R0?H$weEM%cc@SWKvKrC$9Er9_{^1QMk{cWx*bV1-HsxN
zZf^=AGS=utP&%x^|LWnMPK!hxD<Ll9e^s`>{vrFW4|VqnNKb-o=DOiqk!?_8!%(lt
zHfWIR1|5Xy<wxlQ;7~X~4s~xgfwITDdNxs?z1`;;j5)5mySQ%JEozPK9M|1UT=zl0
z^1Gk=M-kU)knN6(XH^R^&S{C+;jm6QtD19*X=p2=j7BhLes>si2hbr2b@!cgB@LsW
zy38QpQ1>=hnrm`ky8(CsD`MQ~s=dZ4^NU%0YUnWsfcejy(4_+NQyXqnid_=dWx<^J
zwK+)?L=RuHVwL%Y0;AK!njHE$n%}3&{Nh(3i!mkFQaQ{&d6oG+01YAd&C_z<%{y0j
z2VuGRc7R%#J7V9JsLXtO_FIlVkaDD^`tw(pP{)5H49LawAztU&85h%<)eX{#_LOW>
z^P}#F+Vs8w@VdvGkQR}lJ9DAW{$;QmPi4*pYq)FTe<ME}pM0PHjXiyzC^bwLBn=!9
zW1<~nc(^;Kr&kRb2rBQiAw%Z=qzgtv#yP_R*+qf(Ga5qBkWzK9K%fe$WjjToJ^o1w
zN~N<fK{L`juKvlf_$L!0%7@7-PgwpTD}LYgm4EF=J}~rtKY`0}xgoD8vTvKE^?ey1
zfCa41qO!-!ugLj5@@t~kLXe832x`w6DPcge)(A?Dz$j1lD@<3T2138DCDmd@s+F=L
z5OI2H@ULnDECl6ouoZ(627Lgnpg6LA>LXwfRA~oT5>?W%BA*FAb?KSL?<CQ8MN*mx
zTnxH~CGoWtI2TKb-uE@3qB+(Ll2E*w`E$w1T2d5P58aC@w$PPSFrs)|AC-RybyO)s
z+jxB759s$6pzabWB_yn1{EKc-ta}Pp#K7f*TMKLhUreP;+IBeEPRgVS2bmJAXwW76
z$tUEq6UVo_@5mUQ#e4>3UbXX)JM<i7E;)_39Q}DBLnpm=Ng1ZM7|Jm1o!)nB5AwOe
z*i>aWZTU8kATaHJ{E8p_*vn9c>cF&4FkohEf(48WL#*1md3Lh^TSHoizU_9{2_E!q
zvlE<sTdS*tlU4axV3dZ3N3U_aYyHdY1RpT5+rVLKA$`p5Wp;uI-^I6vZ;LvEW*Fnt
z$v`5(h8(1v`lJ)P@`|++jB(VOy+ii8#ky5aFmxfvx#i^Rz2?v2<dqYQ*PhJMNLy|&
z5xjM*tGfeKgPa|1sF^BXb5y}nKeo!XC{W!I>9DfHwW|u`T$qTMQoYobFLy7NY*%RE
z_@vUe(MlBO-~|smo^v13&G!){U7KEM=vr}_BQaKOPSA~yw;%cwfAy38$~!-_FdV54
z_qwua^e2q=k6z;r9f-_7*sj(Oorwfn&sJ2g|B%+<0`GEzEJ*c3PFH@H4~lR3;L^(h
z55SC5)FUKZ4?ei83c(Koc8iY})gi7KaWq19QAe&i{cq@~_~iTiZ|sRrzR&;0p1x0%
zN`Nk}2ozrv$r2I`*^jzFgDnf|#3-POQ~jvc>{=u@E0SBG1dnj3bE9abToOI524{dd
z>qsVFY=J3YtQBI-10tdJ>?x#L7}X^tkx}YMrkH9GBPvdgn70dKq9_Gb3y@6fb)v^w
zs%H7kghw0S2st0-=Kxf|6oxkPQ&(BzuLaneNW(3X&2>(HNH5AmdQl!y{9AfRvE`vq
z{gC1SPZ{xJdPs4IKcxKlI6S0p@~5)%l-_Rx45eyH5s}bsqyPnc8K}xf2*rq^0y(^Y
z4VD6N6TJQSIX0kMsvr=;)s%j}&3=KWHXQ(}sVcn%0lW}_*^uoB!8RC#b;!r>7MrTx
z27&r`ZpZOk6v^Oqmk@Y!SZuLBFe4DCj+gCpJgzt2|IKN!MYxlW7qu7HzvXru-!fgX
zydLQ_{k2MfiHC2?#1h8lyiuvGwn+B)<`#Q_w?VOc$-Ujpyz*8wr>|VOL~nWSZEvd^
zo?{pW9V35x?iE3&xE_-m?4ah-rH5KKz&z49M|TbbZV(QA+goY1<^Q>XLxp|2oU*3h
ze#dhc?~K_F*m^LDwObDJZ(AS53zZURobmaK=fC**i|5`f7bRbf<09lMU0Ez$>KBnO
zF!`=9KA&<|`sK<6_x-dy#D-k(SJqoJ?XFOo-^1>S-lCWMU19Fy>;BTS-}&l5E@>>>
z<~~ZVHCsQ_#qprIY085;0uKjTV}x_9v{0i_W3ct3v{3hvv!y^OwNQhctxW;s+{dvL
z0O4A-`G=hQfM<nKtkC)Bi<3@?Tm{RykNsh9Ifdm$R=hIz0fZ>hElkh|0=BNCR=JNI
zI+rz1fnT((XI8ln)D&$R35IQG@;z>jWch3p?3zNZ%Jh&E+$71W0Jz%{cS}ipIq@o8
za?}UY6vYh`>*$A{oixz{03)&wFD7O+cmMtGRnEWf=ife=_%}*)Lj@SVl9ryk^3HQ2
zZ;o`WGC5Z{0pP2&2Rp2e$hu_=KcE4XUTOBkdaKoj)l8N-+0d|{^@a7Dt>Q|U{VI=F
zb*25s7GJ^)SUGmkrFiyT?_BsVP<tCMTzvkU-X34-h3ByS?3>>nK8Kjtdn)Ej^hkBR
zg8G;6-<_6s;w_?Rh5NmuTcoapI0yO>-dp*ZM{W@hFvmha=3@svJ9>To`_}H;lv{KT
z9n1gm_y6uE{@br8IXVSwxr^ZV*&|S<i0@ZOVJtfo&UDaS&?*WL#8}T3>Pj0_1eK0O
z@)bfi7Wh@|4t2o;rge#(hMQt`+IAsbK^MUV_h45MmNghc0TbmF=_0t`sUT$l#Af;>
z1V_zZF&b(I8|Dx(9Yus6{J$_NMaFj(`9?<1@Q8~6rv==i5_7_bSyeHo<{u+wZ0oeZ
zuv9^{I4mhfZp)Ny=|QTXDMsxyVlV|3F)FfGRbK<jp66A4alJVyz^ZQ*qifPDecw7p
zuWP{i<9OtHADbv4-x<nvf`Oz?^0(p&ot71K*1ilbUj5GR|B_E08xwQ@tFNL=mg~-R
z-;@*uqx%L5gNfFjGC<0SqF%s32+WC|NQPY33sOoHF@w}gu_C<fHg%gaqSRH&e1ycT
zkyJ!RG$lll!9dDXPL0HEjo;9&F*^*t_~wK!gH-%+-+|2VDRDs?RZK((JeB6l=mX@y
zmF?EX>mJ&#J*u@-mDCK0>Op&k-;<^5NZMa)tZ3=^Qf5naC9v8z$|@_fs}$Nz$;7F-
zVsg;kEc;*JM&>a==iyEy_Dl-3O1@ot;+{S2BRYm@o2+B*3I)#dzTZ3H({2$h#-q4J
zJnzeSg6&s-*5Qx8FPTzg#+Pq&CmgM7*a9>b&?GpxE7%H97>nO(ySz|tg2p!pPiDC_
z?*9-JQ|c>fD<UnVb3=uom{Jq8njwv|PKP}L5+qk;PPlE6T$!L!WcODJJ<5V&O8wNR
z1F9gHz!az-D@rBA1W&+g3R)c%P-!N}D~c(tuMz&{5^1gG=TTE?k^o<Fsyr}UxCZU<
zi*sxB*Cmx^3ttCtF9k>?NhyK&WooSyYl4Q7IkkM5>h}6|q6bjw#JHcrUsy@z+p|~n
zD}PL-*$T<YCSQ!DT5StfXf)OM*Tz1ZXWj4ip2bl67aum$2cQqT9G~>e^K69k(3^_D
zjJS)%USw;dR`(dpMmRr^u{US-0wz`PrsR2UeBi;fTQqwCx5JG5AAHSM+<WiXK)_?r
z2W+Ht6xl1S)|E6D(qX7EAr*%qxoYJiaaN_`9EgjQossm0AiV%zaR=fTV0W0aLzjiv
zxDc#TaqvKJYSh*+$Uu#I2<B8AoCQM*?=dK-T5;6E(Oz|}n;IPB{4XNewuNz$PkC37
zZ)7SfW*fOr!h6+a*Tq9kkN4QM{d@2JoQiv?rsD3XQZdJ|*G^~NRjT3T9>+en{)_+Q
z6=S_Ng=!Rera`LFAO^+!c1_?qPT`rSX~Ap@y?p3Rm#DL+kDM0F1;IiC!^QA)3;YM{
zO~!I$yvbl^3@un$ai}vU<4wShbai7)#+wZH#Q>yK&OO{0L-<~~%7^HSQF~{I4_ZjI
zav5xUbs*VmND(~hqK{uYOxNtTC9S#ju!AgS9;C?`r%lOdL=`MG7s_D0^d4Wg_0XR3
za|6q%6|!l|w?PprWUu<tum8kds6+LEJ*`)~>X`8DbPVAv=h}LB{yjzb?9eCTFl~m;
zAwqWOt7?7ie%H12p!ot3W9#{6hknm~2?-I<h0xajsSf>H@0VLR{EQ_~)~bu}I_ks)
zPoy9dL39bWD@t0=CBYuO6aWBd)hwkLKcuAfToP;|oVUvO1SD)#*kMf_E{T(CArA*M
z(bi~yQYlW|uyR`(G+km4)vA3px1OlvF$-GS_5|0WD8S9siX3yAQ+HBQ3kX3oGFm#d
zllGJ>ylYR;YNhvF)FryaOZOm56IwdXPt4iFep~u;+VXw+^E+St;xD}z`coMky?@Z@
zRFNjN36SudwDZY!Sy{vdK{i1)8x%1{cxeyY6XzU5u!lvKH^f(r36MQ3vUDelpcgUr
z03|5mHm;HS(*^f?@camHV2@GH;-H2g^`{GhGS}b$`;{>wg&^I@BIvWF4?94qniWNV
zx+K8bI<EQvfQ3ShV@c7UE?K6J0CL<R#g!7WOA@p!P-6fhY?xMBldpnH0w}@i7LX8Y
z6p`BN<YVuWAWc$^ryJn9ExJ|p^W<5PDt<j2gdTevH4(KT{R1Og9Sz+)qnB?Uy?nm*
zwa5ClwC}X#`?T*T{^)z3yGTW80V28fbpTVa2#w*p3X9M*d>7R;qIppSb~5O5F^7(b
zau%UJAXvtCSsfKC0tco_HCCkd^)E9B1e2n)pSrLJVQ7+OCSt{m?<y=pz@iBsUDZO%
zVBXIiEJ9mQi8o(ci_(7b!XgBk-umQ|eNxpFh6wXM@8p*1evRvki|StIRY5)Xt8&An
zq_T10tgpJ}oefcPC)EGWEJCll4HlvE6`nou=PJB8i+*>st<!E%Yc%F8`pwX`{^@_^
z8@}c{q9sG~Po6gNl<wp#I$%fB7^`;hyb*g4!UT&c7RXL!hPRwLv9S&_Rt@F6a~6Ft
z=bf|YgDLNvMc>_2##;?qU!#ZaF$NU3jr`l#$God&w@B++ciQaXcG3UBKH`N&%^=!6
zgmo|FE+n+e?Hg^s21<|>r^IddYdkDVAFda*p!mXI>8tu%U@+mKrDP4aQ+B4NNYJ0h
zlQjgNgYz#jKQT}4Z`6Hc(aYZHEPHnNxwiB6Q{8{#XFvGA`P*k;SGuDwa;kf9qD4x9
zJ;6bw3P}Mwb323~r@F1lo!AwfFP(GZ6?SE4tV2$9Tk;1<<jz!wQ8&D(fvdGk5y|S~
zVKj@cW2(kkk{!DeYUpC$oypLgxY^{=iBrxsivOLlD>v6h_SBO?r(`)*=xnG!q{bvP
z1eXdDdeC6!`{dJdv_zOZ3i$twY?+ZQFNkkl_d-hx_1&~d|NDRQ2mi<$!Y9`NsZEA~
z%!4$klDe|#s}@OFDZ;i=$03lCEngb#n+u{;0mf67Nik!n70k&n)IO23XZoC^{Z0BP
zC&SR6z<MeLNy1&XPv&G8=utqBEj^4!<2{KllapaQqcuBj(bJJv95sY$j1ss+EDP<4
z>;Z{ee6EKN^_U>HaB)aKQ;1nWN1^P8Nm=u%{M?+l*`!2jNwlnc<_uXbGL09UM6?`d
zAG@L9YAdMF_f;!^6bzG#+7=MoB)^P)%jc7aBV62;qN5o@K={i!I8557in$vt+UF2y
zONFZOxPx{K5zDpAoju66<wj3izD=JHG4j`c=1abNl(~Phnh9#vG3Ne4t-8}DtDL6J
zYQ|Qaz}$~^EhsLkLX0k{l)^JlXEhT!_a0SPsTu9sg5tvPPAyM{KFT@w0~)IU@`K=!
z35~3efbOVr?n6qm%DE3{&B;1WHQEj5+*Ow)Af2A2U-3;*Wm9BA=k=>Qs4)H?#tP2N
z{kM^GPdSC;EL;~pH%(i0eE+FAg=x$8DZ_v9+n;*P_lM7=xsPk-L5&WAtY=;NeEy7{
z-W;UQr!sWGL69)bstXR%=jS}=AV@gpK?f72IS)FRFcoE3F7l=_rql_dA^JbKf>A}4
zdrajZQ)up-CCwIECqAk4qt2VoEEA6d%Y;41x8>ALTfR?^eZhPFpWk_U)fmMVCcYw*
zN#?9ghvzEiMsEWK?45#p#YbF~8=VXTj%mNZGPIyw>M_eVfkgmHl)f6=KsXGUi>rnK
z8|#nG4{louMUVNCY1S`>yEjXUQ|FS1ntaypv2&G@%y-F1GXsRIJOH)}fxUG1yJX~<
zG26bzHIF)q)Qqao3cvYf;Wr0yzLWJlxJ><xen1@^`@gz+RoeaXuiXT%?{ct4(!~!r
zq}TRec3^7{E|D${g&dz;&->rl)Axx|Ln&nZ%qj1`IIY*noA7PHpz6*INs0f3QP*)`
z4`+!n8c4S;W&RfhNQy=sW12{kDfPc7xKAWyFp{TPGd<r6@J29y!$H~#{LHUb&g3qD
zgWt=D9YkQAY2Q+ZvWr<1&M1r<6QodH6I21^?OK2^001Fok1&z4eGR3J!`DO$@KJI&
z9A3`!QMG1LKMR{(i8Ys9J(c0fiydJ2g6ITkpu}O-n)x2tggIX463iU=?9*QwkoH?_
z)eHrYM;HIrzBD+X=cVb40kFd_0QU4p+5h^k?n{GJ{H4K}+n0v_bb~L=n_6sVgkK!0
zxK9;MPF}9kH){#8BmJ`hO*|aeQWC|08oXsGUj%`wBr4mHpaBs)p7uC?1KRou|K8!%
z=fjnb2Q7X@QxBg0s-(P;bVRyfZl~ihK_}<e$m@R&O@A{~vSsa87XJKmNai6fhh+Ad
zLXb55&^<xj0fFlGlkK?v?N+$)%kK{s{%w`-gFt;ex6|?a#Ws&A0Db<p`u^J{-zVGY
zco{1Y_iwvfY^%X}TS?xeFU;*YUZxntraoS!B#xm2xOm@u>d9@=l^6to@_S6-<R&H_
zl+qTK+GhU5`&ew3YhCvTPgaGig{;3&$~(~^(nBb6l^3W8dX?b{xyh^3Kb*39mSbY@
z%l^a<ee^F!EUZCwxBlQ{i(?}_3)$jmVo<tPk;WTM3>qur=t$4P$~ZkSI5N_+^h{u2
zO`Gq_i9vG(fcU_qYi)&y3}T%a)QNLaP1&|fR*Avm4s`2UPT322Mr2M$O_%yqZnSPV
zF<9BC%VLarOcnOIu>ZZvL-YOo+b0wMMu}7h@kieO&WXX7HW;_kcLUts*H8Yv_Q7}j
z@8^)=%zz?!`BbO$!4=CpJMa?cT}3`#>U4(IrKLlw?(hsKf^w^!(wUb!e4ZJ`e|Io`
zkM4`rt>zWDE8C_lXBhv@!1!PB4ZrebpA!9Znm_K8uhx6cLuAN|+=rx+EYWO`A=_ez
zZ!mr&Yc=N-g1LN^hA+978-mnJzG4iX-jml&;^s{zb#=jD&DkK8(+KAB6=-<&!oYAk
z?m8<n)bkbh`z_H*9KN(dt)5|DTw^X@X{?Bhz-fi5U32QXe6>0*b?Ei_h>OVIX0jum
z>|37&RoiM54NdN-*GL*msvh?!d*ZH65GnpB;(di1rF?~Q*G9bG=^BRms1ghUAj*Iw
zCLAIofDsCq$&gNXCZGtZPymS1@yKvt{YbEkVD^z91%N6YkBnHA;F1h#x_;SC*Wbf-
zQ!*OYW=OZEKg?vWrtZ|>XJdMI#&p^(nvLo0aHIZ(ulxRA7#%hOUQ#ut2b5dXqD6v-
zd1l)}6Ud4*8$_pR5hJoT$}H!CyZvE@0nA%K>Vd=+s}d_pCfb^^kK6T8IK|P7-a*Ss
z%GCtHh)Q%CY8}Y&szr+xCAVsVrz1F$B(ns*@b=V_wRb_Z0-E6ZRQH2x4b{KQ9zEuY
z=mkA%J88Yje}PU<FA@#rX2|^_M~x}tMvVj`qb1fccC4+O*l$YpV6R-3Nz7(NF9Q*L
zX(qL?z2#&%n!T<}9LLp$DwOlE5!5pu15;`b>TF6MW14Z*TqCz=Hl?>?N<Ze+|M@Tc
z*<jVu_oXc*1+U9kDXH+fjFpmfZkLpjz{AA=&27pRye^}qB%O~zD}Fo;nbNSiJzX@}
zlol{LCT?un6>}*G95x(lhCpJqYsx+i#@vvaC?#!4ie7*1s+4rvA#xRizukd!u#uFq
zv041!iU9<q?zk~HlKrx18-j-;Yl2&{bt1HN#MfMJ(LjrD1j{c}?D_U+AGb;Fmf&`j
z?~V`knxoEv8u9UxSFz#h449*E>4Qi(iY(lTj;oLje~5YMOP6#W8oeS*XWNFd*M#Yh
zPwmRFr|%P`QxU9<!jUI#2Np_0dkSU|Emzfk1f%~yd+!2l+nSYyfkL986G4;Epu|HV
zV0qp2dd>B)F;uv>6-krF>5d^*(I>ZW-@cqQz3<7nmrg@01R`SLvm=(6(u5SjfU!cr
zNIE21RE07iVoYL1%Mv66A=28hl$0H@G2b^H{}}&2=bm$}efHk>^kqWbK5OnZ=0E3}
zkMaD*HxTWn=oN5>bD~$U4Q?_#gXr`Mo*{IEIr^>P85>Xx=IEJS>=ir%0`&>knQ*{K
zs_31QPO9ty1nT{p>_Lq-(97d^g8SIq9Iny*dQF$%_KRS@?V~^b1J6WPy>fZEs&+m+
z{CmWkOEn0dY|$I`m8B3}5Od7g(FegzCon6ZE2K|@NlB&<T`;;156;jXg1n(curxfb
zP*ZOX%{%9UAosHoi@Tj9{PLlBPhIeY5JlrCEUjtII5b}d7u@gH{MFD`hmC2=5TvRu
zf*VX@RKfMSy*v{2xa0v4J*(w|gRA*9qN-i8JFL8k@?^ALad1J7y5wnd!mKWyCZKrj
z?~&Y^OCr?T2>=kc5x0rzKdqT>&uP2f>Q4tzWpB-Ve@goFu*am0cFpPrDIYJ+6W3pZ
z$aM64hcHZplndY;-S7@H=b3L2($D<u2zB>>6;_gyh9cB<M3Jhv*A_fl5Q!F1)!IhH
zq8qKDXtc8motvK3y@pJMd~@lQPuqHLbU|dZ(VU8G>K-+5qgxC6H?@$qDNv;nNmiqm
z!W7c&WHk_|RiLt|c~Y=48B|6qa*oypFbjG)j!!ExibBK=IcH4NVr8|E^R1dlAy8Wl
zZb!~mQo?CdAdJOo;A#Q#cs^%>y&n@%OfP3U*J{jLz73Ly75l&!edYi9TYpLEH_)L@
zUU;$*PneF&5)H7O2b5M68*E>h)d;~A2k>#4An^Zqi(?9%<;r{no(~*?9u==N3yND{
zg1})DYz#ZJhQ(@D6#MUj=plt5&JmeCMJ2~MO0LWV58%zkkKv>|7{g{oaiM(09e8F%
z5a?z4uK670Au>Tg&d`sbD+<G77SvpsAA8H`!Xmlr4JcFx-z70bT_wRUObuVHDGt6#
zqJjn38LklSO@Hp7WHL?CCJp14BN$@DQmsj=;r9_KQP64fJdZG$tz^DGa1J0qNnE7f
zn$->3Gb;*7ERFPMKKc`B_|X21cF&4bmF9fsU**wI78+RoM0mD+7-s@dp@T~wLRyzT
z*nH$md=tlrPdxeY3}RCoQ$?Q?yL1d>?_5ZvLa`#AfTB!02*|(Qp;C;EVPJT1r-ng_
zcX9qS3>Df~;Mn>62mJp~?0R{MVrM=QJqMW3f|qSQpJdD4m~$2TQjEL1V%)r2)EdVH
z*>W?;mf!U4AKiXagr#~jS_cCoYqg;f38kJpkWE<fL=M78lTBE*V1*U#aNJsplsyM<
zS+W5G@dN9C^liNTFa)#x!ijMT2~;L@OzSsf2LpZxVn+&MP;zU!vxAX5k^Q>0vf06a
z!E{eVgSh;wD+go0S}ET>kmhKX%tjX3KJjJE8L%cvjzI3DFRfZLI~X=129k)0Ys2js
z#GWg%L9=Fi^!oPf;3?r6fp$Ye_v+}?{n@OPwGRwLy#dvVA8Fkikp3g$Zhm{dL&d$p
z_~)Kyr+Fe@Gu#_k%684_9u@ZnoJzIiq<f>zLS#C_rHKGmTmya~A9Rm4a#h!&=jEvI
z?M~9tW89d(GZ4r&*-Xt&j=3*w`Vr~Nv(k_7H>!3x_&?|P8`?gX{1xdo%O@<4PoSkF
zFbxL<-Ec^^rv1_4RDqWuPzAEG9s5gQpEl){c7Nx&XSFv^AM<<nu?1(a^iMUL@C@;)
z-kEbWH|o8fVQ19)ji3IfPwPpz<#xro4RjRxT=_=l0f010j!-lN1aj*rTWQuX5Q@}#
zE|}n!UDpay>A4{2jTlGc&}i`2Y*?&FqvV1eyUm$0JmC~IsTzV*c`gXTjt=25^Y@r&
z)BG43B^Nxd_GEU3;C9=axX35g1-rvhvz4dK)<z5Xp=T?+q|3%YltuS>NGE@Y|M5Tj
zzwu3cQUx^>zNywkLe4(qfXr9)e`CF&Pn1eE9EVc-zo_BB%fuBd9h*8ZFzE!z2d5Z@
z6l%GK0%O0hCc6@Qnpl&UfbQ-BI#V+fG4~SC-3}z=xBoA{x%-r`ox_ljGI3xCG${d{
z0R+;#oo%lnS0V<-93Ws3YfR82qmYa_kbuDjK?|W3FN_}0idSGqB%m|F1E{tX3kfUA
zSShb4;erW*oWcgJbvtteVnqq){Lnj+fv|wLQ>KwvandBxc8E<;L-jf`hhCg=GwA=q
z94`E(RcWeC*~)gf@Dk~#&AK!N-vV%tME56O>yrt(zw|A*zw6Bz4mV3vj=`ExyuB*c
zVjJ(yHqL{mEVl7>Y~!QP`r`M#BbYa|r_z(1)?xV!j0ma3!1DxXh*neWE32luU^Q~N
z6{Kx+L7Qfb6~SA@xH7XR(Kfo^>4?M}gS$O|E}FxPL(?|8Ag14;&`ZJXs>d)#+vtM(
zBPzKR#CYVL<}hPL+C~>V0bVF2MFhbzvop?d(l)9|Y?;6Hug27*8;0xfXsy`P2-fmf
z179Z#d?%rz+s1yrYq5<_0r$5(yyqIc8TOPK*x!wb<y&RV1lE^+^QWx-XwXCsS5<Pc
zM8LN>$)2k!qs5Y(wohmb<dH<tM6o1i?ODcAGzN+sZ5<+4RSJ9%>HW=URb_+xBNYu`
z8#XOI4xOtir!|lWBDm^$7?hl`FRJUf-ka)*6~))GO%NJMq`+ench^)Fa#iJYToD<q
zb&a;9qsbksEC8}YOd{7rb9K9BzB|yY3K~IO1DM0+==t`5(+H{+N5@pQb88S(hN?u#
zjhDC9)s}-S9y}UQw!VOuAfA{?vQ^i90Ef>def@y5i0u1EC`9<K<xj&bdbMd7gIu84
zl^$`B8-le{uuj{qkI+faIOrNTEMaMWy?3iqVd?@<9>%Ve1bJwCtJ70{VFsF6`OUgl
z>`IUM#c97~P>Kg_zw5mZf_AgV9Hf@C%p$ol$0S||DJQrj{0yQ>rFe**f%=hD9Em>W
z7glOR4s*v$bt9P`^9yT!z^ilA;p16bDd^DFJa#*<bMidVS<&hY1(my`7Uj5R*FVqd
z9%*X|)`VxIE>!yM`5qOTPSANtCOyt`(vc~zl@L(ot@M)NJA28%{dm<&c9YbT{-*f9
z^2Xo*{g;e?bnc!9y_XE9dzkr>jnPmF5+`!xs6|cGy&4)x07Cg>MwkC>(?}jj8<|cR
zG?G=J5WJ3cp^n=T$Abouh{;kE*n&p0LcBtt-k;mCe^WP9+R0c>Stirv08M1ITuUPf
zuEe{gkpx*|M*NaSvikkP{FsU&vK_yFhx6qdPCAl{?Y*~xbrR%{`3E<JR_u_kA1+wl
z)Us-+G3eFu&F7x&r0S~r<=%a4;o&TGQ*46Kvut9iZk_6;_pw-)3#s=<4_3L6g<bMv
zhKBNQ|Bi3`l7IP^7HF|%?L8RwDxz^<<Ni$*Sv7RM!6NJ7w1>wDRdGOMI#y(@s<E`n
zxe&~E*?-J&3kQ)acB`Fvz4jF%d%m<q@N_`NnOX|yUfR#RBA-qdM6MfCb49RgimY%)
z_$a!hog^y3aF5&CvLJtoB>HqD?+x^e5mwq)I7{(ST@tWwxTeTw!{))>*QY5BDK6RJ
zr^6w@(Sbp3uch=3x+J_2?d}0W$!6E5qtn51$qpfet_cKmTiX`ok4pkX1e?vP1RjLm
z(d#?3wKDh?xT5wK<y#cxZEz^H)qhFM`q4p`&GAL}?Z^IeBo3BKmS6|!1AZQzA^Ymy
zr0bw=tmQy-qp1<7*>?Zj90!%mKq32!n{jv5$(^7pTuEN-O_AYr7vkz3ZS@q#pPog}
zO`q#OcnzWb7n$t_=FG0a${Xl)Ew&9S$dun@!wO6QU;kph0Arr;cN%+z^UV+exC3w}
zlKE4lPvDGXJItrJ>uFPba<_N)akVUP>E6-Z18j(2`$KzQ8N9>ZCE@uHYFlk03$j!d
z=BKPrt9y?xUwY?zE}V(_@k4Ar|H6A76rP8RcfSa!%EK6;W}SD7+C<d?p}rXi^$)%D
zgFpHOPcsR_7`K81*<MKJNI+Uj<OD}a7%akP*Vn02gFakwA7yJ0x8F<iqm%{W)B8zY
zO<4f<C>2$o={6hvIh=#hA`LXz=SN5S!Jr06orv4vzh}1LSL19T;YSm;Uxn+D6_M$Z
zPaf_2zs=WS<lVNb$=)mBfgZp!1h#cX7i%1i#~%mH?Jm~H%M=5ttb)nFX<9A}91z?!
zZ6M~DRsp3#ysI5@1gNc4>44ICln$s_v)Es@lL>8H5cU?G)oCSjSL66B){na|G|F*&
z@wd~aWG#zD$JK(rkZ=O>wLYkDpVGI)2~?Xi%*B)71ZFHRWs~dGxLG#2{NMi22c8Q}
zs%^6^M*{MXoJ9lWvsy_zXKt?Nm9}nMTtgq>Jiutcyu1sJG8*vmyWl9J0X3-$GMy<2
z*gfJtjbrR9#BdCFTyT{7fbmWd9HTy<CUwDa<^yU{mmFt4peA+6apnUe*Ija)`5@n&
zCt(y&Rd-dvS+!jRTDpA|?@VaAq*BkN6L2N%|2B)trhn)E4$0`L&Lt1%p^t@vCMm)m
zf2(lPgytecY?X?eKsYHZDeVt3fGU>JDn!z}e2PNVX;Vn-55;_z=0vbqSq=u1#MWa@
z98!y`d(TdgABZK2)6vTlnpYyt^V=xw**`ZxnyXIdE#Er#RHq+(<)=Su#sunR&`jm=
z)kK@ipc#xmyG%Xss-o^<(2Rp`Jc$HADm<@TN>Bxu-hZV*3;ok0<-&N^s`dkcs@Nji
zNkg;>Q#h~k0VRk@2jq6_|HKLt=UcJ0Kw+Nas!9?#PDd|KC_Kr%C0A3x3dPk--_Fk#
z&2#>G*`m41aNhDm%J6GG{=5IXkB@SPR*${hVYOtk8=;9+yN!GO%k*AcFd#YLM`<hU
z8S`NyUKuK*-B@zUQMeY@UTal&XtrCpwJ1+@s6I4;sTBT9w+4x@WYaN|u@#iZ*;YiA
zgN`yn6Tk)9+CF_t|1sUB1D-$xx8NzRK>+fA+ln9?+bDKtz3B;7kR0F-3d*N1DN%a)
z-Ru{@!4K$x>tQN#qq7kpBGyE!LHm2;N%tRwPGq*-QBvdizyKgekZ;cceb|brIB9QS
zC0X5{0Pg@V(p)6<B>cMj4LWWBhH54A9kT8Uo+o}&E17T69%rW|6oF6Gw@AG<JGv1{
zLQCLY)<>VrWzaQM{hlC3m{l8p53f3T%U+rwm80zb2sH{_$N%!WDPIUqKY6f1!k7$#
zqHDq+XoQ}2fCgXMocEwh-|SegNUEUM=8S8JF#mjq+|<Ci4*Ajtaff{ns;wq&QESJS
zip9-TEWZ3N{K%jDE71p`PL=1`%*8?v!WJV4m}eVcgBD-Nvgbxs0zpt1E40DZK(Z^j
zlo$yO6tS546-w<echP@W(?%EW=AlAFu2_r~BE-_#IhGZN*r3JGy5JZawD>|MXn06A
z6MBj-ezp2SE_pQ>^jQ{JO{-CkoM)B8fM<g|J1ylYEB|*Wb>-jrze6(dH%g_d!a%5p
z$S0%jn5wFh?CS7^q1CcO7+Uu7S2%%QGK>#WijCT;#OrXFQ400a3yd;?crlI>m=TLT
z-DQOD{;l8p9n;g=wjj`;dgHV<P0>4U;_Ywa)v+x;nlY`dMH*4P6+!R<BkJIaCt@8d
z)EGkv7tGVzdRQ<%l`aTf1Z)%In8|5vz?oAJ9b^&{X22TNTUikddQ##&vFOp9Bh_0G
zJg!LjMa0<J=I_{7M4?s4w#`O4<bfD#aC(#KO;y?$YD!dwidhDK=Cn552NaR6kmL`{
zW!Z;UQc}xh{NGSi@yWmQf8(2>Pn1gC3Z~!?&I(KS3c0LB!JSt;kcxfM*P_89mnACf
z{8+&r>Ip}*m#<o4g}aLt=G~$tR+tY!Th9WZtye4}edghBf5{}e=>QBaJbQ!yQzK?D
zx&*1l;h>_56;^~FQ?S#t;8n2#f)mn&@X)8;P?j7kln`JjsK~LxaYytoS6l;v-h?SR
zR=^Qy`Ot+uFpDO~3b;H>*%H<PBiXE2#R@=R*^Ggc(mv*&U89?}2c#jnCJKb=mZbPY
z@}?Gl9CjV1%u<q|>FVgS4Yb<K*AU%Ad#WbAbT~P>NzAXFAii_+?EelK=lGkMX*bIQ
zama#hI<H1A>Gfo$C5IknIsul5SJrkweNbCC)9Vf0^rpY{Z~vK}j;xE;^*!riRes{=
zP&0t?VYNd+i}n@#Zn``!Xtb}?dq->32tjI67fhpKHs+s)An%R~qUVKpNvybnt`t2H
zg4Cogc-kQ@k#jt<>6?L02vU=}AR;SH2cYIzp(V5yq$YJiJTIivhu}_0|MQB}q(u<p
zKBbuhhDK;AvY=>EmqhHu87!P_f=<xp*rG{Y5)qCzoxm_ii7wW>8T}XvYN%f{lu?o!
zVuQ7~<yHe2Jw^>cYLsJ+VU~LQ&DZ14k74XVJ~xJ$k>{aP?eE^i@~!_(-|@vy-2dUf
z99(ot*WopHXP)7Fd1#aMGaZ~HEv4I6rtw^`)ZE!$u7&Rqq{eeWn;pz-2&ksz)ek{x
zJQp<T1`zXXH^y!eg4B2}NG??<6L@;-JqRRNehootJQoDip3P=Z-%ydQAT?eQ+zUM`
zRs=C@`>Uz(T+qO&5Zs{j+Z<Xno=XB3OC?Ill}tR$Cn*}wCDDbac_qwPw!VA&ipd@N
zjzx02*EKs#WnsRkT9fw3CA$@h?Ns5YzR5)o$w@6rFDxm)3Glo~x_ei44K=E6qCq(M
zz5QXh_g%lVNl+mTPcl}FPbxjs7bo9bVs1zyd|CC(@c=3B?W)!PMOvt3lwB~L5*9|H
zUof7HgPArZ<O_fEnwU;|swZ^bk`|hubGY%Qw|TdyHA_ng`DRMU-|};R?ZL}o+SLWQ
zI+#I*M5_(vt6Uk(Y&uI(gy3K>Lz-Ewv4r4YFoXOMvLAKmF&xa`$Xb++6^DbF4PbE1
zio?OodefUyIULNa5B=efRjWn`X4d=OaLy}&8Sog^mweI;t8t4t7rNb8V=-^~%>emL
z7{4U<6bCbaAC-d463l!I2Qy1S=I#nIrXMGqqs8*hcaF{}$o%!s_{d*);SGhiN0a_;
zd94HhFtw29NG?w%MCLkzR8Flpu*Z~5Ep~tkZXCRY?nlWE0)DQRH|h<N<n?kxnPH8Q
zpbD%ALGjK_5a~wNS<r6Yar7ijbO_c38G;%lC+bE&1gnC~ZVO&TM!@Jst}7jNR}Y{r
z8mz)M5Rh~}bX|}^Fe_m#C~S}&txvKp$bb?MIRYj-`&Y7RH^)p>MNA;ud8~18Gi~&P
zM_!5{8>Cv}2X)i=gGY|lE_`*5$X92=<0uM-srkRgtS8(4z}wFwbjF_w)8hb19Y`my
zTc&BtGsSo#Ympx63ri{CIVdzj@xUnlU!TDXJb`FWV9px}AaxUyWO}SGKz5|;i+Ey$
z#JPH8(sO-Lh^sK*p!Wi3!%;ap??0bcOt<SjT<OWaFjPNtJ128z(|M*^<Av2j<sIlf
zu-X}KM?YdEeP9osOOG|RlhY(oi^P~CoaYfxsP;S+@$PGGSG=Bh36Rul)rnI3m?X-Z
zXnCL{UION|;(E?|2|#D)u4;J+@VD%)V$)uNhO|*$0{rb}7#MJvHh;uFc@M<ZOpW;!
z<L9B*EdE9b<c`L;8Kt@w7)WpxO>iYOWR7HGsv3fYyfAV5HDrcL{+JFZT#2b2VuIXA
z35sHWkS*aU%lpe~9myZ;kU^VUoNwkP+@fQkY6ylv)!!%Eku8$)V{DSzF}K@o@pGzn
z!ImnrC3D<@8xiLh4aq`Yn66(#W~k(k>Gum)V%}d0`SJU)L;n3OHzWuQ&*O&d$YmMF
z-)%2;Rd))=9;@po+tmfjr?aV(Z+f*#E)L)B_J!HvKE4I7WI$4tMpCuIz5CcI!XUcf
zrVHDqFE#LOeHtK~#BcD^sHz?}&f3^t@YTo9U%vA2)rT)%J`e^cg!TCU^~L3j^fSr^
z(qm;`r-iy|*LUY#g(&L1&%ghDSn>JClH9>oFiG8e@59Ud-NVcL9ryFY4eVCFs`lcE
zO1u?EeEIU_S6{w-_=5Z%`DvFVuqu*ep-NDGNT2DdT!^y%yI%Z?=fBFX)jHyiBIwIc
z$(03%E-eEVo^?46f5*JL!d>}0%C7un_deF|3QKrD^ZS16oBu#ivM3hMOL&IN;}i;o
ze_>edD3<!P1t~LYeW&eOINdW&nX1}XjG2u)WCX=Ku&<Cgq)u`{@RHIsAwel&+B9Dw
zNIl?!Ww_&My)`UC2vS41;BkwF4mvwz?&gZY0$H<!9)KK|w%Klt*~5(>T{2%06B~(_
zAeP$Qr#Q4k8!id9QFWhil2T{Pzeb`Bm+aO=tz%8J?h0j9K1+!<iX>3k5@wxn(baiN
zN2fh4lFDR{^W5kRkm~69_Ut*yM1Ii*jH1<5pXa<4*Uh7sXlu~`#pZ?-uSoxzJ5BkO
z`f<IU78QQ2(vCfk&BK%d5IFb*vcsX&Sjqmk`~+~|!PpW88|6Ywl}bCPhaV1uY=^-n
zV@lOlb1wIlkOIW<NpMg(ToVi|aJazrmG%d0yK*=b5SGrLFMFK7H1VX(%Cz6d)q=kp
zE(QGG@^k}TmcE@&Xkaf#7#*?VLk8!|UwI{@DmUZCsT--8f9Ie3Lytc=1t+s<8_WYu
zkY(vaAqZ~wGLSt$P};<2Nk8DyFl9s|=FYIJQ7_@ma>3&X4gC__4sc*mGrM4iSP@16
zNG*&IS7j0_@(vck-I3s75tJ7Ee2%#SgC~IFO>!zGR;(&8D^3%jAbR>$H-?~SW<NHt
z#TKgX84J_Sxa74po+yf<;X<F)QR&$_F7!`);^O(g_$EH(@A)~tiBEbmH9pL<G6U*u
z>2Y})dR**54dryRDs0~JZ8?A{?0@|I|L;${@t2fTwyN5`bLowGK)P$9DEyb~zLa@v
znDgBm-<`de4tRL=8PPvndoQ(fSu%N`ptq8596CFf#v21KbieJ57*9qBL?2AG(Yah9
zP2Bj5RAIJ0jF=(LfSi@QeD?1u=Mu(W8}&f)q8Yb1$Huu7t`nGRGz@3~J4up=!4D2k
zkwpOk&>6*Il4J_PCHK(TDtS8f*UWb(Mxn1eD|V+f^X-XQ=v#DRT+g`_jiqj2P6(EC
zpy$S~q+zE5oYK5S=24efSDh|PcgI(9GIO-+9GTF?lulT(0rk6hm#<Ura;bLTUA5b^
z)P(hH&D(-AyBVC>TmH!x{N3La`6s3JaTme)zCfNu8_3Z<81f-j)xI*EnG4z!7DT}4
zS*PXCbYHYVE_e%*6!$<-)23pe;99dqagGwkI)T^jxVK^(N3z!EC^bP7+^<%esA5{F
z{V{YA{KptU3(WaSn+o%<78k)KJG3-PN(-|LckQvY+HD87!Eszu>*Cd#QnheN*n4pi
zz$hRyaw<u>2!3=pPl~b!f7`k95!KcYF$oka?PJ@9nsBB}y)K{F1i}o*r#a?Z7XpCX
zKD7J<0Fdjs95O#aeT;ElfdxQ*UP|hANP+E{p0FA{0Quas(Y)nbqr{l&fBKAH_BsD^
z0C%<GzrcsOcffl5LV*uCZX%NJqF_`dIbtG0BvnXtRw^`-e44NNTBJnaByO;udn6^b
z^mG5h=;vNSq`M1|3^q1l>{@HIgh)3NBE9;_Z~2<{O=nT9L_aymQ%72Ikmm_Wz`CGb
zr|C8%Zl<78C+BMMaEZPn?zLVqXHiRu9^;^U3EV1+`U`fDr}Y;zGfRT)`dSLIwPa>-
zS0s!?7$%s_oIn<z*Coe?gXSAFG92{UMKE<Pto)DsY;h-&OOng}>ZFVlOsmXL2e2N^
zGqiCCPRg9)!_1M9Rm;!lXJ~WJQA0YZ<^5F7ZwIpU>i4K=pED4E08gYK%CN4p>-X(6
zPSo!!mQ95KrusdfpD5U~29N4N93S|F3SlWF`vjPj?bsgxiM*U%9*6dUP9~L5Q1Hjq
zMVVG0g+K{H7**Tz=@sTB0DGa_;(*+p12XRxEe^=-bR>P*n;!r4FZ*X_mvJZfR)JFE
z>@@ln0M0cgE;}GFY*!PrRt^Z<4rDb^odw#XEs<vXhD7Dn46c;}f~qI*Z&JoJ&JueB
zk#J5rh}_x4wop(9gl8*Um2s8Ej>XxHji8Jb>oV>N?HI(Y0AOQqnIu`4aYtBT8;sxx
zEv&TG*dfrNHO32@Zx4u4w9Dz*ys)Lg11&?Is$heMn)e5wz_w3UxD5{;6~_S|FU7$7
z0M$S$zrKzc#L^H7M*uxi1viL~O?np6P9V#VIYm3iGngaO^Bz2x;V44?7f)Jt<1Y4V
zY0$$UmEHE#y4ix8vwL{aVRXAdxRB-VPi{}o`9;a{A3zyXm*R2s^q^mqsWcdUXrzhh
zsZ3A$1>8-2cMiaiwrdJp(a+N+J;K?=bKL$SX;5-WFg3DE50VETNE=6&1|^rYS@guX
z_6d-sL8(Y$x{kC*oi=DAYxI!799<H_9;r64CaNc`ei1;UOWH_E{vuFDwreI;ba6Zq
zg3C4Wy7$)1_XzNtBlIPI5$ZtIn)94;^eTBmu)JsZo4sA=k}98u-!sVid&ZCHJ;T2K
zp7CRT&migV89z4f8KnI^<HtLB&&K+ynl>qIdrEFP`j$|}3a_{AYl0`L4<|Y6IH*wi
znCcy}UyD8>D){0iv#+Uw$wHt$Ah*L!MsLk_pZDKQG%`u6PTF7kxYF^_7*&nvMQ2of
zd~V17O`BNTCZ%mp>H48>same>YuchO3J-YdtCC`ETyX4=kH15!QN~rXuc-=CAW$E$
z4pX$<D1JZMo_5vm*Jh=3{QCFrq>n4@k6x#$uMPtB@wpw>uW1w0$IHW<`+Bu}^SNg`
zK7FQQ?%l`M7MNL&da$`;VSJ584?z%?>eeX;;$mGMvO@`+ny=m*tg26y_M6gH6a6;~
zhl-^tf_>QZuv}Sis85HxEZtKpG#tS%T)L;;^#C1iPhn3Ri>+Vw(p!Jv9f8qT$|^^%
z6z06`fz32vA;d)Hmf?^12p0?jM3M%=(g($!V)R`Q2z7K<aYeXTbRM!GErko7fPWQG
z3MdmdtsqsR3tER4JL=VG%PZ0wDS`mf%ZlJuw4sCcl|@D_Xe6?TjP?h~WX6i~+!i=3
zCCQfjcgrBEpKtKa?01KMUG^UNIxT#sg-chR{x7mutBb1fN1*k|A}Ts3ERqh)2@`}#
zrj-R%8iYzdTcF-J9T|F`kJnhxwlk;6Y$8-a<4@kd@gO9c2n&d0T|Md*JcyMAZ8M{?
zo%W{{OPk_g6r+ZVg*4>(sX%*p!?N8hl78OuZ5oS;=6gQxdq47(X}YPH`>RnMTQvDd
zq!5G;0>qNt;2soG7&N=Ig5r4<K_`X5Y%eWG#ERmgx*+H^1O$dzSr#INpgc(?XweM%
zkap{RO$+h%w^7m9Zd4n_9s|<mnnXoYFfO?75Ex6o1WY#?NyVYX4YNZR)(~tP^>zp<
zOwJ`yQc>;-bP}|D82Ta>NjUjDIEEBh2Z66SQ_Gd$Y(^5qlN%dJb@cRGqxw8~(yNmo
z9t#4OeyxO=k6WykjO9B7SE!dZk-T)?df=C~p@RvMm+jWNj+111o)gIn_+Z-SS+Xs*
z;|06GB;&9@k#(1qg|udRq7xkq_+T{VPm<}81{sah!2rhEKGLEe%h69re(Ey~8kP3w
z>7l+r2+2nkHJ{x^NP+WtYO|<aPb&kGFkOfHR+6GWO)`d5AnT(U9REf09%@AV^D_?e
zm?8^s#zU>i1vl%qKzXP&xezadAK*40>apa4OfCvTjfBxeORe;=rXA4Jdrl1v!8v={
zOL74vJ3PI~G~YJq3(hwO=Lk6As;1*3+ffC_vK_WuvUITtN*6xf<>x4gOJ1(cl?ba#
z+F^TMa-+>=kjMnSMoLaJ*`Owxe`3-XoNrF<kn}||pPpPowxha!vRz%U>>6puc5#g~
zvs5<mEix~fKIo7>Kq3ShLKFe#cW53(^#WL0kalrl(y~YvrlcMuN0Sl@a$EdBx0_g)
zy*hoX;&YFrEwUW_ug~~(pL+N}v?*vZ#sfV|&49a$z7L;uz}s6=3*IvqM8=ue4V1t(
z%+%K?585jij1t&x-)sKk^>x9Z$v}5^yCSWX3l<Cxs&Bmkfhp~b4hp2GrLwhI8yml^
z(U!nEHXYy<g&$^2_aR7A<%hOjLPRO(-)swibqNdu;8DP!q?@W(Qv5Gp^K?3(!oh>D
z_L3aW^|z`7wntB>v_7D#U5sxfneUE1!jf>`N)KlSK2;bT5QCtBk&@uzt$)!xLs`tE
zm%ls}Z~qP_<V!lfPR5s1e3gi28lIL?y}{SAk<lGL`xi!_Q1dR0j5pZG2$)QI;{lVw
z)lJ-5B+)orBQ~~IF4X7E#>VrOABv6t@Rxqszwn{d@c^Z{dWY-g(tD)p44Lx7MwCzP
zQWASAyCG?CV2c@a^KrE^Jz;A=?@26Sf=8%QNy1@LlTaAt6~*?MV0R=3Da--}Fo960
zZupW&!UQdjVG9|CZ8U-sNR&f!%pAR<)lQ=Ad}ztL_@PgN;e_{qsZ(PuWC(VY{S`YL
zH1!z2T4Q5B$<2-ftZ;0UWZRR}*x1ifyY^rXH$;5Yzgr1YP14w)0N~hww6c}VcZX!}
zLOOz$z~%?d*0?F>XT9S^KUxr?5kuV&p?^C=$3_4WpHvB3rqMQXYq_^b=)v}9w3f#)
z_+!RFiAx9BR0PKNJRE9C45UqYXWg;&8F%_9%ZxkBB`;1f(xw1Vo}Y=F*8sMF66Yh{
zZhSz=yj#>-tfedBX1XH&&cFICzxbDj)dzhy!eh^f>lVx6M&Snn7oWB$f^-jPS6uK2
ziYkFjA?pmPLq=rwm05ikJRr*^Xq-KA?5!ZJz6+lAMC-s#f=jP=Xlf7_v_X%EtG8gY
zZVpYWUj(_5<eGu%(nO<uWf55s1V&1-haldvI5Y$qk+~p-#3Q>X=eRYRKNp;|rZh8R
zbi#N>(4zKBGkW^jiOC&F#Lz_zAfWzXyvmAP)&Fb0zEK%HyJ5mB4^aGH6zT9bBcLt0
zC_pDs#Y(9mb!$@9t+ln#*cH%6lg`x+mFbc3VCjHpZ>(~GErFnHCtHOwTh0Zt>{sOi
zC(3MWSjfpYWU0^MBNT@)osMMw+;rZ@)dE}L`Nq*6(sM(R1+jm3i2ZrDXfclS{g-oQ
zh`jmzZ~2P9n2e(&T8eR$E#mK20A?UA-J>F8_C&vO<7k41!W{|dy4Gxs6V8pJ3!-UF
z5}=sR;r67gD8|tQPrDOxiaa#9OWH$=aWugone29M%F2pj98J*Dd$6LrU2nn|Lt;B)
z09<xphGE5a$5HiS>C1{@9BoAdus{%lInB}+K?#X{NwhP98;wh@Nt;_QDH_lv!5)Va
zH6=m6qLsC{l43ht5&&XOP34+CC_YJw>*D8Gm@5Iu(bTU?P8xBwz+S6cMOj(DXs|YF
zD~Bw3aR$OvyYcpa<D2-TM?pAjA6k?!!eKq;{#=Bx?l#-3w^n)?$|;$ya7(0!l<YWP
z=CoNw#P=EVcGofM`a~~g{e3Tg#j9!<gks)NwKakN<I9)c`JNaG@c1FNpMT*!fs_=o
z`+F+pOAJW$5vC(_#->>&ZqZ`aZ^x`(eDlK}dTTHNC@90tx<NEx;EYf8031h)9g$qB
zmU9!+^8ge}-hUH3!bppw06(FJaFI;63$E509D}mdnpzw<^7glhjxfQ4)(m0YjsQ-H
z#SB5obekX|UW{0x8HA_M0(K<R?T6m&%?5WEp;WCnX$6b*QZrP~7(&BXWd=E8s~3TW
zN$Y)87H?$ySjGBbqEKW10K{?&$dBjRz&Ie!A(4uCJnR3$i_4Ir!-jY(W(9^oQi6TZ
ziw@{h2dX<t4ndzXq^JyC0IsU{WN6TAYa-TVMHhGcgfP3hkqzt%f^5q|c#1+q`w%Jo
z)z{qY;NWu2?bbNW<8iBCUvLWP!2$w-?l7(By5yOU5im-bnHHP*F~a5zK1Nv0A0vKj
z9wXqP{4wIkCw`1ZuujN6Ao-or6_S3)`H=<{On!nYp#s+dkx5`}qzy1NQpt9B9>5BL
zA0ZBid<FOq^8TXnk|V?Iw0}EMOR!6Mf6;Gg|Jw6mZ8gKT;U}HNm(ZkZ&_`C!yTZ?@
z(lsq~i;ZJ>-UH|qh(C$zH-WY4fveW%4cO{U)DrAcKE9~^xPI6nUw<~T<@?XZxGKLT
zjce)t%XYede4b(x>8ae?q*p7_O#p@+p#wkz$5ttPyG5GUH_`HjP1qx79X3++HuK78
zSWBC;;YY036s<b~KdR(ruTHmX&gxl?;HJO(<3IRGZ<^|2v2ypC9pb&76TVcX@q^vG
zETE&>EebpuKk6=)6H@X59Aa~d*2QfN7$m-Cz9MLaFch0+1|d5YeO{5zlM8Ynqhfqe
zYS%h)tVp-Q1#x7>j6=TZv^i7FS4!She6jib;Qxg=KGR3IlXCIZ;GEM%l|aVBgEuY|
zjDiE=1=o~#Xk_$>R0>(x2GR>H+vyE%Lvi%M+-brb=bIHO6XxgiwNNjlUsLy5;#$F4
zoS*Nu$2MN>n}y!YTfPnIs5!p)(?9*=&tq@a*Eg^wVxA2K!j2C(U&`Lx0%a=b4RV2a
z&w;2}p8)EsktJfVZAH>WLy)K+BnTTpu}mh2uV=D1Yb-?Q4WNh3iei0i#SR>22%7Nh
zuaDOM7Qb4ohaY;kQh3m5x800FZ#oc1i+Ve)b@*zA{Z!B!>m-CHus%uyOC>2q7(Y$)
zK`5LDECcPO)OXd-bF<bVu?O&y)Yo!SXKJu>YwXQbv3dx@H#WNVOoa>f=2-EN@i|~`
zmbK(ym-wnV5O*63wC*F=K$~7@M|GI9I&J(V_Q_TH7X`q|Bb+MWwGK8s%sX|krC=F9
zm;`54pQ6Ik!+zXJrg0v0gI?IRhJMXken_Ey(P#YF=I5pgH@KRF;IVpgGLk^g_#3Lh
zN&|=8iQ}(W;Q?+A$|{ChsVio?!7ti#0y{>vBX^|8^;nS#)dj66f)%0Ps4nt~d~}Q8
zYDX3$!XG;JEmVSa;bvtS9t?xgbX6Rh3e{IcGQyhj0w+=GF;u8UumcWXqJ0c6Y>P`(
z;f9mk1!s<Oj5TD%v8%!j`n6aq1Nja-$KIM$sDAVl@&yXMSYt|A^TS1<7RmjdRt#B*
z^>$kqZjkAqy(^MyQ_?_yG^MEXR4?^YC@{FIs>tkLpB|5~*{V(FfHh3-s>%$t=^cjT
zc?l({hr7W>EM2g!^LPm4F0F3^z5!=0cP1fR97j_S{*c3asPD7j4?Q}^*WozE^W9l{
ztj~347x=~<!Z&7>;#v!~U>k1++xQPY?(1IoNO%F-8sQxcrS%CjFkxYd<LDDPGps6t
zo{*WEn>RWRk6wVUI64lGUO*9qXDfJz)4=dLdI2s7lmh`F@C*lL*U<}b!O_um^a5OP
zd~zM*a+k!&YT9;sr5I2u7Tdl;9!k6bmju@%A~eQyCpb#2B)tHaJnn!q5y|3Er8V>2
z0Wg)lfH*pkF!j+VZF@1dg+6hhp+ODwK(#?_r{ged`nJKYv9ROuNmsv<6qVcYf&Q^2
zs=E28Dw=?M?PNfAlm-WUnKr9j^)QZrQI)?Ziwp4dLOo1hP28fz1-Kok$3J-PLm&R(
zZ~@e1cBqHPg@+YhL&<{<05fT1TyT$W1eD4Vwj*CUmI#0a^ossoy1sLKpA!K9mw^6@
zD-J+Ct3<%|XqZs!(Z<77B0#gh2#0s;Q3NMYmIk8Sf>5Q2u&YFXk~6dH4_2Z^uu24g
z=7+3iEc<hGaGkBF5&_+A!;}FfwZxP!P=(;^0Cj+~03aOFrM>Vz-yP$EWv=9G1m}<o
zpoTrVF;c{DZh%_t!SKHC71&>HjuMFHoc{}NB0lLs>%hJZ=-nYeV81v3H}3!}f&I&u
z55$K-v;e0?_&ELJX%&W1K$?Zpv_@$z0M3<?xn91A2F%(c?32IJo1mavZ)1TiZ+TVp
z9Nw2$R@+zZR@oO6qVEf=Y(pr@?;Idoe>DeVdrn_Q_c`DC=)2xfCMjs0zyo`$8ld~%
zr`;a9W=yK4_gyfi-L8&ewQ`m3ih8LUm@Js<rHQJb>$U7lWy*_zQ7;8OISgiAkyhQ$
z@fg!?w<t8$g1KHA({5KN>NbM8URtKz9sx3CWg#D0(FlH$N+Uz6f`hc9RFVQuG)a^{
z*c8Hg=|E*Q*Gpl`!LI{Jbd2_>7n*wMN|{oR>-Dj&6i){BYM;rXmTY$c6c(EgRA}n4
z#MS_10siVCK0juT_A6&0gc*)ceo78B#Lg|y5bHb8kRP8IXn3W)xAKTRV;87yk%0)l
zDA1eqw5t1@p6`q9w1xmBJAgD=$@G9<;DI2D2@r$Bp?{y#6Mg}Q5mbUI+2a9GK$<>f
z7u^ahPE7S2foN(UajoN;BzU1tI8QveU4Qhmvih0ieuFU!A_-Q%_We@uq)7q^f#E1P
zPw0vsCO~E=`1L#-5hlo*>upc32s@igqW-AV#z!DATJ(TccKzsR&(`zY9c^5hErKMQ
z%uw((;Z|#%57!8lw0t+`y*Gfs=Jy7hy{uUE-T)Lk@ZR9B{@(Coes8ei@N9#4TjG;C
zvQG;@m-D$YKo=NDye$cT00b(Oca-CG6hf-sV^TncAAmr+Lq<TglM+Y<SmD8Ldr|_@
zQ@Mgiz-u)rKme)v2U80Kf~%QNMpWn_;Wv~kFkL?dhQ{^lkpY5Cumb2ppt^pt9mj7c
zC6ElT!cQpcUOFHRf7<^^CnX5^VcdUCN~i$3JJE&d_}q@;<AUW)eY^@Hw}#PzZZLTS
z;1>i|1$bQn@sn;)5V>U&OJU|h1S$8iSO<t_C%oiq0(@n)D-Kp=Dhm|1c=5}m1Mfh)
z+B@b|lcH?7YTSDD<;#aJzzNTOe~~P1b|tt>I(YuER1WLtV<dKzc&1#;YCb}_);D%n
zi1hr1ANtwVcc<1fG3#yQQUIK&`t=-cX1vVC1GohLOOT!ukxLng05?qNDzj^sJUciw
z1rpj1agt+)Sim8nfSr#;E{E+ASR49a>$Oa$%7;#R3XuSGjtGK{t#vAM<YEo?01+XI
zXuYK>a@ixcQEv%7`t3<pNKfme<5_}`rt+5HCFjTm2}|=7Nw?NY=DSlsUZ^ZfwmAQC
zZLCtS?in<5!HwoMIsu#7u9@%8X}<>y4BjVd)hG#PuMX##Z_o)pNn$Z@YXXzoNG8u4
ziDH4b@p@tyZY4SCDV7*2l}^=FTL<_P(Upchv#O`MJLqh3ZZnQE42074t$tdBAMJaj
zIO0*NwBb`j`e1bKmyS;j;Y)lM&M0?D_|$<)Y6Cab{;cHRXz&r{zHPulz;m|^Sgk{g
z2CT|I5eF{$ca1{_9|3sS@NZbo9Ce7rsR;i@!nWAd%i}HwxZ%?KFC9mKkA(*V=R0r8
zV5jh3Di5X3oP~FSTB-+5CD~Oa%Ccw$W?kS^cL%4McZ-%<>h080|NI~O?7#Yd{!=CE
zI$TSg52}u$D=^ToI=KRvQM<+@%cARUubs?RkG1|bug;Fbv}qb$a1^FZ%jkl|f)By8
z>AMuc8$cGT-3tcmFg^nSWBHP1$o~uD)ccAe&DS?7qbFY17+s0B|1JZXo{9lY_S{D8
zZLxJDQR1BP)s54l&bvj6t-Bpt_uU`(&|991K^1y4THFxXz$F+%p5HL1cGqB;GBO2!
zHI8$jco<<_W$RERLG^(}5Wr&EE*{2#wmv5%pc4s#uw@v(T(6jI-4XoaC|8hM1kFp0
zV77HgC6E#vC?E9EFs{w#m~CB<ukB&%8^MelLS1pCbRj!ncpAY9H-rv%Sau#8WtyHO
zGvofdF=F~)Ya#${*JPaTl9;(f0u&&OHcXP#zo%*-0#`aaM|p{0=PSv{_*Wyz*Pi)}
zHeSyKZ0BWzbwn}sYNF2}LLW2gZ9#DX4!!m1=*P5Y|6H>^BSNN~@mFhN`PL*c?fHLv
z=_h^97fz|u;^>nftJMOPI`w|cAuU;j4y7LxU6if1sMKj`vmDTpS)C&H2ewOUm9-BD
zvWpItpVeu%MF*n_ve}_lKUtkx?vE>K_ATq(N}ZODNWd`L6)Sa$B%yUg4ynGZPC>cJ
z{tALc*%M!%Wd*}5oh3+B_RK@2PSGr?t?<`SrzKQTU6z@jQV<mSZK&W}%FT|;yu8(1
zB2Om&Hxy~jP^K_l(kr%Fl<A^O-}S)LjYG=x!JqmSU;fWeDbwQ-)NATV0tnW;*_AR4
z-xOTAjbNor9sCZ5%GlpR^<`yRDn3x?J!;^rOb^G?*1X+g4}qSQDW>P{)zQWtE$%)m
zQ?MJ-(WVo-5g^S~$`n-;a)5}p3ph`s_)v9qW5)ryT$1`3jeV_TR;D0XWCNT?3f3tf
zyi%rs?kI`}4kyS!^3l)sI9*e!jyVsl9*Q(8%^OV)W!1>fbJb((V<z<oDhyQ0Vt9ab
zqo>-6G7&~?1+^5kr>7k-ZJ?@6D7viLp#od9-Rr28;QQci>J@YN{G?)r(DSF`pWD4I
zGsR<n7LzLMk7CK<{AD|iFQvw`DfL9Jtq}7MCXw^YB~Pud`6IvL{a=4C($rcrXiMxi
zmXV`PKn8&~FxO^t5jW<Tt&a`-RJlLA79VrWHY<!gu2+;xZpB{cL^x1FrnEh@ho*Y;
z6-xz|Q;gdcsUBUhOszcacG4n~e+<>53#Q3vd*f@fui(9=5*zT6J{fJj>aAFn*g@H@
zReMJJ?XRgz>}59E7M1hn0@WpUpN)nAp#7ugyJHw{l*2J%vRCA$_41#No**r;pEe{b
zsc(;J47)$T4B)n~#Ez?3m&2P9J7~6aWGA5wy*2Y4I&2ZrD7JwHDj$8e!jC|{55#N=
z>}nI-|GoBj%PVy?X;O>rcw`xetEHcq2+EazYZTxsFNJnKOxYO83!jVux0CkgYaW~D
z725B%vOYI0VXyc#(h}eH2Y&3MUzE^T0+IAAuMrkw9PySQa^z%@8_*cpxs0ukMCp;A
zf$s^XBIFU#Pii4@2#PK;!DB}?%@r{cSlz!66jR|Vf+G}a170c^qSf~dK`|93cmnSf
zYzo|>)z+N&5EMn^hu(4)FIU9$-uBS)<oKb{;c5=@3Qjs*R9|sYV})vX09a7oQczIR
zWOMkNRhtP|JgxO8&)`L$gyVut`VwEuakxwVZ%9q$@A-L1CjLf=vB{7P4fu9V!-JcR
zE3b8KzmPB|^b~FLqKsDN>}e&(N|J&P*PC+zGD3D$NT;hH;Ns>uTFoSZfFrp#pCA~i
z>NY}BOsPqNyUPZWqGO}J;gC#^?*+P>*a3k!XM_1O?V9QNy*T3e)}wDho>EE9e~8dF
zkRBpzwGR>c2nK*<alhn~hDY^7#0G?%EpHwo9O~8|qIZs%wuJ<IApt+9$6_G?fA5Q>
z0o4;|1kfis@5-kbYgb_6&EL&?MbM$*v3izcrQ=tA(_ej0O3tYQZ(RylY3p?>sr=CN
zNhy!}9!V#|_do()tPJdWtLKwSPSZ-ZM`NalLuZ!)^=F-ai8OvsuyQHJyqWA$U?>EK
zgTiW^wqTyfE=6TBfduzC6US5E6eW|1c%TEO9Oexm=e}ezna^}e(947$g39LL8hx7Q
z6EzV`4AVM`U!h5(rOro`gLV6gGKPL>B#}+;Tw}fFI4HW@P-G_GJV7luPG-vWxerHS
zNzT3Y$vJyqLxau3cU9VP7%EMDS3K6DAP1Kps$Kl9f9?4XzY?_zB}ek)oU^{2j*?SC
zf8>tcu2dAk`bPEWg4Vf@UR+H1Q%^JmsUBSrRJKqLjE^v|Kx4QNq<VBgTah$!V7+M$
zP4!p=k;W6vgH~wC3+8jAdUQeL+>}Q5ggHCv)yJW!9$oN+9)AfRq11%&7JSt}1Fhb%
zvgDi#md<^Q>oH=35R{0*B|G%!NI3*l<K}-SNvcPeM3XQnj<?XL2Y_~%Q%I7;)f7pM
zAn}Y_dMy8Ni6~qWygdv`aV^m?tr3N<IjKlX6jo`_=C8x5Q?*?#XxLw@yTdv8xR|aE
zBHd7N@kzfN>M79$9b6-O*q{1cE3w|tr(uP<6oXT><0hHT(Mgitc65?}@MxbpN$O1w
zVBBA$G-6NrZE4?m%MWSafBZTB^mn{1N+UX4*qu`wHT;Z`CIDJEG3*`TKa?)sZ;*I8
z?RS|9PwiV)Jgzu5jC2uYTx${J{V0N+@Q3BRhJAH!Qe)`bYK#R%QIwZ_tOu=mF}Y9v
zulZUw^Y{FGT^Usy!`OMtb|+<UxX7dfoG6nLzv5~~-WRo(YQ{RMq!r<S)@CaCoEU~C
z$4K^g4PjUAb^y)(BJ&N<udHHW?R8A2PvabUZCc>il1o!6o>C6JM!{1b*>m6Or9bTM
z`om0FOx&VYGcI)7H$%7mNB-QWecprM+Eg>u^X<_z4WmK2ZR+}~efv_>h7)N3Cifn-
z@NQekZ10s#`fxfJ>0}5dYmez2;O`WFbOpxC=Fr)<2LK+WY&x!jjjGQv`}T(2WVGNt
zY;ox9+r#jY;77v>E#!nCD{}s0K;Nxn)lY|&4Qda;%C|oueS-oGV@)&_RKI4@Ru+q;
zM$<q;mRMJlq=Z;KTc=-U=ej4t#Z?R?8T*hqtmIE+&}L@8x~>t8{dnwDn(_G5|3$qR
zbI@|1vgRw271f$ZWmoE17tkK(7@{8d2rg!2wr?AJg!8Q?B>{X?`2k996+c+E!*oh&
zENx15(oHGc=@c5moyOHg`BM^UAdbPc1W@UGOKVU3gc@gFcj?4l*<|v<QZg`_TsR|d
zc7XZ3TeMi(+v!gG)<6C^58oHK1-%)qrQHMYBqae@QX%QfiJF{6JE7ofCb>TWBWKYf
zFE?Pjv!%_pTR30xp|hp!_N+=HGDOndrdEZy%a-=Ir4u0eDU$!y`KfGaQSWBS8D<fr
zCbe1AB7?1u^p*T-3U>W*6)ZBiWaI=vOw+n75=Irtk`!EdFGu_w(WEX}vVv&O6Nb=y
zg{mxCNeklY8}WBqGvA)b3u5>O{hKO>m+w!9%c*%m&~z#aB(0fm5azmS6$l=VbuPN(
zq?e(FzF!PcpTL}s-mF*MD`VH}FHX*qoey0K{T(U;Izf5>#RGYCN8_`ubU^wceCrPY
zfHgjdkey|f#{%?m#e63wI5V@*B!|W3DD4cFCVCitXhJXZ8Or-}JMAxnDIyU-rkctD
zlCc(f|2h&URU+P{0@p^$?JQ;)@sHwkl;1NsAnE0Y1A^EdsQco8z}d;%b~EZ5^eX(#
zUmTEStVX8dyL=H5*h0V!l*4EL(Az)yws1gn^07N0J{=qb90_{H1amaG&=lu+<8(lZ
zAS@R=6^=Rw^>8CdZRCQ;z$w2pz}%8?&MVTuxgg73FmPCLcNiaazB#gwo>4RmEe;KJ
z!P)gvbfW{}E86h%%`=>~-ku|MkP8+DJs>uXS36c@sPBSk7h;Fjj+e){ze7+${UUjQ
z_EgCooSXVWiUZ=3XwgESE8V0$JL(%r8ipc?)+<~gN^W~I-o*iN$wH#nk*2s^GvA&Q
zco5`bcKeM0Jo4=kjJ>aU0Ck_>RdMGH4{u+g)+wpoB8l{mHs_-%TL1P*2c-A`1LSn-
zFc@w=6$jL#nCdG%JL%zWiT|sIahmU%<XcY-pn3c7N^xq!&lvi|wG%6yCq4XM#N$mP
z)Z%+gu-c9K9_&4!N-IRUbG-|Dv={H<>Gdw=-J&JEaXaaaAN>BWdUGb4lfv7Rl&J}9
zCsj^q9xl~qnlG<DAAA@G3g13Ly9m;|D1s-DJIIQ_^lLWX%hYdw8>62hI94I2cj1Dk
zu?ji8iz0Ya6>_yLYfI4Ezlmc(zn{j{yFv4RuQE*jJ^#I~jGWWuXt5larb45zsw>En
z`A>0guK?aa7tvW(#mU+O5s7lyPJwAV6kED>^YQp(q&QjJoV0&qoZ&B>RVZL5Tvf1t
zI*8`<1zK#~(`)NYwM^Wi#n#=9t$X9?OW*LvqG+yN^~KhSE?WaIU*=BkdTeiuq^%hr
zU42Mat7SSMu4OnI935ioe8s|yR0b=Dpx8PSv~G1N;~|=_R}@=kf;jR9^=Nd3A@Wo#
zZmcM_t_aFpE($UOU#}>(&JVrcu%8l;{q;c_<@1kWB<;T%%aFj0#2fn_kBCIlE(t&}
zd+%k*aWW57LWo(T<XULn^T8#RU)EeBHO@#HMSd{d=if6cLKSQ1<~VIcY)YG{w(rK{
zQavs(uJ&O(*33ryDnqU(I%=d7qD|}XksXFnM7cd%O+Jj-YPM!_A@g_!q>12%*z6GD
z-z}NPf^EA)Y@2Gu#Y`tp>gt&~b0~YynK=39f5$g{-Isk*;Xc<Is3*LwB8qOkJ?g`V
zG{IZa18-Fo_YK%s1q8P_TGrXCsx`KaBSy3|kE*vunjuKd=!cGqJDbPF2!$Zcv<uoe
zWt<~AX4-a?y5f%1xg?0!s8M6ZTun|zH<tFaaU6`RmLHBoXKY)U+tRH!LW!7Htgvl}
zzjs!jM)yqfYnqDthF6lnx(zb^G`?~0y5f#@741e@fhDY!tnN-pV}r+`*9${0$}i@A
zw<bRWlIZA_)S^H-dcHrPpyh~hoF~{MTI``jf@RGWI&f5yP{90)Cfx}&;+)%OpGxAJ
z!~6Vi<<k|l_Xm$k^&KA#!-qMQrOX~9{d5$jFB}5>9vigUdzC)g?31^HKhEo&nd5^*
zf`QUwIdN1Q(nEi-$D|(d<q#AJiBt7BrKkP^WfR_dyhodD{}D}({YAGu5c^4795|w?
z8;X&Q321_`YbTC#LbZOsvu@USoCKF=o1kFWvhNjZ9-wsEH4`T9;)JF$skC5TQx63s
zL}9)rSRF{1OhC-WJl=Hl^w?jZ8pY9)ltiJYJ$icVFRadk#~vB8o@CNX7YBIHxbl<)
zj<;Pi-y{4G?d5}V#G8^|5M0md9^nV+D-S+Yja||&%J&Ea)K(2!fpP_FX4QmQi{+KS
zI3i1EFY>B)k7S5rxt`9U1@El6p7UO0&{H^gh7=g`mf>%nvm+6P|81i=wc?Ze-~C0#
zZvG<kqeE%pMb_Dzwi^jkeT5cMgWdf_CO?MpOUH}MKi=Yt{7ysElssSL`+6fXy9+)}
z0d&F4$|{r~UqbGu*={(LGVj30F2x?$AtPfjC~=GDq##=`0&{z+_U9v(_vdzG{5XRW
z4_54tNsJXV7XsD(vYqzF`F3f44ADGmCZ>!GP0Q^rb{QzNlaZI{a$sg<eL6Vb%&WND
zUhFDpvyP#RjHztL0Xv*8^lSP37=ekwlj(pEs17LGY5&bdmyuCB46dw@Q5_Xwy8g1A
z_Q(0=``@jhtE=PFE6DqEJNB26p|PotR|&=RYL!iV+aZ}C$fOQKIJ=7u`3l>7?%6Ks
z!h83zRfK_~=B5jCQ=a3pawoUNH>)CK;jJj>;2^Fb(5bvUMX*nBQOV6-o$10kt7kdV
zXMFgjH+{-~5x^3q_HsYFaQXSz!vi{tsn;{#q1-d4TEzH2fI-3Xh^dF_pV=CK8OT+1
z&OZQA;c$|XYbPD&c}2OtzG5M7>_Dj09Gdsg&oRgww+gY$D~ew6LzkK9-~wq^q&4#u
z6M3WBlf0s6ntDaN%Z69Yg5qbFC0D$DbU4-nREMH{Y)$w>q!gzle3RyPi=SQA1i_)W
z5ga_B5ET20(2nZvtU+65;ZkotwpLs0=-nFp9&+@}u}7I%kvD>@SEH8WW+Sv^)o;%?
zXushNq!Y8oVEOhJ<vWBqii9UY5|^u%oHXRL$ZAS6ht<ezL=g@L99BD)C?*_cT`VrY
z%Kzz+&TYHVy>11I;wqs17n!PsxRH7*SvzrEleLlUFfRgpjm@W-xN%7f-d$SIbZZ#f
zSyU`b%j?awyng+!|2zNDw?_3&LqB&{ED(Gqa&rTntTc!&INA-90&sM)u1LC!a{qp7
z{PDI1Y;20Zv9c%ez)7vfI0Tc+$=n3GWnkk#;%pW+1ZfQY97mgIQ}7K>YNUxa#ooxB
zVfvFq{>XK<Y(G_65LrPT{seSyueYa43ywDCR%yYJ&fHnXz1U&3qMlo`fLyJ)p=fl&
zL-M(-%(_<>g*iRYu#wNs06#|}EI!SVsins|Y8%+hQo(yo==kmZoUj87=9Zq>rDyiR
ztB;?*eC6S*4`04~APxf`jJ5Q(2wx^YLiM`aey?&WhWCB<izlROWSMtY`@WY|x{`pv
z)`Qoig7?$k^^gAIN2W|Q#t5)4f$S9hR@iK+qcAtn3Y$&!8SE4zHa(l_Q45?+^{55T
zrh3!@SEhQ@0H-uLAPHkU7R)TTLovc*fqX$KWgwk_pDuAYFiXtlRK=NmcY=A&hCPJj
zETgU_)~jen-$}cvUl=lHh;>>8dV#w9nME=C5Y{{_ZG2LpAyAGkKOCyxv|R`Ov?7iW
zI_ea!O4TyOt8GM2Xit?6mk74rA*WN>n3~h!>*IM$6>Ln+09ZuGXN}20qii)Mh>f>Z
z{Qv@0I#{+NB9}Z=+LUCIr)BTsYHd7++uZ|kMtY^ux0=31hPU3VlCGkOi#CmP?$WjT
zSVB6CanAPT`S-uCP(s&V%|VFV_)zD0UfP1Sy&bIWKmPvD{J{@}3!teJkJdeZ9h0Fj
z=Z>ZIoI%d%>zE9++F3JdB)YFvT)<!j;#g6I0Qq)Xt`K$N*?5p$O937&w!JDuPF=?w
zr-4#HE-u6vCxCg{U!98!hcd+p6ZGn2ILx&a?EEClKnYN7w1o|AzA(v{;<Q;C;3lqQ
zRa`K)3u=CYsm;yJsfr5#MsUW8ls1|lH0c7U{WU5{-5Brz!XKE0%GGtOoNgOBd?E~g
zd{Tkeg3LREa~Buj23-K-p7kfY<({R3a2>K)TknckEjtKH;Qty0{_}3pV)bvw>c8hd
z`By&iFHKR-7qTo&W)*W05u*<ID&cC$5Ny{{2+x8!@Q)0^0EvCh(U+`0nB-;JVO}vs
z2|;vu1T|y}k;{R9lm}##VI3$B2L71oEu$_n>}@#k2jZf|+|@aH)EQuR5jbtY;@<}8
zIq;9n`vzF#Rx$_vkxW3cpeLCF|B_6AXVIs?%C{$y2|EH0>uV&ToZs<CCTuxGAWP2n
zB*dIMhR?@0s*Sf~LZYA$FCa(r;TFgza~=kg33`~}(tz&uS|=5jnO5hqX9+qvB^AVH
z_oM<miuBqeZGfwLG*B6{2=ylODc7N^m>0A5Dho5R7PNSt2b}O<TH{Ep*q{Bb|Ne8|
z5{g}|Y%iQ!OSd5h{VKuF)!`h*xia+&<d6~kxU1G+#daq`p=5ev4^)=1ViC?EnrnlV
zDx7oT0f4L4Ex4)*=aeXk$S#ict7FqDoCBSrLY4sAqN&Kgx(erZD-AhGLpUDJful(R
zD&VDhIJG*QQ+PO_m|KiAX%1e8a|-2VI0tU#Rx$_MfY2*X6Zj&Vz;Ct^PRjFj9L}MF
zkN<lIEUo;Dh+O}b=D;PRd(#=+^XY%)MFZE?r}LH{(x*TC;otmUekAm%a^Sc=bv`cZ
z{UBGHLQgWs7vI<-sE}F+0*0#TAN$I%w=M|CEfouy)pXEYUXl9L1%uR$?HZyjVnymx
z7X%-?k-C*Zsv$^y>ViS)wmWWB|AZj*sS6%=gwOCCF~_?Vq&{^)u*0F#1nw^`k3o}T
zMRAmiBzoGV$Bk5N3Sv%@bd+841bZaeKG5U!4lerCC26Wv5{)<7#*)^|cV`dc8pZn{
z*Qk~xNzzgFHSvp1kd$8}@SJ>m#8Gz11JFi-{KfT`R-;O?x<Sg5i<bG#sX5O{Z60a2
zsL!e28`vA&jxwEY&q~u}zdH`)eJ;AtRa0U0TOSK$TQ-JRwjK>lLT|kLW|QYI*1)!q
zy4?n$tiKB_p)4@DH^DD!%TM^c*ERw3mLD<!-~Z+he)YdQWdaTe*P*#+0=k}N&r0S9
zk6PL<!2c;z$+JSpItI;_@O#Aspi`sK?6nE7hK{cDrewcW%DiP;nDbW@_7)DVL_Wj3
zp6K3`c3Xh_cdg3ICIH_9bRc>VqXC;0vk5>;q>^jH1($qI{?(NU038`6$-#-lMYE*1
z)c$iiG?_|P1yrF{8H(RsnSe409%JkK%$oV`lvWAYf>mvxZ$t@;A`2BdrF~UhqVsTE
z1BVmOK10>2RwgOOdbA%N=+~~?sM=<e(h2!!e)UowiH5x!MMzkA#2@RMDv#KDPoJv&
zmeot&_jNIgPqQrUZF}x<bTk8BW*5vD^WMaTocAo*=AnrTIV~MYWH|b5>vm2{$81&j
z?{y~iEIm?QU+-&ndIEjPhlhO*ytDZ&Z@!kz{5?NkS0?u3Dx;d>c#->LVFM~$l;mTg
zOBU+98c~ESUe(pA8I(#s!>D?UO0e@)(5szwX@5Q*DaH7tJcc;m3dV&Za@AEWDa{N6
zV?-9mr_GHmxi+5fye~at=SST3lANmpmNurlYh#*si&~d;X=A#XHm1ja<=4IcJ3ryZ
z*p0?Wi)vd$2oc==AugiI7QsbDIvaW76Iy}cC|^|~?Lc>e6_Ko1A*2wG+MX;Vol>m?
zJy{q<tNl)xpVtUd1r@`(?bt$&=3k_{>gr!TPYAgrBvNo_5W*m}lFxC{462120vzc#
zL)}E#jD;zhZQ-u=oaLKK&tk}e_NTsY-W<5uG`r{-=>ZQKFLY%qWaw<h7_$tVZAFVn
zLX1347s$sb%n57{ruT&hOJjMCaq3~5VJs_KK810{`J#GLC@)x(!}$eAiMI8IahC0L
z{>%%eO}RY9Ji;@;sGuN~kjX&){Bt;8`F4MAMtP3;2Y(OFwVgATr!t?pe5?0a{rk^;
z?|=As8q8U$e+MKC<Ta0?9{p$A@{USW|5ivHG=kJwE?CBUpu3`1vf{($f>Hg$fPl8B
zcSZFN<3^i9SJl7Wkz8l2{%u7S<)R*SmLGbr6k;SSSPPd@78H-lfR|-r*b(EsS|SZe
zRrr#Yq{p!_R;{f(*44klXE9VKln2(1j?^z_y0QAVmbq&AG;1*DhSV4W=4AdSmouM0
zw^BW+X47G(`gtl(5eo5X?B{S@>s3Ghcd7op^)|8<_Idzo0mHNp=*0r&Y}*TIOr$SR
zn*^m>usodT%*ZW*Pjw52-SP>8*jlV5Qn{H(<!^q+FaIqU!4IX`J<pn1t`9&-FHoSZ
zp-ukh6nGRUFy~UE0M9=v=Eqk&Igu2i28{lw%L%mlE(j8I1|X<xq7dAc6LJX@d3<)7
zvIM#p3Wr=J$Te#E8B(d;Z%`&_4xI}WhcYP(zg``V_%XRaVG~6$5M=}Y#%9H<3KZ__
z#FAGOC<d<N6$J{QSleq}4<2(aC-A@<yJ|W*ow9P(k$4nrQg?9AC`sn~13Be#Le;w&
zQ8wvGguf7#=j06xaxhj*PU2vYeX)s?^zSXxV(=__^*IhUUi(l-<_04;SJbU{0k5JB
zs3b*~0vbd(a7<G`({_2H?V%z)6rR(1haB=eahInsq(=lsub_$`Vae+g=5~Z!Xw5{#
zm-fea)pN$7jsiMa%*Sxl^D~_Gv`-i%+Tf)L<TYvnnRkm8zu|V8K)(HlfAU@b&Q!H+
zzXh>?<Rj4p(&qYdwXGo0Fi%7ft~noBWjK{OkQq++12DB&kc!3AjD;ow$f+JJd#<(-
z0w(9kkiAWXRvAv6z6x-`W-Zjqxwj){IE&wa)T*TwJZDsAI6;dJ6bCb$7_-9UYW^|e
zH<Vw4DWrme!_V$dH_uHV(b};=7ihaCvjx6pY3(=x3EXQe$#(}79>6^FJTU{F*@Egk
z^X&ntrE*n*k>1dH@zbj&5WtTWgNKT2?~V%-f}iIeFh)H(^1)0wRY%Wv2z@7-G48-K
zufAeBdUcCH&!s>Sj7zQ4+LK<3Iu~QPWpx(<Ef;P^<-LUa)1$ZGSNT6((cKo?s(^6k
zcDCxTxHf$5Y6k`h*4my+mNYpqJ%6N`r;d#8Su_#K?T{RZbLXjxi;(t*Lvs#~<Ob)9
zlv_zbqe}#jX#T-XJsLYy4iJ01lRw0_IR?<+YHcx?sqh+SM)Z64u~oiBgG#+QBW3(_
zXQV&$$)E8BUlLU`HTZ38iV*;Ol>wduCaw+Va%>tQClr1wn1dhGuQ+&%k>swyaVZ&~
zc^<e+2X?qXa0ezGXr>%;=GYX&Nyx|t(*fGuHrmaxX}39`v4!QfJ#x9#ij#VY`XXr>
z>&VdeybYzYZfRnt{8d@9k<mrZRXKB3jssD86a#X)$XxLVo>BQqZ=Gh_BThmjT#a)C
z@D%y{C=_XaypqqaC5%_y>j6+n73PR_OJSC5$7|h->&`8d;e0bUJ51zR(=2P9qZh~P
zT+cl#RW^I9l}gxk4zvn{QB%9K7;1*vqEqj=iir@d_5WGv`u~2-Z~XQThF$@QC>m>h
zM4)bAL?GtMNHOJrbdq|S_7y7M)GI|0gk@4oM@3A0iIab2eHktY4iZvn@1DWrA<21V
zK?#!OL~xgx6r<aGSf5a)r#Xp3OQp*M54$a=89@P^wvv3wg48R1Xj5t6%Ljd_dT_C#
zBou5#3>9H@o`RiW&q7e_m<jIDgd!g40SJP2MSZ+{$vyIDJV{j91hgC{IZLOhx6@>Z
zs2%i+aI<v#ymC`n=Mj1kxDg5{KJoAQzxXCT<?pXrr<P92&AloA7w=qySyK?&n7V3F
zW_a+cG>Z7tSwHbXO8TiC0|<Rrc|mR7Nw#DE*6oA7JF5^6Kd08O@T$&9V@XOhPDi!5
zE4cgEgpYQ<3bSW_LdW#dKyy{tyyb^f*q?ame|Ypl^c83g#a$z>F$eR~5sd}nO?Z9H
zRG62o59B{!tM2;Pl!KdAU3IpgnKs}*ZdMc(W-CUq9(q(O261RnVJ4V{FaWdPtSBnX
z1cP~Ly|ONVSW#4%ANsT*motp}8r;jxilV~&(1m$v3vP)<P*s>qI`a~Sj`faR7wZ)t
z!B*X_UxKfS^$O}j<giul<*SSJg?VYSf@As=73-<!)E6Alo+|KKIE#p+mR4Qn-M05$
z&&B%L+E(A@31vQ{ZU67*zUKq)3T-<ah}u{>w6vn9sI9~0Kr|xOn``9tE-p-pRwe4r
z{FPl?{kGd2i0(^6=?>ng=$u%Q+Sax>bJ}`TWpra515?`;K_E^AAc`4}GNn2P%c5;v
zl1#T6R&UypA{g<Q9&t${8G`={VuUuXu8O3Xv7>8($lj<UY!Nu=;w<=@$UuV+fwB4?
zwa@C8Pr9qB>YCJ`x}|GVlqnL|FRTrt$_(l{{krBHCsX$w>fqAj-Cz~dtC#jKtlOe)
zZ&BU)1A9~0C|hqrA70zJowxjux_#s67yr_aOSGaANcQ6M6*;RJBzNEtz<U~D<zRfy
zAQ_`O8$ss%%nL`0>k4%ptcZ!fLLiV=%<(zcWwg$4*dMJT5kYc}&yP+ob3hfU%^~FY
z+(;l8cK1^QIX*Wpn1XN{s~<C|or<>x-mLhnqq8J5Rn=j`HL|XWz%|L83$Mrv@^iDO
zY|fF1{V1KHXjex`4Y4sSGV>Z#=O9{g?J4Jnq+CXm1AB3&onB8EgA+`jD-p~&R+Wee
zFuhpe6hqgQ2v}Z3^ihe>I*ulJfY(gl&IiNK3xInnXlW$7yGF8kx2RR)3q12?;F&-6
z>~DDd4FS*8o6(v=!#cnet~%>14trFms%0uOZRS?Gxw3`JrqITALvZWU)nmoV6e>4^
z7+1+n<Q20iJfIarj4R=nZN500!pLdEC@L%=4xLS*rI=s}(W~7GR;lVWO(k>M2jCYf
zo9PVOp47%TEP)zeK_vfcpF;CIp48ST0c&oR<s$!Xi9GD2(Y?09Kye-&V3^*~^W8a}
zww##_$<3jc_SYt3J9=^#z%tjKXTCqEH==|o`TUKraa8A7-5?ObX+j^o9g5Y7>n<QW
z|3&-N#!~;l&(@NYK8U&)mdsE5of?~5FG$jTGls(c(r>TRFC0e^&dfOcGdzy?bS+P%
z^-z+hA~4TzRn(j&SEbj-)(q!BI{v)j98U_{M1DUv{$X|pfR_1$<)seaN|-gnxd8DG
z^84ur1GZY#8z2DJJnhfz*k4?k*wo8Y^8MIEJaA|E{>2|z{E>(6eX&gXDucUTcSFD4
z_@U4G_kK~pKD7e#6xE%Ow%nrF%mL-w-eBuocZzZ1$O^ZWEKyxn`zb^)Cm8y+<5hH&
z7l~qqNWYSm>rSJUtg7x5LqrBjSykOBricvHvU1(&Fj~tJf5d<JXe~?JMUw<XlN{wJ
zx!HKIs+N`Of&kev3yk_0t|r~UN;2OdqHHB!48O$k#Zm85f5b2R795faFaZ3tT64BP
z60U%giPONVyATM2Bz5l8(X!ka&_Is&XsA>cjz@e_=^+TGp7-H0;lVBs1{aO}htnrH
zi@P`_PlZ#W&-rcTY3;3=SiTMLiKF`UAN;<beM{tN6>IIrpuo2`Aa3Ow4{%fu<W6-{
z1QAV0_=7=_Jw!OQjSE`qJZ9+ukFKGleT7;Mm5mD$tuDx;6Z;Y+ObS8j8W-H}cYt`Y
z=CfKGt3e3T7`PyCx$GTeQm_+akg@1A1}+E~C!zgZkqokYjABI^0~b7QkL=l}>fHB-
zHV_)@lIT;wA$e@j+t$D4JT=GnUbMjQ2mY1+sS?RymF%Qi=P4Y!G!k4bV5+A9Ol1%9
zgrZrJz4IHkmT`(#JWL;(6P5qQfBGdq@RKRHl5Afu*^82+!i`txUl*emdAgiV47f4i
z#BF{wCwmWD5Terr0n^*$^VFVt;xDBg`&G)EOB|_EDr=apcsQcFUDA{LwegU}kCEWY
zGqY=;t5p#E>ea7)0^_G9?rO4{@Rf_bbn6%v@-@pQdiJn2e1h0t>YgbVc>{INC6^eJ
z?P;Q4AJj9dU9K=9KI*XzeU{qh&D1u3%fIzEf94A(!$)M6#M~hdCo?c|#FfLx6Q<)K
z3xu8l^yAdwkz&|Aj=EFAW;J(yXd{Y2uy;hFtO-bS_*i)45I*+C?&a{YL;R>gGiFG&
zD@rcWj}1es-A91M3j#7O<ariU1Hhs7Lj7hIuXGhf7F#;S(2|WWKR5Py&`1x-#NW6|
zA3~IKU`y<{#E!4BxO4g9^N&UG^@9r<L@D(s7u)5FC;+*4c29PG_vpT_3u8JjykCsz
z{P2G5%sT$`Jbrlj(mS7j;XM!D_wrY~D(i?J#$4=g|C*oqJ>L|@RHLXic0_y!E)-&B
z0TgPnC^>cnhngmBCxJp3NCR|>0EML9mhmMShyo-(1*d^R$eR+agB2%$Lcr$}u8Vfs
zZhNd@C~tpT6+0fc+Efn@Lg?A@IcA`cO&H!1DAa4G)xw+uaJJw#k#H0pxGk`^0t%s#
zf|neio^B_sF>&zOW>>@1=z!Jj0L$pMhOs>x7`9G6Rfg9#wDVP0@o3hztY~y=hSy_P
z`!^fjre9wh@N-ZnIg9{^5bc@~DMb5Lh!iavRy#C^5_G%<B846H=b&d5vXk}~giAVM
z1<c0rWjjGwaK2qSJ~1q)lLkY9uuo@149mK)zjU#rO}RV;5d-`f@IeW^n=(K^@1}1T
z^sY0i@|71%$Hy?a>Js6;>%n^;UWUcIhpqQrxJ9kMdJOaWo^bRA%q_jVdn)Ej3`o(R
zqf!3o&;R~UeOn}S4;ac8L=(D&cy)t1CJi2#$b_}(1p7llR#It{T@c6(bequN0pp@f
zWg*Dd*#%F>6*^+LV#)l5AdPYnES+{7vnlbY3(hu9#o&|=YC0O!M7AJ}Ny=aGbMtjs
zH_KgDM$hdS_0N9rm%4@z7uleYa3-l^aWO)h!|GR~7hpXq{sQ$1D)~lx*S#BvDUc@!
z?XQdmX@5Q%N!>pwKh3^wl|d)WIrI@MlE=x&r75OIx?0Z9pW2gnMIhzLxusk<Kc2Yp
za^bvNw1j-~Z_zo{<#+$iU;Xp%O(CDagdBcjs2&;>(pv%$dD<G=b-?H(<WqD}9@w$7
zlw$~rvuJ{aM-2oPHt8n>E39833t8`kSu<n(DyZL)9S02gP_t}7ff<W=*nl97K_tAI
z9<_%k9XrP(7~E74{SRXtASbXG33d<+C_)pO&#}g0>PbQ;uY6o-{OlTwx#Fa1{G2`-
za?+~O#;J|!hkNne)fE8nKo7r5-8X}F>@PmrbEs?cy2dmcWPTo6C;mo>QELkeSDQdF
zY1stIW0*|f67glLt1Uvs>}te!zTLH_da=7D$#zf1X}G_SjTBAH2^ntO?#{bKi`~5)
zySrY0^|vMZKy)^O^ubfDx&_aFwMJV3V=$0}YAd0A<x!pN2D@AuBaul|WJ?H2RA++V
z_&W-8{;&arUxPLM%3!a4=p!b13-%PWqej;pE7I<|AlOI=QtgmxJz{1zTc$#gcDD%b
z!SbSlAh&J=X?I-^9s$iMvz=(VYy_EVb-@m<gQZ0=;iC~0yX%t26WCQHaST_+qF(#i
zlh#yh-=luFp%|rNvG_r)<WtNYs3$laxO%C{|6S+<-wMRfQ$QbR&+xgXbS|OQyyaUn
zz{J#Ne&*YM^idF6Y3a^G2S*G5kxpV$$xt0y;&p}&E(oW)lMEJ^<VT?w?7ud*{J7J%
z-qvW4`#{;xn@_qgO#_HA+FpQ++%HW7Ckq_{{-D(&|1qU$paaZa`@P~EGxQ>w1~4~3
zNw-2!RG2SWx(1NE@6AS)(7`36ZD74K4zaW*^ZhOveFFf1Fv7{dM{-3j8GQq5;4&-8
zNd+3JF~X6eJXIx)<oQ$}2P3kt{F$@<%IeqaiPGhr&&syWC|LDElaXRnn_ZL0BZnpu
z&!*6%X`O;Iez(P>rahd+q$-_=u|IWtb)8N`K?udOC}!R0tiSsxtzkw`Dn{|@`GbC6
z3H|k3&`;N!Gjt<Ug1*{qsa`wTiRFh>@27pkoBr!RKBaoo;1qPUR`0CV1pMW$RBx;Q
z=sgxekV;6Qo};1Fjq(d#H%*P|=yacuSqdF(9&W6dRWH0ydXH#a8qm@79{n5v@Kf(`
zNJnSYYmO^cw0_e{`JrZ4mc-yTV%sT6&$LmKBslVe8@L@W3D5*RBwbd$7>7cJK(2}L
zXziNWFrF|M07qvR*iIbm+yy4#x*xq;uQ?$AYXW&ttMf@Et@^ZK9ZZ_mH1y^9nwkna
z|ED?@5CQw}N=o@;xuV!P_1YA3men&x=c;{pr5q)6^3W%)ixiUUY4>;iU--Q(3%1lA
zvYwW7V(4k;#cEm!PzLAGR?Bpg(3A8#&nen#;XU>zhyV{klzkvj>uGLBrG;ZsGiZU+
z+^Vqz6Vum(6+D(xh(x52gybXX>GWDdF{f{-r>o76c|HB}fA&{?{$HNf(}qqyA<x{Z
z-&zxN(2?||x9gtgoAq?Sn0FfscSEb@=GMYXfEiOzGPP#Qdb;49IWnvE|7vt{aVask
zN><F;D(h*CtcI^mwT3b^m1-8udK$Bx_^T-hV(~_>a*;70#Z^CC`sUYEF0wJx;w4#c
zg{!>M{aH@~9%jwDn9kBh8<mS(aM|6evm!}c^h!?$FdKuT+UP(=eiV&fklCA~u{otR
z^9>4Q_U2%yJeQoU`&G$?ldjtV5fg1n^_lEKrZ7-N48~dAG5hTHm$3qZia2yE4nbO_
z)-GJ7*R$M^O#IEPfNT8$=vc#}s7gL5)wUmq-fbo$f*QPAEiV3WvI5ZJRrMV{aBT&+
z9cr7Z7oH4U7-aEC_6;jmLEnUYDMQDo$YXzyM^&4Ahhqd4Z5qg$J82tO&xeQELp<Rl
z_X_wkEC>B+cibkHA9BI}nIHO9kA5m(IZ9OP*$<<weWt_gC*&$X=e^rn3DLf?1g;B~
z*@Ycut7xq;1gY9xusd#6=wZZ);Ebc+m>ICC+Fj6W0I;&_wIp7$An&{j9>A!eld4dX
zr1{B$yu~iK2k(k7Az-c)_;G4M-h3B4AziH=D?DfF;aG9DE|NF3(KSYUt!iePVKys+
zvQlUO`J`)sEX6*A6RGNG*!7&VavX@#;|}M-FnbP0R&0LKJ3|b!=PMd}cqcSLPth!_
zZFk4oHt!a-%6jRvyqQkR|LR-+_fPxzKnm&2XbqmR$YPKpXvbI$4ArkWC$|wXzXG0X
zYfQ4iD@Y-<K1lCoUNIZIJ-Sf@g@ZW`HsQt&23wmA9*h#(9bp+^LY3+(1hc{8oJEN|
z_G`pH&5y|j4^9n>99VI4ve8GeBK;u$)e*}eIj5d~2#Q{FNf7#2cr-L?f-27?W76cB
z#Ju$-ujW_8s7V`wn=hrrGQMWango+>>yAh)<C1CE<hHrtleV6QQO#_`9LXxDDHrLl
zIp7$u>T1V_>H>Nsk1uTNiN@bv%MEBEjsz55@=mvqcUl~Q*CFMCDW3^nZE*x{2fB3e
z&R_e}Um0}r8lk%*VDUO^>uW~2U_J;M)ewfZMHo5)<s3C%2v))UwwK#WS!(QH{CX_G
zXozfUz_l1mY61X&pt{bd4kk5E7|z<}Q?E#Bu68mEuu4_t;!`9wS75Jb4la&>|L~I3
z1jx&%-{bcTCpCx2Cay?o4zNvJk<=Wbo2YKkt<p`X3(yp_)PKlMhW%y9YD!jc$!hkq
znyI#x-f<MOB<JZEgc&KxCjlKSiHN&PM3}N%{Evigzq^LmcYN(1`PZk%7Hj+rX!LO}
zd=0rLM_^0V<zq!d8C!hPP&Zm~n24|~3{>CS@R@VQ7Hf(=R-}3J6|1qu>Q;mx{SOyB
z?vF6&$S;8Xc$7XK5Nonj0DrRHSkgaMl!A=^YRMH)aJ99emmw(rhaVfT?v3&vuYmNH
z^g@!9+^em5TA#?2%$6n2c3~nSNwTQwYoazxTswXbipg3aNRsv4L1kJbH%Ia1V$I3)
zf*S52NJ}Ih&S`>5NeJW@boDL@29fZOPbzdL<ps|xwEqInvfvKS!^4QTnhFf^&N`mu
zxn~s(qYpidJg)BEV2A*)5S6&UMxE21{|S@QD?iL|Dx}UiZ}~Q2Cd&J3Kk?&!?;o6o
zuxv4)ov%J1EZPJT0YwF2Iqk`}0|?7*oS(hxK&LJ%0&vnsQW?ThqCIFQX}-+yQ58&R
z6Dy)UkOMKBn+2<AZ@tn{5S$*N3Dx*9Iod-T3pj(I#-PuH^Y$tT3+kclks;h<-=nXu
zqP@eqJ4x>gfGPdREJu4b=u2RM))*USSw(vWZ|7-lu{iZ|lFWAp$EKaZd2WskfDl8@
zYJ}0LlcF)u`o;_FYd(QqR&{v=)KI_7ky)tm3^l3Mbdhm*xGZxyoCcng(48X_27uD3
zUv)q^FJQyh3T!w-cRoo#He-2F&Gz0*X#OG9{MkS91%LlL-|%rCckj7p!w>UBocmfN
zID_tC@BUg+QsF^qMa~6Fp$+&k8F8EiD@S;D0$mxDJ;~KtkC3X_S3I8B+s{LT3a)hs
zD@Pc^kfqrW{w>fgwdYtn!fRRuIF2jL*yVGiYW71z<8DPiaYd~|+E>Wf)sFB^OLt&?
zHRTwBl_QKFg8~<R_7N;}?XRhO_u;Jz{smrcpAVpNgpZgHFTV#<M%2`#qi09h?hY;N
zP8v7kmrp9((18!~)aFMIE_H6RYSsq@+x_6t0Jz45T-9Q4=wi`ytx9y!O36aLyP~hg
z<*P0n$nUG_+=EBelp<^@<y<J+p--lOuS9#BU9+oxVg0d)22sgn?l>+pB-6uvvEO0V
zio69F^V!CYf`~3_9!c8A_XYFBdeKgLye|xjg?`^s!cLDvdcH4E3fI<GxFGPnn)6H#
z_yy*Hf)0bP42s!pkO@#@d1o#<Olidfj*&P(t+fxM^3JK#i{B1VnXI|r7!oYbQyJz=
z5|wziB;e>f9KShFZ67L<D|;1o2;E!Bq<=1~w173C(0b>Y?@<{Ph8NO0;ru-3zciQC
zOM|WS(qPNIm8)MG2>DCHk7F+lzOP;yZjZe*_`Z5+xSd~`%lf6^<{2-|JFk3e45y#K
zqLS)N=-7=st<fW~U0-Y~bm{(rQ9$%4Kmd<owL^xJZnqayy#<}RU0vX*%KMA9O#5>?
zg1BvW!6!TR-&~+)J?}3MPTHT_X@A6Z2pD4j-Nm*-*KWn2rTw`b`wMtBHn+!%ZElU*
z;pbEsxUIayvD|KRvCHV<?criq^@u>Ax_+`92i)y0c6ejT`R~>jyQ)V70`>mfPW$gK
zc9~dmx4qa^zkk>Ke%Vg@<9ze|-*p$e3SGPtMVNjtw_|@?u)L{{m&fGy^=kR%bI<OQ
zuDo|2ThQa4hvz1)b=kyHyFBKVi*<n46sYq3(SucP-n$;Y``tCqb5M-YmvsOCUJ-Oc
zkSV!Q;%F{isH1fQbRoTC3~^3Vx-duU26h#$l5)tJtVE~}<GY#`@%i^BTEvRuWP~x1
zCo1KOSoP)0mtTGP^5F}@ohd(!eAx1nt}Jw{^oNjV^WN;cLce;>T^W`uCp>g%xr?xP
zEB~k7qIq|Py9!^Z@5)>BF@IN>PWs6=f5*?haVnjZ+mf1Y^QLok4<CT8)lgd!6_^EA
zPE01rAETJ|d_~>4ij1@-oDnrysyzg$;(WyeMiGkZ&g4&<C8F+fL4fM5(-XO!HaA8+
z<$?&O0R5LN5r&b%1Ih_1ngbUseV!eX_ZlwQR|syZbW(?Q0%1r&wrJfRyXuJQ4n$XK
z>Id1c`rvgssoRl4hDjo%vnt71PE`pR#O;SSrSAU_xL?0HH|3*yrOdn@2#%F~$X%pu
z>~d8Mk>!SD;%^plxKe5VMT!`0@!1qB?k~9_8fSzlL}yLaM-PMs`Ze<ojkDFX;`I7c
zrPC+vuVFwOP`1PN_Bxx+?N7z|W)4*Lr&hk(1do7Z9^-WM^0={Qc>~?9VXiCHf0Z$w
z-TFh5_~oy>5;kJS^3>k`vc`_p2nRR!6wS+1MgGUD|Mu^HL8!=K7XHH#ft>`E+f|P<
zUtD2hna4yIMs<ij(L%3@^q7t&KA#J&pr}N!Qx?M@nXf0f?Ll)Wsd>zNvwqcBQM^D~
zF^0#X$g2IAAt>&i2_oRM2pJ_r)qEi+&$bC3q^%x~KjQQrxxRSJzT$ezs23bk$7838
zFILpFjxPzN3_VWCosH8;k`k1dWCw&f>YI=}te^p@B9o-J-@fJ^(XL2h0)nbYmz-3Q
zs=W*ljdYD}H<NNyk!|eDttqyec`7uLe98)ppPR2`Q;+ppmn#?bc=PHpL6juU)dy9o
zmr$M&3yCiE%^9kW>e1hV2~~G(O=_>s#PUO$^f!LmzwxPm>l3CD<UwwG61usZ^2;gM
zj1;l9ZO<m@a4_2f{RVp9CGUOg!y8h5Y8+A`npBfxxWZ0ui-cPXDG^O-f{EPrC_ZHV
zF)1j9d2({wHK&l&3B;5?L<nx=wn%38@gFIF=qE|=NoOe8xIT4)ypY>&QER9UKB*t6
zyHx#!Zq)6Zmpcd7p?iDVx^qgE<8YqXkg}YkQ0K_R+LTVk!Z!N`&v7BQJ+G%;u*}JG
z6n`f?M;TahzUOF9^@KvYzP_`JxJM54@UJn?@q2&f;?Mk|=t<Y}Yzu<cS@v2W<KStb
zT)=|hVcUbJWqk*35O%*Iu17|=Ee2$LXUI4R?wlMcbWYZHqgcDF??$n9RY7nRY**>K
z{V3Wl7X-)AcG((@qwVBDH=DqwM5)$*YD&D(Y!h-#3)NEr-B5!c`jYtu0ZPJ|%dEj{
zdQ~MQ0J5VW0gVy-AX5DeCH$nWSATR0!KYGIveb<yP8oz!j!(Mukarw1@kynJ=&9tJ
z%Y&yQ4mlkW`!~kGm4W{YW6-bzGO9+3JSr-^sJ@}|LzdvIFr0)SxrD;BKvhCfU|dQg
z)Fl+<c8U@NX{)Ldzy!ev((o0*3OW6%G>GQu)A6YQlJ@6o9-Df3iZQ?@0=n0cG5D7r
ze(|^cR5-uN^V%{@+uMokz-qPDJ|rWAMJkc%#<uV;q5{n~V7Q(HzadC%<AO+bGV;cX
zo6h=`LXZ!H3m!o^DhX6=Hs;k2LFyV8gi>OqT^Oc~elG-R42s~6pl1<0SWYAa`79Jc
zjpVuF+Gv$RkWYyVf?t|YKtxD52~8t}pcn&}L?0J|2Pnh?*lV@vEJ-qr;F3rDaFGNR
zwJ|lh<ZOkgDkL0WQ5)14<%3Gp83FxUsYSbzynM}EUp=9kkJ`On`xnMhh`Lvc_xo0=
zsH*0xP>ZDS=t_i!yB+V>9@g9Pe&;Pe6z%_>Z~oN(>l?%S)h1#0ehXj0cE!{j_>)i?
z(a_VrGKJ@Y!DN8}Xc{1gAQfH_gs&v<o({pR0&GH%3eN>gS^z*CAqUO$3siV6XoFMX
zhMl&?$`>nA;kh962M8?qV|M6Zl{h*CsqkDdxG_3#nKpt{ctx;qW1z^>{%TQpF4=*V
z4_V;dGaU##s2cOH5%0H19zi#ulBjUCl6+)cGSOq4j5gc8VquHs$R&dw<ER?EI(oi6
zL65Nktf@VEzCS^a0mjw#Y6_yuj~?_GqzGx(tnSbTY~1?WIZvUvO8FL{oVbCcu#!lr
zuKGpQJ=zM`9+F@ySNx@4rLzqPZH8*$sKe=YB$vge$R8iM$#?`K61!%LQVbBk_WyLn
z8rkHyCS4d_by@EbAWUVW!8cXtrWz1<4zkIOiW?i>MnY7)=;Wk|)119(3D=U&ZM|YB
z={xm`1$mWDSb$3Tg!$|wU=_MjvylLh3Q<7XALtl-A7KOps{Lg<jEn#S)23V=_o|<J
zR$yKJc;3erESTq)+fA4==(6)&a9jSiEYiH?hb+<uFTVRjUlK03F4S72U_!#g=vM7y
zoi}PfW~;o}8n3cQ$bE})Lc>c>;my={le&rTe9|>d$*U-q^1-!>{2~6w|Lp(9H}UCu
zO2=39e`CF&Pm~(X=5iEhymv5}82y>vJIytPqG^-A00mS<bg_@=J#W3gwhK@uMd#!3
z$;kV2J6>!gb*dshQ4Ce|u34XSzI-my{y5(ZU?l3HirxjZkdI$S@3q#_ixX-_$XJV-
zVQK^cmlV0!lLr+C*P#IV=GL|5z4+J8^Uhm-NUwa}=lt*={1=0PWjN1UHGZ_f?<$j6
z%2l`0_)*t)l#0!Aw9k}!K44rjfF!3T`TV#bTuA60<{QC+()OJ2L2<#;8l52$ho8W0
zA`X{*1s75*p=U*%%@iFXJq)`(UM7iLG)E6G*SVG9TKOcaJa3tqj200zP1i*=0a5vB
zBFa$?Ivm|R-8fBslkMmR%)-$@EvM!oNmA|mnkO{6OK5Xi0hv`DeU|Q3FKv~4ueze9
zO7p7LkifXRlgPY+t4jY@kKvx6s7?FRRkDt6PqKTbk+y~qF0Lnc7%tU?dN!rp`hDU5
zDHtoMWx-x$H7So}s5u1X3;BOi^KyAYF)Qh`KFjc~q4W<)F$0KpxQ1f3C;nWA?@Hvb
z0H6god^HR?K*%+wY#8}l(AWk6E)Q6R0vq19z_ASjT=pGBWnYcFUZzVve*W^6hp#>i
zCOjHdgJ$bTDExAnCvFjUFl@Gd%=?al<L{0l<sbfY|G_7GX9OOK4)(yqVZ?=^ZF24e
z64o#*(zM^}oTmly6-TE;(4BNagVG2bTT&Pq>de5y1<Q!X6B*KB)3P8{jSC(z_CSi9
z-RfX0sP+}?J{^Q2xZQf^I!eaPigXZM5MV$2SR}Xroo=wxljc;d(NN)1zZn8MyNTq#
zVX)*={%YXsFpZBak3u0mlH1CXM+xCed^cz1I2lThhBO+)B<m@Fm25qm><P-x>s(XB
zyR@#dCt9Blb1U1Gxp}gvq$#4({8xQg5?JJ@q>e*q{d4w&HPtGLgFqEk$#yz_R)*4M
zl|8u+mk||Djbl1=c}u?EAM5+9zxubYgMkWP_JIdK@uwrG(K<j|ue1q_QeEr~k<InW
zQij|ksoYlXsI#ojz%r$dgGW-=x-RT1C=TkZBG^G|G1<<6xC;Lb!CbH01406I2~0Zj
zw2T6BVUs$`R|E$NJ42ZAqN=F{sk2<LOkV^W^XNoeYf47Fa<^(~i`E(9OCs)I6F($T
z;Z(?V{2B>rToO+wtE!L$P>^9o{y7rV6v_RD(=I64ufIxA<C3Tya2_uUu>0O661cxh
z;u;Y$Bx?%Lq&j-OKZts8|3q>vm7Pkmx<TOmmZUt!+4ai5lEx@U*JzSg31+4J?fDjg
zTtUqWszo;W%@(;gX)TJjPH=D0-l;i8w`V2gLtlrkC#q2m!py!HvmU<JK6tM5Os+o|
zL-((XzV3UqaTy|pCcILmmn@3ppOb=ahoM1%pEnE*`SaZ9%3)|#qwCZd9aw<e*-O++
zmFJp3sgN`>H#!qFQ&}X*-{&9Pvq;&Z=;iTvjO7hv_s>s-*|U6wlkAv8+^eda<*r6A
z@VLL=Bd&S3h#^aEIJ&D_q+!;{`&s2~`CDHDT>C-s$3n#Q!mqygx!)Gx1HBnN?<GJ~
zN692+6IFRHrNs)?nMuDaSbHxA%miiC7<h%&g~-<NumdACeGPD4+jwoaPnwdoEmYXu
zdjamhMT8A|FUNh)!^)-+<UU&eKY+%vJx3}pKQvqsFsaajgI=T+l$30d^r?KX-xiz2
zuc^EjOwTayrNaol=1SJy%kgNL<NZe3JMuNJyq6O?QgG36o**~rooBv1NXfdF<-Nb@
zJoEiQn;Hgfa82+LX`5b>%-&0(h(pJ&B(AIT%y(!Hl&-G1J=xI4v}V3V$Sh;DB9Fd-
zKipoWe2+*hst+9CYxQrxOFu{56C{|ga&|nhRYA0HsM|4|c~+s@h@JJQqs|UOK1aC0
zI@crk7Vtq6KA!_VV1SII)txyzGs=pzWUlTee5uw@vorW7e$C&z_l`*G4dV%7<{(gE
zGw}qN1Av!4BaW!WtU&pUm7oM>hFG~4q!M#Mm>{OiV2u#8wJ<a4Fc*ZnF)gx%C)=z@
z6;=e%=qr5&n3B*#nNfqeAjFgzt~+cRpw*;a(%0XzbyOTFZhBp1>XNE~In8uNu!N}2
zr?5)vnknzrbmby%R?!DZ(4L}_wr9VkxfDu(d^|oLd4F!F#8^v#fV^Q9RZXA=hzQ70
z710b;R5g+36YnFPj`W3>s0tVB^#w5azEA$dfAafc)|;jr+xo!?Z~?rVcx=$_s-B|f
zCTo_KpmTw@rWvFVq@uAcP*h?~E#6}`o46q%NJZm<(CGxe;t512sd^zH$S0==o;IX+
zq#$?`n-!^OT=1~l!8e5<R3Q>M^tfY1DjFArAIC-rtOy@f6K5evPss)ME2fM@kX>)}
zit;?UB=`f>`$F4W?<D0#bjhO#K*0hF){Nnv)N+ktGrVWTW~W`VqW#=|;=k&|WR$1<
zrC&eLFV6L{8YixI0_D_)1dB8(6P`b^f3v<@T4!&tc>tvdhO7SI-^UirK>)7M*>#7M
zEK6N~8GZ8by)S|*?)9E!+Wo1&@h7{W22$tO?XtVV$as*TOIuvpeha>~E_mDvn=*#A
z0(m?Z0HE^2f(cffXmRNTc_xSMhZH#g05OJgNOzm9G}h(VqYeNF3}i?FLbkTMCI<lc
zEwuFPiZ~jLhy6|i{`s%A?2QB|Hc})6#V)(#VaMJQ31^O78>NU{cF8@cgCy_)K3YHp
z`R7QM$tB5`uSjZiq|+-1$w>=d#VNWGR$RB!%v3eS#tqc#+-NLmHuqOqTRp;1|Fa(I
z`X!+_86G<!3_LaB{{qX~#372;%Yg*_#2iSpkpoQCIgvwKfI{SOR)t>+RtXaEG?Ayl
zj;BB$)izvR6lDu=8nvtL8VMA8*e5a^y=u|i1j@YShY~2C{Ttr&Q@=Ja2FfhtS$g9c
zBDXvd0Zf%|kTa*_ZeKx@P=C50vK%J_KoCSToQ47+$m?1JRX0HpfB=m`LQtMpx#GxG
zt&gmrLpLEL(7b;LN`&l!CumSP^m--Zv-3GpfBK<;7+{cw6^~xQjuojtU9ga~9S~D#
zxDtYlYl@&Z{xOH6x#AEM{ppg{ddECDIfUv9sR;)AIVb2st;lb;GLk$09MPY?=278W
zk|(zkN;-OVcXR{*Bx&B-qvzX$Ms!0C?AJ0mygGWmKOK1LG^2hvG?KHmw<^o%-PUbW
z<d0@6aNR8jWhD^G%3Q@fAhWGZ5$=#N`N~`GLLtYeyy{gZqLxmI+yk?u$lHDrL1JY^
zE>CA<pxWd(G(X@^X$LKJS3Bes5)1h`1q8)@xAYeREek_Hpu#!80s*F^6qH<^NI?ng
z;QU;-y*%ft>Luj5yO3+%Eo#l(QrLfR<t+l2wu0iFef{yn%a`8y{0r}SPzG8GmpE%K
zANu+~@#YUi$fYR{54k)q2c+N3`Dg0`X+og4wrewVo<va&L0^$@XJj}bI4~$Jr$UWJ
z1)2>lnr((Nr$R?3s^wHDirY$1vdllrNnojHl-qNB^7&_KjAu9kVpY{XdvDc51HK9a
z^G8E%8@Z`|*dLf<;pfPl;{~*Jp{3obiC=|OE17-1(rHtCefI(o&ZRg0#@emyp+DD0
zm>bT`TfVh#)Xkd@fArfPOx44qZGNwzQe<2-ob%Mo9)XXhn!w&Xnhslm0$)>2Ya4WH
zYZzqQSA?zy50&JkK$BM`dlYo#f(WTN$3Vzb_S&@?E9P_<AXz$00O6X=H4DLkbQo4d
z^}hZwlaWgC?#l;NAt^SwLM54!a<om3PUNehme9}^@oA2hnIluLI9?!H3gSya{Q0l4
zB0`49Qq%mdfAjzOqyPD|7l>8~CS@iY>M#&aE9X;Q;8^Ef_5w#c?~+YGi5=)hsw9ld
zwG5N#U+Avv1&+4pQPYGg#8eelL~=x!@A7!rsvzze`fj~5{_Hq(T@XJKKE%>FFq@hN
zOOnK1_`$(FWkq)M|Meu<P34lKorD7Kbji_H!paM@WE9HrKo7LNgwm<zYu-dNp=!|Z
z7n2UQz7QWqH#EO7RAJiBJVdHeRo$-{P%=)BC)3Xy<x>8VIeHp0NA_qxeO}?`zwNs|
z_k-aTYWk#2@*Bq<Qu5%&YqP^u8X09rseJ;J-m3=WEHc^y8L<QR0AO^RK+j3!<Bmvl
zmJK!=DM03QGj+8kd;kUa-2G`IqkFTUIR2hKKkjvM)M3~4ssWNGbU`F4G=Ghz$hN+a
zll*|KD{mbd5zt`Jr0xZj>q{yMj0WXk46=6q*K2$eMNkw{(rBvwrWj58%FPtp)pIZB
zIFfT@dMd0<dZ*;Kz%3&etX0jF%T@}Q$Yu9vNe~WI$zurM!Krqr;>5NJdM1AIiL0PE
z->T0WRnT*i_fi8&VXwTQ9`<rB0#f^Tmi(R@*j_JjOLz1AKl<D^{G~~EbA#zZ>TZG?
zNZwJ?$yTRy=K~l>LL+d!H%BW!h9Ff~5iE$#-Cibm<os9dZptVmc)IJoOt{X1wYzDE
z&Law+En2d2H}{B@`D2h+>mfQTcN3$%C8mY~v+2L_V=8xZ$KafPDJEcPOdW!?yICNe
zDCnxPOOlnlSumX&fa{w}F3+f6%7W>{J1J%(d&RZ8>6lK8SCP)2N;2Ob;96KEg}VtD
zPVYRc`{R_Wr_EOK32}cWqkq+x=4Pgj<fK_&hwkQxu3p^D8+133qD-$nQk1y5X*O@O
z2~<A;QfD*w*<1MZ`KJH=U;nzVejLDh&E0u5$+Ll0fB`PA!~5H$4Qcs`U;*$U4sOA5
z)Eq8YM!O>$D4HYZK&d#2-~ppQBoPUYEjox<kc!;}k03-)_Wrf<9b`e?;Ud^EQ3<7m
zE>IigVqd{Z<&0(E@>T%9G>4{Q_Z0({2L<0=o(jMhusrZ&x7Viv@C7UnS>XEMlL|AO
z)h0IQ7sZ_|AKaBxe>SH`lZG9lYml#-|50Uqr<gp`lsO<@u=O{HttZ40z?Acqp1mLE
zD!m2DaV1UwgT!ax1ln=qH9w$pW3YbZDs18wwWY2F%5gJLj&FbCKRo=Z;Al`5NjJXE
zqKfPdVgLk7XhyU6!oISo$^}bZ5or5{ZnYi<X$0TQ_pA<tL1z9~Nemg)>+5Sg8iFp^
zdl-JW3RcsM3#sT&C)mZ*Gw~%bva(Nx%Ot*E^V=AYhHw)wR-Wg!e;<{8&d#%REgv^W
z>l{eGND?qEIXZGpQg<dfGIH%K$0MAO5z=1u7G`2d+)wd;)n5T}#6IM)W!G~<bg!Wi
zX^ofDZ1Rj!g}~El{_ipx?X7@;K+B|j;soiGbU#OW4cR`tbDmy9$R?>_ePR)^A$JGT
z7RmpDT^+*LqLi{%XTla<yGdZ)@~x?5^6eX*{id(@zR4JEg(!t)f)Q04gj6vaO0xpw
z!%SQwB#-zf$7qGxu-mT8o`hhI(F!yW6O4@NGz6;{Z3l`N=F~9uaeQjSc1KjAfJLN1
zI|p+)M#FE_zH9Vf3yV+|%rV*tv)wdHhbftA^Wq$<7>%L2N}_zwcB|Df8W?g!5-5Ek
zsmTYgnyfmQ90llL<YayHvy`eTs2M$0t|R%cs>fbjmqkKs7>HNYX%W0}VE#zHYw55$
zuQ5O%0iitAWk||1z2wlAuW5(X66-Co-Y<+!>C-p=H*fgDFyfjJ_E^u0GzGSg;cJ+0
zR8w@&h`S(M9|R^ub`4OS<Pr_ohcE+>Wvn9@N17FLg=SysU7&kwIZ<*24M;JNt4Mf)
z=Hh0>lu8FBh;$5~I)v~<yY1}sEzw$j4ASXJOu~SsSut18a6C97gkh~6`VcEt6|_C5
zcVU_-38$o5a?;?cv7616uI9Cd0W|S}t~iuJ)t!f9nASiyi^}F4nb?og33KZ4iT{f@
zWq|=a4KM(EsLzc=7GrvM#&q5-YNNR&ae6a}(|`2R*M9zwgfZ37+Ks6N+DP+Zvb7{m
zK_RNeHv6hcoPw&BfME=STaovw&WF;NmK8O0M&cBOzE&_NPP^ldbn*~HTZ9JVap;_v
z142)OP1t*|c-4o_X}MzuOD0wq^Tt|1LDYHv?j*BOV0BmOLgeIbN}D`$023{eoNyt)
z=-d7nF{b{rNnnUygM=ig$l7C9iPIxUz!U)le4z3z#_yJh*w-|!Huxprwry{Yg3as)
zakzo{8z}77l22gDOCSqCUwp2uxEkkP;9RVE+3yi%$8sYqZG2K`qjI&4-1+9?#Pnc3
zPL`|X|6;wNPm~<bKekg%1VWP1aXqwNJB9>N;yK+Iz211>CeDG^J#lY5&bK1H$7m8b
zgE2%_Xhsq|QMQ|yIc>^2>ml@gcKTn3hQuEJ#jcmG=6f<i%)6ek5e?5)>AZaL`Nvlq
zjT<kB&bvj6U4Q;1(3{P=Md$Rkf9?x^?eBeGAm!D`a=UIW7pK_F>}?-wS+9cRZjT^Q
zqG=?at7uV2?vz7oEta6rwULe6S8yq+AbGn%CY&a7cj|F%)<N=C1-q5I6tQB4neH*e
zMpgue`bksBAy@^;Cn7-^`fgy3n;%mJ$)_9W85eV;W^HIbvVLQnUzfFcZ7i9Jek`<z
zFG2Eo##|h~WK3@cD{oK#xyE!ZR({^{ts!8!?&Tl<_@8)taC+%NeI;hCncyN`jd2yj
z<+j#LRHItJdRAh{ve{5Xv^BN~yqxJPt(h3{Antr%v1=t(S~D>QzDc58)tb5ALP^zW
z%dEse5~i)0I9bfLs0IIj_TB~9wlymY>x!ryXcG{5`M^^pQYJSW)>?Btt1z*--Ij?B
zhJ9NDO77uyb8mBO?!Kq)z3GBLA`v4hr3f)Z2+)|&ScPaAVgZtZ!Uxe5h^U1&nh0g&
z4UxPgDeRc<8;^gC|DSWMIoCdW?{jY733Yp~dDfW!oO8`N$MYNC$V#k`Cn5;2B3x*@
zN{MYsYvvODP|cDOoY;=A8{V3U$yjZ2Ri06ojMhwy17m2Ef1w&H?^7PxmDD?WzCF>J
z3DeL!dUb!woX1{ncXGg^(L@9PR1Nz<h?VyQX8RWj%^53ygIM`X!ry&dvdn)>FE>hw
z>09D3s?7;{m(U8YaGa~w=iKL<`+R*A5`XsNzvWHaXvI?$i@O(wX#p?tLR)%)i?QTh
zz+EyL$N)4lN<}Pk#<0+S`HCkAl4-tN5Y(e2oe=7n9)5-~QW5l?Fy=^GpbcXr7X%!V
z#ZExbQF^42&F4rB<bp8kPPyDkUtt!cd*Omc1j-fHy>Tz%Uby7uuv;Oc2}vX$+hbQ~
zARHQY9g?V0_7O$|jg~c6JMCrOfK^rVsrJ=)hqBu6b~H>$KI+%Q@s|1ouf25UK!3-K
z2>PH!1WoW07<Bo|G~y==#b^KQTmPre_^e1W=>$5bW3YL2fJ>l!!jurYdu{SdjH;so
zb-~@K5sFlxE@)LIVOi*nP^1EN!N)im@ll59xn@!|b3>s=u7MOK*CdW^N=8ro<Y+v!
zUi)Y~SP4Xhdu%>?(cOESA}3OTLF;0P|De%X^Sr76bYJ4fy4JiZdu2wHz_lUU)0Q97
zG5_VKeEWy|<XC5qRZG#?1G)sI#EWXFB+0A<N+K6HA#4Fc%Z@leAxIr#Tb#nghJ3Er
z^;&?aV_a~D{EMxq{rI^`oD0;XTosHn7^QmWm<!Z4X@gt<Ymm^_m25g`erS+wp&AcA
z>CkO^CGV<0-GRY{f}o(c$rN$b;-vYKa3q=fq9m&0&9U`Gv|sX|^V1;-%0zYN(we!m
zr$Dw3$h);Srz%iyQ47$bH^y_cS1wpwKTpupD^DZwYrUiA`va0)(kh}jk77r!ke+YQ
zVUIEMlmtsyUozhzJk13D^H&1cul<#(TLhTCINY!YTdg&uUn$=s%#$TGukdadg<42z
z*M|S{m`Na4v|la_0-q#he4R;}{p<L&%S^F&HqXv~(Ke`FAK<f3wTs+>q=pQmTy@9n
zFx$V_SDaz*#z%JoTUleA(lO2*=c-Gh8>v3V&QEN2l@6w7hVSC|+%2K~`fhZu$d2h6
zr;FqmHL^`ckE29(RaIS{w=jA_tq_@iHtK*(q!>+h1y!hmkx}xU42w_u?>SF38C?iK
z(Zum(JC5Jsd^hR%7~aZ9H&6i(sQ2e~?2jL;+7#96sDQUT-6frU?-E=1HA`1gvkANb
zLge#%s_mV*EsHm8`5}w<BY)>l{K9t!BufL@<7o+SG^ncd$hW4xwH8%$Ga+lQ;G_`D
zX$e$Cm!keQXzo;WPzdI<L@|vUFpaf`&S{BAbYSULFsCKJ(}wO@=+iC8AyuEop>tXS
zU}ROim?0^gL*r=)P*kYaj}?!kB|xRrp5&3V1lZeZ-rcu9BUKMO#8aC{OQ1v{_Q8)n
zS??xwH=#>OHC)!c)LEgy<dbVZ|J!_>j`+AiR*i?R6lqDjXd_N&CuGwrkP^I#c@k8p
zQ-Ngp6FB!N-4hxIhf2Nr@^e?OJb3lNOIP>h-_an8tjG(`Q%l&#!y>GNz^n?Cs>WG8
zX%H;C<#F=Wg=hMTOo}{1tB<4P&VgXP`N0Q%^6SEmYY2QiJBD%S3}g<Ty)tOEMa~3D
z!_LFW0tDN7fb1&>R@t$`7Sj|Vh?F>bgzFWn?AQu+O04b{bft|T?RYsf_)j&1M+9*r
zNIUL=CDsB>s)4%V9BId05a2H&kvZxML%*6885I>lkUJqFq~O9xpkqa`<1UGFgzL~@
z#N7hJ0GcJmj)$Z`t0_r9Nc{te9d}6tmtdiyU$RjqthhOo?YM>$k;K#OCWcb;-KoJI
zw&l8C4xuL7bhr2ZcgXJhcm8)sMo-~nS`S`+N$br<{02)4mvDKmHF(*c<q;duOYF@k
zfV)EhOuI#Gs5qPHn=#Y>_ox5j{bxo&hEB-KcH$Ni$Yg~B-mDu3xs)E{teyh4LC}ky
zDz*~?JS<iyaCtP-z9Pt~VEJH#03x*dSqRchyI}4+Mv|L$Ed*(%iy)v3i1d-50*_M*
z%A=Vsf}j@`00J7G!Ou~zNHgt%I}Ay{#efDHK1U1t7BlUVVBkWkn#W!)5z5y~ikWuF
zZ6V}cc0J&C1u_isTy&@P*35SYsc163%h3f{kbfdcF#FMQS9DU^VZW3C+LdI!KV_!G
z0i}ZaN1E&;q|m#;eyhzjq~Xx;hrVB6|MEh~cDha1FPxVoj(LS-tIPObmGzdYB)j#L
z?NB;($ZLpy<Ju?Fs58d!76ylE-gL$oE-$X0&w+du3x5zN0ZgNfIDoTvaXWojf9WHi
z`GyJ8rAi+bOmbrsv;D$oQB)>bsTraBM*+}SO~&Z{oz;YN|E({Oy^G?8oJ03-;k7-2
zNK|C+qOh7^x|D(ULNI$5o5E@WBI(+~BJoZ_JGa_FYl5Z-4eeve+Pf&UCM%Rvo1eV$
zF2HhT7(?WnHJP8*tnLoV#*!{T1z-G-<8=Q@F~`b1EAx#Pl)VcKebK3a>@BY!jmh4H
z+VV(2gf+)Za{cmzgAw*S?RPE%iyVdX$nC=`#o^H7!_lx0nS0Wm5&zqqO0(Ix7oLgm
zzjF)2+fWC10_Kw2+cRR2dKrnSaK>rNxAu<hW?%B*U;mkZG7`4BkQZKUS(H%$yU@6;
z-}9BV!O5O4CTz=!P!>uG(IE%iD1)pE(4U1eDk4F2xXKKdtY5OO-XFl0K|zF$HeJdN
z1{ItmL2HSlppVK5!Ae&@q3vd)I*>|1^hEif8YmPWzfj#{VDE>yjH=Rrur$g>|9uFy
zqH9fyvYJK9iSnHMzag3UH%gr*te6@6Z;-(sgt_S*M`sp(-2_b`zyEcxDE88ws}koV
z?cF75r`@8~tjtN;n@Q5X?FZiU{_hABuokx6G+UYbsRVWE^D*<ab-{#S+8V;vzOq`X
z3u0)R;f;{ZJ&B1@*B?dKZq=h%rfK#S$tfkqezPTpwC=#lm?&WHY+Mg;p*1dPy3tcB
z)ua@Ql_?*Nn3^Zd#u@CgbPj}Lf4In4NW^(dfC~eQC?R6PG7=rcyt{WC06YW_D9Q6#
zspT_R5tX=l;2~HnHr4)g+VcL~4(wwu%ZWm1h5dv;y+60p{y1MStd-LzI;vuX2O6s0
zpWCrNL+jYmAIGsmm)Hb7)%j>jd%kB2_T&;WO}j<21-qR@;77gj%m3Q%87(t9A=4OZ
zaU=qlTd)$>38X5xEQ!E@dSnhRPtqIb;IbqFhw70zxXks)9uIOAT%Mvg9!>-f&>J5~
z1P<{V6Web79Qu}z@*5kfw@7*-5X}?@gR-ySHB?+Cr70SfTR^PpyHl4LOCk_PzL&79
z?oUYsE<5QYiGt*)KcI%(5`Z^8_tV^jHl;UA#jL_}BJezD&`Q8RVe;87Qn?%us7$_W
zhml8n2&{VA|0WZGPxRc+IYgdA<kttA$(McO;cMPJmc7nN=}iljNtvyuY*rq^q;1#Z
zK&u>#!q158bz4Bp1`O^0HgaImU$Js9(EEvQAi|Rty$R&m!GM_YGcGq69A2B*%E2h~
zjNMu~Cc}2V{h7+aIP3w!=AqFI)icMr(ir3FfXb?q_M_&Q;dY6=%LlQH;80czc^2`A
z$M61Em+};}ZM~S$#X}7~awg6H_=^6w`MTMpR7ys-0sA}^;cb}4b{2cHRHDO<YqxX+
z>u8Kb%IU6VXwSBEwxzERTly0}_SuV{3}PGY<@I#4b@rkmxiCL0BnFS}dTqgV!IM*B
zX-i!&h8=8IVpQ^q;^BK^zqjt}0ix9`vaNwol(y7Y+yjv!;9(T41rwZClr*E03V<|O
zi60i;)Eg^GKG6ip;v*mz4C0e&bzYIS)DOJ_*@G0J_bbdOluUQ5s98t98a4RH!7jl4
z*-B<YgCI#jA8@_qHLR{$+TlvD8$AA11DacJ>|c(-X5I3Nc{KCuuvxRbW!;AW)HY^R
zHuDMa^N>vZ8zoL#-X`a*N$i~TmIpDl)V6$|$a0^RrEcdQNC=t_C=W|IAh*NRJ@VWG
z$;zjYcX5Md;A;<Zw>RM2H2GBQ%e3X&6fdzaU-^x1{V)D#(s(ig)|(YKvbB5)#Jn6>
z#$hab^UUols2wSqxS-B~fnawqirx^E2h9Zc@W+L800aRB-48+0crLi?*wx5d``)@5
zLr^rH3GUEg0R2S4wKY_RplCc3v<3_a?iPkB3_;O&zT$RG21p2Qc@7LSTyVP5QC%}s
ziPLYa_b<Qo@peyE2)fptWY@g<W=?)?{G0i_Dk>J4z?{p0wW1SK7<x}os%1d4@p&Z-
zznDXPG66pkwy(mBQg2RB8U)$-!+dKxNl$iU`5~S3yFcpBf7=fRKdUOY)(gvwUcy?Q
z{Snbg?zo`c7q2lYr%3o~EkFE`?1dF{z!>#c;WvTuvO&?YV&#QlDi(AS$i#MAgAs&a
z_QLQry2}9E1F;mySdLSF0gk8qteE2{sgHcs*$dkqaQU{PQLDtEYcH%@DpeI7yY~0d
zr?U8K(uxj$ZHWfy<}4**wL>6zw5Ja!ld<}QUit0-+zfA$kj3RnTFvqWRn%Xb4w_vg
z7fZCI*T0bxgV=d)kQRb*Aw?Pdj#jd|K_H%yUkOfYL%uah#jB4O2y5M53WiTVM_#9=
z%vB(}mpu`h1r<BDs?rz{*_4$YqJPUiw3QpsVd=qk8H^n(nX}oZcibW%&C}4afWGYX
z%q!M2Do6w9u_`(#2-NkBhE59g4BX}diJ&565)Wt_a7r%jJuM6<Ne9bzC|%NLWjZVJ
zd2Orx<!K3L6+2YUD$W-r#W+6dHI=h0^j-P*eCy-*g4jr#@&-qmN65a;PxIJYIHGy4
z4YZ!N{E%XQ^Cx}vU!713pE}p0Lz9Fw#f;7M0Gtc%D|>Sv>s*hvBAipz8*uuGpNnqN
zUOJtRg;%3VN3Ko0Tg)+na?3gGx)!b+glu|s=8#mku4>^RRkT^ZeN;i!6ced;%v@=+
z{&g+9<lcAPzIUFqyM9G`(1J_C08EX&E+|p#E{V=*(2Fol-)r+3RoANXUT?K<*UhcY
zo||HBwkc+=g)3*)RnTY6UAJ(+7d7YDOuhBugR3(KRGve<^$&mbZ~lV+_m{ol4fo#i
zbl5kK9Nmh5+=4OGa5HVLg=4T788}!A2ZeECYO@6^oE~hZZL8~5EqvR7xSlH>Ha!Lo
zx|V*9AgE%-4ZbagkJNT{)Y3)Jut<T(5#}j%_)m@%%(*Fkj({LO-IPqdVj_)jL*{?)
zUG6XPcv^TqRq{nV>G0d(#8lX6B)O|NL!;M9zVg#sPAhn~Rh*%bYTBQVM{?|u1kZ5>
zk?2*N(VHGz#~Fl<=Qx8|{3^~EfsWJDjI*hu49VA@A7t2*dRsxpwB?6_j9>Z3zu~h!
zD_TZ$p;iZMI}0)nD0{Uy+N=YD*Jlm>y^}h?`a=&((6={&SqBs-4zd#%<ySlKSqFf8
zkBKWPi)9by!Z^~E1?XqU(}(`h6{d${#oQm7gAAw=gbWm1?q$kg7R*5g9CGVEM~P0k
z1nppiyw-S_j^o!EWOPO*m?T39BZ@EKh}H0_z=d(WUk_OKEF4)up*Fuz6=Xy>A}HDV
zx2yoSWl}40ME!Ay`Tj&WvflJY*~)wB=NaKh$4=hn==l!WSS3t!Lh}!wqWr^45}>T9
zW2Y#IELJ5ss`x#`5hbb{P2oYceUp<?$=v)J7UY()Q+gi5&Hs8jLs_aY4nv=K(y0{c
zhQj|MX)f8Ql&q2DQ%)91jy;q(+van2i6EXz>)&>ENyh)B6GnEaYWP`6xhkD-t>;M=
z5A6}zDP*fEBRN7AFVhFYY#_OYx42}du-SpIQ?mSI84{*=fA=qyXueb^>dmPY3R~^T
zvoZhU7k%K{R%4jUlXGV)8*^&zZ0%>B;2_94EKJA1IN5AtPR?n~8Z6k(2FA2z8*_3>
zYql|=J$oH0qna)hROH^1)>~!B;|*6>-P2Vy<*aB|!;EPz?0<*!R{R^Kk_H>d*}&ha
z+&}?$Bn{ScW80QRsKBa!K<g%<L1a5#`A2S9d{i(9&bQLQ(1`G4Q+}VmNY1ZwJDopO
zR@#(mf@?73Y?6N>MO6d^MP=c)oI#c!oc!q1>`EJ4%su@#)6@U0fB)A${L2A3(wor+
zXr<e5h;}R+&*(NhIIFiiAr0~B@s!HjpQ(-Kjsv?G(s0gJ?bc`Gi4q6{GzzX{z*UZD
zvhh4gPnL~mG$jtOlV#(1nw%^LXr(8yPfj+;%!+xUWhx)kd5<7Mx9b#={@X1@4`DIm
zlUrE-8{Z6lGE3W9*oYO{d=X;F5w3tX!vpPcR)(i3oioEz`T7ziCQH|pj@#e{misJA
z2~${;8@!eq7AC|ORPLT|7>O@hJ3!dvgOB*sulV|aC=Kf{Gzft>kSG|W9NH3S)?vs=
z9A|B;0)SJjjai4CRG(Rgom8J$hix&c>8MuBI_#uY%sT9(R;-dtC)Hv_?}%6ztj)-9
z4H7KoB-06k#kQ*(bE{=d(yuA-C@f5sWQrpG0hzeUa*XO)jVEj@wQfvF-nhQw-y2Z6
zdAV5fRuVO?eC;ppO_?Ck>Qd2-$?I>k-96=pM9Dc}LJx){iIa4|+lC>DvQLQ`z>umi
zZKTaxvXUvx;d>j28k&M_O4JA@$)Dg$Y?W`f2!g8335t|3qKS%>qSe5m3ClmCp8iws
z`@X;UfzZ>6-)`aUmXRlF9lfmb+B(p?fw#+0IVkiUNc>wt>S^2UsqGS27Ha<87Mb>D
zMe6Ay=!5Mry~emQ;?UI7E{ItXWTe81>!pky4KTV=I~KupN3auS*FXoU(TNqQr(MvR
zj=<e7a7ntkAxJ&#g4<=s>8udM#6i_+At-vfNCKLK@+Kuafs*DW6-sK@dYc#oZ2fv`
z{m5xe3DaG21yWtExkEahpwntiT2Vjx;m~2^A0=_{o@Bl~W+foG*{(HlpN^jI4~E<l
zm`llZ|2(T3gw&jN3lN;to~OK#c17F83KzmP!Gv5(PPP)N>LdB3+f9u(6!=Mo%f8vL
ze|h5CoZMu%sqnu*Gc}1OxU(Y`Kw4-+<-(mtu0N}Md9)%k)=n;cRAvs*vvGXZ8mfx!
z2yzsu{|Y%vBx@BfjMN6CIU!7jr24~TU=#1z`9)!Si$)ykYukZo%MbbMKl1%Q_jlhC
zc0ecZxE-)LJaE%FR~b4My^g&BVSF51aJTCSu7@&SY>XtqzCwAFipB-E0K&_wzD1X%
z8jKL6o^ip0`j|NMe%l+~Mm6Jt7#ai4bgFka<eHp_6{%%hFh;F`3Rnx*AxJw=1W~+H
z6}QFXD2gitX$M?zw<lw|=t5Y8Mo{d4OO~nv^sCgFV}2TZYbIS?5;+^xLq%sVtb`f|
zmu$96?f}9O+XC$_OpDc;`R;%?9ZFq(BFv+!&U3PUm81dnr+g57IKY{%dU8m)mR%$J
zpo<uDux-4U06N}0s*7%nwt)-f|6;xPl)oQ1a9qOG<QZ;l-9VZ`lXmRkRT}-vd`VSm
z9BGD9eI4qM>KFj6l<1e@V^YU;W}m?Qs?-Suc9uF9F2*=MBZ6~Ey=~n@JOeK@T;kV)
zKYzYDwP*jf)akV4ht%m$eb;+`@n3{G)s6s9;d&EL?$EMn$o7?Yjat8san3y19a=K5
z0ZL`R+!=x+E=JsHyBJKGqL!rKLf|90p-J3o6Fe*z)}oIw7>e|W71gcw-v<C7C`m54
zwdvPMQeF&`go0+0hLW%-P<{E}S{!sq98}hXGL-?Fm8AGSex7S2&S~rr(qITlv!=M!
zCTR#C>E-Ho{)=1fYi>bqD{C&dP2Uv^guFa1iA$2B!@U*?pXxlttv1Qs8st5^_8mIo
z6b0qK(x}>})>OUQcd6sMNO_A&&1zS7&iQWpm)rEA<q+)W@-wl2Fv@`|^mB!g(DT`4
z(gE(4AeIA(m`1D5UiIVgs`UxKEi+(m$;k3UX5jbzAD{mxelE<wu`Kvhs3jt7ZPV(O
zqULfrje5p%R!<!YUS<H3Nj2kw0pLb@rOwAHU5X2q;T}WaO)41|j8Pl_t#HK*<jYy`
zGKyof1oL+zn6uzz6bF*Wg7nTS)>&}HgR<PXg{G_Pl2sObi*keI>breg!4xy#*R$JT
zz$N`}&^4H+ZeJ1TNi*P*2cHCjsZG{zoh0+^*`mu1o;p#cYg6t?GT)yKeopOWTkQqx
znD4ll0YCb-I}qZE^IQ>=pRavXxvN&}cYdix9LSl3!#q3^M0Xri_Ij+>!g!FT-l!?`
z<Z!nD`alK04tp<0js!*pp}*}M`D>t6H^7jBtn{b;l0eLTK^9HOdOz7o_4oa{Z}_u`
zpFuCvz5jS)ADh}gsm<wJ`Z=D2;%BuVcuIEoRO+XhZFfpbX0?A>NoD}YX(dVT-!JEc
zl7up^il5-6P{qq(e9iS#YQNw<H;Wyz>$SnFik|`e#8BX#(W%saY2)qS)K^EpwlYsT
z+uZa-so8Va!!1txovXD~*AMY}se!mXNNBE=wsc+MvS&Rm!D*E^L1sKM9n4MKX{O~-
z6X(Jw7U$2zc?Gl)iiQM~X($_{b{vUsB$`k`@=VPW-Nrk?ZaiYO^r;{Hv;X9e1u}29
zgYWum$&$+!xNN+Mh=>p^FsdLefaGCK5d43vL^4a>*`)Ijlq|Wgxavp-h5=gZjk&8K
zNX21WPaU>sN1|s5Hl5y~B}?urE`_NECAKZRYSA#UqGZWk5WPm?|DwKU72Oczqv40%
zVNjkppvW!sE=IECwjxS;o}k~@Sa4r)RJk!AFS<&VNVuoEy-FG5p~SN)rT*MHPZ9Xv
zMgx|k`uF8j8o~ViF&RD6I@f%nbcctwQb;qX{SiRzm$38D=hw(J>+?I31-N$U&fbBM
z<%g0CU+~N;U-HU$lA%EDkSwWO3ROz(BcYWNwJSD%k0lv&I0*#TD`ZM@#970IF)55H
z1l5PJBm=+(()`UNLkkDY-X=1~%#KPwr+^M}N+2g0=toJVi~XFM?zQWLDz3auI0RDT
zgZm0RLwzw-l3`a!*q55^twQqbZ5rGHX9)^f{y_OGD{m9o3R2cEA)tn!lFUhl=;vJR
z8vq?V*qmf2{hSBPWT`#aQ8lYN^3f9l)PJh$axOp=rXJ#P75hSG^A!6nMcIFxv356)
zwL4$?+G{(a9<Qx^r!7CEeLwWMe|Yhp(7rlL%wv5YZfFW?i6P0_GQIb?hvpI%L4>_f
zfe_p&lq?JK^>#rtD^Ovrz$y*EgD4mK3M<kjbipn75~bn=7@72n=N0J^7D3NSg4I>f
z3t5ob*9Ad?NYX|)nJct{H;1P7ErJ`s0ab7z$||o&?dyUE7zhOrqpMykefAYDMzpU>
z0u2HBM|i?;HU*23my{H*OCErQv4f-gzd1|MzAg!Ol$;}SJ;3o%Pp6|(`?@5CXYQmX
z2&-aDnMpF=9x7d->_W-Us-Z49s(nLiMy)OBOzYh&zNKo?wmUsET-p`t*7R=6Y<Mnv
zqH6VF*fY;8|JR&Kvq{NW0p})6V42a`k^=Z!06U+rfbH?UEd@Mn`5^`TzF+<&m!Fkl
zaskPgm|V8Vpb34Uq4vU<iULL@F}Vru7RXVHAdt@L2!^1<<R%DBMc!=$@2iaglS5Eq
za$gbfU=>`DT!gM=2ue&|R<xqjcCBThEGRL#38HlaN5r844e$tBzk<4ynA`;SaJ|J(
zMmE5TLVoB`Eu@N~?*k@va(*5$s|9{;bb)N%l#HsV$wBta&3z6WO^k9%5(>t~lZ3|2
zeZG#dhx4{{%(Uf)bj)|X?`MAfZyTeth+_I?X8_CyHme{GZ=)z9yq}gT3&9-2l_oXR
zVeCZ@!Kz?TT6a4Jymk1R3p%(bKn_SbyX*0*<q#Xq5v)<O?!6G&=^W-(AvTH`xEQoF
zpitii?K#8-UmHl8;AR~#F}zp)$OWD48hyo-+$=hSH2GzZs;#0`_(4^`=?r03H!7cF
zRr?p8Q8H8kxlJ7wYx6WuLy;N+Hv&v6fTvWgQraJNjhb=|4}MiAP?%X1Mr1p^^G$(#
zZpeLvMz48-*gQe(^)W&06MpS~_}?Brv@nn=w$Ae<hO~PsBco5wt~fc8f~M40JUNnr
zrnCs=krbL(v9I7<)0Dd4$&nN^r7pPFoDML@0DNe^Bvzy;b-|b*h9q=*XqwU@=&2gS
z0WuuB!u(2c#L>Z^F+>&wx%U+(6fw6E$JT~JM+ajdg_vjHi2GA6q~XvcQG(R`50KH)
z+*7SdN5{|8?ha5;OUyH>jy}ndi}ihYAA`AzHOE$(9)T^#9N&L9z+>eLxJidR5+#FS
zhKp8RCXheYXX5e>Vkq0yIMMr#KDMtP?zE#N4ExZh#@KropZ{f2EIr#7TLgr-b%=7&
zhuS`1(s*Gv<O1k;+%X2NXtkuXR^7VvbYEaT2}{nDT=hrKrN{eXw}guz(J0w9+cnek
zeS!IT3aY{ATq}sAhcP|i7id&vaE(_6*-Hh7hNQOQ>BD%j!5l3-;BY_D{i?~kB$=T9
zxOSAfbxpjw&3P&|&(_5FLXH~1(Kq~I>t9LQ9R2q!sZg*GBg@)IR`*ExRIw%|6t+zQ
z+P&^a7cx`6vKV|{-=pa-%~kc%U@N^e*z(Bz_)7yJe`)yf)Juc!tCxn`N1C(bEx`BH
zOT+E_(p=Rq4L8qtY2I<Xkgl!!DX}J~!vqCd$<rDhuaYvR9kv%Nj7HOyP&y``TDHSQ
z?z#)!$HFh!EiM@Ur2R!(rUP<2?Z3I;lO6l7F1m{LpcBiL_UCrmAJ8SlZL$CQqN`l|
zPG0%6KeuClX|avXZhz5bO6fH&r%D=h@(#yx>(#|NPp|2A7wZZV3jtV*YKMIM_4;Cs
zH>RBbdU>%%L>j*z1nT{{9VfirUaT{#^t!uPSJw}LdjI<R*ErvdyjX88)>RKO1nT{{
z9mmHHmN)hBDp?Z!wS4m}Pp^}%ymyH$Xy-z<hfVy}WfM!SbN}%r7TcguQ6?T=o;+BE
zl=|EUFTC(7ozJW1pL<yt%$$WEo&;i=Vs?t)3#a8Kug+A<l-08w$%Q`UD}P}7$&vEa
z+_C$RmPv;C+!8yFQW}aiZCQu!nF|&la<kQuExG=~2dW5Ss=8Q^?TQ`2yg&98EHd9b
z7c}y0RFq&p)O>{?AF(2+_86HCAjQ-sg&?0L7c@Eu2*UTOt67tbf_NF~gEXW$#ThCe
zJR{P0CjQU<xA|H&^$2%@_&l!W4;Q(50w)*fsiZR`b(wSy@*-fh3?+lKMx~>Bd6Qa4
zGwqReG)jwi%OHyL%{rE8or>o`0i2;@Wjk~fRd#IYkK;P&5}W8!4y{tX*~fGS=x*$>
zjh9<w`)cEwbT`wMr`E`9I@BEh-EaNYZ~MYGM)WC+BVH^r_5{4OhLr+1()x((E4=4o
zv7M*{JwFQI9N?T0(`14c0<u^H_YayQbF_#JcR`SvOMVt`B&kJbK`~Y)XpO7@aDsGz
zo@W*mt7L+}8^GIz8v&<RLg_3h7RL|0=4cKIZnj45;8%UFin;%=QZo0!43N~rpwroC
z6IU|+xB0qStxR>j|8U#XwGqF0jhY|x)IML?{|+4?{*6*8{pv=`|Khn2*GGTK3y@>*
zr-c5;{nlnI|0xa6V4D{}%@WT5NrXi0gQ4-ctyyLmpm@-GG6c`yYeJQ6B_oen!v^(B
zM^Df1MPc%Xl`=G0l1vZq1t5HgTKI5uolLgOPmzY0e(h^WJPS7!1y>^n^)=6YjNtg^
z#|WGGF~a6Rz#n%^KKae{zs=XOX)(z|e~hqj`xt4&Qi7L9evD4UC_vvM3m?`<d3&<?
zYGRZgF~sY;T>)+{XoBMRL&ycyn0~*O(!WDYmF*{D9>i>E2MAO?zHEoZ>=0As7=<xo
z6{A3)-k;lPf5enIMq$iY#V8{&8e%F;8Aun5n6ZjcAW$E_j!`5|h|^JTp*R5gYn4rW
z+j&s30T<pOf)Y+RKtPB?sUkoGgYeLWL7b=2Vu@f*e=Ctw={jL_gN6MxDleo2C9j$2
z<(q%8`{@i=5nQ?ZAl^=KSnZM7#gmBSo{&l)_)`G7`5-Qcyc2P5a4i7bHo!^|<fB#u
zeT4FMw>OA$tVkcE2p$MR=8AAe46ssGqz_UAF)kYC$hH)8)`<@iEAlCGLD(T$4+2(T
zM;bxuvm#g`EU+`xhaNQ^8hbSSpsKT%276msMcDq{kO6Vy;(v#XjDP2Uhh+3zYru*-
z7#8hhT=EQ9sq}i=jxF0suTeFn%^L!&=zDQnagMzfBg+rPIbZwVJo9%xJ2E7ylU>J{
zh8DU?c`f18+59Y5-gTUVq=QB!z>(Roy%0=pA}A<NaBEg^PPa5pHPF7P)`}H#oCBr?
zi%I|*QDjR9<~Ro`hI$Yl>R|;w%sL>-an5Fi_=bWY&bQ`TU-3L0!*^NUV}8(=w{AZ3
zm%RwaTc5v*-Rm^EC(%{0SyII|jwi(1mE)L0pD2AQu3-isXONlQs50OhqonMDz)r#)
zLAPz?4Qb)3lCR#&7L;=C9Kc5erO@5Z$soGpk6+E$^EsjiuHF1FZTTTJ^8@eyw6FTu
z@#cp$TNT*+plT+kO>-jlWX6_i#<QWI!C@&6T>#roxPJ4BIS~uxqGS5NLMfqe7OWDn
zT2aS}h_1DeX9t73MK$Ax#-wy+m6_TFj~UcVUXg0X1#PSZd>$l?we}q=(w!}W#pfBw
zDoZ}jB~PZ6<wY!#r}D~G^TVSimR0XHjSAE%MKhKUu9<X~QeE`Ug)8*rs&<=-vNK)S
z(tF*;$f@JmX2scs!c$mXiXSyQk~ChcqM+i=N!Th8O*$ZWW}rf&D5mxCIG}8Y>(k?@
zIhV^_RB{}j35Y6Tt`+ih{3c;u$G`gXcxZQtP4G&75M`Xd^W(qo-}|M24l8oNYgL9L
z04M-ZV5-O+x(T#ZG*AqZu`}$A@vI<Wu~^%b&JYw&%mhuBGA#7w(-0I-%mj_)9D>l1
zEi6JjF%!g@k-HqZ4jbl^u_NM%`Jp@Vds7hH;4LUZJTVhIpf6T%zDQKcROq~-MEvzR
z;wb|xM5|wMF<P?llUy)8iAa+i<EQaX+Q*BtM6Z?>^U|6b?{o+*MyOR$`AIV09klNv
z4(64kJGaI=)sP)T^-?+DkEwmUap~tdp{fHdPf87|+V!|2s^7o1v~LpyBX*Mpmj!6e
zTYpiMYf^^7W|FFXJ?%M9!idD$C4Mb%#hkBw?R_}cL7h?0cZYhOc8gjke;(;~Gb8<e
z|3CVR|KyDkC}}&3djZzmf@sF3*A9_v($2WxNm@2~0WJvgO2U6(X4agy!la0Og|LQR
zfD4|a?W7k_1P|~NxZ)6PC%pg{JW1P0FQ5oMp^R{&cDNtqs4<Q#bJ*j~LK_cu9=ZX3
z*k>ZrRfd8fwcVa2lEBLTsn4Q(EZgHzSULM4!4k9eJF~O#ZICnY-A9r=ZE+LXq^efc
zT5H*k&_#=(5qi-2pOc;G)k@DL(HCq_93RfaSrnfFB}$hg4!egwpjJ!oIEridb&<`K
zY8uLCh%>Jh7};xfu4%4nY{N(&+6sS*N0x8R3ZXcE<qv$>7yiVrC{P?tUARj0M8;;l
z0B8mNJU#%_P^Vk?bGcxE_||Lbsmys9{?0Cl{LVrOYnzUfHR=^}?&yHYB#6n7=>aNG
zaoDy7bZ3=20t82tEI@%W#V{W_=Z?0h<w<z30fw(l301kH?m!k0qWxN+;iy(p?O|oG
z@{;SnX8gnr6~A=hJ3;)p*Wc!1@NUdW>T}efM9fJI-ApaaUXBq>&`VO!F~Hr$0Ml+!
ztE}f3;AUcgU-pCl=tqA?!~l9TT7!4829gaP2tC-zPs_OP!P~RJGp>8svGu-J+Q<eE
z?D;zJMEmb+%a{$`uG?rQKLiCSdd%RRtkYzJcd|~CizUViJzS@$iX|rtHC3_XWTmDq
zmS9qWSbErH;bF}eF3z33VK7mc8lx2|G`m$^#;65vjCRWHg~#vKTg~raR3TqQ6PL@J
zF0<%PcN}4~2Hg!k40{W%mjEKC_as9dwT51VFpt^F={*?{aDIAkn%(G`_Ng(%wB=i~
z#2Dh^zVK(?{LumD*I36@swWr<oF4=&C#Q^)>K!T-_y*KW6qI6Xz~j$pm0&d80MK?K
zt%A`^%4oWW>QzumteDd(C8LAcOojsw!JJmHQDDF*p!D6IV@|6eyy37{q<%nT#W*LY
zeyCFY=P_<V1RD#btFFjL37c}sU{hVJr7xnAq!;Oum>td%5Ro5CV~vi>5tZtayCrHR
zJWc%gy$qG8RF_15x{?GUlLaoX`ho;j;*y}gHtrgX$*G4Cj4EGMsAr-GRcj9Ac#1BM
zEDQfB{}<oHCq0WH$d4YX?w|GU*}Uq&fn$03M2VE%ai!~j=i18Kkb!wjQ^qG~PHJ0!
z-{zR>JuiL!tIxgb`HRK97oYor_gr|V88+_6S1(_^>mBcoF4vbIVEeguzB?rF-C=Q0
z#e9hYsTU|U;TAnYf^3etZYJjXt)KV)5C5(}UmS_KlujG~H3U_)v#vN;_v)>Uk4QF9
z!B$?qItVTZSTN~d5uCwPolG*i%Yo?%hLx~2nj~Z4uK~k{jY|u`oMc45ADJ#CLJ~8X
zW0Wf9LK}bpW<d!jjapeS$6RHo1p1?m3M39)#azL74(CLX5=oK{f^GVQIs~PNlN6_s
zB#FLoNuw8`<kr}8TyoTy)5NL441k($M@K-hh5AKT*=GGWuhopuU?6Hz`Au#RlYc7B
zWx2JivB%{^vKb$eiGMQ_ej<Kq(6}==?NPvKsL-Uu3Mw@Cb<yWoDl~wSTxW=}J<hWc
z4@>=|8S!bisEtr(BYrbR{P`dIvd{RK(I_8tI)Mo%cprpRO?a3Jt3U>B867gp?@tNM
zQ9dAr9Hu1fWxe636?g&@H?blPJwW`Lqx=H!An~g<vqV^&qkO}IN=$BKY$2GV{4&oP
zLr0CODg<+sZzDz!kuUnwTEvKZ^bEi#EHe9J4g}9PadwXK51_Nt*nJP`uU3*q+}DJ=
zq?B>Ui}oj;=DVX!p3EF9Ndns(y}CV1;7cXPu-Z#$I1(JAj)5BGKDo8p?*ZpPY_9I*
ziBv*3!oleI2r6tS4%^VK9X*KWSZ(VFVOH4s_>}zvevWU3K8^bbGlX&u^Og6Bwb)l*
zx_a<V@IGBV53L~aaE<<W_2uWTUU~59gO{%E%NNQKCDQ)!usGt&4Db5_kEHuDvW|Vl
z3n*>fbu9m+c?hQI;^YSzZ)OiclQdH@G0RDrnB{_Xfbag27vKL6qTN+*Mq39spd<*x
z2E#uv6+PRaAaX}Zw9X&bb%2t!2ea#5d0y-}XyBEIT(u7ktUf)%pFM=#vO^j`%pc%M
zjrq$Sg29+zCs&;DP}|AuAz<JT$w4Uyho=$D9zu*3LpMe%Sa}F#v=}@jYeMnM9<{v1
zV5vzoQ(MtfYVU&BvTW+qQ1<L>7UAb&UG2ji$Kswox0pOP>`UuxfCsg4EY~vm45n6v
zUT*`mN5u>Rfp&+gm$q#*leseafP7B!0l6KxpkAxQxs7Hx--;Oxjb>HvFyNTg@nt)X
zFLk1{DL+p_OC|>su{eI+F2&+V-6LgG=nyAlYab<{K4E#Pe)osZv=Y>CKmWVG|Kn2|
z*|3JQ22lhesC2f9D{HtzhYFp>K!^t#rI4NM(3xnEZC(5$G0@%y?6^))w4`kX$Q>oo
zUXe9xxI%cvx}>5dW5ulD%Di24n+c6UE=y(&7g~7H$%+v%7%i8dWT@fA0`0!Fb`|i5
zOKvcrTj<peFfP5SWO_uATn0z5DB>;p#M(9U-3i^k-0WN3-c&kWxm$8Hu4q&fs{+28
z7)XzWyr^sIQ(D?({O_@-#=qy^A({9$N~BU}n=hS9owrizyff`PLrCuqLTY;NOba$=
zLT@G$`q7{IrBDB0KuGmwv~f<sJHdB4jd$vn<l~1=hW7Io#+1E)$b_!@qnol9u-ReE
zgr-dUprb0zLGBPKQ=pR;dt(H%Ckv5N_5#R@skzky(4>vk%wB+jx0o{ZK>)F0_5wC=
z3Z?FeG4;KxuHqaJN0YdOp_4X~hjoR&kTap%)rvz_DT$11bCwm}X}ciNGbHJEw_Z(!
zcQRNiF9=<HSTnmdl^1|IE=Ir-L)GmUZ5S&23ie9?3dqn-&J#T-)p=gqUl22^20l99
zbbFkj(;S0`)*d&}L(sjPurt?G<(tC!dYg=|?3JFGI;(he)x@K+odP(%*J)Ft&lJz4
z*B<d4e%(YK*=#O_9RJQ7jN1?I{`i}p`JPqaRE8D1wbZh5)sNb80C7M{2&EAU_M^5+
zv3tkyP%xGiyYY?)>=aQ<9S~*3zS-||#tV?2O;x5=>=;M{3@Me?e%n)8S+VcC4lI<S
z-BvPgI&0xdu@j#v%5AxqSw|r_s@xbMsO|`)NTt})#<*6?k;$>>r06ggwtp}Zq%tBU
z4txb-BNz6+^6k<8p;SNa$vG)xB~Ya+12$lQK!27~M2ui_il_zTBWqGkBoT8{?@+z;
zt<nU9;!Zn&alUXm;)0<_aDJ1?lf>#L9VS0d(qYLdfDXg23tpXq4_UEW_|BBp92Lz`
z(d%QR;QN33SFV2`jHizIb+S_%`b+94!UgaGq6=Fsn0<wagT~VZ@s|vLcyU3+rM`R!
z(s&lZT}J>VR^06j9}<Ezo-TMm?>hW*zWbOcCdM-aX*^vJs1UUB!PG%XSWzbg=^nWt
z*pWGS0`vguPMZJnsBjw3BG|EkTm%==#GVCdJY5ilDYlDXMHo+oro^EoDsoA%8A5=P
z-BP;P^OB+;i)6Rbj3=T(3_PaOkk+K?bV(#ci6jA-P8hTr$$WPZzhIJ}{6uSW8+^@C
zBd-=VGM+S#VP3200_d+(ci=VA)S;(3nK`<(;d4_RU+d(w2+T8CdA`hPQ^FiK`lKMc
z7VOg=+mTEft5SK0&0S^Ec-+@LsAJkKVrB1f+#(*A038|9W_QcW&-W_$NgsE^eFbd8
z`^=9}@nzo^A6&f$E8CDaY(<C6J^9XiW9qMN8r1RQZ~unh`OZjoDuJ0NJB`jPF=y_9
zbbyTsB1PjcH)hB!NG1jL3C2b1-L^Gg|K<HR!C=l@q43zONKN2}4(7})28K3*q9bfY
zbaWEbfw*I-S<!rsH0!=1CVrB=l7gW3Y*v(XiLJOfNPv&v8D_h^YMOOlafg;p8O{pk
z86;fmpGVAkk<>X7SP~i8_Sj<9T@r+qOp78;L}1REaK0Q#cDkfhkC8RNU|Q{$v9Iu(
zOS01?=|RDv!Ih(HwU(T0){`kuJ@aZC&*8&N>kPp+hoWuuEbg>l6ZQ)K_iPU4XS+^{
ztQ^cgf?Y?mM|#;2%;VSHreGdc{|=clr)D7SeF|M(*#V#H*;*_DOg`{8|LH&Y*$*pp
zTt_mH1wz+Lv8lH8LPJ341|adgD=xV6LJ+WYXz#8Qi@X6Yh>(bUC%C_$_-%m}IRl9)
zcGms9SghL>Q;r$2)0%uI1iG&+EVF|_JLL={AbWcWqJT;7p+Ry6a=TcN2@L0mFs41n
zy0mmylkk~O>!x>-RcVRi{j|7)WNuvm8`X?WfWvues;R1Z(3p&O3UY_99dyT!UaG;z
zemc@ucW`@Br5FnB{O%aA)^fJvpI#UQad@KB{|;&2_%})+;c2=N$vEW+_P?|`3_I;M
zV|e(E*ht{Ukb>@&?NUaaqsxJGq<up9uFZevV%)_B&!1K{v3gx%!_m_deX+x{ug?Hx
zJ@t;B9_fo6TpL(%JT0r84O)sPRX7s;is0iY;pYJjAHDNT5B0^K^B`r-#i4&i>8ZXb
zUdaI|f))cN<nn%WG}bWD!Se*`MDsEWy3Zwd;35)Fr~mw)`Bcl4KsEB!Q;nH@r=Dtj
z?N2p7+EdMsN1keY)qkq_kDjpaKh>Nhc!N*%iK&5PDu9<&(%LXqBbHEzp3@G<O;>q%
z8U39@VrtHafid}w_2@Vt%wa`A*N)kf?byFJSsjDrDs1T3WObZxH8l^j=BmQQ$kaY*
z15KyX4)K$re94pO4O(wTp>t|qHN>G4cRh%SWIGP1!|>ww!;n5q8czp=K$Vh^?X-V8
zS^aS4WObP`7{}*ib-4af2f?WH`uN<A<I7OP*p!4xna_8rzm{i6Fc&acd<+5<Ceu<D
z!6p-bUM0a&nAsjx5-x_J?dcy*9;|YdZhSUif5Coet?_utooFHjgb`(5kDib1TKZwQ
zt8h)6Y=k)#tRLsXI1$J5M5TBXDS*@$lskipq+e2QAX;cvp-+}keu%QZ`=y$UDAu|N
z`toCPWx=7JHl<7SIJ`yE?n=s*=&rm)$~00A*|aCU2JQ;I{xA9;e#5_g6{QoM1m&d@
zn+t_^^FYKDDk2NQyQt~yD>zi5C*<W_f}#N#GZ94nLcNa=lvvCK7ifqP!ERx$PY6ob
z<$}5*Dk5;071|Vnl4Ef}P4D0w0mxQ2IRqugVuF}*qCPr&Dg%LspeSt<1Xmc7F{pE3
z@LYS<l4Ef}bn9v{1_<x=(3)d0NhCMe2FtPyrZ_i0q~=&m623mYeoBICq>>!<kJJf|
zGKX$Qr;Tn0R;xq_?qipK2*+CYK{~`fJh@2jnUnkmImv}}{5pL!_DYT9A*f6rN9LTz
zEe6_>=*8+(8Ixf{QY^G3(aXLk4fSzjJSB5}<HS_cZc&>mx~uwAvex=B7br$<Q8L&1
zG4CsmPgw28RowW^_kZIvKM@_PdNW$X%b|q|MS!_zElX{9Io^X7db{kI$!vH}PIAqL
z7pQE2AGjir&8?}*hWF&i*KBxg(x4i@);Y+A_ka?BfRthTi~=GobT+(3H-!~D&}dag
z5~&smpv;`2;|k-XVNMaSS_&hUtPC%iEO2m^U{;oobjeY}OS>ud@yd)Z@@-;5<BOO)
zt%758Qz~`#WW;RA2dsHHsVo=9e&`b=Q)X?T`Y;>b*RbKO!e&vgMMZkhMOEs4|A<|u
zXtwLp!20KY=qEpWEYd(BRj1ygi=r1m)CzCSBtO&>*zPpR@2{y<bN$W7d_76W4h0Zd
z;pMoXr**Qz1CuXHl|XZ$pI(ttap<h@%8EObEVLRBf?46=S`Ro!=rIfuY4up8@PMt>
z_@U$E#5xeG6dsyoP~_&4U1$9@e(Y<hG1WuMM0gXLOw@%4JoG&32schvJCCBCrmPdn
z%v6{=Yi*GLU7&M^{tb5MU!uT|q_igXHur42kw1kTZ&beBPGM7}(>_uE%yGqZnw2@O
zIAYBDq2FsEu6XP3`k`O?$+4+gCx>Ox%sTn%c8AVTdc&yAi#MD(Vi{Ms;BK*GEfaz$
zzAMhizCwzSX0`|d=?fD^K~TfPgyj`!W?c~c^$IiI7{`7Vq?s*(00fIsL}RB!Dp`<b
z)&<d|Nnkgbaoe#alWd|`l47pZ;Mqj2=3lcJG{fjs!cuf}nW2JX*sS<8#nw%csaNcG
z2bH2Z?BP6CtEy>YxJXqKlkF&ii3654*$WgkF+`c@G>S6T1dm%Ro;|%sl$1N?v&DOi
z7H`@uYJ;LVlXf$l2(NzQ_T4`cnKVV1I84~^Cc!%NI7aJ&+MN~;nI!|(+F8Lg(&G6!
z29FVPcubJxhJRW-7c87Zn?(l%TP;Y7R|E^?(4mug^wAOf_GhTfil7d~6yQ#eO-}Th
zOP-*Udjun@;bjfd9gZl&e90#jdgAI*9%K67IktQojOEP!M#Oe%w5aMBZpvFb!Q|OT
zfBv8P$or8z)0eT;aVN)D(X01&_~iJi1l4(}@LLW|rJ`5wD{g@tGB9C(DpjT9z<()4
z^#eFdT6|)q;|?5{1!o-{4npddRae$=g^cphtu1+GX9e|I>9~_KsaP%Wt2r@~YPyb7
z%@kF4`sP{9iTcV8yMCGDgPusN;ZQ`~X=Cisdd#6Vghp)+t~?|Y|HfmVjuz(Z*$1tL
zo4EvLE`iqvm%xvI-#`Dl(Yky9;<|+yC(mmi1H>UKrp*8$nCtTBKQKVtW^Z;e1aq0n
zAa-b30F<mPD&;bj^*gdccId&^2Fp}H@7v&vICL&k1t1QD2JOY<GS#jC;z06KgV&n6
zd;sFuW78J2B!SWc#Np&>Ji@3=R<rne0>tI6z_~6z*X5u8{O7*V+Qi$s{ChwBJAdzg
z7Q=Nkm*t^_r_QZWio?dqPhV&4bHTuRgLRai_C(tn@W!ixflir`33&(|>J@XrAk`|i
zzy{QUxnQtG#akQY00mY^KpeU%7?jEB-MTl$FBc4Ad^s4I+e1^~m7izBNjXwkSPON2
zE<s58#3j@Ga&&GtS0g|qmt=>xrj52rL0zrME;g4$myXXGU-eE?x~yGtyI!&93#lmM
zz^T^Ex5vO*lte3Id!G6JAai6TB4oFy?5j1a8{|WP@FO%s5zkiP5ea!qW8j%p-4C#|
zX|R+dEc=&ZEngmGNfe~AX!puNTbzfF<V~_@{?c>Vwe60(;kK||wB;Cu0k~B|?yqG1
zsez|0R)8QM`IqSULE9ke?`a1JRMidHj&cUNZosG?`=gz2q{WQSQ`%o>QqumY|DVGi
ziH0=PPtyKqaXY7DZOJz~PDg*9Xi-|F7az^u_;o>6B)-SCx5-r2VJ_t~BY-|AK+&ct
zN2+aYif%6D+)OFw6TaoS-~Xv&Y0l|GE*>KbDj1u^c%uy(z+<Y5NJ{23Cp;Ch(vSre
z9Mg>;Rg&i<&2b<bbUSmWNptQyML7VSXn|53x-R8_5(XzJDb}W2d$K(aYv)*JwRnb$
z&<*2IyQ;y?VeHZ(Mz~VU>ci4rJ<S$3i^^teuZLvf-zc5(oL<54zjGz$W-B>{5<DLl
zVGs3)rk-&Tj}aF!?H0|(bowniXC~h7_+>x*Q-3Usspj<B4x{}79G!xrM{BQgdqncD
z0^FZM=2w|aP>$=01IYY3lX(J}Uu803B(+%>oxN?6a5$3*h<*!?r!lolL*%@`_pp3B
zu%xtoRXLM+*b1dMvaLt}v~31rOnpgXDaVrQtr^q!A*&7})JoZ_1<4H<V8rCR<Ycp}
zv1oJ|>2^O9rZMZ;z_4}lNp18H)0wX7hS#=kdr++#+V(FD@0`gzFJzb8PYQYEHT96!
zT6+^bKfT2Wc_rNU2zV-3(H`X4C_lNQ?5EwL*(l$RQU0bke(hga1*fT|8`^BU!ETU5
z2imU9jaS)r43r0=9fGhVEtsCKvnPH5wFes*de)H0mx^3Y(&lV#WZO}YYgf$4T$p6=
ziE~A?$+n5?D%<YOZJR9$kxhO$CEZ}0S75qe5*;b$RxoGVZ48QJvHSUM0(tzhF^O`6
zB_X*7il{kuoo(07I<_UOr3jjTAmNPjH8+PH8Py>PR`+_%QS)8G+SDN2n(kt*^@}=Y
z)_=<x>>{3{Tr<^IiQW9_$-oF<+(%9#>xkQwgzk+GY0}*E0V<v?N$Vz_vL?;@$TMu)
ztT|G@XJyTCzT2F&M%KLI*%BhMbp8!7nNSNR6D|(4n{c$$rI%c6Hiogd&A!BMc`agc
zd(zJ};uCxXS`~jeN0x655K)l-_`x^++%u!4O1!@ke~gzZ7l1lbc|v=(C9d?lf>CHi
zD58`qo4Qrq+f)FUfL-^hq*-}RFI55{fwn3hdZbi|x~y<X;$j3yk@Z(ro|_#g5Jby@
zTcKC3%gXbx1y)mwg&g?M6!wVCaFu903{=RSkQL>FSITp{KY+W{3XqCiw?$AMu1b{$
zgh^7(-Jor&wSn?h_|c6<6ABq+MTO0$HM4Q!d??AvVhEp%LXYztRo$Xe9|ndbRCzz@
zQrSrb$kXcE^!O`@88xf<I0qCTRd$<Y^*m5~v=2erB!@lvKV0`T*F!tS=`f;|SuD)O
zJI+j~=pKa}G^;^AU!nK3SvVE{7U!u^<-<(-3w>m=JIeJObd9o|j=yC+Fzt`%=$zuE
zaJ0s9YP6CXPYx5*_^ph-vv*=d?aukq>3;u>cTP{cMXhC=i;p)`eEc_``J_+&#t6+c
zPClM5?G`rP&;n{0u;F~^81l2^OE-J4jMtnVgZa`?<Y&p3cFrpu=a}=QiMpxlu_EPs
zX<;(K?2N8Wtmb@aVKPCy1?H;yVyb+pO}Ayfbk~EYR{7GPGFfk|mKv8M-i2+VRw|Ps
znOWWUSg^#qaLLHQ0@O<1CNo-!cj1!z)fz-J%+>;z+mp=q2XlvY2JjvqYRR*`3pLp0
zSzLvOQQQT!_EuQEF71jgGMwMlGq{shl7UR`>|flVe{l(S15l~+ORV+|oa-`74Fsnx
z-<mrHf`8`c-ue~a5iP$urpZ;c4Q-;ghMrf%PJG$5c4J?es&+xnEZ49Ai8rk;g&+e#
z7u@bq4x%pDf_+IVHz7z>;DQH~mmr9cgrfmPy@VhG!6Jy?K%z0wSoID~Rb2$PTc+Ef
zh5+$Y<q|7WRl6Vt`Ql<Jh%%faPePEY+68ysi3b3uH4=oNsA`uyELYmVjM?uPuuEm>
zl9Lr%qqu4!sfc6hY*!PY42M3hzC_qGn&U2eV<sMfj$fCaJY#QehC4696GNUNB=My@
zLb^6c#GcdJ(qPk;AJSl7`PJY5d;g<wHWex1bpXRnJQYK9;3BC8v#(5pxgg2_OXQ@H
zm|S+vj2K1TyI_p*20BbNSgc45=7Io`p)k%w4F>)2(3y*X8mtInfQP2ommEn}3sQr*
zU}WtvL`PFUacF8V7qoLEEDhCpQ8yt-4ORp}t0$@sS1l9WGRUq%x?untNr$i`Qub}v
zu{fK)X2IjZn-lb0zHWI&T`~Z9NIUVTtR(sPy5y!H@<6G|ia~XrG%zlS3W*`|Ffg^2
z9M!bcjy)}_UR(pl3r$%$jw<U^qcI&N6BVh<69>|Bn7n(EzzQH?ljnoL1v))vyjLhd
z@*Ekc7>FT*ZgPf-xzbQrJ$U`Og@Q+g*JC^xp1>H$0(gBjn0+L}g&!<mjwGxSd6A5Z
zIp2e{2m7}4@3iHI^zX;~%uoN<|4x9TRFU^RNaoV%L4pKX)rLDh8tmH^S}gY{mWsc(
zwQ&X^Sb30S_!JMaTUoFlg4u(F7l$F$TycX!tLTgntUSoo0X`Z1z2(M~U<hUp5@~V{
zPNoOBmI^_5aXRhHcEeQ1B@a!(r759UF?*2ucu=rg_q^Q7gWMk$JV{;+@X*!OjOsO2
zIg7q-3$L-}jmd7}SstVu=@#pxx_<3Jwwfzxu-Sur4SSF?VZz-JCYW{_F*2<cnA7Gr
zlQ#eFf94PV^#2!@RBIR>$yh~$^Nf$z+ABm8+-g`-LZ7z1-rQW2KUr(1CG|rm3RBE9
z5I^0%LJ&nuS_G9I6h^A+qpoO4UC?@*A-IHSvtmXx?ROZWAZ8Ej4jS^rp=n8d#nYws
zswj_1OyU;|mfEYLymmkFESCZ^j$$`T?YVz=w?y4jP7?uF4-$~?&gt@fb$gDN??)|u
z81?`-r<i4&a<zm)Vg5_tVjrRuZdTt5=$n249a$vZ3&nMJE_AQqvb)Rp-#Ck*Pn0@c
zY@b7!Cn1!vcXh<d%=PoRelB)(m_7XJ`2(~$P;fSXs<`_V6X5W^9HeObN~?9*_X(M3
z^hf^ZkN?;IXcT3%y5k|W)p`K0T@vIARw6W~w$*OQ`TE=r@cv0kbGL?-m5|ZafZ3*{
z@fA-FDxxD$1TpzX1K`67^M&e~FC76F+^m2(V*tFz*zdZK`}Sw(2o%9B5{nWkZvj$k
zR?PKto8gT}8C7wO=5398ldNH~el}4^4bo6z&#eysHuffeHSl#h%23_6n7oj?+Mdx3
z6eq)DpEh|j@%T*>kEcGLM5_g`nIQ8DX1Ly*P|2k-^B3Y=+c;r)YRZYrw*ejt#lPmu
zKki4rE`k${6kR8I!V4YxoC426F^6vE`eu{nLzNa9g!w?uIj;q)G+!wccZB8Ef>oMt
ze?WtWB*vh18o`|A18|iDt3Y=Hi(6;VR44hxY=CXW#ZZui;QG+HPz+cNgA?eaC8_6X
z!JOvX?cvL7J`jUY8^J2g2bIm>giG%Gl6>s^EHT)QC3mI{IgPbGxCAFIxj&%KLVuyP
zG^9k@@)1?1>a*jyL;d~<>$T96s&k0$2(-CGR6*u;i}P^8C)+Kgp#tEK4U*W;qOD`{
z&?0-5&sC3e4sp&QzCK7-U-*~*!LR(BKtq7|4tCaah`NPj8JumHz$IA8eR7a}WoQT&
zEIo|7eP`5yAt<4PtdFw0_b`G4z&e0JP(lY6JnZp34~<#injs582^~z3qr%0W??Jw!
z$;J?r(7{(+@5z#YUbqGOT!<bBK?xm95cNd*9Gpjr;fReO&9v=COA5z;f~$q`@WqN^
zrd{#?pF{W*z|JZzLO!-aZ~bys3*`$0Q-k$xrZt5x&LlTrnUx$Oz+&P<RclIM=aSuy
z-WHnR(5FSyACi*{x>~?RnnYNG$>jToUDOLb>N(e*t9+FY^rif-iVSA~^*nB)`39AK
z#HOXvk5WBa3=>j8O8E(?0J^#g8bGh$obaO8yaPDtoi^{_e0S-B3AF%}CqXmhrB2N|
z+zx1l^pvJed0`z|h91iFrXwE0ue(h>lxS4iUc=<uYjUp1pBxeC%QUk5P(<`SpYjKP
z)jy5-aGHkjh{zKg)_{&I&0=GCDbH8bT@Eo$dIJcA`0*hqcg4~<(Q1I_w(TIOvos43
ztuQh`!(*FM$ypkp?5S!gs2V3j_;Z#9vF*~xUe?m7o&|H3X1iD!D-7&QeKDh&N#FK_
zQjly1^@Qq(<6%amManL&USjvV0=dS?@Yr*XCTR^Q(N$7H)Vk*=QL(^jIZ48}61s%d
zz1DCzd)Y?xz+9Agj75oQx2RRsGdkgB&<UUTrK>;m)<^&<V9+CrfZZZZ$GjdI@T?cj
zzG`sR$onz5MjY`<2Cro#FU_5=xLX|900KAdKxS~}w_-(_I~Oz<gYbW%x1<)NxpP4b
zH|06v&|6>#8$p^o7exLK=?My=14I*macG)57qkvMvQeM|rdg4Zg$u%B(<DO>y(gL}
zj1@(%x#R}JnDp3~@>*ZbBUsR47t04XkZN0dDLOUALqp-hzQre%e%wX=8SQsoP;oo`
zBK9E9_Vmf+FPnCYW_vo_<vE8`^mG2jr@!(rGIe@0T6=ovU<jBl1C(4KciH+e7v%N=
zmItjf6kM;Yv(Ub>BEJikL4-(`^{ijEr@6tYH#RWaQy|^kRCz;X96H<69mvSk<^vY5
z0ci+kdwOyzYqqBxQ!ZsP@a7o4AXaSbsSiOE!&-X>P2bXCBl$NZ1}syT)?}v6Hj!{7
z$dA^14Thw~>`RlpOM)s$TMG^y+066NN3D5;t__ASzOaEEXQb+$Si9~Oxb`n9aC5Tm
z29tGc>q1vk!ZM^+8o9glEvI%=n-fxNf@QF$|6CVf!t%69{u+)f-<mIC8Q%C8{*6EV
zQxVE29??~=BZ)DFmDnYcnkijHM*U_e?;gbzr~v}4nYm{g-qtYHTUHF}NOTxAf>gaO
zSjsLSUzR4Qydv+D3+~r|K{4Dyho!JDXF;l77u<9!MG0)c4XH&E`wHhs)msFC5rFms
zbtH9bbB<KKE(n;G4oBE*mMexb`Ou<zT@uq|DXE-hJ;@BT1_36P;=u=g$qHy)*rWmB
zKsSGL^n7<1<4M&AcsUuh7w35`)vJNh2_6xTs6svGs7iN7YiJe=(Z`mZZ1t^g&wBT5
zw^1bVm+z*EB(~m&E}XNM(_Q(QlI+OC^xA|}|1Zye`=9*r4|~HK?!D#d0Bv5oSO?G@
z;d!X)fd#t7Ugu)nc6s200*;PV%H~`#%f&h~r|2<40H?7;l>cb*ZHu@+T2riu#9)iP
z&c(Wu1;wgZx4}u$>LPh5zCk`m!9`f*(HtF&aM-VH!cv^0n0!A;%X1Ew9u*hiYaXvX
zj+$o;V(vSY=)?Pk3;I4rDA~7#^6UOX50ma+X$`TL1dU9leDd{sBn|h=EF~PXZ?gH1
zeFNVt-a9y*fFYUqH%g>JqMK;{3r|jbB)bV0<#ug5fKT8f<@=gB+%5nIVqlJE3QnCS
zy3>tK&+`Siz1e+;#}xS9_Q6-iX}z%*TTlV3<bKgZ^ee8yBuhpDEr7izTG<m^vJA-F
zp?R;pqV!B(7}kf+^iH@`s%uXV^+id^qZiQ#U*g)8sltwK<Rb{0mLM8zj;^e$e(g)p
z=E)lcpsm&ee9dVO^;LPOugXJ>f3t@g|5iTvLydns<Dte{?L*CfGO{PE)qkk@kGJ?x
zzoUiRmZ$o%$5;zKk<*|Rq1Xsm4;{F3=3A~nI8qUSAo{He)DVC|PAC~}M@70@UUbM3
z;mN`?+TD8_Mqn~A7bqJDRQt<z+FvMjWB+b(fp;_S-^>^7xE=d9#OK}m0xxV@ZhHYx
zdtOcqQCv=k^UWxsuDj?erg#X{=g;jp{u<|tJY~85Zg;W9_i_CYsP>obwEya2o#(4|
zhl_P}{p;rXWjpPU^UX~0>*d9owK){sa6cCJo?Z)zE-qNMV}JZ$`ErCAJ_uYo`Lzn(
z0N<{mX5`J^+`GiL>zr0uC)<7R5?e(WX%%j|FgHchmX+7lfvT*?=RSDh1?h9Udj7eW
zrM&yOHkVra+RDpQ1YejaR~GEMdAjt~^R)Ex|LnYjo7_9bv0ckpQ^q0e!Mpe3b6@Zt
zEMn(|t^283^580e_uwjj$Nl`026ii7ReSM71qmKUeCg_?S6{k%@J_iNxwLXgM=|3I
zoI8F4xq!)cg=wfMcV$?vobb?3%U!G+l0U5WLr%LZl(uF_-IZ7Nn!hVd@qNzI-}2Xg
zPYgKFRHUc)JS{(c{%I-0EP+=L({F1u)s-m2z(r^o*Z4mBp$pF(SSHb(nJWUyrQm|a
zI|5c)5^}i$l&dRIui0WUO|v2uw69pID+iQ}w52L8hL)@dmg>rO16qUn9L3)+l1M{J
z;tqXFJ?uqQT>%LbG0U*u#*mONN8H!4=D{mAfPl28Syfk1(b0+xa2S#dtk$Gb^);hn
z1DHzhimLllDmEA<TO&25xo{qpl$fMxH`PR-ha>|a>+t*KlLjM0NDN&G9-Do5OtcHG
z-OQ1LiRS-3yFdnU<{-X74r0Kp(`%2~41V2xz^t3iB@pJwc%R*9Wp7N_tg5X(yp83>
z=e_buNF6nrVKR?f;IO~7Z!>K4iX&pyuRL$nnGWw;JP|X0W#5wy$#JLS<*RqS<K5xN
zy!-&$&%N{A;jyTvq+;%z3`q5Tiu#xE-<@{bm0JAx)rDu#$8n32SK>a&ku&YzqU4t7
zM;M#9MW_5ie&@e0$Q<GZ+?#TX&Vg^d_;>%xuS+#V?NV?D!~26$qt#L@Xmscp`rlf4
zO2rTP3PELLK?w^?Fg02!|6dlAfWZYzqt$jL0KzONL5T^bMk}NiVdb-+Bouu`C6r>L
zRVS?sSx_QE6SR>4Y_yUPxLQ#{XBX6g4Q#a9Se-L9MIp#2Em^o2PJsum6tz~uA@i{{
zq3D;hCsvaI?*et(R#E~-lLW~lThSnS*rC$ftSKR)N!r~(47$*)R~&uR8Hn269W+{n
zr%=cjdHnpJItggBO0v#9p*{v0t;$J1+`T9pjWg10QamLl2RwioyD^rts&fvnp+>9O
z<lkLy^|W3%+RWMHFK*oAf78GJ8~(@-1!D7v$=?zLiG1%K#WYq4!dBEKf45(C)`Z;&
zEmIaeV)AdQx7taT(Tpr4O8L;0$%pG8MI2ai<B$vkolX7$*cxlXcGyq|Rwm!a7NQB;
zI3z<*atgMI(kc*0VxE_zTdse|+T??$MaUr!;Ad3KQd%>ceAHG6RfQq%NDAGonN9vi
zGa5)6FZ=G3jx}=U0E|`mjj-ktq>k;H*(C$NKMDTf#4MN6xtV`<Es41kvqob+uboMs
zdd*1=N7#1MjM9ds8&E1zQ$LV)Q2*eLAxo9xk(>`NNbSsBiA%ZKy^yM<;|@qO{8l;Y
zQ%L}w-Bn4VM?#O9QdNV1K)XXzG{AJ)sDZHa`*hK&b^sJ{nf3<>ebt%{f%^E|jv5Bi
z0M(dCG-IDt14G^*FQ-3GDNSG#dhPtIg1wdz%ngRDJ(yCJWj_%SPg}k<k4zVT+MoO6
zZ@3?bP|bdLH0RmFLX>-0u6sFKDi0Uj17j(u51_scQDI*->EfK+1^->0Va@4Cgj6K0
z!V|RUdU<8uc|UZSMRWjqy<IWojh;fl#ZkOW#BO@<{+uq3>?)>{*PUo@f2K+o1Bof@
zRwNavQ>H*BFq(^#+$)<eeo??<@@1c|-c(`L%oWrJqAU2p|LbeEo(M%%%nRVQW#oLa
z^>B#NPnK%_#}Dj(o3EQqN+F2yJjXx~H8-~1U}GE7sMO&8US47g^VAD_9|{c6-{)&M
zTkl*AHwRI77er0FMXi;X+sJRGjr?zX)o=Rr&kEKQy&0{gErnT3J2}}#9`)9dwzpDm
z9h&QvEp0K$L;Z2t(nh`Yu<RMoY-#tH=^`Bn=o2w#-nEYQUf33t&tBQ%F)7RvtRa{!
z?a7Y2#?qc>xvL7Z7Vy9yKwn2|=BvVNlyFxFc`EA-JD>fa@G*v(?&$FPr57gy0Z_l`
zF5jOh;SM$3<r{RO=?>?a?-1JjNg;;56JTpK1s*@Vc*1_3U=Gl^l|X;V0J0cWHtM;k
z^I*g_x-lg<Q%N5k_7IU-cqutd6L-R04gV`U6U}EI9=$fKr*myGuH)`aJ2p%suoR*x
z#4=D@KwecL7K4&I4Y6bvYzom3VpT9X;Qs0mt&XV(Gt4|V4B5_s845TxMSL|64t_0w
z5FMBHmZt?{=uh>fLKKU)Q*TZPw-}=N%X_Y`Ghule--*k&cAC-LpZ?;@f9oqFQKwgZ
zeH&B2KLb73l@dGEgI(z`RQaH9aPz?m)<#N|3OhB8GHbBch>bzsOJYvU$raL<(4K}}
zFM75>kA@n%D;rawM?-ci-<}h8YqIWEH5!fBx@~A|V6lr5mJg~cC#r50J{REQir)V=
zL1j4~|DJz`Wa8f_(T_u+Tne0Lo}zMtQ&bR#rZ-+%twGUPG&B5^YYiN?7wO5<&F}r+
zUVYbxjOu0#nMJyb1@}HgF~9vW*LQo62Ivg^%P;@#fKWkU0Xn-X3ufKCL4^QNM&xeS
z@W&hPHr=VGuplN;K@bs&DDkY!vTnxsEyWpZ0FG?E%baNg#$0FX!nrgOT{qZurfmo4
zhm;34OLlA1?Fhlj|1ZObLCoLFL{|Pkt^vV`<OBDOO`5D7i?(sKNL`|zC$lydHCXn2
zxH*nNQ0bwBoE~-<=fI`&f1&(_K2h>Cx}j?b4F&CxJXft3h3DD>L?ZW8HK<5*k{V28
zJ6t_@x-|_gG;-xRYPvohkkMo8&te2(uhO7FkYLrI(sQ-xTc-VSzIh}tJkhg?BN8OW
za{BW`aY6k0@LZ+;!k_O;_4-{uPVgn4Y*%&t?tl5qKXyzVp44(#9i}V?I>H;}mDM2>
z4Z>G?pa&!y8o{g%5f4LWQOm8C2GR0c(csj*T#If}V`K=yN*$s)fCg3;9@Z#_=H!2q
z4#E6F(@1PjtOavA<fJC9)Zs~0T&cq{k@v9b04}c&UZq3u`=Knt>p`<$eLbU!ld7es
zG*zb!4J6WU&h;mG5Z%)hsj9}|3P)Cp<?I@*I7KFQq4a6>Igi$O5=Lv-+xp~rjJqHI
z@-O*_sLg68ZQHsZ)6lxkva#NBTk~wgbFyh@1O4+<k+vKBzZFw`GRp`gJJbNNB2{D&
zEYr|{Xwhgs1nDulV3~%t+ru<BD^f)k!7>dE<5SyyY)L9<qcQRaU<jh_LF0?@ius|Z
z>mJn&O)65}U;SnPBoc48e43&Vbgjqjsm;^S&hZn)b|ycq&M?AW!i!9PntZKKCgRxi
zEg8hB&8cpiJ<R9oq`8`Uch%Hsx2Vn1&ehbLsiywK_kP>=d~!?*RT?PIbQ>wuQ~NRr
ze7_LVj-WtO+vtJ?;Isk3jph~XE5j`n!7>qhg(@2?SzeL0(FJ25cDE3-oCRqcT`=Zh
z!=x!PGY(DL=z_@3U?B!1Tdh;ZiZ@~MiqD&E+M}2|vwL?i@7go8{`cPH;R1=Fi1P6g
zB8m?h1X1rf%h2R8fSIgl6C`Y}<#Se`N6;x*f_yyjno?GR+sVANZK5))ChspkQre%}
zX@3COKqkMOZ>Eg^q83?_ynj=VYcP&=jzoW)NJ=1$aJU8@$R68sE!YGDDK)Zb%eUH>
zHL_p-p&$5&m&2>pg?gHUFPTzXZVmr!M}$IP;=#6%4Bc{mFE}uPb!cB-detttUG23!
z3-`sShGNC6Ie?r*HyYe;%-%HkcL-LRW49)G5x`oQJ*ur?A(%DCcCls;83i4q9)f%{
zYzr<8t5XzQu7y=NRE*|fU2qFDrXG6f{cEwJJU~Tqvn3-Cv=#;;E0{e=(s6Rh!xn3)
zB;txnwLYSL6HU}Yzg0c8DTQk&ysR&a5^dJegAMhU>A5+~+qMjJ8H;e;)2W0;gQ-f&
zDnKH<N`+uXRnn1jCKkwG48C}FwU(i$*8)M>vwW`7o89)ibK9rgqSkQCZu`x+?H~TJ
zU;mEp9%H8g@&P?cWDY|PzRiDES%1udgULX(bgeTrb8Rzc{V{=#g!R&@)T30P@wFV_
zQ@K1~DXmE6=OSnskwdpo?OF)Z`1*=vL=NU!TeEct<{~KERU45bO=@-GJ!k!6LJne(
zIzvCnP^vL1^m-3TuP3I)zHl^$Z{B1Y>^^%4eBo^q8-PC2=V0<<hU`r-vPaFO#|8cu
zE}Zx%b{{T44bFNCo(|A(*M$s7(nl$hK6n%r@?Hri7e_9@nTWD8{2q*Z?XQ_0;*0IF
zV_p`LK)1GyD^el!qi?~#D14<D4At*5Nslqs6q;6+=s_4RzEnJP(r{PS1S^rQ*@1*o
zQnN`iJ;)buN6D570=)%$3G?$g{jmd?qG5=H)iEABZ05obHgE8;!)pH6@niGY;hg-j
z<HtvS>`pK`w)JaG4kkBEpp7ZgY$+gFu&zQ=p**a2$e|&--}0bWVoNIuM4en3exYnf
zXh~>hb`x2It_t=LK>9xI&+W9o6xhSfWOrW`nvOt4rJf(lfe;eah*%E%k0S(=4Fx2i
zrz}`TDnKX?)A=_Ph@DVIrUMGYV%}fs9C7{FAzy!wQF3!0qa+w0p_kI}cVw8%$ERh;
z=U)f&_`&k&=;Kw~T!F?GulFra3mv7u{+HM)X>O7<g4`0*t0m3Ny!L`zmC+|eWG%=l
z(?6U%SQY0NBE>@Y=OjMlTb#xpSjkOZoqk?13*|JNNGHxB%Q1=YH~!jFpSg@Ag8pWn
zM6h2F;Wh?y)2;x<0G7C{F*S+cf(Oki!r>Vp&#U|xhs6$&=OqJxLFWthujA0*#A}U8
z_G4iB=xgzvKo@s&j!FHatAD4R*@yjh(IPA=KL&;bb^=<eurK=~RfD;rO<}nQ@+|*6
zqXtD?h*K>EVOnm|l6VUw{SiHft+#4B;wlb&h3~HAxcC!^7n?jn@IVR#6%S$sV3N2$
z=>u^>l0N9=Ch?wB20#c`>K(Gi=pnYw%}qWkVhiV++sSDFaekq|dls|Sc;dc=0nwkQ
zC_roi|CK6vZD(Zpc6+bVDZ{<k)Ir5pEH`ahtM{A(xVs#H>7&s6gSTkT0Zbnnat?vq
zzxqjE{+~=}Qn7iuY=l%0N?4H;KEY(}I#@c`&aoyH&(wnpr8jst+s+^{*e!a~Z!6om
z0l-<a3Y`=Qa{8gNoy&y|4*-@>*bXvmIonQhuWH968X?=PLe2pMEy8k(5%$@3*1;_}
z`<bDqfnO@~OFqdQ+@h4xakdA8#<fl9il00Ox9~0m$q311*TY60X@p#1%s_jd*|jN+
zkjUjoH6zY*vNs?W*#pcnG+=3#`evF`!ty^(P@x+a^AKRRiia>ashk($N;)KY2olh`
zhwyr9Qu(Uy{?3p2j%ZTXWVy#RUa3RsYie;6p1l@Fw=(rR*Aw~j`-<ov)Jb_;?7-Yo
z7Nl-=LCdBh&g@ppx=5&~>>{`VCypqyEyo7cEAke*AY9)ilsHH4fs3;e9MwD21>x@3
zY1CHR<Um07iT}|+qMb_;Q%M}K9EZBqi6kC%^mWS&tEh5U0;9;G6HR$&k~$OfcwrTD
zba8jlg=v;Kx@bn4cvkIp>8bzHdp_Y!KR?!2R5Ej;G%F=D_gHCGn)KM)pQ)mYZjTO7
z`t2~D^s$dc7odA44I>3%9UH+MU0|#?$I()7*=yX)r8CbnGP*!efF;9guS;hb?<bHU
zi9_HNG?FRr0{%-h!Ait}G2?CSvWhMMq(ZZoL@byC++0vDoe|JPq7z=x+PErHY?Gru
z8+L~CL>EMx=gg%uxF848+fb6M2KkCcJ%{o9rN%N1U#0GrK}+^8o`lT2D7peB?yAfa
z<6n5J(*6bPW+tmVue>H6NO&CD{pzvHB{rc8Qg$=F<0#;yZ*M)jIU#w<blb$_X`7j2
zMdr`{)VI9h*M#0zbbi|`#p0D<D|ej^5y-7g%qkhE-}MCsLt>m`+uFp)r3ivg7fOJF
zm_#m0z`g>?fO@3}LRksOV7Fch>v2A`6cMep32}(d0^g!D{ZzA*q1$D6rEzG+q3MeH
zp@|cfSZI4#_1draOt>Jrvj}EISC#aNQKQ9*>cHAb8b>kbzX7)1T()GDe9g_8(^|Ra
z7Qav{Nxkoqm|p<mPD<h@sDJax`c!pZ(3C{TROUs7N>$;ms?kJLCZBTDR-(N|M%AkW
z4Epeb0xo!TXn}`j1FCcGB|Q%rA?`s^o${`R>J;2W6tgGjFDd@mvpnMaQ?%l#pRl~B
z$7#zCsmI^>-mkv@(pbT|Pyh~%T+2!KljY@{)rBjk(DOa?ZH-k^^=MvLawNgG-a3*w
z=^lRM0zO^kb=7WhaU=JKwNRdC*EA>H!{b}Jh6>*>nat$yEkT^u9J+FgPgd2dr2C1o
z`l!+?3aR>_TJ5x!Q<*_$vDJ7gI-G(kn$LH#f5;5wp)6g<|Hd~%pD1;jjcJCw-#q!q
z`5Mn&m2)-ToRGS^gw(WK)LM-h@_sXr_b>jU|L1R~z+@+dIuA^AOPcr4aD^~}BgE97
zwy&(;%msJK4Fjhi6F=1kg&-}j3vSU*C6ITFwzu)AAxLM(1$V2>N>U?RSba6oAxO(x
z1UE4I66!3_CM)JI1euU>!7^-QyN5n$1ZjC)uuyLST4p9XR;1-Eg6kEIC_x0`jb=r$
zye^4zTnS2fhh&)I24YFEydk+Jml&togO;LQlYxm#V!DbV+R$Vmh4F)bb#K&0t3`Co
za|9-8R-4ka3LEfQH*&6rCQ<jxmRpRc+f@I1%%b}D{Ciy)J%xSn_nbD<b71l$1Sa+@
zpKGS41}4*%Z%vHkZT|Le`F(GQz(gY)SC5_=fL{&}5VI_s%}P_k_LZr}Mi3pW2d0MW
z3`Wk|>@m^<$p$K1ovWBr9XW5aTXajo&v0C%D%?19&f8$D6*9|`z94;9eP{s{SndS<
zy`)7%o@0&VQX`o2Hb4U#$qo3z>O+s}9lqfDqV}gR%8Re+WxUBk<(^b_)(m`^DQ>FH
z08Iu*XF}6TAyzZxKZL_3@*jz{W^)fH82MTs;F;6rxvN(my!zm!tNVf*)gFZpipk2R
z*+#5HtH$R@;btO*@BQKT{qP&3grQ-ZgRd-z15Am;Gca7RTfI3~IY)Pr__xl{ogn_D
zDfB}J*ZLAUH5jg3XwEr0^eZu%VYRjE+V;a-x-QcbQIYP=pU647Aisg_R5+KN6&!#n
zM+e?Gm}?#ymb*E}I!6bJWr-=!^(m7#a(=MN(QOwCFZ6PB*ja_%;%Ju-YEUgd2Ud!(
z)SXpov(K;6DB?EWdNIq~y)5wrV#ZLgU*-<BnVCYyZzb56Y$r?1mpE;T*Xo8DmN>oR
zu*CRvS%gDf^I0_Ip{Rj&&pS)vO^puhRXx+JFgp0Z{^mFT;V&D*qi(b-8;m(5;gNUV
zFjy8HIMx%xT#3U6Sr0}csB#EF{?0DATXKXglq{AOYTILYR5bN7iNHg<N{kh$5`9H9
zfs@!BU2%ubnhHXYD$xaP91&JrYZWvfnkvx+QBKtka}W^8G@>j>l~@EF`?6i{(JE0x
zVMQgn<OYfmdYf2SRf%~?QHezo6=FlpE|EQI)@1U;B@dVgX|!brKyVsKKFltO$Zje1
z-_33z37P8X`Su*(r-*&ot}tS%U6Uru*F>?MbkB$ff#zY(s#>$UL2EokVmQDRM<riL
z<~zg&1%*+e#ME-Y2_nOf4nBFtjzYX74WQMU`5swJ$n)Gu+A1VRLp?PGkzy*=;40Ef
zA~THwNkvC9Oe*%|4p>S}liFn;P1M>{pL+-eh7h4ruWKHi&{fmqZFkKJG$)-fy5_6c
z4%i*wCejIWJ8TBq<a5*HYR{2K>4K##Jni4Mi8I=Z<<ww>36i#Pd&cjv?;9NW#RN5*
z*q2F`jTB6m({V<&{D{f8b|HP*@@=3)i}cUm`@J9a!($dHbx3r=HxsXOOCMJ{BtX^C
zXq`&s!R?i<YNht5)l=4Zo5K$5X`=DA0vpYTt`r`!`KHFGq3ad1zC(Ecl?Js64TamN
zZlW$q>854gIHN<tom~IhIK$}(cfzym@SC|q;`WFq-}1C1tcse!7CPUHnt|VfKJYu!
zD|5N}?#k8I)6H`d>SmHqFZ{jF>;BfSdczx#^raV|p<A1TvS@~J{j%47oB~up<7L1o
znN7fM1tXJ_EVOT)TZ(zMFo;?`i3CrTgeoHhH=x66R?JDLk|@I*7im(?ht5f;NNX+D
zy>8~5geqyR!-0fh^~KNwD;EP2X^A?>4%-S=Nhl~VkUqn<qC28Dj;2mR;ir^{3n3b+
zLiNF`?(7|^Mu=oU`-DD@7IRnkPdKGCI9;s36hC_1KT*<Ziw+!_bQY3izCW9iRzvSd
zuX`ikph&A(mN0J6s9&Km&;5s9P%pG%!e(dRmiVV|i#6`Z{f8yhhL1KY7AusViThXa
zuU8>^6#@@?Z58)R5)LGGecT-XaT+a*J3Ib&$mxlHqg0}Zbr;3|A`>FBwCt{dA@0zL
zKe=0XlFN-BACl>*zd$a48C52nS3QOxvC+P!<zdKVw=k4OJS4)?QY04#q+H>o?hzNX
z4~f*8ie%x@15uqKKhm0v+gy?bUkTt38?^2;FG$XsUF?CtSIO-f1Wom;l!tCz1SjJb
zJGGMOvA@_M-7YU3j@{EXdC=hnItq+&4}Nv49E47-ToHz0%ddpQ2{I$ic~<ub7o<l=
zS-VvZ5-j?fg&FXGj_dZ?B@A@QJpucwnhw2tBq3mt^fbo->9G1&n)V`tl+V)_<weH7
zS^5H`eC3mq1lBJyWc}sjM<4neFEW;he=RRE|J56(@YX&ojR2b4h!+`x{TG@4c#ALc
z2^PT)By>5Y-hosO79=>4dZcz>jms>4q|K{X{5smhoDbl3Bml@l2Zdh<^~s7?<s%?a
z?_cL5)}V&NiyHgy$sLzY7y{M)vK_}?<9zejJy6ksYA)~JByg}pb^diqeZ9U|=k&;W
zd$F$4J8Qn#>E~GEe0S;m*WJas>I8>CyF)(y2ImX?TCRV+yV&6SxM2{e_LuFr{>|!Q
zlL`LUhl@>h{Sc`4udjcD^Ue2vvjm%Gb$tFn>4LFCK7agRc~c)R&j(%VuT?hj?Z!(I
z8_))Bz^`g?8<7N?<O1Bg#8weT{eqh=%uOD*@DpBc<hJ;Ms^UYT?z<@l!Ty4;zT7YS
zGyin;JUu%3e{LKW_sYKB@qBF8f*IgCFL2ivpZkLMV3Fru7H1w?_nRi_`0l|~{*L?k
z;RbdqUsZeYL?yC~RbRS#>D8C69=uboM=q^g($N@rfpf=iAQv$Ct}wS&oRi)!Hq5*j
zzic_-p`VsZ^Q`Tj=q-wU{kJ%_E3c#M$}4-#-xcO9zxfmY*I)R=(Y)nSFtIF<=`gvR
zJfu#r*c^VFQ@{*N*2#Ixf>(y4XTg=HbhH7;S3Dd%6S`gWQl?eja^-_GF!)%#qFAxY
zTkdv@tyoD@=Ph3h6}BH51CPlS1Hn~G0aK5?Kvz6MPR)c$4`*Ic;yPb)vq9*mlAvOS
z>sCq9+PS24rlaPD%3qs?mAK9&4?$@IV0F7@zB|z5UY!H~XDgX+4+i(q$pc(sr!7s@
zc~ak&qhrLMri-^b1h>r<<r{<_QzxgQ=4LPvTf~D9U$aalg=BL@qmCmzK=so+26QLU
z{!VQ8)HRBCSo}zMh8}qNUlkqj1PA;;l^x~;mG2(fG>~!~4|hF~M?`n>iJ~dQ>t~;t
zBh7x=OfQYfSLN8qb~siNzQ$(FSPZNM-B^EirC~m0pxHm4H$jgK;SZid*PDOr>96^r
z0A}mY;E6zggJZ%P5Cg4Fs#TH$CDxu~^B?x@&r}+z03`rw(NH!7vqB1>1S(@~X+P_u
zsI6mYe5<*#!2m*l@COv(7nnuVsJLt}0)K#!4J`s7Ju^UfJNy8sw4Ne{m*Gzr45~u~
zz-!iCO$EJ3m!r9pN@dgW_nU+I9})~y?jRCqFI*CVMH0u9`~jw_Hh7mwidfW>s<o!X
zr+U!PZWEZ8b&(;sd7})|A%|M;k4r=EngVX;0ALD}p6)Ks0Em@L|GL*Ypno?+V?~^&
z<jE<{6aJVKXSE6&IoG?LXWy}QA14e2c;0vcVS`TlgHO52L`XI*U9fD2!WHuAw8_^t
z;ywIYfL+{~N<A9iM09gJSc*`oriLC4GD^&7fr>v;_bMXd;eAWcs3BtnQ72=^<MH9k
zSMPeqyCdd&`2n_{d*{0&xYVFl#XNQzkm`qj^)KNe9iuZ>QE~Fsg=f)<C*h86Q3@dS
zBYgX*w}?Af1gRhMzMwwK;e8d1%Xj|!-Y!SlFMiH1eAQb5Xs*p$-g9NGTuA>dQRzWc
zgd&mAvDjCJs&qm8C3)v~OX_adSr^wp11U|ruXtFKc$tSr18LiuNgd&WsO%vvPm8@(
zoMv=pDj9?eR-L9=9I&r&F*NN(5H?jpyd5&??V)MfT@dD)Y{|KcD^`?P&?S*_2c(aZ
zP;HuWPm+uUi)6O~;hC%nTqzuod^r*ex+H-0EMXv5hKRL2O)>2*xj$@;{O8e|S7`u~
z-8BG@T2lkBUk!oS%YL;j<v;s>@lAZvg>M7Vqh@}%h1Fkl{|i+0Y}TIyvu;oH5l!qi
z<JyqGY0FcmXOqO#+V`$L?N_7@e{G_2b!shDPwf|Yxm8Optc+@3nL2erV4A^|OmzyK
zrsew(l!UnTky_@6O|gJsh_(npNtL@`p&skJHW`BAa+x67`nAyoXaFl>hM<&)OmL4l
zLC<k{IN8FA>N1;Rp`bAhraechQ(GV7W!7lyrRv)s1Z0@|aYdcF<OYqqFb?pu@j+Ez
zoTNlbMRK*$%fa{^n<JPcrDWpQgF3XViT+}n4d9Za@sDaLuU|$os#27ya3T!S?VF<>
zqZ-u{*}fR<K%8q9r*Va^WXpA~{|${h^odfb!{61S|COebdPIvb(C4T}9>zc`FB_Ui
zwg^?_Gze5#8`+L90Z|H~q=-5YW}P(?2AE{bbxHdplT($`6!07;EZgb$INw}OW16ih
zrwQ61M?G~p?R7>y_Fw&`_kYoMf5;f3%x7hdG4cXNrkQm(V7<0Isz*a5fYzhqcx;5D
z;1+4o6MQ0F5G1z*LL&nYhFZ<$*jLcZRF6dv?M0%a(8D9+u`_0d>ahqO*eA$CW2!}q
zdgHV0f@SChW}L{yWLOo|qYLgqg)7;2K)QOPMnpZjWK7k9C#&kwzM4)}QnbX053W2^
zljZ!m?4-)*(epUE{0&kly51Vd-e3fFr51#_B<nH0#_6?2egd<!k{*F`u+3%lUb=@*
zQ|OVN9)zEHr+N_QTcxX@2P;^HAhFT~%XT{d8f741N6OwvZt48&jXkZm<>pOWe#p)H
zjX(Upf8{GaY($TtF3J)ilWO~(dgAlr?`dhz2I-<PKKXm932_%h(Gh@HxKL=`vxqbV
zvmOKgh}PXU3#=*W>k!O(%*b1j7hY_{FUndc>#@TDQ7{jE*rUf#Jj_@z>#<VE!^6^}
z*UoxO9}iK=?9;9xZM7a-!oL$8ih6Gi=Nom&sGDllrRs<{Q2Ue{uK~&Qs5O9Ogn?d(
zD<vz%|M*gd7b}~|?@GW=KOBYyl{0eHSxz$+pDYu*aFtXQbp7UkVZ$<xI1MHq090li
zF(eo4ZB>wFeFv~+dX@nuTIu8{#0AoTn+;>e5no`Qx7jgtt+wDt$p+g4cClN+)XB5Q
z7o>es(&G#$)B+>}e5SxO+&j<oJOgUPat)v7!@fr{Do&;!9avWl9iZ)`Z?w9i^h94+
zzZH(Y0)(MD&+9&?z%bf#3XEYU2q@2K5AZnfD9Mut!Tg+ZGd-sX*J#pLxBi@-z%^>Q
zI_&A(r+HqVrcOhS-w#MUKI;K91aP!+V0zPym&A$Y9r&Il2jGUM8*`*^4&(qs1x_>_
z9|G0!Wjh=Yz(n!drwcxuhbzSomi;je`y5|*slS$QVmdOV-Tqx-E4RH8q6*-<<P$g@
zc}0k6I6qu$otYUZflKq%n}bb8(J|XqH2nz_-LTwA6diXdbt>JMv5hZZyztV?&%fvS
zmjg~%e#D%CoOV~}W%lo0S#Y@iH)9)#&MY-qCSbqloB!D-f5w}NXRfG*7OJvYF9?bw
z9FU2D8o)-y=wOHq5Ek$fAlR)@I;jOSR3&hOpb%{FBJ|A5iWm<nzAP|)J#YtAY!Ot*
zBEf)bp;*n>4tg>!2-GE;NT6ciCNsH_1?ic)Ab3g8szyO1L0UojF)n!E)#{2sK{hLj
zXYP{r`(Vixk~OVlg>CGBBjuVK<ZRl5SJ*}z9Q5!|=J<sg%;2aO<sP_A123*n`QQS@
z$%nhoFa1CJzO;zIeFqFsJZ#@JJ5h)X%!vvoJU;mzRoUwP!<?4I`c-0<+h>FaaV%cI
zi0hvo><e@)vY!aCDHB+@aR<Nq3=-0ljqZd6`WA8H_J_{;p6u>ZGCkTCd+@;EvEj?2
zG{gBpl>CS7cws|haf`vd*+RvnH?2q_%_gmmc+w<Dtkz6VH#)f(JM#ep>u>w23b@bL
zL{}oSfhZ{>*~e?oYYOhqC7~X4U<pI{R!>L<#3i@O4zU4`j`+B}BC+o-X`OMnc1~7l
zkDl*Q2`8W_q{lSQbJ~jl7ytB&00FPnGM@04fPdxLMNm+(dCiM(G@6J<GCtX25A%zF
z1@eo4Lsc&Vw;$z2I2BvSK#y=0(P+1-TwUqWORg^AofVcA)LK=~lYm3(9kMft4msdK
z(*eQ3QYF`<g)Z$c+u_^xn!MmEpLXW?7^VGjzPV?K!2yEQ6bwi$F^U}0)f4**#Z7GX
zLIqsT$riGnZ@)Ryw}%Ivv$1F~JFDlb*W`sBwCeh$sVV*bO_LM)ma3jN_I#ag5~EtI
zez3f$k5{<+H0H(oM{JS20Q5`&__mE;0-N})Bep=h+OCQ%5H>+g6zK8g$%D<YML^rM
zv!{Tr`t4Tbs|u<$_f7TrZwu;;p&~avNcyI}?mzzfZ~N@1UMei$+Tf0p2n$ijhDbOG
zoS>$0ki)*~IGlj!vVD*7T+%nTA}33zAc#?0+=Qqj2Sc2KV$l2YL!UrmO!HL+Jr(sE
za@w<YQo_TKPuYJ7AI6(>bTLnA%2wr&xI5A;I=J$XO#B;<onR6J<;9twyz-yKJx}(x
z>BW(dGt8+1dy4(U=C$ab?9q*^%OLKUDlCJ%?o~GP1gv@5@<YYN@BGoHKJqI9lB;P(
zPhnWn5dpW5hyts!*h`OOu1e7TbHSk9S@oG}sAgQy0zIs_Cfh?@kE5D#!F{3K!N7#J
za6>iYf~5co#vjv1_7y_MREz=Q%gdE3fXE~!3c*}ljB@1?Wt&DYm0~bMZfW=o)D~OV
zMO9q1u2lGg%a!DU@(-EIWvCQc33RhiDxX{{&E+yckk=gRfM{?DP28MjE|;0#M{j&Z
z-Ch6axm<>&ya<ZUrTU5{Ygko*flJfvr4>S35<XRt-UaC;P~E45g>`nM%8gXzrC}}H
zYV6RV@o9=oEuHcp1G=|GY6t=?1w)d5NXn=OF+=zWcRr#gNg32TRPO$xLd+1oWr_-x
z#;WLLq_GCkTipo?Fh&)<j4+r=<|b+1DqOiF;LhU{nGTWOYt%l{x6=tf?cKjE%{*=S
zA<g`rzx?7Se$yLEa(M%lxp>w!vu+`a3gv**+7gp<IWC5&1N#bEkDA#9OPl)+lXF#>
zg`hZqvOe^wcGv^m3uUJ2Bm~6)G(j+jvHXogBMqgQtq>Fk&;_ALfgyvg?{v;(K1aF1
zerS*#nV{#&VnuNPO%VLYOse5QT`s`AR9`hUv+ed25FARGj3k`(YQ~D9nO)Mx?QqF8
zcBz+CC%_Mm316ab_e*rKw~|s_b4d`9N!g#>BAO*kN3ZVA@<70g7+52gPLlcdm}bU#
z!oq5nFiGb7v&D^;uG0O=bCgLk-yr1EIgtQa9%;vHu07u&+(?`rC5<*NZsn+52q(Ee
z8A$cKgJf=E5SgwBLtr0rXQliT-EsTyN=Zhl@G$C6g@?L0!ypWO8h6m=?s-0P*~rPu
z9x1{Vx06-iD;}F_65U0&?s<RId)@QbY?rt7&NUNv)Hqnx%wMm)ozrenYieiy)|=sP
z{m(z{OP~3X$XBV~<L-)iL9okWKVavUC{4q5=J+QEl4T+|uz<a3LscMIAb=?3wjjJJ
zkklC*2$NPzOyHx&v9p4wlmp4#o^V%*r)WTH!Q^mqqK2XmHw*WaV#ORtT71X|t?Nac
zIgs2G{QgQyfcfXC0?7j4=THdxeIYr?5R0LrWs(nS+8p~=r@-{PHZW@poeDiM0hwc4
z+P~--nz8mbh_#2Ut*~j>LPu697$Nz9U^41M6S7$}Wd2^BYilPgPvt*x`PNPl@AFIF
z{<ZJ@*a*pnb=<b=kmiOjkJOb+0*hBuLo!!}Bxy!l4>_vWJ*;n~<6LX*fpf02G0J7;
zD;BMZiOQnm@}aYiv#=58xJ67>sgEXER<tIVb=w?R)^VXV7werygP{zwjzc+s=x!tx
z7X3q4I&OzXD@Ed?Nr@UeUrm!NGfj**rk5nFlVzH!LjZ&S>#dnBQ0QV%=_nsiTu_xP
z3tilS1-ClSQC+Nx(|{AYF@?xxSSicD28iMb&6c%F*|(vb=WN*rX|`+*gYIsOg=wh~
z>)D#OISjg)FzA2(dtdkV&kpuFEzGrL`^2EoiLuP21Ckf?+PV7@D50AXvQi&{7D)@b
zAV%>?pDyN)V_p+&We7@E&;&Qz75blGez%)Ws4ugiBn7=1kCPPGGFB9jaTb)EU|EsA
z27v&mys1fzLrWsZ1rhup$vI55AX!@zJiu?#6+54h8Y^nn)+P6x*vBQil}!_H$x+jr
z^O0_O)q*Z1AE=*iGAG#tO(h27nfQO#m5Keh(&?hq90T2840OpT2h|dJBBdymUSJuN
zTE3lLl(L6-M2Gj_0<)RDJ2N}&7R_e%xpzH(vA8#d><_@b31olE1tak{z4aIWwci$I
zRyma1%sK^`P5B1)ey2SsnbwSNsSEBfObR7Eyu~Ywsuk;LUzwS8!L2hIA&RxJNg+rx
z>w@TXF#aL*{%Tq>1Zien5Df%mKn0;1dg5C_n%N?_29uKs_6|)m>w?fk#Dl;lt~_v!
zL(|N<Aew04d{7XBdfTfOGaHgJ=7qT&u&GzS&#0MI(|Uxah_<SjK{dEF=uZFbH~>Uf
z-$Vs3>|lIy+v<PgoA~74`QP{^KIzHS>}`hm0CPRc^NVMZ4wJu&Io0?!0PCIrS`T}M
zXH%L6dOTsItkZ7MY)Ws(lzz<j|C#Mu$CKI%Of0jcb_>JIY16|V(;_UX-ODWJq;>#1
z*=<*4P3xp~0d^w$-Aj|_q;}4J3y3D?i*w-)1BiK!lKlp7vra7Mr1oY-Dl6iQVD{8P
zMh-Y9wfEf~d1uxPF~eQaLm^ltwfD%(E1?!Vh<3?3sl6ss39&YyI&6RPDyfZDTaA~o
zWS@v6-yMuzqshmbdyL9!uDnWW8@wNqD6qCQhfJ7))<P6a=|*snN`0P_oddD4YRe5E
z>Z8{RBS{3}YKFb3HdiKf1x(Ngn=PN*sQcgM>vVK$8l<2uw)H`6-Y^)#SNP6X^uI$Z
z$G=f3VfEct@W1dGb~VEvOz3H5u9mt4DfF{!u2P+(ZozYj*xU9-;7`xNd!l2kqErOD
zbfi6!Z+u{X1V5WLCEw&wpi5BepcgTP=IP}|Xr8{E9-2=}ug;<QbYlf}j|p)fq;9vs
zJ7>UeCIkMff8<Sn;L`#Kq^;-<1hU3-M;Rk*!T{5DL<lXG3l`imW{LEMsL-D%g0O)C
z%iXR(xB=4^4ea&6FY9ziFbg_>_R!QWz9P8Y$<GO+j%EnOR@+y|3ecZ$!3_qgLJ(c{
z$d%WJ9<_ip31Lz&dNggcJrZtY%09JaIq`K`G`Gcj7n)jBw{W)gC=Ky$(b~WFE)N&E
zxg8GA66!qK8#KEg$WJ6!m+;fd1WWW!z$HoYd{$CBg!H>4d(bkdBlTUGC-G$R{!HSe
z{abr1b4T*=r4o|Pm)mK7oNsO>XN9{$x(fMQK0Yl}>`xyvw)Dqw>xMb${MsMMOZo~(
z?noXQOi&QMR;QY+cW%5qRX0ytzK!*m^82LE_;<hf%f<%@E<hp!Obw>63&1dIfvi_z
zfD{x^%eImA3ML?HQv$d&nh`~fUcu-D_|{+~+4RTHReGgNnnFW_Mm~NM8@+;wc8CDT
z90Z7dqtf`G*lthlkz<tn<z@v4N+Xya610nOwiQ$wkVi|6Q{vF_c=?i6qNJ7t|7WwL
z#3?ShL5)soaNyiAF5$E$@nJ<06+-1#!D+ObSKeHgJgnBnuL1&}o@Bl~7@dd_)zrP<
zT5m5sGsZj>!?W-s_14TcD5htvFq*5q_N@80>rQ8X!$eruNV@iXi-^-yV}fd_wyY${
ze2-!xSI6658?ww8gBFm5cK&Twn0#JIPO>|y=#O{k>Gx9tFPa{e?xkw8RSK~uw=n}e
zQl7yDoU!6-XK+$kpB~@342}wG#pvub*-IVGXhf3sM|4%03kX!^PPW59fVPn!xneER
z5eF`qWUfYd9YF<yZojlY=5L?F9V2MaI34|Y++JN`6T0ktIH<iRPo72k`M>_IKlHOv
zgwp;4_jIirf&FAO3uu!AQG&kC_7%KH@m{Q3%(7B&7cewJ^-~B^<&_m(+arM_372TX
zQj6q*AiUxT9uDdNOP1(|SdmYj3+}dCxXdsM=%)h(9Ur<76c5MFalhU}9aC`888$uy
z<=OO$SuC{q4AY#<zYjrbJ3n->Ga&RbCp!ey;jtyHcN)iLnyWcUu}HqAb^Wo+U}>CN
zY0ccBcYwbx7Iwc{_WJ|#-2sCcmXe<cX|C$%qq<f!qjOH^hWYuS5NptVR+>ljs;&db
zy?r=c0#l)LTi)_%@N>i)L!T&d8hFxr<KkT>^(5WD*&A*;<B*}8#}v9g1^8ZoUHW<;
zorPc5o1^Lf3zyUR+ScBbbG7XZJ-I{ZiQe*&Thzu-bAIb)@>@UszCZk*z7$qpi<dp<
zNf~0u0aP#-N1-QWh~a9p*X98$hxVW+9cCubfjX=~m|Cxxp(kb9Ax9Iog0%X!KB!lG
z+98hI;y-BhT@a1rtd|i{!j}K~(6st4SPD@)G^e*0L#ywC2ZU`31zlpSPP3v|eV5#!
zrX(@rZUrZ$mCQp7W8fedcj-UHPd?c~tF4WQDCNzXp@Z^GwvUZPEasUqwvid|Z<nG(
zucJn)cw94pRB4iI2%9mVCEI0jKdUTPHHeB`brmUNOKZ}WX<EB3RiJ!8aS_u2xt#(F
zVPT3mg>{}PP7%7)<VeVN+F#Ouu|J~fvj%asSw{JJ+%8|jOSx9tn?3R)YJILOJ;ut?
zv|BV=-s!jK9MI?XEuZ=DJEO9sH>0(@c&ov+B6f0T^NsATS-fpqL_LzB9MN$Hct>R~
zw70?qk%C0LNx_v;O^0F8c-sYy5eGTbfvLdR@@@b~RV*iB$=0Z4%ZtWOCJ%rPUG8iK
zQ(R28ya?Qx0fvbN0;M&mmE{GF2UiWjwTAlnVk*mv;F$!Eyqc{L0fpqF+0zn97SlLL
z2i?mg7L#fpPDi}iHkTtdMtX|ODO2hu)&I_*&(}8Sb7~RG-oX(|-I@UwvA$~c5ucE7
z>)J%%D$H66K)2|VcsKBqMKjq~o-(xdr|2W=`h#Z0DKWvIf5iY@b<AT$$s(9w8L$hs
zMbk_nC@Dh|44&9MGkx^7Lr_wNCJ6Rd>N+T#uHQ!{DMJ@TT|}zr=yelbt-PZ6gC@8|
ztwqBT5NoKei$iP5(3ji_G!2vl;3(5j$R$TrSSY6^9EdOam65DaRB;^FVr89EQyubx
z{M;z8UKDanCibI5!k`UA19N-WdC`PmF(bH;;Gj^fTYK0w@^JbLkLZ{=8kp{C-Z;+8
zbjipqYR$l$hr5|P+;92lhkx~FBN|X#rdQt#r8%9s7%wP{4$KtFP%DiF0>MpFT2=(d
zu_UBG;oIX_p(%C29ny4)i(d38j%i9=5Mx$3%7)-^;<#CTi$l|ty5M%r*`*X*Dzi)$
zq$zbl7&}g61t<cer%sWvAWNsimw+@dR?s-Km{MPoLtq8JZ~zQO?R}DD9?m5J=hrzl
zU>R-(XpS0P_3V$%ktx=VAN;?*owa{4<hBo@q`a=b=>f#WJnENsf&e$GTd)QxfS7Y}
zPeLxv9_A6%-m|__1BhwMx0Zte#8>~Hm*4(@s6OaIt=2o)Tf?e$c~@g<bicQz(t4*_
zX0p~h*$I=i-pNLoto1-Yal8*EYdr%{kXcH}eslj10|>wBEfO_QxD-U^tHdt$72>N(
z>jA69L2|&v9M)FGNs?LXp)nY&^U%#`fa<jRkR%gJ8U}%_6+?k4p5f(^WOaA&W13A;
z;^cfmRkse1cZ6Gr^|D-+uQ{r7E2XNc(|T~wdy^F7QC42no9MdHopZ!v|8hzbtB99F
z;&@lk(yrqDZ$vTi$-ncz@y*aDO7)|kqKBny4uo)&22ff!UD`nHpz2^j@nmLfk6MUu
zvY#LQ*wcHi{+&?>cZWilc8gjYHb*}<6aD<H-}R5b=3B<1pF;;~erd+-mX=a;v(Qjc
zVk!Wt0;r*`0YhjkV)g_6fA-!5*p~Dv50k;cU<FsK03nua-%0>O#s~KML4scz0#z0X
zTnU10GMB5-47w%FnWLG>Sft_@qPQr`%V59-aRu-*;EECoix>r~a3v`qKp-SGi6es(
z1Sa6f2~c)Y*pTmAkAJQI?>^nV&pqeN9Zjohbavlf{de!(-Rt?SZ<S_T68LKFEmq8a
zK=jzkpibroLooXR!Lta)jMf-ee!u~vVl_<a+Rf|-9MIOIp~^;k0P?A`9}u0ZYxeo(
zYn)^?)pRxKLHWmC%jp)1e;eDBclGQRX<hhMAbcK<v|$R&Bi?5?QUzg*WEc8#a^+s&
zm8o*yV*X$*Z?yyGVW9@NUO-Oc3j=CUL8_H~KEAMQr)(gd#Iz|tkDEt8_>vC^2p@i3
zlo=)SM+l#cdcQT}dGo=`Xa3?lzV6RQ@S^#%w)$a+AN&rB)i608#>qh;K(MDwlB*xP
z1!!xu(z_7;?Oa*N)en?yw}P?4v}|d;$bz~0F<4K@)enpuCOIBaTbR`?D#j}iZ+c^{
ze(cxid7*Ygb)!>H$<+`1JZmlB?v};_6f0KM55x?{x3?qbKwY9yz_is#(AtEY7s7}A
zmWMQw^2nOx9s{l<bixO#uKU?XRi-L1Q<vwLs7=+W!<ChXQJ$`8O`Ceq;=PZdX&<_~
zzejljzFg@N;k(VsQy#|9B^rDr<pXV7^@Bj5>IaeS&^Dde1m5^5%ByXFA)`;F?WN%(
z<E;3sr1Rr?cS(6dzl}7|F#L_<CB{vg^7FXzyvs<7JeGX9ah@sd;Y`?gopO#X9$iKt
z(<vjjXpSwW7r#!yS^dP<f7^FIKROfAscG?k4%6JPmq?v4w!q*;Ey716P{kID#Z~}W
zoC(=R0y(xgfG|i5Kd`|ay7wx!*rPF$))&UNGq*Cw7NE>i>x;Hnp@qM!va$!{01*{S
zFvq<%X~mbxv4y!3%*c(+goIBbaE1vpA!T3y3q@Pw8HkhDj6hI|nh!+RYx|o^f!Yov
zw}H{|BW>9Rbajpq9EzGXT@em`QnYlL=~rvNw7N{occ4dQf*)FMJtk)v!)UYCqemr5
zKs}(p<J8>&|J%40vg!N$Z=X#38>NQ3pv3AYqdsRC8@EULnv&V)E1fpQzYZ_pb~B7O
zA0$2T3*Y;5zZOA~zNl@5r%dEM0Qt!ZZ_aV#8bVCuT|#v=g8kYTnABEfRNA*p<OO|b
zyJDq%qquaCLPx&FO8b_HybEx+;TkX1zFSo3H3g(<EeqD#*C+BW!06h1>$<|DC}382
zFps5`OwoBv<UJl>C0a>3zOGYaBJW~pEx$=m=ewgYA*tK2v|r!GO8dq{UZCXaZ*=9r
zOBLhwYG0~9)4;da?lNoN9E0(#4t2@4P`oB3fkno*Dgmcmq!DyJ%G!6X@67d`2hV^|
z9C5sjx%7|F>Ylc~^8#g_zW<z*T3&eW{`vC{UU=~A`91k}J>co5jtg7n>8J9s&pbGP
z@$CF5I~ZH{QkcwrzHYtix(Uwr-~Gov{9-HyyZ-u4;ZQ|b06ar&kg^vrxxRy14D6~x
z69&Biuvu`ZGb5(8q29x4=K9VS$yr%(3m6AY;bmR`oCVOkazzZsuIoDu&SS6$C8<)k
z?Pb_kFM1<;0fS|zItD8Ys@GVDDu(zxlBu0=Mm>NNVyUpS@k!17OHr7@&+S}2+OryF
zPMK75ZdLO4Vdj)tH61W>OFWiP>%wG|m{XHuk2+P_i#k=kIUz!lG_1W*muT&`JoWbb
zUkU|H(YHSTd`L}Lp0>fA@i?$D3ch@sLL|iQr~j3I^poEcgxK2b<5eo}^&1=+K^NZz
z2S-NGRdYdbNHP;6{dQKRvRy!>QS}x<Aj}|$ni5(aHDn1v-X|9<fVkZn^JGPthadwm
z7d&pjF)b?soYt}xQ1!Z?MK<gn+;rFq7=RVQ^@en36l9T(b|g+M0hmkT8M}O3g{WSa
z9G+J})mtPnk5@?pFha7X0)XPrcW1i*rGYkBBIn#*XTCi^MyMUbz>bDq0Bh#^1D<l^
z5c%Dg8%w^W?_S*?=zH8rNUppsD@j&&i1kBVlOb^Pd*)koTwHxtN7#(0&b2|JZZ|eX
zO+cHe3lkxqROr+UogQ@))<wTbO_Jb6JNugqOj}FS+E_9$Qp&I6FciCzfkE*Mu1Ii~
zf=;d~-pO_f+*&d@1a7xUCbyDeUtB-P<nR{f>*oufzc9Dc^+S2*P3BU<z~I*cHQnjO
zJ9|Gy)OyEi%z@+W1&-5hQEPc;VBqxt13&h^f9?1Ed;kNrlIq@z)m1U7aYz0gKxfuI
z(8+dnI*b>baSy?r51|M_(O(7(*)5MWq!e6Q;246mj(%#)pCqUqJ-LgWQ0`rZvB6_R
zL9Do0S|3rYC^Y~_uK?a6_Le*aEqX-&5`K*++Tj|J>&2H+V;?JuGBZIYcwud!y_evM
z6>A%U;=TB{!AfW>rUeHsrfq|+FgD;2C&wZs(a=z>d2I<?wP;EuC?=N%-T%AH8vA}T
z&yI+>WkkLkUXSSfFHmrEN7QMk8*y;M4oev!3@<jX#7<;S{Hca_YPdFS`POJKT>GYv
z{mTFBE5{Vx&d~}`Ps5->pYYeGb+W=U0&%FPmG^;$j>rlRL7J*3uwUE!q7am%glQdA
z)mhzwhG1J}r6H6T+ztaB_*y$G!P^Q#ZMtQ#Vpe#E&CVhbjQy>d5=M0n)k1RHjj&Xe
zL|<Q!tC4bC=$pK&XLmZwO}P2>b)?d~s~G<aRkJuljk1(VNNZNnim5_RIiv>A2dr`B
z;p?kc$!C|C(*UYoBZ12$54*#M%Eu+W*@7HM*DIx-e7rmi>HOSI$K!hQa0TYfDi2=<
z3?vU<w$t&ohp)d*6y}AbEv1ko0MKV$pw56I7N|3kfw4J3X-Epmp87{mC;ipuKl%A@
zi0r*`s(KB-&=ePD=mV4vUgONV%p`>i!mmN*90;ld5I9;Nw_WhoL?<aBXv_fsFN(Eu
zhoI;r7esO&o)Af?6>61FEjq~r!HtDvhj>EZbZo9soG@Q;g;-7n(S6wn%42APHk1{<
z6+ldipo(i0C(H!VM-DD(oEjJ}6b9;_N1ZT}L~aC%6`ms4oPi)~B;~R5HFcr_*TnU<
zl1w4_Z+_hEI7mXEV;$OVv9LuFdK=CnUL@2dI&0?Jv)_QZn%@W`Z=`u9e)Umxtcuq<
z<8`BlLt1xOr)E~Wvg14_`t4taS~Ej)#;3gKurA+U6xUNPYwxb*{yg=+=yhOUeU7B3
zySbQ-;+!E=@^*)&Kc$F|E|m1jOFADduSGS(Dqx7(>6sdPKW<Dp>nlC7e4oSk1rPqo
zzxtiwFlrsbm9y9Rio=MkGsc_H$Ff}(A-f<@Lv-(?b*=+7;@j_n&=SyhqEXhOA@hp7
zeJ*I~9ts6|t%mx%>5aToE{Ntnw3@?V1awJ8)8rMYoLvx@RfhXSt*(};qHGtw43)DB
z!eL}*JoF=4=h{<KIlCYSLF=hO_EEz|A3~L>p14Wxk}6Bjvdi!!Z~stbgk7SHrs%qk
z)^cyMM{D6>#4i}`r3ALwT@wQoFL9@)38$xm?nv1o`)7QNl7IHF)2klk-Z|-xg99TD
z<@OGg7=Jx~tnX@i-j&!?htduoQ7WD6@`UB7i2UkCmhaP9uX*<e{@6bl(^-SyjSM@?
z;Em?(Rfp1F^B91;VS2CDf|brveh{dl9++v?S;4)rT+1AdOLdl0Z=j$xJfyd<WMEoT
z3t6djRw2p26x>!&9Ln;w%RpUf_vUL$nB$UVpf0$ZkSNVqlu<oc+^6b;s-UQ^>Grz#
zQbmLHdvmSM(!=|cd9v>UF}ELN|B!vLTEDp<|HhuaPm~xY+L+UNk3w4Sk=1yg_wT&t
zZ~a_oJoP5sOY_*PM8<nSyxQ2S3ZYd$A%tEYI^kT7(aOBhJ%;MBMi4PtJzVJkDg-T0
zU<zA%>f}!&HRn^9!0XyZ#yN!EBadjYHUj<T)H#GcfKk;1;m<XKIfO>sY(Y7k*iK-~
z?2Z4Bc99H>w>H4scELl`#Eyl~V4i~uNjDZmaCJstlEzbo)WsK2Vd%b7Mj`1D&OJ1l
z>MiTB%XHZknb3TcPWsNhK>s_JG2Q?G#YxS>H&n)$6gFPj!?Ty>3Lf4!EPl_se>B>D
zw21C?TPvx<w`Yn8FCT)g>lrIEI4y=AUODy9v>56;7leLAb0T6$qzsTkqt9r&ph~Fk
zTo74JWWiYPz_8C2dqsU$1Pe@RLzeq`Md~{jgz{pw8Y}kj>Whcxk~=_%r9pDP2A5{D
zr0BaMxn3!V7`>#T@AB7?rc0OHVjLf$Y?Ae_LD5iOraa9qd7YzbiuzLLtqGo0Kdvq2
z9RX-f(Rg|m^BHa9dLn7P)EbWE>a+a|b=vaE%(LXgjfw0*11FPQLCOt?ixR~e0h^tk
z<g+JwMBjDP=?ur3;aIPXKAs=?U%u>TUW`hh&a(9|#wOcx?5a;Khf@v9F+Q~%GZ57C
zk15i8|9!=hl?GFh;IH$FH0v&i+zP3pX{}eC6{#bNpyReMlBP3boMznxZQw0d1oB(!
zcDBos%|&p((X2E;&`2iN-<oFK1u=hz#1KedqgdIlC}zD#9@lgLC`m%pdPy<sMe?xH
z&<JHd3u9~-10JRlUDA;L@_oS5-K@zV(<OIE*lU^{_zex<(wf!nDU{y`A~kq)$twj>
z5{IZYHV2?_3q~xz9{T7&vpaFs06eS(QOvbKzTc@Ta7r!kE~|aJD^&aJ@jcZMn3_4C
zwtQda{3~AlZ~XNAh^sW;<qFu-xP{gdy&HznvR%*yIdfi8>@4B8Ib^=!WyKXKC83Eh
zTMVGn%QNSP^@h~VBy|BeLDWl}nhMxg+=J=?m<<YUOb>-%uJ)Dw$RkKS+ag}B_C<f>
z4zWvfjX84;Ryd@OSZG8+QbW2pb(J~ap=@YPoFw7QUwe{blNZ0yU6u<+A0u~Ym@qM-
zxtd;^5(iC@>1Z(zV_I#7aAqF5bB#lHdWwM{-t%IhjO3B@#EHal_ROEE<K|%J_JW;h
zx2UxfbMMLZ^q%|=%U}KN9~r@p-i%9aZ6AenudVIlBIviZBUS1cPGKBJnK>Za+A^F1
zfEnRB%Yxa~mf;jIy3%`+1+%R!^C7_9CYbFkm~Cy0hd}qJ)@&}ZwcDY>cU7g1@erNL
z_l;X+HNmLRgf)~;#WcGmwSRDsV1LQlh5c`{sBHQ^|Jx@M|3;~~aFby}fX-B~^lL5L
zz%-|K9AHDh0NC+>_v{H$UZXqZDx9v<^nND~IW_#4wtQ<=*q!p#-}z0;j|r8i`O?eF
zI>){BX;@;_!VfPT;I9>(M`sqV>4!^qN~gAx%Q~1i#?%wsAw)E7ZG|eaoO-_o4w5S_
zkW&)RKCUsBb&wq*MKtLNb`sF!f=N}@DfJ%|Of*i36?0i<SL#1#ZSNFoSjP5?G&W0?
zV7h~qtFq1(q~N$povhqesj9M06l>UDSbgzP<*7Q&irtCwTK@gKVO~?=OULX`QR#6R
z#ywf%xi<8_p#kHQ@AJR0CqC&VOfJ(j9WsjC&X~t0Spf!XfRAgC<V<;uhxLioMyg&{
zQjhk2oEo`IRgcq_?^BN#_x{`ud|IG<G|l54qa}SFvsSz1W83{+#`xxBc22;e`b!Fx
z6<{qWLgQ7<f>r(%okNmz0VKzoQ0!z-xH&zJE<j)ksU86?Y!mKPdVI4+8kyM{WahO;
zCsxeqagZC683lsqT2}mw3y!K2zL)YQs;aOC5Z!3=zWxrEW8xLgyLxt~Gu%o)o@Yhc
zzjy8)&)_8oUK$S&{FETjkjzs`bfU&y^|L{h0ML}=`K;9P+3)F;l*JFq$G5tQ+o`1b
zuyAW!F92%seUaHdMfK5SrmGQnR}^^R`y4-%^146uVXyj)dmr(tR{{AQ9bT?E9M*=m
z2UfQT69<2RD1?Bu$<tt{HbX>@;{yj_fAW0TiXcUYQzI>QYfIP0si`^q8j*VCKo9m@
zC|yuS98z->!3E$iB8dJG)o!sO9jhX^0yCZp`kdGh<Wu5;gNQ?YyX@NxLJq~T@->Gs
zhq=XW7;~8K&H&~R#X2f>Kl>h)LUCu03v~eVkE!hvet$}XANVM=1Q$P&Hs1QPvB9Jj
z`8h#5-?m?(UJ_?Ntk)b-#YV)9c(m2ot6KygS=L0gw1f53wp%;9b%nEE64tGHgh%a#
zT85LF2KA6!F0_B~xI8<bvg`b0^rfyi6?d-E58X}Z)}@<!*WTmMyJX14EeyLMoh8X`
zpr8gXEFA!3W7U@<6}S3;teVNBRE<SJ(7UfrC=XSF@^Cv;JDHiXVI==v)wc}+Je2A9
z+BB?jy?CCAp;;Zs#gx7<1ghg@JDr~jH*LyGT`UcLEoi;dp+xrf-IR|yZTUVQ_4SYc
z;2Xan_yGH}nlB+Cdn#UbdDe4Q6Cin@OJHeG#WY%*NG<Xavn`-Y*YtYPfe3hr#`+;x
zWi`=vs3xh06P*uTthiyrIg6J)l<0iiTymHaoljnoT%v_ss*<ag65SviMH1cII6Ju+
zww<Ykg3NTuLc&Pxt2Wkl5f+k@&Lx)&hJ9mUOp^2je9eM$MJH2JT%N4KRnrD)KKbZT
z9#u`*)BPQ;e>x6m4?6#P&^BW@J@u+5#jbSJO&~wx@_0eRo!_mGEiH;kbs>LHsjgPJ
z!?Ld`P7esQ2UN;Uj6u?sqC3+GC7qddEghGn99vs~nSLkP3IqXYkqcc7TX6~tp!g?o
zIr{Usu0}F8z4l1P;@3SyGPc>o0MtM$zp)IcPoB4B@4=~xeJ-2MWz$y%M)afJ|7Snp
z<HEsEPo)iINpgpX0io=1DfTAE7CBgo4mR{Fv@vgvmC)dZZVFg=q~E0rIIoyPS)hoF
zEe2kWrmP}V%Assxi&<h;6xBrticf1n&7rWxfGnmCYHTc~2^O{(_%0n<nH<U%>?IO=
z-Ox+?*-k)(z`GO9MJ@qa{IEc5MmK1+?2z9`jljMg>kmq5h_iPYX!6}LC>7lABb=+|
zC#pi(V4#t#X8tip-G*Y=QFDmT%k()KjK%s=$p2Hb-y7$-i2pSYq08s8eYz}H%R19S
zxD*_;sZK~=j2FH^-zTm;>@Upd(9`@4$$TV#LEhTrFQnJ~rDlqm9faF+5KPgH_;79X
zJmada2UqpQ-}sAv?PJF<%!ANmnxGPoYA-yad(3@?3Ca{4y&*0T$JP`cA#RRv3tS2b
zh7OQ8XCMk(3iAd!(<W0W0yM&c?HP#J;UElUAc9Y1v6Q-7{xUfO0ryFB0H_RF>#Tp7
zN#?Ye$?`#sUt>tslAW4AbsOn_FSB*NpMS3^6UT9tVd#@o+#>kY0$U1x)d`A3W2c0M
zs#6v1Y6`wOAV<rHR;z*0aEO2g$R`wgl#b_iGFLAH#-cfZj!9euWXbp~IOy^bL%BRr
zf9IL|@6409?!Pln;^MwCiL2T*WfIqMaYw~`iRT`if61HgKl!#e1+EKHQxOo;avZaL
zyqSU3|H03G;Nw>TvQs>T2hfJDaL#+6NYJFxLOEz3UC>Ir3OCUZ%@G>Pj^%=TP)SRC
zxJB1yi}|2^biopmZ$aeRu1Ndnf+Zy10+-VEFH+UHAgC2E*ar4-3v6#I$P{Q1M5rx;
zV*uL2NNCC6fnIv?!~zLz+D8{GrWvi17Q)&tX1*CfyX0YcB;hHcE(FKaOV&-6Ko?5Z
zf4ADml-i8P5!>NwVoVRS8^GBBJy@?f$vUeoj{LZ8FD<Z4*cjb0MyFM5d^Wgx=&8x^
zFt_n3uX>p&ucecjwgM)KZe{k_46<WEGr0*PNqNb$B(Fh|T`9<=6YLNFUGONthY5r-
z68hUKWWjB{FmJu{$Y=$xH12HL@~r_PLV5i||I=IlVFVW%>ACXs1eY~+lQ4QKw0$|V
zm-AaCO?g-xWTJ5veE95R3)D#I2uvQ?al!^tYfGf#n$(*3jh=l(kA>7h4+{-|@-<c{
z4RnKwAfu2rO_{Tg`wg%;0{mE^1HCzQ1*E~Rr-e|&OuLrks|M1bnOu0KadNX)b~mVy
zD<I8kr7fh$S1#Mjl=7zEMkraY0K3`nHAmH~sK1Moz$97EsrJlSMbU7&YF{lf6kvSH
z3tpx;bw$f1+D5K!I{UO<(B7(>^*K^b(bcT~ovCNuKyFpm(vQSVtrvG*KQhTog1)3~
z<)uyPHq{!CQv|WkE~#78Yp>FeZjbe;>UPd4&N;<bM$z#<y!)o_dop4seP1p&`>X*r
zcC9x1lCQhQ5fzCx6XnU~)R&n39m26(C$q6RZuU2<xY0jYfV`m*%w~VL0GTfZL3e<e
zpY@8_?3Z3mki^Txp6p0eW*?(Kk?)ixm&U;Eh8M;>7aaj*Vt)Vti0C}+81roQQ4xi;
zm-6Jkg9mQR{sJvq;u-BNTJ*DDli8;+R)abzv{JL&$2SvpI6kTLt@I+a=+N2f2lD7c
z*XmEr@=bFp`hu)~{HMO~zZj(fvkJ~NW~c@ePdP({?g)?=LqmWD%<$+Q0PD;N+d?0d
zjc?qcS)t9M<qQ?DnM&G)$zx4MM<E`*A|^?wTHXOOuWC6?{j$hVL4)V~A->MS@?$iL
zO@1up79IoNGfbOg@yMB)B}esgXxzwpiON)MnNnWqUvpimCw?kwxh#J1XaPfA9rxtG
zW}wG40zE$TXuy8*J>UN=-xrOhTCZ_;*Qz%t5g0(8$*Z<9&R5(810a9gg7WY35<1fK
zfY#CT+JL{S5u^exE25Yn)Wyf;2HEv`MY_8#Xr3gwxK@Ht&joKPU>6J^{fb>l^@?<N
zi(tXgZx#(_mzD^Mpu^|^cNVUI%wZz#u1f}tez`IFN!xX2l428;RTNawMxX#w6=n2a
z=aZaG9-jY`CC*>6JpO=-Ig@~$2F{l(Xi6+eMCyqpq4@#}#cko$r#g}LB8+6F`kcuB
z?w|g)cZ^cR1FgZ<cBP1C$e!sp_yajt1}0WB%7q|`m-M@`AiWe91dj(u|KO!yCYt2c
zvmkYt3m!MncCsQ^0#(`BE@Z5!!-}Bx#?srIP7yEjJ@Tok!+gcv23<J3Mr7h`wojaz
zPNWN>HbBrb1k2do5ELEel6bBdvXe}Hh5pa__mPmjNP@stim}k)l32)BDbJ`&!W^(p
zK-Q66=cg1W(j@`%W-BRwB7CFj?4ue~b);qLix$;??RE>PI(%6WD(a>7bC0xYb<WdY
zZ=U`xJEyKv__QZ_MBjA^pK~Vu_A>F)Zc%HJW_0iMpnE^@weR|l_l|`oAX6vc2Wer9
za%$s)9GV=J*j(n3cBY5Yth<k1+J^gh80*kPa{Drmv@<O*hbDv50&{3GNQRh0lagTH
z_K+dctd}n{$c32uiywvyu`;Z>(Z|7~d-`LP$ZDswjFrDjoO6Np8MSpDrG)T#PisxH
zsBGqlr}zMUf<?NEF+yFUV2tM2WR6Xqdi(t^6`*bnQX-1zJO1FizWWCgibx0@o#zlh
zK|lq_!b5Znlo)1ZTBgBvAwZDe%>;KC%A|q_5Ht}Jf`TG)L1ZkX1_pLQ5Wmn#4?!w1
zKQ-tLQNZM>F{PblwJa#*L|YL!Nrpuvq1+gKVXP=9A`?7p799MH_z5#l+fz$9(F99Z
z<nF+_Vtr~VF~3IeyQ8y{5eN9*G-DH|)^egR2^^_LF&HY{E~(|j@^v`gf@^{<wzH;`
z6Mao&^d-y#I<)ydlOqmQT9+iGb?0yjr%_N-l$dJUTd5AZI_yb5&k)ROgkW;I7Z65@
zxs=(?=~WhpP}vL4Sf%4}faZiW3}X#{>E^KH#b@9Cg2M8p;lev2uo2A=)-7@V)cxl!
z_j#|qslt@s$Ss<~lACF&_?Q3vJHPZbV`0hlS4?6a3W$QV9PFh7rRH>(-x8f@LqH$D
z!hJ(9hb4tW0A%jg#vg(?EI~FBRUg<&;C@zZj8%mt;QWz<A8Ii00cg=<#T=IGH{kS>
z6&E`rO?JUin_8Uq>O*Tp#bBxl%*9>%7Y`#c>{eF*Y1<UzdR;PM*STVX*Y=oFywC{j
z3ho4HQLuU@w5ejBQx9M}3KIH#ZaOTK!GP^tg^tah(-Gy{N!v{@zf{=%zKks2>UN1V
z{`Eicu3wKxL$M-W$#qZ(()^?~2b2cD7P`H;^N5i~5!7A)Vs3yxX#_J?BPQx$UQ-KG
zp?l&h#zZ~9Srm_GyQ~UQ1PhdA-EBytUUI>hsJ8?$TXT)nOGU6u)I(ULDltw?z2t&v
zq8{hq)<2I#8bvZD>H(+IN)otOB+Ep-<x+aP^G`1CtV_m3J<R><teNjlVRb=Mn(263
zggp?|?TLwcE1QY#l9M#8YQ;+;my#m6MB5e(9coS$a38`^&xFK|eRw`~a_dpI63evp
z5|3?AH<_U{FJmapTsOJBx`}C+6OGthH@Q59Tuy4tUoPkfeC6+a=3W19I0EXhxFcZh
z3tag<OeP$G<MN2nu(hjR)lH6ozN+A+Vd>PaZ(lL<taP0Js%`?}Pf22eXQgre6%OGm
z!gO(L0m)9ff;m7jc54bQj_sGp0m{*>_VKWkj=;+Tl%2xP(CUEywJTPTt}V#oH0Hq}
znn1Pdrzxf*;9m!`S9Go~Tqa97CP}6oT@sxRXj}lu;aHF*NiyG^9Z<p+aRFpf-Nvh%
zcbaWA!jY6LrrskT703ZpassCIEf%|8PI<A*J&DeWrYFO$&Smtt7~yOb=~TjTd+vW{
zJj9LQAySSq<s5_>4QL5ZO5P<!cWh1wk|alIFT;p^>BL~O3vhcbz_eS`#<8;ta6K--
z-~C7b?HB$=xB%*pxeH+4*<-=yg3&y6mxWdxT`;<3b_*GtoFmvO*xX_ygn;uf<+)w4
z$^|HD11LV^0@}!(`od3b_(hotWZjOo3)VH~0t{mbLGTD%fhZ*zuX`62c;Vhf+cV-O
zu*de~T|K+2vlwMK#ncYoyC{^O_s-q)<wHmbI^_&<jQk&^Q&!Q9p8v&<nDQiNHc$##
zMKm#~Hxa*f%9ETGMC)<Ak_JzV&?)RWIZtx7-4GiK9}e@Mx;c%MCpp8&siU+6?3N2_
z1x;(xJ+jR+j3Os}4%h6-y`((JnbphjoT0E@C#NR4NAcsI*G~mDZ{n%Ivh7pBfAVy7
z-&1jpf4t&T@#cYCN1Hnq0=k@R7HmLDHn$9ODEwBlH*|PQbwFmQ>+sQoRQ*=@=yAPy
zzTQJ}IjCO~$@&$(PDR)!1oMd=pR-30jFjv?ink}t9$CwDk#zj=jG(ySqJTif=P%pQ
zBe33_u^XqHf4w|o;FXRScuCwp9FXtd8kOlhdu6>o1Drb_FQw{qJh$Wg_`&j~(Cuoc
z4(I#nujL&KkH3DMY{Z?r*vixx*u-yLTudyr-luj4F1CgREBy~l?BS>J)ti%5KIvN?
zJpHur6P1eZTMYZ7M14iC7C|o?Um`bob$UuuR?l)w27J%=fBA3xQg{MmbOyK1Via@+
zxF1>-@D_Yc2H4iigT<)O8H}<R;YC9gm#^q*Bc`^ZNRW5csooF!m8MHpil?e1Y3<5S
zguH>I4bWpONsEviwRY-(jIkIMUu9Z{nJ)SWCIe=}ho|rO#AX52dcB6P)}<JvB5GeB
zWq~ARO%36h#OT2b4?YBmUri^4&FZD~o8$kR!6<$E@mD_hW208T3<1TwOD#8t>CJY<
zFv1`)T5P0QJM4;<0Nen@5KuI0Yn?q-Oo{-3+eUc-(QGNi=y^r)#?1JEogAP7dZy@4
z)Qm!`$oM}_4RDeO8Zmlakuk0d!ZMOU9l3S%gSOvVP{)4i{T5kzvCxDH)4h#TE9%%K
zR~wz03}BWGLr#*6asA|mSfJHkcDx<M@7S7XxIYMh8#RLt*HTSlgf3Nyo3VKFg=VWC
z1%p%qXs!QT0=SV9Ktxzolyh19MC`FX{3AwV&g)M%8rP3Ko^*?5yFUFEol@Gr^GAQ`
zGk-pYduu|p&FgQGafO9jJ;n}sW^r@6K4nKy+ZHBWVEoY0(+cLiKCprW0Aoe)mbZem
z>z0{CzQ>8pK{c$=eB^Lb&IZ{Q=QRfnd%I%J>j!Dn-iLd~iYa%UIiuEym-Dwi%?vWe
zjl8d?c{P+BpCLLWJ+Et7qSrQVzv{g>%lSq)AImD=s@+nNuj9y(oFGKLx5^I+>M$iV
zTQ2byJO}i0HLp_x$8<hEE|DL^kj*MT%ZVz7oL|%lp6Pf#9O-ymFFJKgev=bbPRUP;
z!<Uv5udbvF@nfy<7L=6YRD)-a@@()P-DaC<w`ewaH)HUA=x=@dkG&~IfGVoM4W3~P
zz`eM`EG(F(1ENG_q_JJ7Z_?noAlg%imLlj40Iv!yRb$!U<dCEhaT+Y7asb7OG<d#Z
zAqzMh<{9JYiI?#y63CWc$jQ;>8RJhrY2fyYzLdB)0w>e2=}`_Au&sx|$$4;We^H4j
zR0r)4GAa3{5?^bAJ2hRTdn+H~l{{noE`F_2rj%Y@IxMbb?rOof6fx_~sqTfXHoF&(
zu6r@<7R~O(&A1nz^GSd8&wo+MlMCfT%g!-Kx*>UTV~W@fk!}cl!UdJa1F$3jt(3$r
z2rVQ}Zi0iP8<HnC!9mgu$&>qvXn;dP9V7xApCc|%oLchaE(jk;NjDB4<!b~bPi}%3
zS;|fVthn9?t#f7&(LA|JY9|~e*BCUE2|q?d^r$>XFPd7rf$7~MaFP3eW0?+eeM;nh
zT{0bjo?BVBzP^pr^h_{xn%5xSXNuJ24UI^BeYOJGjXaTJb<+!s`gGd6n=<55;ONu%
z80NI~bRB;EX=_zMBc9M~W~bkxQ_|Ld@BZ(2%_m0`ukTB1W_gEz6ebDQ*2Zs#WlC@9
zV@7@lLChD`C_V%$GrLCnl&rX38~<zwW-|-0I){OZJqEHk8zuvxnFZT{p-=Jx80Rfr
z-`Un>Gi!tIup%;!LnK&$tFyh1_IN3C@A8V-%x>35{yY#o93{V<1#2_AU!b-u23d#M
zRg#jpw6AjnpSy5+A#E?5B9)|o&`c5(T=)gKCJOiM_tA2+OKPvZNJ`<lS~K4s5Hj0W
zNB4f~is(RWTXVnV7$Kg0%Mq*j{){>f>I0b5aNczubbkVKt5<Ou@2~D1bskjg)MoZ@
z3*yrhm!p<Wc>g(wXNMUQ{gL<w-VK<ul(i7#aVlK2NMyd&$(;}%Iot^e;!jWfn;sgm
zN3+W?-5$*@!zB;X3(ws@f4%}4(#_!%ccZM7LGvZxc;>-*o-Y63Jh7e0K1Z};Bkh_p
zUH%l8;eGG>!e9QE!e!7jNhk5|2L7;z%@e!e3A85hKh*6`n`DQfBtFpXo)iCv!wR%G
ztT}A)sW~+xb6;@_CQ8&CSe!t2Tq8)`;(|uagATR@92*GcDIzI12nPVYRP<K1i;eg{
zS&%M+uQ=F0FD`>i4mQwNP3(gm^i|@2xP_h$ou3^>oumCwPR88y_P3NevP&KhNHz#~
z4`blf4Y6J0^HbE&pWq0HRn?;=rVv#4)a3pY3qM7s;|2IT-IG*ktMO7@Peu{taiA8X
zn!bW5%2t#_lrW3Y9t45*fNVC|P6hmEl;4jG!}IY?dlC+)j)&FG=mVA(D)_<3s9jFa
z&Fx7H^sDwH#i^$+%$Gfx{RWL7KTmi8d-@b9sgT}lX<jOOrzaKcxxPfHM>|nXIec3U
z)bvcwpP~*CBXUk_;*Z;%3hLc8KRi}!jvgzPs2nR?o29#|Cfj_}csQrsqB*HB-HAKJ
zLwL=<{olOx<6}^-;xybtFw}-c)#SSaZMEXZZI?j<T=1|(4nT<U_9UIj@G*r3xF8^K
zV1|RSf?bycdPdh#X%s;)P-~a+4rqgBMXD1Qw9%1R5!P=A3s($3h8}d<>**o*iZ(|8
z6x^G2hsKT`LJ`CSBP11&rdX{HEnZJN1ee_H4?6o7vASR^^4As*p-6&~PVnekkf7E;
zJP_hgt-B=T=o+!P9|-#D7a9%gX%IEYj*wmqAa`^>xc$^aa`<llVlCEQnJ>$8<UknK
z_@t)3ZPt!fZLi475qgfRwrRU=>@njFCJz|85r)qeMF$k#DJZ&*8vw(1t8Rdx2E&b@
z8-PxZ<c3J1Fqv^~Co@i8KW$19FwD5HYo8v>+w**h0ld^yPpIP4cKGWuvV3c1*!=r%
zz2mdL?K7jisrJfMq**UcFVie3(Nk@JlHGL7L(?oA2*beMEB)$g$Nc0VYLAfvQV~3W
zJgZ$X2T>Mn;SQq9rFQ3QCNSjxc#ZJ*sE3+UCua*h4<N)6!99Cn>ubzGR6#1E(Pq`I
zn1d*5)WtQfw&16zSFD04FoAK*8^f5j4GOT|czSTs)m+_wxm=fpvY{SR6}5f7P=?6v
z=KqQwvkxI{O3V2;cbSjVFTwvdi#D5-N=ZrAXa0AtalQfM7$+g4rqno3*JIQC**PgW
z-JiYoq~x?))Y^jEiVAsN2?hC#Sb$jG@{y>J2R!OY$=~&xzUqVT4ST9~-|eY;wPr9T
zP;3J{EX<l?6x+rs!S<U)CpO6;N6B7p!NuLW^Eu?e)Md=@K~i!HN(ma??9J1j`j^?R
zHwx}SY$C%xvtSN6tVM`H3^~B+6|0Z~c~j}=-NIJ4r>;T{KI<yE2S;hMWEFBCDTq*v
zVGJf2bk@uv2a>g<qhi2=fpOKER|+}QNG{FR_EZtwLh`Q)=ioyOWfloBpKzuJVcfjP
z%9e9P*@m_bH8!_*<vyACH=aA0X$v>->lFa-9By1=xB+eqI?eW`DG^6dValkVtBe)3
z^+uwF*@(Y{Mts^WnvMAMTXag^@E5=JpMCEC`cbcX)t$#*AM}GABVOiY?A9_jJKH@5
z#cm*tG7;9kheR{I-<+1sA{UML&d8bk)z|qwzG4`05FxgcH)<me>S<XKMsFx@7#xh~
zlWN_9+K9t>(cETt{6%HNcT4t1k!=&>K`Jwf93M+T@okW+RLP?Z`O80KZN$r*jFt0k
z#1B;E4P#EmdT|w2N41;x3K)pgj{a}ulQ;eri+pjfkMs)v>IR)3FCzDt&APAdfFOt>
zE)Uad`)SzA$B4v3E<WYe`8oFVeWFA%?QYWjFLL36;j-WR><CyG{3$%t`^~bQmm&pO
zmmFja6%1FA1UZT{>EZd86i)RZr005sTr_#7;459T63%z3JIx0DHRUlp!z+glcV_Kn
z)Mo9T3N@u)XJJ&|0#wkxRZ``2NyGSIO`s3jUrAb<ToUd$$!U0Y;QgA{MJk;kx!Ve#
zJJm_&>`C#Q**s(9_~Fskuiy!uY<UTU-&CGG5Q^GMP?Mbc&UMKr@u~8E^_Kug555H0
z?=JyAc3uKyK($pbkaY3~{j$f}_w#R`O#B-q@DdELo}vhhs&Yy%OI<gom-(FLvN-ZK
zRaqHj>Z+@JMXgyMkQ2~k*#r)vl*Q5J#qQL|XG5SmUbZ8FO_t5v)eaU>DCK-SADMK1
z98jGf*PB^S$s$^n)rI9VA73ZXrD~oo2UMHe^mW$wIu+~bns0AfZiDO1umZ4%Zg73^
z{UOjEkgtEUI@@Ge!TNZ%sbB>VsE_A%T<~Udw#l%9&GKwheSZkl$JgI~1D4i&|2ONi
zO$94}Kz%&7<NU&78k-75EcFQewS4pO*Kd-RymJ>@30A;O{MOMhxI5catfyFP1C?7S
z3J8qErTOZ2>yuTri9%*t>he+3U?5S<ND*|5*d=n4SEmm=W%Vq_!pCoX_9y>yKOZw1
z6>Zr8oC78;$SllAmZ@MFsVwh}!H<oZ8h~?@Kw5aXJRmN(+_1l#$aEA_py%_7@+1_&
z!%`}r`vs;TH-d6sT(AJn*ML4Xg7Pw%V04OsPo)*4B{ak-9J2)&*YTF`k4XMBD~h(V
z6^~vUJ}lOfb;@5xd^}$g1C)u%B0T%hX=f83&n1CKBc~c9f!AY7C)OM_T4EC}#_?Nf
zJ62*iMEMU`2wn401#IQiiwt`VLqYnJN>O#_SK4N6w2@V+xJ7u$cBmrwL=|9)rRB=9
z-87#!t~Z+!cwQ#~#>AgZUq@ckqU`W%p>7<?uu@1v1ZDbegiflG+M(?lP}YRyslogm
z9a+9j3{c_y*w6mCAOG3_!)TengIX&ZpwhcIih4robFk8D<b&`;Htfl%Yo7cieMPv)
zh>q!kplPQqj#A;dVByI?ZB+bPSp8BvrowZ<GDYfuQ4?)lmI}`WcQ_;T7*35*RzsCu
zjI1SpGR*u2<CK~&Lxtxn#)RF~(tPi@#;Vf0hjxb=L-@M{IWv~b2wYKkzGgsMr8r#w
zk`-LGKwHswYuWB}cA6t!^RVD_NcwpjME1=Ws^GGSqqS$~xaMz=&puh*iV9Ud>0yD#
z$Sd>y?eB&4%pgow`4%-Bu6Rc;U*^5*LM1Ft@qp~%lnncjBZ;i>FIzb-h7yPi+|Pi2
zN>D>qzB5|(LliALRWaMEcLl}#8UOT$zv9JLmuzFdCt3)M`Z^kGPgEKe;b8W3G*+JI
zP)B3-L`(l6@*c>gWRI+FeZ<UOWfcTx0cwY}VC9LTv?!?-;3zwNoY@lvAXCTtp`#J}
zP4$YECwka19R;s(-P6!05n}OK!7QMXD+BA8>p2d=p&C$iQ<5SVb!IS2xX!x=t(R2f
zy<i)_$8&K)eNj)54CDzzDa9wAHUAfT;#1zw&#|ZP6D3BnfvHRM44_oBnJ}usU}m+n
z{-YY8(>Blc>m_eJJS#wB+dG=OM9+4BkxBrBaAZXb8i4@TOi%LJ9!5;89ayNFkO7kE
zQ9dj78?{}-ex>V7QPtTNLoac5iGjM^tm$Ds!=y$e>*+i!ki=`RGd;~`)}M<C9-y>s
zT&2#kIgi^F(XL38KvGiT@!dzQGH;z4yz-DXnY%3E2D*Moae!N9eoPN3lXq@VFVEv$
z_DJ!}{@+z);yA7}lC2Zc#fXWNydfozB(s{bO`Y@}Vu~tTGtvZt!7<3Vq!UW^ET2#w
zorFH+b_5|v2G-d^WN@mOYAjob>&@A!71C>1KeA;=|5e#0*-q!@TOFJ2$RHg7l$R6J
z6JH0}sye-g>}AzTE9tECgE#3dO$WyBhio6RXZeKkLZuUOJ1)4BEksJI`u=0tLYZll
z&W~(im2E}(uloIDJI>#XOwz}z#FUa+jeHvRA{7Zv9OQR)m{Ekx;5s&$xWui5sa$Yx
zcQdEvkX1~ZmCsT#Zr7EX>n_;Wz{9LxY5&m;K;6o*p8mV+(9-?GLkar2GuZYs)RB7s
zoiCpG>6&gK9#(c$&8oam83tN@HEzA|?D>NyrJ+f_8i8!`l`b6{y3jTz`2v&gN={XF
zf3ciG=u3T;3-0@AxwOIW_`~Wg>Yn4WLk)eWhw>J^?C%OQBX9exk9qd7pv>NDaEFQ~
zD*?K-<X=MZ_`dEe*J-<~O~?gzM>O-OFSL~*g-2flf^=J45NX|oIyfNO(jYxnq}Nge
zr69>uqv)!+mJp=V;)2$Jv!Qc^(GWBpAxJ~xg2-|JevTD)SX%wH5Tr(R!QBoMsWltB
zI=0tH2fPTPB5!HirJw-wsU<Vwl1K;f+)M>yhIMn1k{NNy?VkK&B6&3K5!=OTbiO&q
zl{25L_FYNpMqd*JTaFcBpupQy|3u<dx+DS)<4A#X+x|x4Rl1~VbgsEuS@J(!XLW-X
z8z!3M?3N5mlKBoHK;oQKdVstG&2{Em1X5XR&_Z2U{ZC)>1Ya>)q||r3Jb@$rmj*2E
zBf5teu2~W~HFd5_-vsr!ezSvyKIS}HDhF0w-g<aP`WIk3Xt`W?gtZ<4;7i6hd<S^+
zr!^qM*Q@f`Xh5!frx6+w_<B{PmcDG|J3*lGU1U3a7y6#LQcPd=6yH;j4{15^l#1^I
zv;#cR)AQ-}ERW<>Xp!Ff{PQ7I8QcDJJ<Xr%g*dP`T~E=xJgvsx`_Vg}|CY$7D?G#V
z=?2!|d<9nV06&4UYhfMKOGQvqA`sj_iQs+D*cVz27YtnX3Iqp@AgzWA4tAkZDHXw?
zE>!9y7aZ(D<+J62gI%b!8ZJ24g<6Beywup+hRR3H*Bov`rPU~sgKen!?mSEzs_HWv
zn@FuAy0LuF-H{jP4*yRTR*AP=-JWk=o~@|!z~Ivif;btWP<8x;D^#1CXwNdt6@FbO
zm_WD)VHl6o)vc{Jq7SdEZl^8Zr*41dqyPE8|3?C^p=QDH8fGMzc;T2e__aV0v_4zq
z1}*@D5hs0(0pX2%m7R1OY$usbq$6uTta8#z3UmRWMI%`GfCs2D`hYud;SM_K8zd>!
zN#9vI-5oof$?o6!xLjG|{cdNqSQi}i09`w&stGSJIdCgWC)!`nX1kIqnk>A(ysKw-
zQGi)4j*d@x|7Gjwh1X7<J8|t_ycY9Fo64mdfoO;cP8z6o=@6`4X{3!koHwOorY+y6
zW4`KR4&U@&U7wCw?MVZIQU?$VZP;Gvm?4djbqx5p324BIK$*2#E9)3U!_<R}Gaa|)
z8sSZE%wZ-bZ?HiCg6I#>l3fT^QRV>+bRq~pwvF0zBB1bu!J#$WTC7+l0<J@C6;+~Y
zpeFjJ%FutW0m-3Y%Hdhl=po)*CnEMw?soNYdNhmnuG}Z1OZV%qHaMNz;2)7T_-QKU
z%3jj6<@+?)5B{F_{mqXX=R`D+7da7INEW>$hY<zH3#e7~X##LW4d#LY@`B=NSEL4W
z!2<FE)uGJ69R+#qk$h9XD^5)f<|_us%i6H=iqv2(7$7esa8-lFiqv2(Sc=4CS=0zS
z1Vw|nWPrRtvq*Py0>}%$cng>72YJDRg=(h5na-Z?PJq0?&eD<0w<kbeC@0sqXR?DB
zdeB>;yaxnrP^!ejLDcOT<kh4Q5zyT(7gCdvoGB6Ce*a62k1(h6Zj1ba2BFkMtq|ui
z%1nuP@2T^*-1F*YYus+@7V*3v()=Xfd-2D=KC;$oT0Cp*c5ONed<{k`nU=U-V*GDy
ztg;0PPof7do*jhnBzoZD3~~G#izm?s7tantnDZXYc6JcLn)g7qvx5L^wX=A6(3DIx
z0XBY-m;s@%L|mj{*peipX_wqC$*hJz3{jIXr&X>5v(`ltC^IR^f@c{)Uj3U3g04tv
zO#w|HD>P2GlKJ+4`BFzdp^$1-QNPZ~4uabJ$RO!<)DcTWlspN~XnO%skI4z|B;3bW
zrU#UE(W+TA$8?uvkEY1TC6ue5Vc;2W#jJDgZoF2~WTd#$8;=w>K=!GB5$#B*cb=Z&
zwkP|h(ofTtZ|xf^il6i1FMsxUMRC37tICR^658iNVXj%lM0UK}4BoOJUw$uxrHW#g
zB!arx1-DQRNSZ@O?bd?a$Sd+r6+zG-sxkvVMO&k+DvH_-i50gbj;yb-swhG^3&?f{
zZrz%c=}m8>ZuV0fX(M@UaqebC3EqmNuuw6XhVGyC_YoY5OPV=^<obZd$oeWP+KJs}
zMbrlAYDqHLNankPwkiHZq{rB=>w52Gjazh5{XukM>a@u^uB%|}Up%#CXbm2t>%8>j
zwrv)yc+4a~LW^TUTu4V_LW^q2EYPAzcUS5PfS=oKS(M>%alvd^teWwmKWm5vw<7@$
zI;d*IJuxX&kLL)-{ajHrcn{U>W54q5vo8(U-<C~XPq-_rThJ>zx_Dq*08=$+?)XOA
zE`$BK;E{8{MG#Gds!l?X>edCp?_of~74l2Xid45Q2v8sr=sYzvgeu2ak?Ph3w@Wm=
zP?sD5`)dWMZj0bzqttc){YiN=e;KOVBDjJZDl4M>fT^V{NOkLiC>yXr9yn#7)U?gf
zb`hc{SabPV>AoWOq>@Whw@Fe8OD0*W9B8PJ^khD{s9Qg~^@`){pl)d|Q=3U_O^z}Z
zb$dY9Q~RT9Gl@%rwn%C$V7M`2^mLuo{n_o{Rl^dydtF^;?+rpMV&I;%X1+r<J&xaK
zBMnd0n)w#dzKN+hLQ+jlS~K4x>%GTyZiqC`H*?g~6gvPWr1)HyS=`=;71E9D*W16C
zfr;)Bmm!)Zo2WR=sU;XW6Z<{oO5$?PL94D;vA-y%vVZ8OZjS|cHC>k<k86Pm&MhVn
z)nZb&NId>}rL)nO5j_$3b%DK*wkV)lAWU#aVJMk^@?*};)zwY8{PyCFEZ^tyzweD-
z@SndoD$W`^xDB#MBIwuQ2y_ztbP!w+zZyB$_@;w&4R3m`9prc)(-hbr4#6cfP9vDp
zG1i2~7J7L9jbIAS9>d<9*dRm`hjzuBjwyuhTeQomowUn`#mMQHAaqAHn^rA<nVgOR
z50*BG9y`?yvqAp*0KkYrTnJ!}E6j_mmK@cDsxJ)2ryEOEG`eBLuDa0==L@Y8x~Z3i
zT^0!vtlP<I47l0zeCaXhH~p7z)5S?io8r_vaPKZ06X>+lm6$!nBU<LlMdxYD_bIWz
z{b&Bn_y7LLDQicqL-VY^77n7%?nUp3Y7*P!j<hvs$LwD8Gqi%@NV}j<rP%M5ogu&C
zsJUQaNZKr|<0MWkewqnxp&+2d0L_EfuNBo06gSNT3#TFaoiU)6x+w(3OEbY3@_Sr?
z52O|pC(TzZlWsBLj%qQlsE)KRSti}0H&FFroLll1wh1UXClKT7?9s-fHS{FOoU%(E
zHY6OSBz}VWH=nE+RcS^3H|e;`3&h#+Yt&=j#m~*|wEV4be+;O{ne+OzEQZ8eNj=KD
z8tPFP7Sp7>^ePL?85xgo2$S&i!Fl%B-;^euwtSx^{i;v>`VT%HnpAN>u1O6QgnSpd
zMB%*Q9}H|`yG)b1AW1U>4c*r_NKNX3gMEYi`CV|ZZ;+bQ1%Vc1^PC_RjYrCM;Tow)
zU2w3$keajz4mB83le*w=e<4#6E;-y^NR#f8!~KQ16LGk|kdA<_`7nKZ>SeY)CHk+I
zD_h;~aktmp8ojDQr#9_PQPxvr<m~4)8gfAM&iUVK%>PQy$W`VE*b{wI>U7%jed=`a
z)5i~cZgd!n=3_bk5wj5CdF84WUsx{#1?zgx=oG3`7u>B5AeUi2b`Q@}d^y_%<wteu
zf;MV|<kXu^FCW#Z3-0JgiaPD7KvA6*L2WQ*sY=Oc@|U4Hb-_JSkvipMx#`r&s7_sQ
zhm?b!S`wr6&r=oDb{L>3OJbh2P|4+UR|Pe+HZxCw;@7sFQaS2w7FSReRTG1&{s<sq
z@)Ae%-W2ULMMf{n5{<T@<5%?B_LiQsEgRYrN|@&uVqRkSxXf+3S_I2QFA0)T(QD@U
ztb%j-dBWYiBG0G2AEzq4OPNB1@I;ADKr{jj+WqGQ5SM93f^?+rXzr)$c*P?Pr`@8~
zaLh<UdG4NjaQ-E4zW?Og?!EZz+h33|D*|1;^Bn&9ZG|+aM~V+J%d2<Z{gJ=23gfHk
zr8c#VnyLW^F~6$?5a!f&00>7~5ehD_ufu@Gw<LoLJ8VzmK$Y4)0x2R{;~wmOmD)y<
zT&t!%(EXg+9z^a}sqMnf+DUCsGL&j$F3HIx+h_!Z*}jEEl23UTKR3J6TDOvo@%mdE
z8=yl9?=x<4nU|pEGMh(9d?oYP1`)`hR+Mi7nn;voEr_BuuY%FYCzQ-#Iw7}H`lH39
zoJymO>qWgdzA$J&uzpa!2`@*w{)VSRJhOB;^7FWP1O$(9u)Q-#zu?zR^*AKDZ!?uW
z8&5*ydvvZ4kxkIdpevhDGNncITR!67%*OL(CXjvOC%yJF{z24*H3aS^0;jSaEH|j6
zFk?8zb`acUE9<(m=-VP!+hU!7lmpB`4znE?&Jp#l11eq;3&)C7guWsgmaP+ct7DBa
zfmluiLRIn0APKwyC0ny%P6QqS>jdHmf|xJXo?0STKR1fkBn2bc)}cemAo|fI0f1E#
zkNWzJP%R`wI&PFTynLx}@#<XExjMJY?O)jCIc%k^%yzkPL<9+w3^Co`w0S*Yt3Ajg
zYW>PZ<Y~*dR)gWfyN}=VSH_FT3%E6^$P^NptEBwByr3C$>0?D?WkH7`8!aNUE=!F!
zT14KXBn-7f4WatUuF6UuevO4L9Yy30aWpla3+@5ilXMx1v?E32mC-&NS1ZN;#Hp(y
z@^*zB9WN4ulGa3&B<b?`$qV~CipX6WZh3^ggpG2vpli@}w5q@OXt1K1%mS4%b#WxQ
zrwZsKZim6Jg>j8u$S!B>98jpI!!B85N<b86qx5iAZ!RLwMdYWnJz4y3d;dlhb)P1E
z^IyOJo37D!eCe37D&J8%9nHQpMP$yzP?vDa2^0j+gka@69u5jZ2UBZ@Ah+@z@$<tY
z6yLGK-BbCFgA6*2?|8#BU03rRU6HBgL%Waaxqk4q3;W;DaPi6a`QO+RpY$C4zN6)I
zU|JkwJ^PN2#Avf=&fQDkJ8pmL5B>UAkENP-4j05^uEEh}=rlwf7@po?yEiIp&wnX_
zw`Y*i62#GF;Oxf?kQSkwQ_TU6UIVNw`YBe-spbNX#^_#CWFeSS%>j-kcBfe}r<!*Q
zHX=fifkwwBp2Uhd)ohKEELsB`-mEAZ&%ZV@jo{d3c^mDbEhbzvo=YOP?~U^08>e3O
zlTY>tMQ2czsLH5YPvYMPy+BiK7v|joPd%!eV$SwW4iszC*R#qiX>7JeUU#^hK!uE^
z{aL(=u1U0EI;e0$hLk$a4<GT+I_hvvV$VtJ2hThO8X`LHAIe-azx>tz`@8<cSc5GL
z9kL|saz%nz8{wmmQBpeAm}x|BnB~1Zi0__TBPBUzf^&oIwKdqH@rsFYnEEBqaWLTd
zH-}e_G?D%2XcNY;6F5!B^?uLfPbHaej}e4o&FgEh9d3q|`l~-2I*1zA*=dGFvzTPv
zOcL{NIfIR@&6{p(GhL_h-L)eFl8c3OPsDdW&CO9i#j;-E+l<25^f+gQ?{Bd!K9IXU
z_7D9Hu)1?9&#6lHHP_0XbH}`iFK7Rh&&x|nO}6d@`ClnJqoy4-?s<;eX>;6O*-f@z
z_l~!G_}7euhlseyt&CxBJpdzWIuB}sp$zcdHtI`mC8I*5!6iKGQkdrO&@3Bbw1qbP
zN0_7oR{hk5#ibxFvWlm)tHK3Qfk2}h)BDE_`Y>|f)il{2);iw_jlk9-=3cS#>iCKn
za>;@(PK^R+d+I7Y+#dEiHwhy_Ep--4R^j1cwdd4OSOPFD)FJ1n-lWP1b&@Dav)U{|
z{NVqo{>dC;_Al2(Ll9Z6_~a+|zp<z96Qu_A;hYe=&gc*zr}Tou+MraOdd$$ShL*Ei
z>(`y*!#O^DWyFWC`io!w)Hp(Txd-o(*yRy~@NvhwyBP2ewRpD6Xiq`8o%!7ZfxWeH
ztn9LKKS2<DciNL3mMo`jk>_MtiAmO_wXBC=PTTImk|Ztx61{6}2M@uVwnam>cHN-k
z(m+ljnA5hH$&R^VVwb@vSACgDHdJljts{hK;pEg8H&tr);Gs>i&IsW*Ak3W+!gG5~
z501Dyr?_r3%Iw@85RK%&TZF>gUUNO|HGlGd{GZo<V+<jDTrOys2-6**3Rt2)K?HlI
z8`F4N?H7a9KyN65tL}7T8c!EI98vsZaEDn%9R>gzPZtCi)e<%l;9J;EHOIEAL3x0q
znxupxXy~>O%qR~Oa%>hca3N~9L$E@5EKuR4@!W&AwFQ{eC=ZNL62lEp->E}()y`dl
zZKENS5U^Op^SYF2!RfixKhec1;<{)b^!KuWk|*&0t}3G!Q^Ph|1M7wthIZzSdK7r0
z?AagD)15lygfgfA)ut`qngK$7fA%Ba{d1!#Y&zS?(17+@g$)d7uT<C&iAklx0K!1%
zF-3)~sgFfa=shn3stuBuWED2dVUpQSu7htx-~T3BcxV+?RNuu`akp1hPp;nlZ{Iqz
z3WM#vyc>`WeifxQhJqucPThn84v%g|=?_e83PgmbO{o!jHzAuquGB9$O~<?hD(sKE
z?pJ^Ba;&sa#;IJ}ytTQ>8IywUzi{7j2$NYkMIpF$;NM0=<Xt_xMOr<txyzYM^hqyZ
z8b_s9WIHK>N4d+Ho+`9lf`?IydBTmX!)5Puk~us4RJUtR|Ig|FS4R5(ul&F#z4(RK
zH>-3*g6d1^1UdabSm@8`|G`3kmHyuyNX0J&g-+!mr~grG2Vo;}B4}|oIF&1zx-5S<
zqQP1!<ESXKb%LD!KkBze3H|6~xv^qZmLCKeRAu==lz~ti+bPfmJ^(Wic$iBfYXq3O
zl=?XaqOQ3JAE-+j{V#tbu$@D)8ibbb&vppAAd_FpnwNtYMvEkB?z`C=jU%RyH`8^l
ziqX=u-E2=z_(F7j68^w4Jh>s~(9EHM>peJEUQK8KxH!A(6m+*DwBvY09VZRG!RWBn
zwgHgsvil;F#&TH17d+gT6|T1epn-R`a(^IDxj(WU#|yGBZSo!7Mp%R(3uJjGk2SF8
zdv-AjN7bEY?!WWJ!cK*apszCKszR*RQ~sD~RA4en{#HsqPg|X=OEJfc9_vL0WEcLr
za_ln?&R<L%b`Q?eeUN>=E;iCGrLY;kMa#u>4zl0<{=fXGKN{h=rV&~i6@cj$0$tu=
zF1Ui_EZ=|v1oIcR#W_rv5CuEG+wZNHDg>(x<6(#HEz}iI<nK_F<P0NdN5~Y)X#ikM
z7PA;D<_sec?+QkSlIU=mQ1$pV0<ysR3`-ho8Lx3!h7phkjA0T%60guA$EkCM5&dNZ
zMq<Sk=TX&yRgt71|3IT6ldbZSbt~+4MH~dyTw<1LyC#D#|32snI10XDzuI+6H2LnJ
z)l9MK7-ni{LjOXOjeN-uq)7imj!)TNQZwtw=aoL&mI`g2r^nYiy9L}%cAPJB+T<&n
z%(^%or<-+qY%kHoUW4ysJLk;7?PU(8-J-VWHDe#H2mA2&Cx7Bwe<IAf^1M2=s1sM)
zj27~GuLW0->8NQqTo7zEoF4@i?#%|H|HKuC{aQS+fc@wD?t(@tM7;XakpH#|g46^q
zi0Q8wT*wtM^iXG9g&=i=3u0~|kqQ7wEY)V^You8(f?E#KrQibG+08W)`{06@l||wT
z3Lb5ac$}JM-338ogmybUwYVPn)MD0M5|n6c?ZT3)rS!vBk}L-m$@n_(E}E;9%z;bN
z)zVA|2JL9qpY6g=DVc*JiF1mpfV+d4W%=%mn)Q;x*Wi1EPN*1vuL49soZNw_kPAI!
zUzwm;Hm!{gDm`o;9qJnSql3ymJm1zV<oG6Hca!dafyJ0j`)L`2mgV(&OuIeRvuS^H
zBlc;xXg2LPLwx$ZKlR1G<tw6RTyI8e+O4yWrrl>q+Fi4V-L}BsBD0nuh`BtinaQRd
zap)2V9$IX~_LY^XO?w&hyv1DTcExPk!&x}4Em;uPm`yt_ZKJ0K=D%Grn|1&%$&iX`
zT&+9PLb7QmL{SYk!t9P2CML*#A29k6xCGOV!O^XwA`!bwBJH4YIK3%N%g5KLOgp+F
zb?O|5VKIIx8|=okm*T~CcNE&y%CX3|2W1njhrqirl7Mvf?0OX%o84-&=zODmgSJNi
zPxZSm&_dB%XTC#<V8yQl@*d%^t*$fQA`HV;wjF$<?(doJ5lD!DVdXE6G)?;}2}8I4
z^2S@ldxTZ1>zw2OsoxNoE!~d7g>FZWxo+D8(~EX$itf$blg0mVw~2pM%<C1lWz31P
zMSh(kIHd_hkLsJN(&tabzcrpwH|f!qCbZO$kPXrtm~#MaEdjNNlWGZQzu4zm1ZoL~
zsusaVDxZ+sk!3*ZH;I&jDQAD$0A|iq&JeHF@r-5DfOzQ$=74y~cAQ_D$<t;H@xreK
z6?J;j!yfljJ)@~8)oIJOR-Gu-XFu(U&v-s&@@dV*EmBk%!Aecte8kN9wx^6gkPF7Z
zi#2E^@HXdOZ>qc^2pSDUNVqW@4o<BFsqtJe%GBWEt~<c$?(qT|J1*DA5!WkH-<1{D
z7;r`1v|TMlFqdHYEV>{jJ+o^7g3H5R4UO%B9j3N(!5y-^%0h<0Pt<n#TUTXjl)E|U
zihbs5qnnK-t1|U=Ng6juZZV)r8Ap<20`P#RV}q;Xn#Z+~-6qL=cPwy+B<x~MSO(jb
zZx0*~jP~N1NFLNTd{ir|Dtq{na?zg^<A<m|Jw;!vDtm5wwzS8gUG0lHJ*I7hGDD$s
z7*__{h*EPp0NNAUF|?N$&#XP^@FaC5V6JpLYOl9iiAErf_bIeDAhFfBkriL=eEr;x
z>la`{+T@FyQqHJT@2;SI?R~f@?K^GxKJEM3-}2)h_o_(sDJ!m5@N{d*>=~P2(dJYd
zer%V;14U5TzTrzjt7_3J1o?WqU>WcXK^t2Tf^vh5^m+%Vj%XohIOBi}LCG1IAhbC<
zh*0uE!;>nE5R{u}f>5b@(JXs#FR2a+LGcV+5OIU9h`eRJ;;0G=)v*XS>|DtelLC3v
zM-X(}JXbLGH$zqyXO-HJ-lU{9#I~f@4%)sNs{{Nhlzpffu?Dq#^P1Lr4V)5{lPK?T
zKAsOtdaoOV4fA)ElPHLie0=RBE^)mCq@lF;#M%1J8vwW&M(E&x>io6V)L$n6D{vuE
zqm>77d&h<Z`BTavL1piBysRC5stTH`Ubk2Ens$p?H9l9puBYnt3!nbJr~kFU%PRYv
z2O`czw*w{<Sq-oxE)E+l6xl8}g-+OaU}*}1$c$O7CIrP4I%A%vo#2{V{via#6uRIM
z%uli+a$oJK#T5Fff#i_P3rbZ2j|sj!rLpoaV^)~NdTWa>;?!aaZAD;2K&OE~gez(`
zB?PJ1e8qw;g?n$cz7Ui^#3lDSGYLz=z-w%iB&7+;zqj%s!--tM5F@zBUq@17zUKC@
z({f+$ni5CbnwDl{Jh`z%QaXFSJxK9suy(*G-Okzb{Xx3R>8d@2=W9;#7m{CaY9&pB
zjvLAvg%c2;H2&$!7U`upBcW}LP2ufvC)%lh(%+K(19?6piK0d^8DwOUs;rAN(j|i~
z+u;x(uYx!wjwe4dD$(JPbkbCOp3?D%ld7x_;-FLF4gh4UxQl7B%ApxS(6;?R^7Dj4
zAvv+rvqts+&rZXmTTh#Ii)N?cX6k8Q_bEU8)juCJA?m@k@$=y&9INFKIY>gRBi`c(
z&0g9TBKCp#TRDC%1FQPxZ;95i_<46&Yq4fe{z*wn#;L_=FhQF=OgCgNCN@tUQ>Vd0
z@-?ZKCCCS8rdd*BC_gzgv*aW(R;xMrs4-UKHl9CJ<F=9jUrZyTe*Z6QrF_ygkuWTo
z0dL0FRr*UgzL@`Q7VVsra(y!KZ<H7wlr_&0JS|}<XsYl7G;a}xS4JOP!SL#He5&D{
zTCTAdab)?{Xs}$f__FW)qhA(LlGc4ZO7fa2!UUxE5&UdXDrX)rS9Iw&6+r|H$Se|R
zu)|Q8T99tI3j#jCA>jlNEM)3mUXfn73)+YmtcW5D>4URiu1|wJn7skw<Dt~Rnu)D}
zNRA56S46=bQIwn-oaW7nRCq2J0D>iMcq2%K=Yrb}+ZLe4@bg%J7N@STf!jSYOe%@$
zbhD%=JYN%&Wl^1Ctq2fC4bjq?EY}ptBj&ZL<l4F<lVrX-NWKyq$Tg4Bnvj1>dC<$6
zh!Ew+#Mnb<==xU|sFzD39OguNq==UrU?UpIe1kZf-Jq6RDFMaVM^!l0oGRAS3-hjQ
zV~y#7l_~QKBFxLhk{EiZvKW68+FAbTq7SARGJT)8Zqn*K;Z&3E)GAMkZX`XKqocNr
zGdC1Q83+m4^xlKe7{4xhaYSYBI-B@usasC&KD0MxL`TnU3ez2XjAy^zA@0*|QENu$
zgzfbtY#;moKI-0Aj>TTPB`AKScDVzH0`?{c7m^aRhyg7p#On1AAg*ecC=0^5fnd*E
z;at0fLLjgoCCQ^V<wpP{30n_V7AxeEMerz4xqOXP?6umh#2wi8j9t#L7r-s(ppZam
zb(Pws7#X!jO)fI+8LwWpwy)22=~?<lp_qX@Zw=)6t~*MgZ{_&6#y&b+!v6xkKUXgY
z`2}vQdU?CW%$pC7e&^%=<h6ew@D$p|=J_D&Y6JGlXk&L!AqrBnT~<VL!F{2PU2Qr|
z#MDVHSZHJ6hr?y3mWvgslUy)pW4EX~HG<ShE*P}23m>x{D^e#F!9p9m08Fh}kvho*
zp$=J{VWaaF!9snF43At87(b(p1@1&qBynm9k3!OEV?oodkUN(g4G~oDbhA97HK;Jk
z2h~F}ZEV3hz@e#16E}>t=s?nhEF=XlV~{jlZ!XQyAwQ)wBsIpA;SsMPGCa4-xj5r7
zZV!)Px@05*o89~A5!fl@BA@b?KkWVQ4O6PI*2^)|IG7i#GL1ud!IW`8yVXEiFlQQv
zvx2!*3E1s{tYGd!Hi9>1@N^nW<mCY3&Y4DYUnmKLIxK!jj+$p$U^Tp#XYj6Kq1%u|
z&w=ubedwm+ruG=OD@XXz_leTODZ2(BsYvc*^(3vDQg#aM4g>=V6DL6$AKJ{eDZ^8d
z-vZ%`>nEb)6a<DOq?4JJP~6QlQg*4FfE*ouUC@Mk$_~FJ&>C}&?%DHuLQ4hBAoNr8
zw(TRBs7kynEMSF3s-^*Z)EL6%9Nqa_?$tD4x5yCocb1>^DStY0bQnm9!eEJqWeX5V
z2P}f;Uz$o0z3MKHhg}e?7MglnU`(clkVR19VHZTT5Y;d+gdu{oz_18PJZyp>@xVA`
zmZL!6sfdC&wZy}|;sPB>no&E-0Oov+5)ZrJ1|={Rq&&T2lq5~th1>3)wr$(CZQIkf
zZA{zKwtL#PZQHiZQ_uUIbN)nTtjf%lt5#)3McjK|TP%gKB}*G{KJPXB`g*Mpfh!5+
zUF|P?CULpjEJ0n|3wyq?Xm+#ujSOtS506d__5eJM4Tpt&Xj?Be8vDeHG18jkM(Xy;
z6J+g4o{;7FdLgE;OVWs)dU!y_v$=%)3@|AcHy>oo`Z@pTaRZspoH`AAT>f?qf1tL_
zx9y9Nl(ZMa4aq}=#~t+{D{5)hAC6S!tVN63#})Ik2Z|}lAerv~caYiZRR>cEKqfL8
zf(PW=mHSvXdO>dk9c%<P7T6Q4P)fBEr^j!rk0>Of&3lKpMORkhredaUR*#MLuWVkg
z%!YjO8}i%L-3E<PC0_91ND)PdZ%?}(Zv=bl*qAKslr@xiB>9oJTZ@q!S|$hGyXRKN
zt5vZtp)ENJp)0Dk&?uvMPzna+cvz_x&ilrLbtOkkN>vwm=lx=t_ZIhBF+uDwZH*S6
z&ZVA%iU?s~qWKzu_P(CrOJquM8^dt-UdQglvUT9I2tLR*cs(|N$${>|%87NcR#_hq
z3~$7B!nV-`dLIQMo?T3<3XG@FDHi_IC{PK0pLJ#PJGs9@utoiMGVIya^1sIfB%CcM
z==EN(B=<T{ZxwcDZu!0*w#qzjj1b`EpfyX$?IHR1frr%c?67_PO7vlRte!aHt}p7X
zu7Cx@#TY4O9yr4o_7`JtxAJ3V>(aihC=$ccD-7k3nru9{yL0`3qxJ($%dly(fi6Mh
z8b0smb4K`$&8PzqT(Y;5tV8`Wqw5kml1cC1T$n7+ci7=nn9p+tbvXU}>-Quj)xo}5
z*xd3u-AzTXcm^*SrR@IBm6YH?E|mVn!%DMCPexjj6Xt8``!hJ9J@$Dlt@~a^>V)b=
zXt!uIuN~)?h((ExeFI^row{|9l{rz$9baM5LZk6je2bB2&PrA-tB6_RLWVbDwxNb<
z$?Mhms#LAIzhD%TLlp&sQq}3_^Iqb0fl=pawfc4(<psrR$@9(!7F#*FL?nQB2re>N
zD)iVet%mo?+hZ!VBi39b45VW+UZK}m`M%00?eMwZca8_A$cvTr#*?hRF~*wO=-F0^
zbL#8JL<>9rCv;LGO?;IV@0^_5T$tg1S&UNPb1(fSbNX}4Z8tdB#BLFLTuqVT{j~EM
za?;Hw=By0$+@Ab0cu%1806dSAU8yYw4dgx?3n`?IK(AB4CE-=WR41;!8Hj`dK}XU>
zmf_rY=GzgFIvSZ-97uM6O_tGsW;wjXOQ6C2Ko3R+ZWC18ms(kS)$-S38eAzlDG@Uu
z8~O5>p>Qrs3jYO077(oA+`zVInbmQ=!55?fu0fTk&L@+IJhhh5cOXW*d0e_^F--=O
zfKlrCpOhf)y>;m#+3xiH*@D&F+SqJH9rzjZw_**~T9o2X9!_a_x`8WjS^I$zmB~}%
zh6Lm(GerPF1XwNq8p-qNInhedk&g37{6$pHg>#M%yD&ZY@Ae9K($_^Ilj14J_G}_C
z@o^Q5*GL=BAsqPXtpjl<L9O_Tb#Ta$zrR8Rj|CDe-3+nQ1)*de97xmtw;{$<Yce=+
zbRfhOp-;udhZ?rZmeC&(tW^h>W>h{C*uu1DQ*KL*G!*KQ5a90<q4<eHv^v)GK;=Zg
z6yjGqn1cGm3j7&QBmAE1x%L0*Tk%=^rw<M>A7I%?#;`9Ced@5GN@JBJTM<JyWHd9B
z`<;lfuDL<1P45XuZ0{rnj>b?z4ous(S&9CgD5Gzvxu|qt$b6H<ePF6=TRBmeCZk`@
zzAUz_N>;OFoG%+pNw&s_Hd9YRhs^<WrgUUN{{GuilthX-wUc?m7{i-{s4}IrfO9##
ztK5DjOl4W<Z#ZXt@O=684D`ZcTCx}kYhG+ye5if0{VZb+#ZJDm!^P0Up$=>GN%^%B
zRcm(aiBb>AI#jxVntdJxN!Dg&gp#hK^`!_bz4d*w<r%+4oc?@yC5hLfh=`B2o%oTq
zY!xi?J#iCrKp`MN1Ptpy$~01VAxHaHZ@<epr(^UbP!1g)0`pjf05%9vA=$H(ox}~W
z#2-Y*W4t6nC@mBJcq!rk6x0W=e=`A?1&iOaz{KzS+ieq`B$7%}KH$#@W+(!5CTU4)
z5+y04+<Uv1xLY~StXJqwL{C{l-8r!Lai0VBTi?nq?59u=?y)w6pwjs$C(D@lP^hmK
zDGP(ORYo3p_4`N%nrVbXKp1u9o{KOsH84SC8E8sS#-HdkeOmF&E8u~7q5lTvsjy#{
zwjxy)9@`Bad7AUCm35_|=I`4LrDSlmV(sMR<j#V^(F8ZW&bv&#A4qW>V<Qi}V!{w~
zNt2k?X^~FQPe#e+aLTnTTOFoYGse=jm`WNCK?ypuD%hDxoUROV)CG3?osfr^T36k)
zsjLq4s(gU!lZ-pX=tF8`L-xy|B#z#nvobtD+X)piG)PjyYB!<bomHlcxo`s)s%rQq
zOH0U0_6-0dn>IYT$<2?1z(e~D_JUWiNGqnxYlqcj%@<(LxpjBfrmFHuHjbE+i$2D5
za5(^fN*m$v5e!tA4?khl#zl46Yqcr^^82rxSu|S1GLPVlu~-G=u3rYABZDnnI5#_4
zh+Sck@KA;mD%@IIkU$w7byrkwjs1i3PR4(xT{?`~UGNk0^v<sYorj_!!Y2pffqut|
z8m`S!HkQB41+*7msHx%X*Q-)R3{`ck25E|r^PubY79!ZC3Md6sl|tnm8DMn3yYva)
z<S?{G5Mqasv74MgflO^t#Oxs_r7bF>2uTL)#W8WEF;13<BEHh)g9wBqFK;P)2F87e
zC<)Eq_?G_*<0o=qU;0)qZe-jL#vX{39Dr@J60^jE->{`wt!ZS;cN<j;mMKN-0KJ8(
ziY!n0`{qJu9iQKlZ;aY*`@*j*<-1;`tR2pFb~h;0WZWYl0I^OZQ>}EZAyvz)CU98h
zrSf|L=u*_=G)KH=Odw}vQZ~kK?%>^rk#9Ak<$(0$c1G9sZO2S$?a}wUMS=V~Mp+Ln
zyfP>G9{sk{)L4BUGpJz#TV&o<%A~3A>lS^cQI=;{R0GG+)LtcG$}?_b!aJ=1%Q05x
z#&z0TS7H0Wdi%k%Cm9nSE&Ip;cEGByGOv}oj1`t$BMP07e$FJUaGj2ERS7JCYED%M
zN_wde4ESF8U(i@BQ1t?DcpfUA>}^1KETih6EzsIFl=M^|*vn~sLgxs){{~v|p<$2&
z7?AW#`!)Q(<j7!{3Ui>_b!-wq-g8vXNvVEi3>Nw%h86L_gz6|4z`|LADFdQn5PT;d
zLnRlB-A1nd2X78)(Omjz$H_|MGX0Zf0sGmgm3;4b?k7wtTA_R9|FD|p8Ok254OB-C
z?DB;^q?qtm3HWmh&cBSfeYcxX(iA$x8mO}UqU4P@KQ~vtc7AL&ldG2{n&M4L8f7hq
zT@tVpZRm!~eCw&u?xSVo&i;a8OeHs?*E1JqvQ?onXPcC`^oZ{;Os%C+Z5i`ML05BB
zfhNdNO<Qc9m1QnM%<n6E4-<fHA;W-LJIL4;-_dkG%h*d>E=*?eqktA;Uz`B$mc8Tm
z0-&(^pPNUT3=luD_%p3^W+QFnd`@5D7Z#JAEz)%Hr-dbRK9i|9_xn2cQy|skOP5EM
z;qPp%y^lCr3OpR#m=aalcN$u#+!<C)TH*Y>>JkSVQ|A1@tV;rJiX8&Zvvc68?jN%A
z08WYG(^%o>^dL-;wRi9os;x^6s6SM#c41+SB`yQy#H<IIctKb1o2~*YR&~r$gI85W
zs${$#>LAAL5b)TyX|K}9TEQ#7VRd=q3^ic$GB%<I8vO5qyy1OZ+2RS?^`3f+Wd1*z
z-y8oAj`x2Lq}%$%6CZee8_frHNBvC!Hxy8T`e1W_0BAf^XAXZkA%eWOyE{4R7S_T0
z9tlJN3cP}5j`;8W`>HP^xgWmV`}GliK5=d<oe=ZK&JFW$s_K5rKsQR9GOLd0g?{iC
z97O`D+1eeGUSd}fC}sC{!c_$>iC?%?rxCW0;kPeteT7yye@PLh->J*-|K67I=*5q*
zs;~zzvz3-yy9h<=1(FwiYuA7y<kTdzH$ZX!vFZUqQ*0o1HHWH}nhiu_Dlh(GPMmWd
zJJ<_|#Fc~iL%9xsC!kC5Iuz_N%@0Z2HN))aKyQmVg&TD^Js+)~*-bEzLZPK@+NMGi
zY}7Eal)MRp=sQX&a_>dm;shF&cf~35xl4UAL+eUdp<^xij8ItGDS1?Qm(CKwpI(W(
zRvZp2f2%%$a^wBm5RhwtpyqAks07EK489rSi)=rM-1xQLi@{?Xd2s+#xdO^T<iacq
zR~Z;f9&79mZWGLRQA&N&M(g4IyAMFKWD9WCy7d|l@_#+(Il5HokC?@_#V|2>#DLUn
z#>Ot_GL9tzc1sOG0)kjSjE^=b4+x9`AHh#zel<kJYlg5P&4w~S+UyoR0mk&;te1A8
z!o_}wc(KZj<4GIWj&C2<*bH=*<|WpYJ_{aSk!(5$8Xf~TB#iVFaj<ZZj>iMT#97s6
zE4uql&B;nm(r^}QfqQ}^m3WEvkVGQ9Bo%Lg){tSEXbPlGiV<?*ASdd2g@HlQPu9mU
zMoS;=%xGNcPwYsniyXkmtsSpxn=oWY`tO5;QT9#z0)mDAT(m4Z4xe@_XJ5wSaj3^=
zJ4s|-<485w?|c-Kuc8N(#z1_gm{mhOV$aawnFt?T9M1cfS?=EdFfJuu_)U9^fPAw{
zmQC6D=PH8NkDH%fiR5pm-%&2PeG$|z4#0zGtHhnAin(jV>5Z;^1L#>=k66v#xC>D8
z<_<#fYD9m-X#(_(v5pcoisYR7`nx*C%z5aH(M?hJgLoRXr3g?;%F@po^=^evSz33|
zi!%4C^WFq$&GqMm(eg44lVSKytYTR0eXYpxeZ(H!m}Di+&`^w1p1I`d_=9DPyWxoa
z62ML?w3Lw%#GKmHnWwfOrgp1HeIWpIISomOJ}Y~7>BOX-xK~&)rxq>ANRTD-bVog@
z;|&uoSE5tVx3=%|M2}mxxQiIG9iewiILqd!Ux|PM!@no#c@{$;mg_*$^c%%;<lsE)
zC=T<PmOuR1-8_D8Z0|tYW9Rt5l`##41Mt(oy;**HSo#!_WU(gby5hS5Uv-d9Plce&
zbR%)F{p8FmTqQ!(9iRklsOg=QnTu(N;H3t{pSiiTT)2_0xOT)nt3Ep<#z)GI*Zi{(
zkk(mY;a_wrH^FoELM7L}{ljUsvy+0+jUlE|)tMPQBHPw}funbzHWOi%ph7N^hy?s*
zX7EYW5>aU1X@!z{HR@VBg)1a+7O8cmV9jDs$bk#{==hn?wg1ZrKJfgrK6^UuVoHwL
zf^P&gJ<gu+!rE@+jyaLRR{6z_&vk&72X*4imhyQs_uNfxssWHO=8BbO{*$2aDKPz=
zw4*(l{f=*U&1)>otcyi_*CA-MjD+IPj}eWC1(650@-A{1bN_Y1$rf%1aAx~j!zKV{
z+Xk~)sR+166dPsGMc8yqZnhE5FT?WZzpBgNAfpCxiEe{-k~CYwoTG>*w;`ofENW~5
zcbZ(y;NWDS)2I_MXLziZ3?O>`u8fmn4j(1smjG>~+9FLCIfR<+^I7{oR{Mhs%>8b^
zzEcmKKq6=ms9N8?S9q?aw$=rsG5sQ~u?yJFzD!S0*dr8?%P?JcMJa;~jXV^g++=se
zcK41%z2_lg?{omAn^wu*WP5u=gVIz;r9SlJ8~ooZ`akW2GUw$g*^i6{A>WZXPt_F)
zMDylKPYV~E+r!N6)7+piNx!#6gWCL!2B|MJm^ArTDKp=xIb}~7jl*gJU6)^1F=TC}
zr{+aRFthf_<vwdGX_|wgK;g02udXlgwuKv5D6XKR)$^K=<~KH!()`Nu>^BeZ=qElJ
zxYhD|@tnZg6w&+S%?K2ADRMEFX1$v9pkUH%w<@2tGl#fA_V=|HNFS6haqj~+xkM?n
zY`nb2M>+$drNz&j+Hl8gNdz6x4X-8ES~>5T=?QN){cV=d%r3pxmizJ_zTnCp^Ut(x
z7Tk*)EP>+}*u?LgJClbTq4gaWzscn4@9Wo{iEZ4SnV$bGCHHzin?Vx2!W{c6Vc1(Z
zpCa^bBg}4Bo;i?0g7I>daw1wgBJF!{v!;xI2d)S1xq0APbIPWGHgM@^=ym_k63LCf
zl8IOLbZw)@<!~_^m~_RdZxLeb+`y@0?r#S$P!fXU_w0yk_Vx#E+Dx;z$$0qkZtOlu
zL*9PL=#6s{8vK~KH?NiK_&4xxsM3Y}?~?7i18ZivaXUG-6*oPW-90v?2aa8D-}cYu
zfFNv3k&WD%+>JAjdCH-ZdTKOCW&f)Bh%Oz}OYp(EE@uqy7EC_tOaL4v6ZQ)LhoSDL
z#Bo{(ZKHaW`+ZckiM~^bJJ!PM@qUKQe@1$%2~rz#e~;Q%8l(M#+&1=a0W`bb>u+J|
zJCEOMaLspIVSFK}$aOLH(X0ttYOWcS4TfqP=a)FmNJ7)>p@&p}l*R}>*wG$qU!wI|
zT>N+7b(SXN<3AFa{Ift!C43DXq(UMlNSM-+FPl<}ac|q8VkB5rSH?CMeiJdz0N)|B
z0;CKw!)b7{1n?uNCx(W>G&yMEZ4Bx^w3PZ4*+3DfsMV%Yxbyl&#Alz>DUP@l;V^UG
zhtmGLiQE;KiH9o|-Ekh}e$PRbfj#z8A+N{##qC98)ve^_zDqp`uNw58n^zVIdu((8
z>6T;<aNPYnONpEPD3oWHOL)q%Nd5|}T&mWw>vkD*a>T8vmu_A_IB8V{_`0wzb$fh<
zf@pkfT1e#V{N?65sYSES0ZBDT{58m!W|dp&8wO>q^CT;Xs=W%hL}`Mxxvo#hV^QPk
zQ5tD3O*Y|9>a)75R;`&NYYFS>1L^+~;U=3^o3%T;e7@J!N!;tlo3R-alM?Clg5WjD
zSKi#Ea9lct>-+IvXBUlW+u030pO;T^5z4VNoV;5T0i(Ix>tt)<MPG`~_lfTVMHlCJ
zEUYKi8XlG@?DX|$UMi7L=m(gHzId}+u)a9ETR0H|Ne9Wu|Jnihu-E!)Zdh)t{p0q-
zyd)w|lO$X>=KC!yKy&q9^95)sa3163!&Xh2HcEvR7E5|M^<drUK5Go=`qEQq`9X51
z+fkA-=-73GYzh)gcp{=|R00AOR6+-o9Y!fC2aMXmxNyz*N}$8Ry)xoX9+Gr%bs3Q5
zl@x?>d#UK5bt^ivzIm^WqhYs0ZZ89PJ@)z<PF@B>=Wo4oKDJoO6#SA)0o*y?<cDya
zV~G)o<2sgXBbD_i__EG5y8}vu-B3yD5CSBeRFhm!hi_u_XNKF|PyK!*$6W6*MA?oU
zo}WaH9=cfXYvAVpSfugsLZR-elc}c(`$LQb_iaC~4>>;(gmDY^xhEo>!?BeDNfW&}
zm&?jX6H6W$oEWs0@b#+}&;4#hj>5KwLBLc(VbU^kM3SH<L4qB5Lil&=ksp7Pp@hyU
zFnDP>pRlDOwvaVwgj}HJfgOc<1zoc^N~*DK{h^e_+6?;wtxU)c$`Ok|_k%VL{K#H0
zeP|}uLJYx`ff>`jf?7wHK$0-e$!Ovu(WHL`C_>rrw;hUnUUtyk(QBfBXVYgDxAS<P
zl@}c<x1*U%NqL5aI{*`BC`4&qL~^5TOhf>y=GimaG}vfwIKg<G>aVB^3_8O!Kgo`>
zj^6#%xy5LrXqip4hsI|sE_QtuIjl44{F`o1P+n@JAS7$T+?m)3H88O$n!=e%t;xRW
z@_XS<&MtWFQ>qQl2r}k@rNuGwfaq6aD=r`s@^)v4d^1r8RCuy2bYp@pEfTs=151_x
z7|_k^%k92>tN93fiAi^BG_93Gdq$gzsT!IdHkm~<U(k1g$=krllGDVx(sCRC?<ar-
z5$^MeYSP&>WWP#Fs*b6F+6jH&uK@@;@(|+x|3)$W*@z+ldaZ|J6Tt;?&hVyVb$Gnd
zUc>uJD{$v`aJEeXPa%X3U9RKQd<ojY-^!vsOq^J)rf4pGg>c@^x#gp-gT>}a*pqS5
zHVZEAou8P@^K_0~Ruu-dI@1cWmBSJM^2uus_)l+5huq-$DCA_=rwFrnF5KIH1&6@a
z!5e(Tm5yp2asU0DuP*<umHv1d^+^*KkyxUFWOBG=#?+vd89{(f9)q_(t^d;Mfy!i-
zcJyTfJYhHXcmW+=dT2K&FWFpyO1^7LMm1BTh{(N96f2H>>3EU#kp7Rnvh9bU4UH}z
z)|iCIbr5@5R=hxHrI`@mdSK)Tn-8KVbb>~6S=z8|GV6*$w_uLl3N%t8*NGOzVkN*~
zpQFcF>de4)_#0R3VAi+HsmtR=QHVY1H?hmQp4l=na}V}fMAj`btvvTJy=0pHg=5o!
z*gN!2g5O;PCmM==Y{NHT_CgBhj367_ecuLS619x@Eeb>+-x!{se=WWlnPEWpSo&X}
z!jZOP4Pi8cp$o@v+bTc_TlAjDpj6qyAAFMM?`%ni%UyvE8JxI-TmzuhS1k{BC-6^F
zU-@jMU1xklIx4L$s}ZL+J{XZ{m|=KCz|sHi(48IRfH5R3tIYStR|vWz7o>(dM_+r{
zAEHm~eNKfu?7XrW{Alg)4+Bdg^Gc-#zmHkOWUWlZM+HIYg>}qIpi46J!Uyjr_Hh73
z<dtcVV9-c=D5|3h<*j;+f}DXTqxCHjqH2FkA;+Y-2pWqikv9|fn;dNM8Kc{i08Z6t
z9OoVbi4#vkqJdWJRTl+~MwY2{m9RB~ip1EJDPRp~#$Mg~GP9NwluFbWOc1TuCW|4U
zmI53*?Wf70MbVo<sn#e^OpNi>65@qs4<4Kr6H8l_Za-sG$BbTeF#b-NXxc5XvGg~4
z_)viKPW^kWX2(GB-}VZb)J2sy550)>YB(<Vnmbby`E2!Os_Y;C!bimkI9pvlervP3
zL=0YO{L)%Yz4WGW{6{43MMtcXj1GWK<~UYtiQ$K%=f=8+LQzk8Yf%~g8mWY89tSwW
zisQh8Ilj%-XdY6zVzHM;5usupTId`EL$p4A9ga;TnW#yH8mt^Tl5p580s^9|H5ryg
zi14Ne=5Jfor~tmI$xx)~61~T!Ve}telHs!EMt?xpA_4K~e0Vhou<&+H+<Hu^s?=+J
zfeI(2v_v044hf;5=@c4WLD@_yrFNA#0uG{@05;wJHD*2D2iJa}iX5@!CI?~Dee6~T
z!UX*8^en^6SOv($4MRO}rN<!_vfh7Sn=>;%Cn&Vw*(qxmvR*a+y2c^NliTTP7s16e
z*@5CKQT+j+4vA$K*`~pZ#+uG1$t2*!EM6vprPVIxlo%p4p4tj$_?ls}vqCyeDmNI^
z+lMOj>x`){MK*rBxy}=Rk+Z$*9R-@<a9H7{oeyU-+o7Byfw@X=J>>%%*n$dxY*qYN
z76s<QIb*3PM0<zE<D|315zvlgzds}kqGVe1@O0VP%-ol&n^fcM=x=$f(^=8oL`(JH
z%f6R>AEF?9cR{hTF)fs2lAJ_2)X2eW{F=ghpFYJhEa<r1It(G7Dl}JlurXobNgyeH
z*$={8ifCs}Dkl^>EO(wx9j=1{!K(^gCNs}i4r+{{|I_Svf8sDiCTl>KwlFnBK<rqE
z{n0v1y~WEq(k;)y1L?d&=p7i6@((w|lwmtc20pgFW?}00HB~Fl(o6({YH?=ZCkX-K
zC4$$9^_Y}?Am*(DLZ!@<^Q2XVZBc`rsX_1e?|5yA-<7<2@`H|#_2E^Ad-AISa)q47
z#&12EB>?gON<u6}#@9`jgWtde_Z}~sSL2Gs;FN_eX5mQBEmzHV?T9{Killk8b#ujj
zIR}Bg$8t~Tb4i>q`^Aos*=nUfQ{}VM_>%MwJX5vV7ms9X#uI~+8+Sjb`iYg#zoLQo
z6`dz&0MlT~O8ueBI$PX7&XZ2ys`{5kFXsU;j6jZbBog+-<6`k0w6XI0&NnV(9c;#c
zPH^rO>L0~tC~YITmt8aKUpn>K+#Be~m?3R=V~1h^%i<-ShH^l&Is=(ki&&S;3)IG?
z+?R52YO#|OLT~85&ZgHEf_)(Fg_I>6r_@qUIQYh1uW@AQCD?a?)U)4hahejXlFm=y
zD=FXF2F(1fYc0v0dwGxaK5-QUeeP90oWnymPT*l_zc=p=L<HPHMQP~tu@kl>1Dk+3
zd-^k5boP14`eh;@7+3QAkk;1O{uuT8B4+Cuj{if3L$p8`P2h?!ax%yIb1TT3q%hh-
z1(3=q2}9V!{;}NuB<(Io2G|Re;!2eyM_?KZxbX)$)CzznnV#O-vSaO$;Wnd>-M^ZM
zZ)!O=2)`&&uo0^a88mJFBc}u}l~Bsf)&?95hxSz#By&(tPQ8n=70Mi0pnfOI|3;U|
zBiHYoAU>TXt=ZKl*Fe8~W;i4I{fIY1qINXRMbFdtSbC>uJJ$X)z4_$wlRek!B7vxO
z<YXne1k`X3gcyZE?U||hwDw?b;PL#~m-M=XK2MEia5fJ+BdpVM2qW7~^LSaZnc~+5
z5J(8nA=Krte%G$2xS7xE<u^7Jo#l6mxgW03l@2aXTvpFofA_BZtoYwa5L@T}5u4HG
zd|dyOury^M7Kc=|_UwfE8_N;DH@h<$?@TR%!P<!VvCw#&2!6)akvGS9znHeLQYq<r
z8pPAvHs~9qLtL3ixXl@$`4$0wF8s)*i&*P{e1O#Mf7&>#B-CzbtTUVybiBA2LJxH#
zCea6KAN%Y2sRiA>R?<obKRp3s-{7Q1kF6fBubs-kT&BLrO^#Z|AStIrI%rS(fxCG^
z$cg@!wDE5T@jZgwkYnzLD<=Qwm9;BfRQuVLO#;Cd+Mx!t5`{)<bq+~q9-Dp8A0;xb
z^Mg5H6?1DZU(ur#HE7|Vt9<IyDuXha=Yjj*J7NY3dav=mxgN2qQZ1UG99_4MP^<K`
zEz6RLCxlfFWB2a8&dw;lPHLJ&{h5D+Xgp~CE`-0V$sj`fYf*|qF9g|98+HKVWViKP
zFX`lMde8mD=y`Vk3Y|TdRzJ|*Dzgr%z6vm6q`ffXXBJPO$NZ!;d{D)+>Buu09AZ<B
zn;!^)Ctv(~fmXicJ7j1Csx09uR7ojcQ}t&{@b|)m{&ff*s{u)qmlo2Pj%p53D^O=E
z7h6+13<z9re?uiDY%hS7>3+3Hh6DSVAX=lP*x1fsISh-$^yhBre5eJf>N?R(GGPfa
zu)=IIsVE@l@=|9SVgL7YHQ+A@TU>*(Lv22g<&=+iGY!rdBqfUY(_)K6W4i%`^i@bn
zlJ=BqQw^7tMwGJ3RqBC*=w1bVCbipgIrXg~wYCB;+F{YWue3J9Z{vdoiV&~lCs=Rp
z7$z~zWRgi#kmNr!>BX(%wfx=z_R?B_r5gpUpL2@OyPMZb#pQ}8J*|#hHqMcd^Iq^^
znF4cOspq?(|83p+;K-%J+jw$Fy*)lap{C2(+^l<P#ClfM*yuUqwb<F+4j{<D8esT2
z-AOuj2TV8+8~^T@JBW%O1tCO_3MO)N>~^q0?A=EVR6z`ta-xtu$M@&&WYY-(?iD0)
zVhSy5H(aqYrm)<qrsjGDszfD2nr@pj+)9`JYnC_V`sTT8F<oY#RW?cUrAT>bgu1Ow
z=Qy#|^T+*T|6}Jac<#M4UR5FyTM%tbVlN3&)W44^9&2qY;5$`tnb8CY=v`VVqdDxO
zCsqKmI9gEt#d<Q`LLK#I1>Dz;y@Fm`k$`5ri%H0o50yWFNn`Z);tJ(=&9DBa4QWWw
zyGVeV@rSLipA!o4-z?-IJII~C@6geDd>pMLd#U=*N-9`p!=h)rIwArqL-}`&l(9BO
zYj^_bPGQ)RmddAr0m=}GQnKV8>yG&%sM?ZQdJyxc@gS0#d5t^<Ydc*>`RC?kHCnO0
zXeq90q!5AuwAG@?*&L{<@%2v6@b_1TVh&Kec$F~D*Q1aakN<kqzGxSh&=M2ht{zh<
zcWLVJc5z5vF=)|s!n0d{WAbP!bf_x%Ij*Sxb>|`rZN#<0PB!()oWBi~g(i9zE>D_}
z`P9G!lPdspS^C=4a+e;2-zHWSEKo>O1F84s-cs{sO@JblD6F?tfOCtMjgLt}8HSBj
z)%+D8lnB$WI9ECUIM5$y+n{GQ2QZl8J2Hu2CQ(?v!v2(sxeI9uGCr|9_c2ntk{gR@
zyI>5abCIXVAe^RH%gaUK2jMgqD>}s0R$TMuiMjWYcwsf3ff%J`d~>qV0Un&HUk{UL
zf!eCcfWQ(lcd19++YQ09{1}BRm&8hM5k_fkQeYPw1^t&W+EfRULyUFX3%@L-DE-PR
z?Ijsm&j^_kq=&|1UA_R7OrrO!0JkH4EIyqrusyFjirsX&_a~jl1(fe+<mlA^8j4Q<
z#3KWf{PQQ5;oaB&Lx;a2fPigl_Bh_yg8sdTHIBeCHA^JY6lL5$gA%?kOGH}4d2SdS
zFXbYgD;!m;d`g_SkT%U(G9e|%e+X=>`1d9=t9w^s&S9tVG?5rqOSop$G|}7aX3k!@
z8Wu;`adqBRW{uuaJZG$U$~JV58cn*hBoiwJ_&GO=sV)UsxN6w3T?;!O#dU1JyDl@_
zlpaXA!A?#^bY|G``)3xwLNCO`+H$fMKUtN_m*@@ye_}bEW3Oa-GrPZ%P<gEcJEbS{
zm~qq2%Ae8zYDYx|dw9q_CV3X&G&TxVjb3)JvO-lvOpk@t$2NSWnwTV;cK*2_vugDu
zFZSG`Ubfo8DDkWi_Vm(yYb;@JX;GcY_d|nf`7o=y_-$;K2|FeS{-qLj%>V5LOuViF
zdx?ilx(6l=6!oWRO%w<Q<IZKnVj|ZH=LGTj85`_BXKzoM$m+!}qn;BSzmJ2Ok3ai`
zmVL9ntTY55$-u&H=?dX(RaVh97{m)X^#V+dIM&@TeY#H^=w5^EG*>jEoDfpdIETH$
znw6~@$XT?MQkEExNVXE6BHl4*lml4Tu{f>1#tZ-<3zaR+bxYQ8^eQ4Fg2_07kd$;L
z;lBFv3}x$SrDiZQy69g;WSM^_Xfo<~u)=qU{lZQ$oxaW~$o=bquizY}F@eUzoBhup
zlqfL<C(1$YAbicc(Rm69fNvI|6p&xL8=wyNMD^cvWRzyN=sl+WHpVB|Esp|S%0&f}
z$5FeXU1Wr;m0;B@l1FvGO#a>?aw5R9<*qHz(`73Sv5TlToSTy)XxiDn_$%tsTSQlY
z!#J7|A4;34B58jFqZjhjISC@b9;z1KN&C7>@KxFe;#oNByjoWBbiwa^gCBsAw89$q
zU-6Db=%#1RUY9&J>t7%LQ%m@Zb6ZDtY|*9gikW3EXjVY6tcXsJXFwK8839dbNd$4T
zl9mr6NL7SBO=p!Z3NvU?7$Cot`Osc1579+LG&VB<&easMjjWGGvn1C=3|?F-BB#5|
zBP&*i6XGYOZxz8)*VHkj<Bl#jCf7v<Zb$yRM_kp6BNLhKAjR62<d2(n3QjchH0JD&
zfBiKqsd86neav1ZMWVmFND9Go$XS=Q7E79Lk8s{|3139|%d-BktU$eMqbj_@$wqs;
zJW+*=1u`3SU0cDCdI%O{@<+@NJNMqtFP6_YmolM!lrAAdrjW(<>}la|$-ISEO@2k_
z;-cCU*b-HfqBp3x>PbpcB_OVBVY256ga32I-`~QF4$2E|d_1_9pvOfuQ3N(&pTKGg
zn~{xkQ<eObE@71jlzotowQm3-rJy|zofFM*SI!~cS$VRhhEuXrGB4*R9&|8D@{cr)
z*@tTY`pvHMV&F@|O^e`GT{ZYOQ<c!$OItlU8e7qO4UD}ynzwHm@;L|J3O$1Pxw}J6
z5x3s&X|}Qs!p*W*>a!6IDK4m8&zLa7HFyDar#nlPpf;c_vdz(F_)gumDg-MSk~Tfn
zF)*<z{%ZZpKLytdMFg*r3a`_-P;wR2Z|D5g_lR=0j(et3PDiK&8t*Fg25w>m?g743
zAFbg;&&8Jq@bwI8{MUqE%0~y+jS>j@$4+!2-}f)oKDwBQ=*@&}KzaH15>>jt-Oy=&
zK%T=Ex_d1Om+b)`&&pVhL43y8;u0QF8=#yD$*ZIGv`zE?ghB(i4CMvB9z9wsYn+A3
z@~&mRw|W@94mN0>E*5FW%LCR~I}^G{QO6W5`0@|N1|Sq~0$)3RuLnQ9n2+mT7k|q1
z-B;&^$}$Gb9(ajXMJDMjRZ+mCINDBk=k4^I+ssQ37B;JREeZ)O1A)(whtPu7q_*wR
zMP-2JLaV#f8F|JCJ#=uutZc`^G?{qDBp$bn#5{7X3F|Uc%qg%6*SIoKgDBlwf-;Vp
zYhpH;q|DD|uW|4nl){@|6X`^`-9$k&niQ`_Gw!)tO8ASQ6B#yBVOnX8XJXI)hgM_X
z<m=+rQBM*D(@lx2${0uJsb;wV<Cha#ByQkKBw3w)w{Ri1!4i)+L>V_plpnpi#ck^1
zS?}Va1^X!EuEbj4)CXkfw(29UViaIg<9W!OdHgD!zuSyG7`iW&^As6KK*P>oP)q9~
zCAl_{2%Q2y*r34#NFl1i1u&v($_+n1x}OSrPB|p{G+q2CcEA$VHG%5lvx)H6lEXs5
z@}Xd2xXzN~EHS%t-iW9q#o+$B8Z+?|W>~9FmOKU+9oiKao$%*!XDZ*GTN^_wiox=f
z*Dz%&;}}A8g!50V3&*bWG3m&wNSY9pkl=K^>f&_PG94jCzWWcUv>>Ek$|;<SI;N9X
zYc>AAh*JTq&YYmbeb_+VGXFY%sV{4Gx<&sDfe<fp;VMRq#MRN`*f@oH1lw4>d&)Nt
zPtN%_fN0EL(s}INnq2W@Ga+(C_B`h8{miHMfdwv|eTXxx4Mn?4hsB*EH~MYVz++|W
zmnl)043U;39BP>(6`Gc0lsO{X0b&H4QogEgX?$p7>0gR5CDNoZVRpk6L{jjIvdAVl
zpO7*v9i&aw%TH8YX-Fp`{El4gQ2r6K)x#mpy5Zu0Qqejr8CFrjA+=FN&qW)##vF%a
zNUP3KZP!_HG%cu8zG8YWFtheAel+^x_-K3#8(LeyTYSbX;!nSS;q=-NZ1RP!^~Mi=
zZJM@|Du#+{1hLAOhm=Y9wQhJpHJhD$*<*#m!U%quEUq&WG&}!UN?yYjz$-`TA0K);
z_N?_ba(7VSMGlibCj5U1E`H<m4GSv({m8paE;@fcF*l#H-K#uo^3Wq!5I-Z4K6%OS
zWL{rkjOgZQr26>Y`$8t!1dL{gTXI#1Zezi>6~@{EL*e-Gb|{F%A4;txpP0vAdbgSZ
zpCLPHH2g(gRP6;m4qeC$Hs%_0nlaJTA^c&mYEb$GNduR;C3mMI5whN`!7Frgcr*7Q
z%B`+4oXlhPN$*zo9lL42C4Tv7sO_8|3cX&Ej+Fi03oy`<6HUqTeQtOyDmu{73+J8e
zZr6{1n}2fR!Hc`7y63#*QIPug=-~_Q>V_eXBY$vam&4awYQ|R5?g@Z4kvQ#-cfa0r
zz5obrvKlHcgKXhkG(Z#MV3x)KOfR)}2&5UTg$VsP%CEa82C|_9CX5aJCH*YpcA~v;
zOx%^O(e;_(U0DjkHgtI%Q*7fX2eDFt1T}$))?f{psk<Y)CzgO6jN5~u5}1pWmWk;Y
zc%Hx5ztG5SDjgf^Gg~C!bw3Spft{konL9ke{d@$^+q)*mr+83bnaBew+G7dy3ORb>
zz^S9jtEMyFzHy;dG5@pyB-l7$7r|*+`YhCb*$pQ=A)=gy_VJqpLU_u)FG^e0&@CD4
zhSwxZMOLe$2I1?zuTq=&@druzQ*_SLY+*nOYD7@kM58_liC5m3Q1^O=3u}M8oKmLs
z+f`!vvi7@&P+O?`6Lc1_7rBOf`KYd!{NGaf_xx0&J^}>~$5Co|Abg~+sS1@(lR0Tk
z5vXAg(=Fwp93}}Z$tqlhSald!+XC&(hk)1VVa#<AZN;tfnoqOmZPcOWj{y)-{>_37
z$tw$}`9lDyzx{EMu_bLp-GC$;8DmfsU9LAEXbEb{M2wq^zLoD@LkJt6%NgeoI|vOC
zYQZ--2vqGijb+DSSsR_B!do6W17n<#8v~9Z-Z68!$w23+)kd_H%VgBL(`90zN5-c?
z!>MUHXY4<?;Ng>?J2v!aVAmpz3x#bx8=^~><X1h;dO@umJ8Ro6Br*Qfq#OS+74s+_
zhF_t}jXJCw>t`)cDw2=#+)C@t2-7`>Q!lwbI?==lRJ5`{KD#F0vtK*B(Cy%rDkrhT
zsXN-kHr9o3u+d3XcqT-BcI;GxDKfaH6q%0KHQgofdWeszrZb|etwMzwCRhzsp_mub
zqRGSo$p9bIWV2g~(6kVk(xpX}dvntUNfIVwzNETqC7@HuzEPgN$1kt9rrr1eA`}(H
zTm7PJIVLXy-VVM4Q{p)5S0jm@#22LWuTp&>XqU0q6~*rJ!;ou(_ExN}`WZn4x2GlX
zrjeIoehqoM-y@l^MamFalTEkWP9?UMkD?eivo&M1Rz-SZ9Xd<;j*vwFJVHz-T_TK$
zQH+>Yxzku%Wn8UUWxR20S9*v+dV`sZ&(*p31(WWV<;^1&*0WcZ)!))%k^iO|s1*YW
zAIAuO7jgbBLMx}jIS5U^nf?s}xD285RWtUE>fy`7ao)eQdx%^uP+Mg?25{`vO}nQQ
z^d89zut5XY=?5vb@Q(Wm`dyN1nEnl%Hh{YanQ58~Rl?u-V9x+2b^-!&&TpaG$*GE*
zWmRZ2%SB$D6~9%TqMqv2u2Z--@q{Xh6Je;#PHI$M2m=}f8`&T7oAzzI5dmq=2t4UN
zs#WPZ_aptLwDGKVHZohm0ahHeWCPX3$%7Sa|8N=!7wRPwNtp#iQP6z&w(bjZ>>}i5
zoVR%xa(xDisqefKo<4upyk9i8<{S8<SvM1cvx4dfrR6I^KqPRwH{R*b$Vd-B94V-|
z-J~QhxuUP?e64131}1m0rKQUxC9_b4L^D+#QFIfjh0B!GPzk|=lbT88Opw%2UxSgg
z!Kj3#E3|Dzkj`#ibhl4DcbcBHFE-3``OMAGEjoK#yUn|-eJ}2H{bz3aBxsaPM&>;o
zewe?X>RG?`4YG00{d%~7e^k5i07*q$|6cjStv+#$G<-5hRAl1%vK?*~RN}D8DDYl`
z@Q1#^mLFFYWDVtJzFxu9fp^xUT<7*2|8g8cor--#L&K%Zs5fY9F^$e7l{uz{Gzg>H
zwxX9YFU~A%?2z+W07~OkFVw%z5udkJtxHb6`^gs!<?`gU#VD#QyUC@;O;PhY<~0m6
zA2$tfXB(^egmHjW*9%X)!Pr2Bg};eS`PK(xOzpl-@%-ZP@vvaQzt=QoBZX1-60<NU
zxbO)N9bwLQVzqL`7W8rFI4bP#p??*rCpKXlG%WfDz*wnXb#h>#7&{MvWMbV1$tjd3
zb71|L^<sD?)t%W>2ykzbZkx)c$N1CPGyg1o#J$@ytKV~A1?9LUa7@F$YwjE-q&MS6
zWY8?)I%;!y^xORX%%(F-yGB_~5YeT9L;z8nDX|F;4oN6Y%g2VtHg8mi-yW1zg(Vp}
zoNxR|aF$OBE?JN+ETP+Q^oiyM|IogS(<<#4?@JOYKZBfbyWF|$zjDLi0aFEkZ`&}q
ztU&&fm-abxap?9exwP}H`*%*sV>RjH15g?9WHBVm;@&#U?R_{X5-DCWY*_wGiKSY<
zcPxl$e0|GP7dZCUXFrd;9e?ap<uCs`Sg@8k*;&G8qSyT9diOws)T&Wm8*ITN8VxWM
z2u^Q)ZFbh@b9;1?!rE>NuOfzB=Cf;O<nY`SBye%k`4miH(S`VnuKZH2)s%yimPi8w
zU)RdwsLr|T3W|DhWcrDzaivYU+jhac@cecH-Q>H8CQe1xOsC=YSnZJdyTmqwl{TWf
zrSq01N%u}sDcW%r(xdj4nsT}v#Rlfq6!@~fZ-4YH{x)AY-o6SZwtnWp*G)*!dXWO`
z&#+IwW&(F1RP5a1LTnr1``Va$2!XR`-cl9`S|bdxG)XvaGWwsm#ia<5g#o&tj+l2*
zNaENGG_rc<F(qN|h)ZFokU4!~o>WmuSKDe2I1e*7+kXyjwUORpC)CX5L#6lhBV0@q
za${vE?2~9W&;vj83kErUxV(5LD}|R@@G<&u(qq~J3ie$pYwuo+Q8yyRHw@>4_aYHB
zEdZ{b-vkC3;jXC8TBI+~qSxFaEq$^pVO+CQl*&QS2Hh%Keqoq)+t8MyP(Uh~b1b~A
zW&3D&iB}^^;4wK2g$CF}yVWtLgyVzl(5XeRLB%*N*ibH8pKx@M>f2LIiPxiu`vywK
z_30;7iXXp(QXD<kIv)Lbon&lNc$AmRm__G?C*DrCFk+>k;D^whCnU)7Gcpz8ESby&
z-f)PuVfOOm3x(HB0B_k!76upzn0kzvKN9H0GZ0#~pCekHU{Chq6t$a965p|T#dRGE
zodnIL?!N*$Se~ka6#Xwlf3q(^uO<>+ldnAJIC9Dumv*&ve`owm+<TF-)S@pXl``%#
zvcTkimbKVhd1h}*6@WEP>cyd{ngd&XC-9eEyCCH8N^$C$js~yn*J~Pi25=qQr(~XP
zR@(`It{<hGy|)9F>le>`_Q=+%q`{Psdlo3(>-)~frog8~FJMe>%s!pqmHYP)zBNe?
z8vV?y@UWFy4#Y~qi@Y$^NBW#^+v)@R!1{Ic&?6)I6e6nntgn}^1yF8IJ{p&RXYt!u
z(dkq~sEAq}(be=n?D^)puzbbsyxQ7-6;ZKtLDmX+VnCE=A&5Tr?$_^b76Cb#3UGUK
zOT_M)D*~c(BKfK_aMy68aFqJ~;cLjnURK$}0BFC}VaK@6ruHd1(8kl^WSm%y;^+=3
z%t4WLQN-n`mJMoAii~4?j-+v*t?i+VCDiZRKt|CTzXJVIuNC090xM9su_StCM!)B}
zJ@L<>ubR^Of9pSjCSyuShg<zfFH)s!tt3)??(V$e{d)mh)!R76+p?5<bnn31-IgT;
z&4QXF;t{{1IaIQQjxxkzZ{L9VO9?ZN+HMGovz0egp_NcF3vRM`f=@Xt9$!)m_17{t
z&2}q?L@pnu#3&asv%uJ3Z)6s5xTV?vVmjbWCtgW!F*#L6eLZWrlT}FC7DZZO3NvjZ
zAc#OLWtWP31erkUo`6dNl|b4e!w)U;CoJWcAVXLNJFk|FjDSLH$}(>QXw`dwR6r$m
z93kGJdfwxABtqKf-$@bWg<q`;2b{L~w}v;IBNorfYbU~c1Z*jEHY8MNF{*ChbfDW^
z(_PLyM>%iYrE+%$d}y{V-_Ie?*#gL4c1JgR+Qe7BesXimJS&$ox6~F0pF_EtIR$N|
zQlmrR{`*w~AD88C3(K-m{P4T#O?V>=idA+~U*UwO8(K!~(pw<36{wb&_2w>Qb;iYZ
z8km`+8Q+eRx$M;OoG$7uWlGdDc&j(XO4L7Tm3F|wL%ZeyhA$h1f`<);#dcN4(qnkH
zFHcY9i*)D7q_rX@C}nwG)LDw$JeG=Lfjc5(bLvag=`nkj4WNb)ClMVi{Qm75HUY;+
zb1xm;z+*3vOR86#t2Kx=%@Q-ux3wav4Z38HZcPXY$>E<EeO6Sm*m`sQF?xD4K2h>K
zS-IcD0q+}t5l$7TH;t-mnf<2q0_5|#(BzV|maj9DQobPoUqXOpR~2-rCcdJ|vDIIb
zGQ~amHk}Y%?{QPO{F*H*Vrc=3coL^R<j%gax13_*>hDjecxd~ypwgAR^mB#ASjhC$
zsP=lUvvgcQeqn3cCHQfRYLj+f`N=#PK^-GvKo*LhL3|x%Y-nHTSqOMi&U5Njqrfyr
zk_YJJbe&&d<(9NA)~M!M>{q5dV;8So4d+GJZCwMF{H0?qBbJQ(Guq_XG+;KbW`HSg
z7q)l!@Lf~mcMfTM-mo3bj>xu;%+0Ge;JE4`j#=?53R<XfuTh;FvVagF|4hsx>iJ+f
zw1Soa2mQC0?6{4_WY~%}Q6M_-O$JEF<(RNfI;c_>w$7Ks=~nkiwrK-S&YWOvR@F7W
zj5GlU1lvSFOKov4PhEcRG}u=LW)YP-#sRa=hpxA{1&4+|B>!=%`Qg_Zo~)-r{e83x
zA{rk_qnR2z!3kjLElen306tNoon?(Dz|_@$cE8$h5MnJ-oq30F_LwNEbfj)Twgk}2
z=OY(hn;Sdd#O2!|21*I^L`S$@?8$k<&lf_MM~~}1iof)l8fU3br{`7BEtS4k+r<(a
z^gD}YJwJeT>_MBMybA5}t$RYuQKDkt<)!?P^AHlrMV~p{w)GOX_k^Z173<q<3#TJI
zmL}b?nUCoY_SLalJV3b2^*qitXcr)fJLknQ!mbYjTLRywVS@90(mfkNW(_8+Uq)iv
zVdR!=BNmyga+Dj+r@8v0Tp-?0Dct-{MI&)AT|_*PcOuQV<f%HaEQ}a%q`qUe<S}0t
zjOr3L!#OhUgD1$GH}2QLZ2V3t^|Q@>hvB9J3xz3lev1r2%2#Jm?%Isn3g1+ptJ+er
zW=F}B(YU?2rzqC29zOEtyDpltx-K#5bqD;*x#5(+RD2<dzLMCGmFgX}8=>HFB_8Ap
z=I0ac#+!)|>-}5*>W747ow~GAFmC84d}ZCNV%}A(duD4RaM0kH0p>$-#g(szjz%&5
zO&DdNooHztpPObDehM=qe<Q%oL^QDAZAw?ia;ZjdxKePS0bE7d8jczqJBQ8zzv{mF
z>*v-+2tq6Ss7RX@e`EHLSIIe<lAsl-<FOr0wFPdD5WdY{jO;HO0e`j9Y&8gEq!`T2
z{L};eR>r)}X{ddi{_1B_#OG<EPi8IwU#b0`!ROr1v$uZasn}WT)+;ubdxmGgvE|c2
zFm3Me3b<`7dj-6;gL}jnln}N71}ja>uqbNa;t~9Ry~|jOT@zjxAP3<nEh5Q-i=g7)
zxZ%yPno#qv0OSX`S~SCIDBLDe(Bx(7uI12O3*$-c1*V?Z0ZtHWH@(HlpH70y?u-XS
z)Psw}KVOV<&^=+v;par8Qswfii-7~!Rl&TR<F@G0WKu@2jAIM<LP?bogZkc7|I#71
zykHWEzVoi88M*%}hgRC7CYG66Nl%ec$n|9GOzs`P@(<w$2h;GcL`T)T-#&PxR69I$
zjuVnxWeKEjq*5y@$I7A66#@Ud7U2F+`z3oH@&w-&vb_8WG{GD7rDz>e4n{%N<kF84
zkKiIuz{hfh03|(CLL#d5_W4#X@v9mShL*vOs&CIq4+hnE<~k(eEM@ojIQAIe(qjw~
z_FEzNNjG@&28Nz{j%l{0-97yXPB7BU4L7>Axw!TV?G<(*GgE8AJG=MNp>P(Gz*P(^
z#UAfkEAW*=eDgUv0;MoS;H6(*0fXtq1A-@kigHfQJf%4&<WIH~!tT!_CeUuI$t_%_
zB5YL_G(tzcx(Mq12V^7EH>LEC-qB*Io7|F;lXw$hcqolj?4zCZTTj^tXbPuLDiQU{
zI?=Q%oK46l)*>l`S>9P$1sx9xU(o)Md@J2*_9Hc-5FHubv}|p9R1r{e?{i~MYKf<a
z|G|kYxqtce(Mw;A`$xJfoT=U~l8y7o0N)W?j9E`?al}wvn-YDwtc&Y2c!&>rwlShD
zEl%Gifu=N<Hi|T#U%o7hz#i)dvc+WLMM<T-BF)UVh9M!Fh8op%6ToFfwovG6bcELp
z>V4oXub|=8%+L<{i~r5Wo^>H3uDV1pS47dHDwdO*Y^+B&*L-*(nAawbI-R$!_op%O
zYz=}Ai_wVOQrFKhCo;CSFxWBPdVdN;_O#s|_|MZN9neP3fM-Pu5b`s+AhF7P{OQa#
zh#zm<!%a!fE!V~4c91(8RY;;9ny4w9InqU{Z5?kJusQHHIKLHhcOHD%ZAt%kS`0&O
z6JvM40FZssvp`OG?wJx&wdT1L1?{5u*()3x!RS8qCt~_9noi5O@py5%X#=0ARZ=FS
zFc#Z8XYmO5K2j+1f!D7*WiS;ZZhxrWi#Qni4M#ylxS%n{D}U~|^jZlqsFK0+7nmn~
ze!s7ezG@97mc;a0r*1FRu%?Gl7<D~)&(6q);>Q06<3Jq0kYy9J6QB_UF&G>Ou=C+t
zup*XCvM2%yhNgfOzwK}0I+mq&m6um}U44$X=-i>d=5J4*X738Q*{joCo3nbBqf7V6
zU-NJOvo}UITNP%{rCYO`LTnl+{~H}F0kydoiNFWb=NiH6(qZn8GW}rIfW(X0Qe>A7
z5go!~QPt~F?x1ef5o`;cj!B2Dok)i7E)XZ`Bp$k>gy{Lu$?oCJfMt{wLB>}9m|4D(
zC{J~cGAAq;VbeEe7P2x9r7NHESNz<3oz^-RurO!kI2xt<eh>_B;qG603@h_>YdwZb
z%i-lq4_<!o!sUHw`BvhU!cS^sEaf>xCt+q_dG^DJW<|a$3@p`Ga~N0_lAN$XuYvCS
zFZkVGboUzr>8W{>Hrc!f11Dl{4A=#Xvdw4aWOEtKeE>|dPEzVDJIl*p*tbAJr<2Vw
zVE3>!aEYyfpj5me%ej_J>Iw4|w1^slVjEp>wIlcjUN9V`Rxl@<yG=*V0SY=mC|1nL
z=FvQNm24hObW5ezFVJYFTY2^D_mB!!P7?vC&<^CBc$I8EU{OIk9)bO7Z(}uJcRbZC
zzKb1wJk>pTg9cOG`3{Ywx{+SUw}_#wqypI9(@XQ`djvO#<g7^Z@8}-q%E2s0N0Z=v
zDh2&_PXy%vxP-qY_$`AghLh>7tD;K}5ZgQLJsF04C-uShPM1GCxLt(|y~#c8ABH<T
z&v1*{wn$_mCUV?Jq9ZX9eI@8Ksp6uEq%`_akakY{OPreGLI_lGp=?K7*o({2XHz*g
zQ*pUa5T@fJkgej<iM|*~|D@BA9FIp|KyRkH69PG8{Gll&{y^l0f&!l7^9%2$TCOl(
z5uDYHlC!y8=Pf^!a{qgG|LJFb-zQHDHA15wb9rbU+O91_7c(?ygKP^(e*o@9aJP}J
zJme3y@ZX<V!D28zbHOs9^|bcvU<gvjxuBUpjC4RXQG=uqq>6LF4o#DYA(0@!_&BPg
zd}uz9E_gseRDqDFcd90i6{+A{@Q7qL;xpKwUDx|D)Nd|`3@5`^9NN%Eap<%3n`(sD
zZY-CQd9b%@T)?7(U@O<v)CM)Pky}E(8iU%>4oG)YN=p;k)ebp(gIZZloGQ)SNolpE
zN7)W7p+u|c_~*`X!};d98Zf12RWijvNvG2&EzxQI7&BhO)htR2*AhDHe5GaUJuam+
zZ}}mm^=+T{tUvol!f{sOY)>jVB|M6G9Jj?nNuP$FO3MX7s*j=v1pyt`>W6)0O3MWg
zn*(x5PzcyV0F9a0pGvC;YLx^lu23=*T@)))X}KU+t+Y&m&K4`dg&>ud3s!vnS|<!a
zDlHexj9I3%LXb+!1@U9GPI!PDr;0TM#c?i@9cJ1|o~i@fy!kcqT!!Qp)8CjQ>o)jZ
z)WK;@KC&)}5lf0X0ggqGF_mP#JJ#rpHMgtYMHk1ptcg08o+mm0dgqz%Ps+UQd-D{e
z=I(2nGtOVMveIz+Mfncx5d>*A4@1KUkEwo9zC}k+n!o^I%?+ZLRx;nC(^^uAc;H|L
z>w9!9!y*O-byPJpUOSraK!;bFvV!Nblj*_Fb)VhW_P@=k#Q9v#oqDkN%{S>ci)XyP
zhLN$?>2VpEdCLzOnIF9P-cR|iNhsi2CX^sMgW<<*LL+BqN*V`fJR|~g4BTXAypaje
z5z$RKrI4fl1O;5nu)HjogMZjR^y$KE9oGk${+9(MRI_{n+ExwWw_ZspJPYQ~zfAE2
z6wnAghO;x)z6BS0jr>RRtE=n`>NH?klpFz|eywB*$zVe0jnge(uQ4aOzLHgTrWj4k
zbF{I~ahg?j<^-^ebRc8!UtdxROLmR$JZZl$zzQ;ZIj+&9N><JHdV&JBV3v|3C!tX_
zml*!=_*~@$3JCWp1pxBmM%F^dAm~GiGzG3M%Fmv)AW-md4c$C1t?&u}1%K$ve%o*U
z;+ROIk%VW?Ev`U2rp=GR<art99$~q1B)DLtH=v~yC}>|bS&K+-fJ#XH`Vh=ni;~`e
zrzzS#A3A3(jK=}}!6*34P-a<>x7g1y@*qIqwTI4G3sebwis@R|qw<PX)&h(V!hC>)
ziNPb|$B1tBV*`54+%@tWpdO$W&r3=G;F2c{c$IuO^7}S7C#}f<z$GJHgVRuVIZ5W6
zQpwlg>RUZMN#>+dBy4cw+gy?42mI)fu|YnoKKg7euFkXr6`QP$e%W}|?z{4D<G-qD
z7;5R#l{^z|TNNX~D_xdvGb_tT8u7j&6?H2kY3$KH*N<LWyKb+wYu+ttW08ed>1KG9
zzW7^z>UVxD;yJw;eV<`Pk{_g^(d5)t5wcZ6O@LtF;gU!;O2*{?!QG};z^HtNJvy-D
z&`2cJHc6}PZoG=m0IGXKYui_FS1O+Yp^~&hp>|^I`5{>O3`g?yBcuYz4V;bwn=NIV
z%4blZ9t77rYiNrVYoFn`Wt_yC$kCFjNs{rLZNg2%#5<J)w666oDxcxV;EZe15o;y$
z-9Z?qFm?1Jh(`w;aQQ)!H1wleZJI@%UC&did<HOa5G0SIZ`YOv^);UWpMgGwIT2om
zcAp{T#;#qx&aTUV`_gzblt@rMkNW&C7%~>0;XE$Mwi+eb7?1w0J;u(S-*bJ23N$=2
zadh7Dt-T{^_~UQ=>F;<pP{X=VtE)$YtgH=+!W;^+vaSZly2ef@7#SkhUvVW7vMprN
zR%|k*!aNwKYzS8I9dw42jJnkh8mZQ-SyzvSZCO|E$HKO(tH+}@LihdGj6`jdnyn~<
z@<Fvw6c_k~hAqZ9TY+=RZlOX<jb)xQ5U0g5uGe%?=TzhO`M+2%KIQNEIldYCM2V!u
zESCj?Q~=4Fi*;^rfMG$6H`kQ;6s87+UeXKCe#Iy&wWoTAD(NFhvQ&hY_R@Sn(F+lI
z2w*FcM!g&w@<J7IW~!YQ=UWxw(P2|D%1RkJoxg0y@g+N(Hs$iT76Z>uGWG(s!PSf$
z7GO;%gq=;4W)JzfI&O)Jmbi!$QJCCZV=l^Tcks#Ae%&{{?S<5IEjeVjwUz;9dot<p
zQRhNeOIyB@*VyVKd!#W{5apRxFc(q+t_<pjwv3bOT!-TZoC|X3lhDXU#ic<Z1J0#*
zEouI&3qz7Fw{z^2+aIcW10E?c%25{*4eEkO^9pG9gzoE>ZG*PfFHb75k&?eYbXDhy
z8XHP%qjj!QWCQTKSB<OcTv27Spfz8is?HTvHb7a_9f@CFqmaGIc03JGbL9IIRkk&<
zzU^<%g~(K8TlWf);^CI-8CAAbzZzKGA|14Y;wGrW+Q&#cWb9@JT3T*@eUH@exg-Xb
zON%v`PTH%aCe9>FJ2Yk?G*#V6&q>`JZ}F|s&H9}+aurWS|J6Ht=R%uxuOXDikkg~m
z=b|%ouOV==d~<ooQ(WzzGVs}4EpxFzRxK`8a<vrH9G(v#$`i9!T97g@a8<YK;|3`|
zYV$D8x9ZkKGj0Wt6q4EGYRPu^R??52HbwP@tEH{S`V<4?4BrZHBrg{>o8UM>*5iE7
z%U<Ti^ZL*WAADKqs-C$1FMR?gZc!VdFB$2Z$w>eCKmTk0<g?#Uu=o1DT;KEZMlq0m
z^gub;^C~R*2Mn5NU65?q17U=yOOf*7Eo8$!>i%TUYt;M6W_{H8$)4A^?;`{SW~;}2
zpFxDtpzp&V)%}!l-zWRU<GxS6Jqcmd`aUy#iu(^Mj1k#G#MINus--4zNZuMC9RtOV
z6Nm7l(TVV*<(EOK({n2DPuZ-8gJFm5cTL@Y=zUXIy5Bj)e|&G1*D2mmEZ%%-oC(j@
z_+J!Bv{%4%A6TRqHptMzzDJ%O^NSA6DyaDoN)OB0db;U3zt|s99-<^F8hxKQJ?Izv
z9Z<j~DdnNccTZ3H1<((GX%NPT^B}q*OJ@J|;&>$Uok;F`eVf^Ty#SJq6%|B6aJX9E
zUD7db#KD2iUxcG?wt|?bj-H<Mi$dym1YD!_$dxb6t~?r55zukX^|l9|)Fw2OMEpfU
z9drQ!Z`cN68Txc##1B|=cjzfM_4#Z~oE}rNSQA|+^>3f|o`G5?zh~HN-!mcTDeoD!
z2HrFLtG{RbSZI67dxmw}_l*BE@}A-A)`90gd0lAeJ;R}|`<}hay>@@W{4Y{?4Fiud
z>ZE*SuTxKkN+mZR5alYPs(x!EIAuHBTu{`&RgL{gnNxKML7>XW%68fxBersDBN%qZ
zIdX&rChd>&%^6{G?o=bcK)O>OpWCs&bUwyr%fO?II_diD#B9aoXeo2Hpxi;hGDdz)
zQRaZBCPy8l%&8L8V6LgoU$)Z;ABE?pv~_`DXIK6HUGw{8JMAwNL2>^;g|n+#LwBMP
z(+}o$+MfnLHudrHsOO$uE#G|0Q(bZo?%cx`+DJ<fgiWv)l}#+wWq3Om>vB_-&KOB7
zK5}dPU{%TN##wy&3%>l~vpvoVrZQ!0Gk&(@HJR1yGX-6>YazVgI`8GKqf8eME;9?p
zgUfj=7|(OJLXc7I#UCkI`7)%MTQ9$G`QY91d*r8;pLAvZeuZ;KMoxaf?7PAUsHnAa
zwf#JE`|gljIpLv8o6^gkYX)I)?-*C@%HLtPlXnHXzS{2!>%af{=YP(Re^uanuVRe?
zA)mNTU}09kOz`oEN8+qeKI02Rtc1QdGct+mZGy)g+t%o|p-DrbD6yhg4qvfDu9H|0
zXu2L1pr}Y+5!rC1eE_=@os4{F@fcljg*m2N@qp2%+PoHr7NuDPnUfSj`j~meNw=lA
zB5<oy!Vz1_ttpPQyXw=-Q7+lKCyWeAkZ|ec+PwHBzURs0{~9k|HuLxVJR}qUMhO&b
zuk=UW{x-25dLb`RsDRtYo4p19b9EsRA&6-($(SaGfp_hLCirK&RUo|-rVG|74+apA
zyQn#PU-JYvRG**$8qf6fUf>bqlR=MmBT;yMgfwSwYl3f#m@AO4!d-43HjR1w=xda5
z^dVX|YtDa&;4`L&2wUw#1gCT6LqvyG>;1fk@?7zs!ei|}L^$5#e27N8+6BA>!jH-;
z)CerRvT?xkrkRTi*9vMeIjJrG2fg_0RU?eUYnyhM$c593$LmwPQidUwS3E(a*<;Q`
zlv4eEVT#PTc$$FZhRWdHbbLf9RV_lfB;)!uQ3WnoUQR$A;b`jBaz~R`FoYb)Iw%n(
zz6FVA*(B*Fx;LDNvcC!MzAT04A#KifmgvUJah58%*{fg26{Ab>e|-O&zBWyE(7w=?
zGvIhUke`Z;`zvdnQ9)*9sg!LC#J<R#svwdVVmv~SE`<w%Ct2IV_n^j7+Yy3vDO~Ub
zmI6s}U{ITR3L(hn$pw+zK{*%?w2m&~yAy(RDO}J>@o*^sW>W(ff_$W0@PH;5bty2x
zu5C!?Gf)HpJ~OkSQyB80#bg%AEw~hFcP5TqaR!{wF(k>V1IF2_&y^&ZZ1pu!1t*-D
zee)y_sDHSWxkK{ELGF|!8G0j`Z%;{;p})$&$A0ulL#XbLrGSceqW>E3H{CYq8xlae
z?RlQ6h#Q`ad{W8QE{0Vcu!%Ql5#{JjGrfCO{rYSV*|xNEHkqb|jaiU>VIma$P&6+#
zuQl1hp50Kt6-#1{Q{Vs6OCeP$-w{CXyye?+A+_&c{+Zo7J{o{tU8vQ*R`fwu1rBVh
zuqy4VQ!g2(A%Wez-}&_}e8C{<>yeyf?Tews#5W1khVk0F9#D{xZ6P5fXe68?DBiU}
zBm}ee1qlcz)<6*BCE6d8weKD!0HrN~g&5PmV58DDOiZe?e%3g2rF}chb%y>!Ah7B{
zHPYxmCZ_!7pa4LgMUm`XAPHDp@&xu3tjW+utA?TbXKPB;DbX^i+iTN_L%hYR1GBb_
z0HLJK@wF&SewF`g^y!VtoT(lK85|y<s>|fTYIGJJAiOv<aHk!>k5wg7)d{S2$UfpD
zBYgos$u9TVgL81cIgyU46VSWq2kX3qIR6H(B5`d}kD^RNJqr5gd_;;p%c1z@smCjG
ziIGXXIx!h($y%`vC-h2w)-b2VkFL&ic;AwseKj)@&$~sfiCGXSH-kv|?f*;n{(ll?
zdKi&XL44a{tYogV!iqM%HL${h-0eerCGTp2vk@r(dD2#*F0<d*NZBh8Dd0g9dyY;W
zp#sR|>Qt#mQjM@trUzDF8iuLNf|7T&bHu<WChQ;x=c6tDOQ39mW$X?y(=_~fMa?Uk
zByhc02`&OSft#^%$Us(zl+6loT{$=}X|rZVq;xt^3a1G^q877LVS&3X>hH29_%Z79
zoHXcRgmVTk4QTSgG(!nMHJy{zTg4FM*oT)=_BUJkI2XW2RCb?b<)0n`n`$3oB>0ej
z5dX$?Q#N@Z=4mM@rKvI5xL!ApFe4<R4zHj}d`&R=(}<#LtK_lZ1Dw@<(*w~8k#0KS
z38M_~M&*=nx?Yt>o0x_tPEIoFQYdkwj`klh^7?K7JAkW;fhv-)2JtSg<+UOr$FB?<
z(kmmP#pK^!`_H^vw3z(IGx7H0mtXiVzCE~9hsVuZzbk<G`_6h%Y)-M|MQ!Wu5y39Z
zDFhD($-EsAC?nfk2%uf?fIb;Xpu!9ZU2_(sB5*-#*4Y4adF-u74dR0E2$(=clyTZh
zpU}y_GFk)|L}?D_J(yjv8X1($zJef4z6%z&q(kjieS;9B$#+47_?!*I*qG&Bn&%J{
zlV2pAKw*!>g_`FiNt5rA-4>WU<}f?p{@Q~}WL_lEe1dCEN$`cXN2f#Pl4R<a^X&E~
zYnDkzr$^?J7-F<WDG_TTA>KQBzCXs#ir<cl)H`~<L5CgaqvR@~%C2oS>F9LI{OB0O
z$NVkYtB;(T&|J@ai%vigN_`zS)mn<un)x0PH7R-K{np19horzK{pgtGKqr&Gh<v~K
z=w~@b>Tg(fV}x~8eU_ojaJV*)<Sprm01{{)!UNJnKNi2q4Q~5a8hfkU0=$Na+yHEU
zMy1gYs_JhO^~bj02-gB{X!d)=(ND<;Zil0y1<LTdSbxO#fvQuSS?n*{X@8t=MkTT)
zSG7&+$bqy!6+!GTK-{z`F_!yH_u#ZK%XoHUv_0<UCPU`tisvogT6N}%-~UJdt<U}J
zXh_wCye-0CQsZ=hq3KSW`X$v$oeSEu8=5YRq^;g&C0=zw@G4N{!7N}Xd8>3Pjpt!)
zhf%B=3ECR4A6emrMS>TrWvCF$`VPhtDPlSF#vte+nAKfaB%Ew}=&bDmvI0nm#^|wP
zZIK)t#q_d<fl0EmNMJltJA?CWFL`Z|(B}cI4C~Q#LX}h5!^$EljS@6Hz~b!>k|dy=
z48rb{_Toa*+qju@p4I&UOOWbs#Qwcsly6XXLZVzXJq9{A&xVv_{ODS1rA0#Wowu_3
zMfnzWV35_{z6YB~E1B=n5l@BKu06o*tv#$Pk}+ArB5iTst2JK*7D+;CwKPuFQ7lri
za1ZyH;=>z3%sy3ght_4POB{5sh}wrfStRe4s2|BQkj>fd#Uj0$EYcFI-(IY4`f$Q&
zXs!B^p1+y&{11Kg|NWcSL1C!SQm>*L(Dd$p#p*Dei32*@v^X9ZRB;^@1PVbKQVJrn
zrk%{dQc2Idpa-oOsbWJgVnteBKQsbRrSikPir#d18eSJXf*n{K9(XbP_Rx}^w?kVx
z8{RYW3bdyA9K~z*b5u8mh-`#yjUcVA9~w9;!f7eEk*2e}qNe9<$rA>7h`)2#9c(yD
z{Ok<-y&E%1Ffxj+W3{HF=l%D9FAQs<-VSG!mOLaM$&wd4+9uxBOy&Z*{flF3b7ZOJ
z77d<UF8_CxRlNzBSevVj6K*YK^r=vpFL;ECbIRzNV(;#F0$!g~Nx&ykh#6rY@tppe
zO&AD@UJHR_FW|)Tt^HwC`St(FFaLXgI9f`S7R;kcXTk&iQR!bv8P9+qGoAC&x?s@1
zPEp3A6&GnIg!@pU`oh~92o|Y3eMKA*07PhGFa}z%7=qNDE{N%^$`cE2`5p;9b*BrW
z8-V3Sk`(R`jxlD56{$OmAh_ox5W(a;1G9!8b*Bs3uV#wXJ8nWybf-)1m3kJ{A<!JP
zKU;KXk#vCUX{8i8`I1Xi>5|Cs=^QHLfn`WXC0X4a=d%Uw)sUj;JZai|O{A-}{EM=a
zWm%JCzCYGnfnT(CoNAKHHz){g*BYtDc~0thRnCTxLVJa7_j8D%G^sD;gQ;{+@VQE#
zn0cyuy;AtnE7iV-Y5o_rzXlAY;HH#+YwM<MJV!WX)i59#wFKC2Gi;KPObI_p;H3jj
z6grWQtP_^t*EuU;DZGs7_()h*4FeP8MYv#<6%hZYBn*k=Li*zDgrPm|6S}vj+L!R`
z_QJDyx2QFbOL%rO;n}ae_=!I@&05SUl49qNEbv|JVu1FK4gp8Qa1L!Pm~9x+vPfP+
z5EVtK)rGxjTnr3+(efYK7&R#zCM;VpM2^G{({oTepFM}{V%R_&I0TrftJvjOF}oN@
z1aGC9iMnHtvp%~R_yq)F)68nBGyj;{#Q;WJ0y)(6tXbEOJ!xi(<t!i67)IL$zmR_$
zY}qKgg%vB-)IPLDws}CNST8>DVEMoJX6O?o$6-qgYw>xoDRDwmOeHxKx26kg@#__-
zyx7{y_uL0xM<({Tn!V%2%lEzO1E3#y_QjjAwcq`HKlW3<9CPaxHtwlV?^&7O&Ka|!
z*#|^hYil4%r>*rBftw+p13QmUCT;{7F1p}ogD7pS3y$`E($=~ls+w1~RMOVE;AlrB
zZEX=8ZKxyzLP(A^RI*6yk~h&%sdhJlUomrPR)>0oMr>m-$}VI7;K|P?s)Z6QGfGAV
zuW)<kX`p36wx5Dd<$4H|2%_4m#|Cz4e1xV{w38ug;mGHXw0~4MGS0Vx^#G21PLwWa
z_Vjb4WZ`!C9<J84<^|Y;d~TFGBR|Tp%ioiU<=Y623Cv$Q{l<@cS!g`1#CZbKaSBJ}
zn^F8F^;5<v&~b6W(wi{^tK^k*K~6oAz6az+h3Y1+NR8)$=r`aHDL|2S+XgmQ!(!0h
zt|N4TLbM@Lwg!|fHJ+~s*d=Fd2?$a0l5yzy9=^Wu#>`hK5$Xg{Ev_8OKZIZX$|{gJ
z51v}TGy=uzvq(-Ch|!`m01r@wP}9Oz(jASmL58hr&(ltkO1{b{wXF~GDra5ACnF`;
zTIhePx}>up@6YET@6YY@uH$?&V1xaX6{1fvV0r&KBStHjRui(H&>To%0Xuwle#{=*
ziC4RqADgQ=<}E*@Iez%3-t;ZM8eLtwP^&qt9f+vtt<hoH5%E@L&5;0gc+(pdQ)v#A
zY!zpXs!FSiD$Nmqy8WqzVbNEz6CtN3pyW_7-5R&2Ids+>#=1ek)UGGUN5NMt!^BZE
z?xC0YloY`&N8chP0zvE5ipwK!mrHV3u7b+e+QlAP%euN;(yC2dQ)kark}Mk+$=ycR
zgvzw}<mu@7?ieF60d;GcmK$qM>P%I3nbQ=Ert*&oy<9Y=elY}F)^$)u4*ga38qu{P
zqx-h>r9ocm$gmK;HgPHR3;ypJ*h=|}>n(p*C^)q0ZRzY1=PK-h6hM*&<?Ea_`O+31
zAuBBV1A9}QUAEr2>UJqd++I0i-YsfP)>4kRnH0(DpMCyc_?uH^|J8t_A4R=qv)_#&
z)U(+K#NujzdN%t7@i2%_r{8GL_yj&Z<LFPL`1EY{NAT&B#+-&$O>`tublYtD!!%w<
zzZhaCcPs&!$p?+N?&^sY+o}NDX3XU)hk46V&HrTAfS;SMn@vijh{%n%|Ai4>z+yKD
z7K0H_zCF-kxVk_w3RsNo*+3|Cw-+-lMtm{iuaD`BU-FfI;OBqSXHCoqGPE5sA}EO~
z(l2OHq+F@23eH{z{uJ~`YwR9^;^LcNF}VO}3y3_Em`HBN1q&k!_#4|pOBmpX4n`KV
zDNF1ghn8%QuNaIhr{44u8gbk0ZenCX?{TvtjkpU2Bg<xWYR^$KV}6pFvOp?qy+*e%
z)2DHg6(h?LBVMEsbOOFpa~4TbAm6rTVPrX>2~b<dl4QO+g^>kN$Q~DsX8(OnbW#I9
zPu3bF&D!&<?vGA6A&O*W$yPu5EEhtouA1&L-^4c!Edl!Q^65&x7YMm4!H;9k1ip8$
z1V8q<Tx7h7p9HvG+;M0;gzD4_|I7V{huFgw;e5jKF&{5hG<U;u2JU9~U*yP??L}`;
z8WnWdSW3$;J0#QNez6Co0<bpZ2_(;V^T?&=Jq;Ct_o|(RY0dP&UmTntk$eR0n$lTl
zJ6<R>nB5R)i*H|zYE;l^bHoqfBZt?weKizM>AzjZuX0V~-r6@^DP~NvjL!s{taVk!
zFH(%9OCG`CC`U*7O<4w#WP0E)kRT(i5i4n!U)EetZ4|dP_v<5h6Yz^R#6Q<pz8V#D
zz-&>Z^spwlui7h*?((8)E{-P@Eo4nF7--HjooBvBc*nuD%cE~GOujn$nJ*@o(sOwO
zn+{_tFDCwN+jrmPkq3{uznJ_u_F|H9-6@uaUQ8UtNe1{aUbA?O;*%Y`5Hn$q_Qk~U
z{Ke$Q(HC=suU)4OMR5c(gK#TTv7tvF-cAw1WSCo>A_RVbT+Jc>c*PT?#N3gMlkG^q
zacmHtt5OZxVk!^PbHP^t0X(v?zih|;()<~lI&~<P(;-87IWb~sxps=s`gW%XadZ!0
zgXQ;QicnYmejWT1CoJ3XgFBorv{&qZ;_RRFgCS5|KiQ7`alvvnR3EQWUcyWz?ChJE
zLX?~ZOd$f-J<uf{S7CDp+wGfJszbS;l&0=su`bVMy*GNWs?=0Q%oI>guwk)CRZLqE
z?9aQY<YuqVgx{Rivm7hn|J@({w0Hh;wD726^*WZRStxTrP?U6V(;KLly{&MXS37I{
z2vuGA!sxiMX2o4^#bkPr0N<iLlI(;?+_WY#`@$VcGh$0mlatDK<fDf_x>*_NL7Zc<
zJ9oQH$EHsTdi5>@li49|iSq`b9@aV|r1Ee@FQ|EhU1IMHB{2oNHKdg<j2<8MIMK({
zM$&4{^mwB?YzHDGo+ikXTQgp<6P780_(6RSH{YJax+Bpt&J$!nt;v<Tvp*U!0UV6X
zt-;Uk8z2mO_E<3v04-!?oNM<DS4k$mz@Ff`x2}O!q3wEhtNl*a#C+EN^{nm@(9%+C
z1cjCnL-@^{bPd#vZEAR8b?L#X(RFX#Tms$Y+!j&YYp8oDY<zrD>7lY-$iyd=e&liD
zu47uK9oee}x}?mANyGOVgoJT8Oah!}<h$|?q6osIG<5H9C(!|v_6IuYoQ@t)2C;pw
zm?U->RW5-}0ZGT_0~IET6h_%4fYW*wg^`2;v7BBWw_*3N39T1qsj>t$?Qz%`HjA1k
z?l<aA#d4fQt7g$9`*(ZUzj?Q4Dd9aHa>jSQ{ox1x%9O`b92q3_WP(aIzuDs{n7;#h
za9UfHO{zhB;D@en$m4-O#1><kNlX%M3~EY;U^J1nLBpQ*LhbDF*!VU&GOJ#sovmph
z{)5#u<c?cY*z)Z0U_7ADBL)VlImyc7iD}5&wILJJn%Uz)#}yc`)H^ZK!z7vS4(XyL
z$#4Q2be#~udFI=LzA&Wnn2tbBroEVz#{+83ohEJ8yWVB5Js$LDsY|+2Vv76_<%AX7
ztTmBP>haWbs3*OX@IhwsM)!S_SfZpY#*-H3mL}zpWfZw>RRzsQW}tF==YLT@Yp~er
zpk)7|3E9<S35Ni#<^+i%pS5JcTZaY|ShwoX2zPayKOLG1!KrDr<k0ZtkMoz7@7UC&
zraL4kRwfMqcMskZS`<8rhA^C;#a(&f^1e7+c(f3azb3{<EbhuH*_8F-hd=i}`@u;r
zX-%h)kh6~;rjl|*jWriT5Y$g<5bY~#$|`~#s4Hn>QJJvPL<ll2b3wp<G@Sz8XBl)C
z;MlrFfyEF>r;sSQJ#>XQ+U|4^aEC@|O_KPbXBk6@dDY0}9Dc^;hzPBo{}*v`?<<Nl
zU(2Q*VF-vbX6^id{&#5Q_%}+W#$&f_{`bzkrA47KaGD$n11H;I(dzhJ{ZzMa_po`J
zEecD(eR~17sgFpI59UOcfcx<T+@JmHf2_M7m|5-d_7a12d^4R0$Oj`J4CA5F&m0xB
zU@lmK*<*K*L~a&jMCXE|TpzSxE(l@{0zb&Bh0%x2p;=;ZK}7CszCju51UuRY(t^35
zR-(A#8o_=eNT0h1u2Be(LvH|nX#}aQiXigrFrMsP?GSy|mq!$tOM)qxAuoAGR+bXC
zukM_0J=K!cGl+^kbQ8wED)^Y9$FPU-DIdI?QGDHeqQs~jWuI@={3bxx6f5?c_s|`e
z8*P!wK;v-UL5fq!6mdID5iC<R_lNB<=a@3YTd-CU>mi+ha4a2PqqjJ|Y{&5t45Je|
z?T>J-s>e!pI@PnAZ1qCm@$BVG4_<yy$9u2)QG=#x7EAk~7e4s1np4nueCWc<{57}7
zO%r&0*H3@&nWuuwSljMf0uK-lBex4ntCphX<)Ec?+KEwK4q93lv?&jW6#<AOXf!i@
z(9#ycu?g?gk1jZyz~hyi$KJ7`Exf~Pu!c52TT<KpbGqGzjsvj$YfPnRC7IeTk{B`p
zZ~`Sc*r&dfVuM`rQAk0;Vo&t3XpH+vHSIZu`le*m3poZVZ`|fD9jP}sYfz>MUZ-8f
z9_R^^!E9<(u%1y5XF%s~*2MB{>_=$SPyU^cKliJlP1Uruaf{8PLEHi;c<aIExaEZI
zI6!~kV*yOn1~@rxu}TyK!7$uL898o=NC+N(8x!QX1!!n06~<P3Bc=C{K*>RD3(*D%
z;Tb!ueJ)%G=C}oZqgLREy3%@82<EuO#?Ny_kjX=zW!A$gZb9jQ^LiLFfs<G?Uo0uw
z)Xx&Xfmf1}0A96{)TS<Z*kSy;NTLc!7*mcFMVq=L*dCRNpaUJhCMVO;^X&oP2qB$Z
zBjBmpUzG1pherbT0q2PUL@a$)N6$BCzgqFSQxZf6mE>g1rYhR>`0I<^xWynvTC|O>
zG+Ij0(ZX)bd_{zVO`=pUPozc#DW$bOA+=AhxK;v>r4l$pFH@KMJ3674udVj&?VVVD
zNbTSM=HKzA#Db>_wQAp5yb0t)vsU%SDzl3#76Xz1S3u?42xh$krH9d0&?{&Y6Oxgv
zEwWm%6{-G^xoTFdv`S%tUvFi=bzU*2s|pGHX|<QB)mbpB{orc{Gj02;(=!2zn3F3s
zLQfji$FjR$pAN&vRqeAh)k+c*?vm&z!OxY%mY1&Vt1}W?^fV<m6fSTeH`RTd>eyDP
zfq@?Sg+n9cDE3cAjmJ(7cXj0(Qm{0cs&aBJSk8Dzut<-0Z8yYJ%r)WhiQWZ8!bGkJ
z$)tq^FDXa9r=`2#+AMhXv`(m`S3u)^*@u4aeZLSTX1r#o_?EPRY{47FJ1vNPxUpy(
zlgL!RGA=9K4M6=3f?Rr=@JdZJ+E-R$E`k`=Ck5gC9>wNnMM)djp^qE5qp~8K02)C_
z8<^l>v*idRG;eIT0)<B+qMRc&o*x=Io643WJ~4~8S&<sA2<|aqmr4hxC{u`I#o3;j
zyrlZRuPsq-e@jzY=%DgO`}^O#_WsZQxB0ra`&H`0_xHa;>!|h`4yVocg121=o>mvI
z8wE|R8TG7Wng*YiO9E)Eaizq!Fujy*0v<~4HWTnfm$4xdKVPXup))J=c<W-yb~=Ar
z!L%t?Cp<0z)1MEgvgh_(9kUdpZ?70V?-sS5=|bRiGXzfG{Ow=!-5-f!w9dYATj%ra
z2x^ps38)OsA&x0^OzOCxjXFXu9Xtc-i-(|i4T6tEAIEmfSq)6OZ!H5Hg0yvIMGU(K
zs2c_ctb>|M4?)_xA_#6+F^U*3Woe=iq^)zoZog5+SC}vh1459t&IR|!6Q^kGFys()
zvSJiNklwuu0x8XQKnUXMXu2W<6;)$D8wQ?f6-c5-O}+ahDJst;F|AqG#4N#j&Dn-l
z?bX#OZT)^DK6uYiHU?1bHCnOkLo{CNDy3<=;!<#H>VKO>dk3Z5kWBm=B~li9!0ay0
z{moNcr&LFJi-n;Hh;Roz&rNmMBfl8sR1|&o!>K6x?1$69gE>Xf)9Q|jd0q9z2bhKM
z?7Ke@>i~NVsTsFOss!_H(PESz4?^s@&)9$UTcXmU6(KjuUhp(>55|??f6<stVh`r#
za@`sedf}7P)~-O<+X(V?_7(TQTnYMWk6!C`MF~K4eN<x5XDs33aW4&2xxg&}s0kiX
zHqmeqbAr{1*}-s*5`dcEzB|!+!!W{pTL4;qj0B)AxZQ&xUW_tgjpoPDDEp5=`xzU?
zX_VL7UNxG*hD(-|8yH&5yNQ#m>ee7$F{2Eg`u5<00P-~t$|ZxNZ-IGhPP49CpZ40W
zj^dVd{>QbPZRm>~l@Ds6Erc$#Nk*c4_NXZuT(kMli>FZReH`qvv)(1Q^ZqyNc6{>h
z{BL{{pY#}Yr0tP)`*)#Iej2^e7^9nV3(9L02~62e!8Kpzv?+dc#M&}h@*1(WzM|)v
z^|>a=Uag7cTa(1X_2+#3k9^&aMu)5B9oq`VXg#_rTx)0Ka6Ovp)D!fhWoF(17X*}*
z1G`xhL7`ccg?$Ar$$R61P?8#G0EZ~yRjwZA3I=qacB^#YZflFOxq`uQeiB}twwOlT
z96DDp3Y#s8x5DZdHIYd*#W!75$o*1~t@)%Ll21xrf0G-ck_z=8pIm?V-{$LTwO*kC
z)KOl9xL^7(^Dd9f_})`f)(C9(@&e62teXRbfC(gZjT@4w&;vG}1KGytXQOGlxkqAk
z%9`L)QON^v7_DS_h%W#<L#Hs;+~faS$@COoAiYgn5A_1)p(mLh<BP+NurI8Mr_+cZ
z<1v;-U_VbZW#Oshn%j-E49CNS-<}@i3p8GHAQebbkm;_Ad-ESV5PLZupnmMY2bLc@
zY#Ox7pDF%ro~!)Tz}N8%k)EunZ}FdeEY#3rhZDv3bB+)vMQH@YBiv-n%vQV}l1t6j
zg5FSuHe-}<mCAj6_x+OBBxmwLe?{0*lnaH+EM33tuI5HVYcQYnw1WiEVV<!=w#VdR
z%UF7n$yKR*2vj#rw!@x-i*0*IsrW6p<|-^b1nT{{o%YB1=KH@roW~7^3znBt<b823
z^lJI$Tb>ePI9C++u$9}Wu}Q8gNs5628cXdm3Cuk#wqw;whM=!i0zb`H=l5x|ay1I&
z*sF${>^qM4cV7~IjP@bBA7fp~z#M~*lejuiKuNDnCRvbNt#L&iFt=U=4+L+(1Y|*Z
zKus|5^rDxh5u^d|Ln94JwT}A*>%)*MuPBeKA3At?S5j`wf|9HCLnEOK&xo*+t%PP-
zP#$6vL<|g&2nE;hLYqTNuGR(DJA&mPxFMxieU6%|^^<g-UZ#QD>mvrb{O%Q5RmGGe
zS=+9enL6NX@e1MSLu=-{V>~LvQSJ~zH|Lpe4`NaUDIPI9t#|Z%f2<Ns4mAbf#nqf;
zOvAQSWT11Zwi?jgVn3AnkwYvRdMY6OjGuFPu=urSRxQ5_Eh51U6r27<(Ai=_#L6Zm
zFA%b16_jox6-W_gRc2(O!>DEBfG)gUHVzrx80U|e5_Dtf2P^SyST)%Wt0I0~+LYI~
zC~90Skd=iZuT=Fy??vj2(<)-$=b0}qh6`Jf=)EJ~c_O&}>XpeQt3r*FU%HKNu?Ola
z-uNfp_3M#Ko^TofWs<~ZSO(1{yQxEt63}gW7XwoOvQ-Kmup=^{js40-DR~#3s<4Au
z^1}}u-o?Nafb29Jw#Sv4c=RzV#y(ceP6H^;HDHC)U{eM|FgpzewU6#(o8T9MmD7Nl
zF)}#fhwK60Z_KthqwZcf0q1dzbb2e9orW?$5Se5FkLH`BeYm!!4d#Q>fbx3p==t_^
z;21}~o#dl^SFNJ=N`$8PaqW3#udeW~9oM8CZ+?4qhjt9+adbRxjl(p{5m6J~FKjaw
z*6&6DWGawd5&M^Z<gH)a1cFsoA`iI-_x2B-4RCiP`y?3)Yf=7)JF*1%eBiP>BCmRK
zN4TB*55C~B*#xO{uC6nm)zrd-t-Cg+b>8wrIq?7V3x3ak@&6{Ak7$f5W5)wj4fBUt
z(+or>i1bMF*a&7lleGI8v16;WvzAHP9kWiFLuVxu+8xThRb1H&6zvX%j8QX?l>5rM
zysj_<C_O5>G5|k4PVFjoJRxcm7%@P-J!2)wT{{HJl{(TI)~Y`ZCf}W+++i{$xtnie
z&GNY4Grh_vbJJMqvlMYC-kKXjwcJ2bRW<7)D`D5B{#TbBp5JO3cR&S4)Hn30QN5;u
zGtjq1-U^jP(nJ#Z<VX<je@-aE-k!%*fpT9(&VhC$+``B&_L!yVP9$9?W9w5ShlFsI
zpPVQkf_J)3C?j8d4zHus0^)S^@<b#kG}cQbSTkuX%!$vwD2P`=vtNGU^1-`7C@B|3
za7_Z(j(9l92nER{u}^gt;pG=w!V;QI3JOytKf<#w`JO6f9o|<WbeeYKxh(riv==A$
z;4%m6x5tL@fuH!{2S1Tq07<Gls>lFeOtf-#1HnR@9)eeli~&?J4vn*#2=zq<5_b6H
zcv!@3;sUs!W?TvNMMk<2lt|D81Hp?X!bVVB025>(5<w_ulI)C5Dp0iI0+`?t-^)3o
z^+u*y<#QAlzyuGwEjwy}GDhgAW$;*0B0*nqv!l5Nt_M7jEgZRm`gW_1wOAsW(1z3e
z8g&8u=V$_#NF_{qXeA{Q^rIiy7{oPqo8}5lnrk(GUI&fXDI$)6Jg(Z)x&yGWk#2hZ
zZ}W9p{#K|V{iPc))61;Z^Ag75DJC;5?`oK7A$Lx|;Pfh^P?x@CRj%5co!iyN{t6|$
zjBa=ma=Wu`Q5%ph%{w>KymRqmU;3wJ6ogmIa%w@ijn#A5SQUiZ$oeq{^|K4YF}QUr
zhB}9~IjB#ATUWiRXwK~(Fd9)zKW8KKa;Ace@r-RM2=}rhRZt&;TaiX-liyWPUk0}#
zRPR;mtK4oufgQnf(>u?6d%76hy6ue!t8%*-$O#NJ^RP!?x8Qli)tvMvXz!D8Z<wXk
zaX2drD~q`o;eU0V;pQUsXy8@YhiFN<+6O@fR;7D$Aj=fU@fnaK8Q7&DycC3|VoZjI
zuX|F=>t(SQr{QLthA;W1cYN#bO$A}`;Mz2R@%b=l*Svj>oU1ed@K%z)K7cxcS@tYg
zrvXUg!+Zf|A}fwKLhKYfc%B=AJB1PF73(wrpl*@|K;ulCFR0Q0Xr+}b_W?!g_Rzy=
z0M18heoRgSAnd{Db_U`c9oYz0X@EUAv`zA4K<oI~O&UN5kFe&zl~kv}(g3KrSZbl$
z+*EIHGUZoy4!Kn>wm%GQ{%YXsw0sMS4oLKH-hR%?^?9WJU{445U*NWuG{Ea44KO3i
zz_`@2mJ?ZqHiTtg(U*Mn*M06k2u-R}6}+Ze=E3aHt3$nq=`F^O=+j#iTH}J&>cE*b
z=*1CdJOrspT@VaDAVuVgP|X?%gdjDk3&wDpJx0tnD^ioX;2v!I$SVR30^&@~<;IHC
zq%LT~ZE)z_(NL)&NKIM<G2Bk*3-Dvs?Kx7D7Qq7;W2i|x%)3(<OspuH)Fn@Q4B_QT
zVy2HwM9716M3WXt%=Lp|pd@M67}lgUnNW4fQqe`p)ZjWvl6KA|gAfygnl)5PlGW`&
z8Cf7exT-cZFiGb7b2xSye(l#F`K-=!GW^mgCepdolJaktXK*R$4!H^|inP_ZDmEO1
z4a-84>rVTZQvZzn6G1TcVLV{FQl}53c9+oW2174QwoC6klDD|J!DrcS61a=^t8`$@
zP`eC*{KcA3yA-_^&9S|56Uz^2^*4Ow8-M5LMwv`IQ(UWCp9=gwyxo#H0fJ2(KyP7D
zt?q)scL}Yosx<_uHeB$y1rSh_)(Skwq6|WiTB8U8XQwTj0MV(F8iLg7E_m9(J>-g~
zle^|2$UE$U!Jui=OLIFOwR#aO44Nwx^>B_^kXqdZ3xg*5{{@H`f|Ht!8l|YA@<G)}
zlTcDUa_&^|R%L~viBC5rQ?Hm<Dcd{}98)}uxPn2TCPX#~)uAy!0NRwcGVOqurGjB-
zuCLl5!$5i+4DgJrdEqT?MYdBxsDT^;)^Qf&8!&cjbi%|Bh9<%JB^ZljU*r4*qnD0P
zIBnXLN@>)m1SE65Ub07cLUHu;(!v;bdyH}OZc(e-m;BMq<d1&)r~TK@{G&H~(kI<{
z%Ts&-^=7p8v5WzL3%siPwrl$sv<qNwZcR$Ik1+-S1JN|mWX}QcCY5~z;6ehfp@C@G
zJ{Eokj1G}jz%Wu*jR9EgtY<I|o$VuZnT`QK1YpUq5X|<mKu#H=wLeCp9@~$Om5l*d
zZF}4h67>|xG6n#1&J<@82N&Dnl4T44taI0T5W`~t03_|rUJ!hMuNh+iRv4<@xG!Re
zTr$Q0tk(dv)=osG3Ah_$0Bov4+@MJ>LS2LV4=qA^SSSEMHQ^D`{Sqq`9)RL}`2NMY
zxc|`OB&-0oYZJJPf4vOZ%Mf@7&OG-fe9<m;?>86VRPnv<;(x=Dh)@2V|BY{kK2d5c
zGuALKASTt0T41AO>TFzmNT#PgF<GFOMDwue!Ap;QVzSt;q%xV;6zgs~UZ6XLeMWE(
zkmP6|5^0nyl7;gEDG5G!)tdAPToU9d9Qz?$5(2fZBpK|xByt=0A(X^u`_{V`aBPv(
z)?Z3$LZn(Vsig~aSu-sFob9HsZKPGw*F^poFm#awdsO?2lH-11w9Py^l0vOyb&v3a
z^yuK7Y?XryGWVk+Riw!ia9RQhSYJ=+?03l{`moT&gI~1L2J-ydrSZN<cFb?cl}C?q
zwdTAR8N?Z$>L@QV{>@%w&}NiRPUyj>UETtD=OF7ZCqH_2B3@)H5&v3VWd5sn=-ltU
z$XLl=WC-?OWd7r0e33^OKS&r+5jcPVq5^me;Y32Dli^{XTyaudRG|O_YQ_j|hwr@w
zV??GHA%jIl{tSV7e{RSAJJ2}f*arj^;CV=)00gT2WjpPU^UaJIpp5`6MBZP5g9s&d
z+>ZTm!Sd#Acd^Tn$!>qKt0Jo%-|Te#b~xY6xUt(_?5buY2(&xo19muHygelp+8v+)
z^Z7%d+F!Qg{JYIXmpcM>r;D!o{Sc`4uYZ4s^Ue3aTSHe@$CsL4TtDoP&mR{oZ|dV!
zLNUEszWJ7?y5!g0xrZ%0oQ2{8o4D3x6H9e@iq<_W*6mZi>E7tUs%+GaGk)|J>?6Y!
zPF`yM3>*cGUiS4)?W=Yz$bastVE3`+Z{o?X!kS-AGCI<b%oDvDUcXW}L-|Qp#=#k_
zKl`pQhAXAJ-WAIT&>^{U8HO$`mo~INFRa2c?(~jv)vgBi*IjvwwC%nevc_hPb^tsf
zcZC(LUwG4xef?J@hM7TZz#bmHRq{bCD%Lzo+M*|{PHk-9fR|y2SX-c{4zS%Y;s;=P
z!3M0|lZX{X)%uDk5-BA&+LAR|48e>II0kXd(PoW>px8275h|D5El@xp*K1c4rR{?1
z!%z@4{pwdw`Y?1xJYHCdYwugyE*FjpVvB)pxyGF@VZ@UaS@?_EOKraWZDRfPLV_l)
z1LqroAlpuAh#B9Ju@e`2MCjs%$K;f_i5WX_0geH1yu!ic<dpW2%-D$wP`R_?5u=_F
zs;euS)=W<?sOJ!*a7|?9%@IzL>G8b)h?C`0SglQm_@6Fwl1$I<1vmlJWd<p2g9e%Z
z5W%lZ4-vN7hX{`8%!i0BZ1WJk(q8SAPtn4-^N5T)8==?<CsTRtl9McPVDf5}=q`3Z
zArP-^+5rNUS1H@!mBKUQ>r=d1#Do)JNaIF6Di0pcw~8td2Avbt;DTkZR+1h;EvHw@
zz1n;D79r39>gV_jJ6;eGfyvo7Ny!HXAFLZIe%s%~bu3G1u;iPwohAAr{`T}8nQ)dW
zx!J2<#}%VX@vi^r#ee$6;ZkTypqDQ8aUe_=w^?8+Wy>Uh*ksM|c!&)r(#5#YM2p~Y
zok$mBWCy2wFkC(fb6n11<a9CeH_R)Ov;@?xRT8647YCg$$lmH~$J^hQk}{~v?&<a*
z&^i*JSf^T2-VD&8j?+>Q!ta%CA)jNFE(V|kef*RJS4?~CI$gX07*Zvb)23RJFScLv
z{fg|0^5*UYcvDGMcL%czh29QVs+T*e(#7U>;irR!ug!5a>Ea_Wj`EAP%|OISlc|o9
zXO27-d~U14p!ap|BosZBp=Jg7h8)E9AtPoyv+IAGQ)xCSHJ0IBEa)Rz(8dB_^IDvB
zo)#_2x@s;DMcqJOt_-bjwhf-<p`<G;Mjk%X;n=w3D_+f<b@Of!ZDNH^x}{r`au=St
z2+LnRO#NHL9iDWig5ULN@BepSp7a?ufR?J^_DIg|8VbUdRVtI_Cwzvb#JrT4!?(G%
zccFHCZ5baUn5S(PbFGemSEEWTs+5$Y4&^eX2Gxtzqy98deR`a=r#8h?>;uCffXE`|
zBgm_&1hr#8IlCm6E&wvST{0t?c9KpQWJc%E+9D_hYAx*#s)H)X6fkXys%1NZWbrA|
zrliU|_Pd9x1$1p<Sx8}l1exXA{hZlewC(QKUtuv8_oGhTzao^mi~Di;p8F`8yfQ>d
z-}9}1aP!eXfNL(d?T}oEk`|(*fTOF;Ux<=)^f!>}75YJJTrz=;%~KyCOekG|3jH9^
zu(ZEyht2G{5a;qC%Bb`>KAHL}^aH5(t30=C$MNS7C7lmMw-VA~rk5$HOe;9&p`>O6
zZZX9*@mkLk{OPy;`geR=WC@g2!Yd2Tnp?)<Oi>yKvprY}wf)xCa6Ut$0SadO0q$cd
z_L|@*_pyK#TrkhgAx<J_c(k(MKW3EsSilOtVqvx);69cJ!+#7KgRQ91=RVeAyh)Z;
zSyX8H<i}Sq+YgW*OUcmIEX?*8hXZJC{lhEvqhPiNEV<>T68-DfXq@~w-=AQ%?~xw^
z;hb+!Fx#(Sh1&BJIEnwFV7Au|xww^+`2?m2)Q^Zth369DyYM+Aoxm^rKNT)G)|@|Z
z5&x^A!&w9Qt~a}mG!0F?A>}g`X8Ujlm=VZeN){c?$q2AcpEDu=heIQzvb)r!vpdw(
zsriDZ(-G)s$tb{g0zTy$?ub6&i#zfJyCbu15#55NXtBC8C$R%qr;0g9_r(X7?|av?
z@BTm}CWM5VK!-Pf??<2grB5w=aoT|H?ufOcgEzz3KtPb8b}Yyt7-hI%aChv$#4IK!
z1PPOI!Jy>W?re@s2-4iS;0e$;A^8AvagV`**3JcOMS$rxCu`-36>02T5Mzrm=N0$`
z@NC$Gybz>LazPyVBpof_K#^XeAmo^|ifWBkO-s1`YaZS@1M=p^&;MR+&TfUOcqECw
zx<DPG;HCgzTvy!?ihT?;J{+K`lNycLRlA2eNR@oH5NzDe-4pP<)>R5zouRZpAD6H&
zZO)&K>6O8ni2pgDHn*!F{vWRyNDUz!pB64{iZfaa9xfKL3FjL;dw3Uv_v9M9dADdW
zc+bA?xeK>sr9)xBlrgt3-{AelH~;nD_|Z=;22X1iZt$#v0UKgf`qK&&x3FVTV6Ch4
z=wJjtir~C<y(#B3c)sF6X?O{7+lUFxE7IV(An<zH#R<H*4a~8x5YbbExuA_BhaFoh
zksw;xwwUwz%nE`ucrFNtCJi3Qs$j(lGtYt<*#|&4VR&3|XUto%Vk+TbWn+Cg0c75S
z3M*tEegbD1@Mj;Jmho%aP@2IKLzWM295q7B!DI^e3^cA*xO-kA&?ncLiup|DRIFu+
zr!>=0nYY>=LWA6<J$&SRIL;Rh4&gr_A71$n6O(48VItX6$$p1DmFe*3+f#c1&b6l%
zK)FtNda0TU-rv!Q<y%`o$NeY2^WXTZKRl(!3gHs~wnkqXZ*)c*1>w_aeQ4k4tjD^I
z@O=Ux59hc5*FY%0WnsvA47?bQfaUPbT7_mk20ADxM1{)QNR~LqN{{LEN9dA`lG$Yy
zn)Mhu(J``xD`GrYi_6Y>470S!NeICc;kxxXR(cHIgL8ta5uH~`&gUmNsmF#jmTIcV
zi|KY<i@QRbxw7-WDu%R}eaKi``6s5u?87*Fov-MBhqPn-8>Pm{p%xvs=&<KL`0O{@
zaH^cZp$_{m*Z=vq{LLvHw%@M6X2G5aq_NwVH0v<*Bk0Hoq}|bhSHI1b4%>qWS-UI{
z;x~e|4wE4ii1MIETEVQtMzvzrVVIWyJuG^p1HtOmzF6r(g)e3UY+d1KW1?-LXAeG@
zDmzdIH9w}(Vf!t4MMW)=YbalzNgbxz!}1xr(KI9^KWl4>s8(j7H<D=E$M=e1104(4
z{sqI`qQn*@HloDd|NCBg)BhKI%39I$fYR%Gsi2F9QQmv|%3$9;L5?cdU@Zix#9S~6
zx{qa4d0VZd67xfYK?n6jC^0k}8%=VYBONgpO#SSLYMWn8N6ZDmc&xNdY+r5<T^Dq9
zE+6}w*V2L&rAm}PtAef%zTs5hUimW@bgium7G?|e+?-}r(CsjpR@}hBH3x2wbn^8F
zrPIjxZ^s-$s%88lOv-KVcva9nY&c0Bl4$C)gtTAxNd-<{P4qUpuw8%a_O)l<iW+xM
z(f{h2UZS<F=QD_WC`;YghGZbDM60KK(3o9U_2J{TWygq>%scgbwf)6?=l>x|vVguh
z-|n0eZv%i+OuR?f;9pL!K2Y9()T5PH=o8pkTyGK~ev9?ox#76IPS*&>zxN&g;r(9<
zS9TbEYb<4)CgR-2LAfCL3Zv~X8oS}KBcf(Zu%lt2PX{1SZ<>f`852B?O%tIjYbS#F
zB|cPiV48?n0b9|)Eua?Ju_2iEGk%Ob3NE-=Z6wMDhemJksaOFQR0e#kxJ8d~v!Yr7
zliYUz)bM9RbsIHX99yk`NglfmQUGGBHrD^_lGoCiMd_*9IX8aSy_}wHur($$SbTEr
z>VM;#_~hUD-}q+e6Q#zxZiUD>rQ=W@L!u;jj(g>~md+l2bERE`h&cg3WIHA79+j9`
zDrV_~1(1?Xcp`rYJZ_agCFBI=JAUxQd~$IV($A6C)U_iAxB#7de*V!O)(MTo4w)QW
z&*`d>{Nudkhw_hK{3##%BftN~+}=@dHv3fxo(P|@@zt_hg}M!0wKXOgE9p{p6h+}V
z_-)La&2BGzL$Ke7qXzo?=FrLZ-Az**F>ksN%x*7;7Ho>+24s|tVCDA0-y_dHG7%fF
zbF`9`+q+qjtcT9|!7`QZB*H<?Zf}|5h?100@8&C1yS-bCTI8B1RFE|L>1&>)M5%OC
z13o6FQy1@Y0@T%^|5cG;;sW{Zv5fi<<(YJo=*py9dRT>48KU|#+K||Q3)l>R3Sbmd
z&Q*p|E{XlA49{sU0D7=)s6@YHWj!DOpChVQvYoUiU*)tZFKj4N>3+P1GMxoAyS_4=
zwfvAW{o9}MXAW-;WvU1P&mlM-30QF8m7vi;FxDGmMDNiB&F6t2=zBHtwXX~m?t<NR
zgXu+Zqq_ahG(ZUQ4iv#X3&J9(3}69;(xS8r?l-8-h+ub+)M^%_GIhb2B7~_&?Kx7J
zx}arDH+T2DZEw^Pm1z;&V=xhw6m+gIbmv2hGIdGP(MZG%D3}4G?JHa#@g9pLh}UEo
z3EXv4rb&{@)FmMY{5_5iW}fEeoTVaF1zB#DI!m0l>O-x`a;;l++u7cw9@FA-u5SO}
zB*Hg1;m)J)_U|&`?&&9^f6Jcd$K`L%TYgAI{_v0OpPvk{4@ZQMbdwI-m6fqQ+Ed()
zZQb3&k5R#WpA9qz*kDsc<%cVFM;kI1E9L;(Rve}c%>nlQz*4-N<H_n-v0@IeJ1$9G
zx<_@MD)OoT8x#6`uBYO5vS1FdF@Y1cB&>)JHnt)TT?N?34aiSLl7kPLV^;z8X-(6?
zHL;Xdm3+-f#ix445|RUnN&Vhp?8{96c3cG(y%-^`?sG2DRbFsl(V~R2TpV-g6D3n|
zd_dtX0rnDLkJOdcfA_6l^dCn?QR}_#6MFUcS^%G3DVqw<S1j1R4itnMY1&tCj;Qd8
zpigw`)~vhNrEDrZ7c3LqI*d-y@=B~oh3A4X(G5<fD!dS+Pgn%YM7Q<!*g^uR@LaG=
zbc3dC=GaXtr=kX`529Y6id4;Pb78|f*XFY0WL;8@(WsJ}l8I%xV$w?kWf53kT)_w(
zMNj2eRgwr1x)C^E0rwC<RdWY~88O9rvYmpvHgPDiV?Ll*#dJV!r~TVl`Sm=}ZEmH*
z-jE4DZ^#k*v@iO_-}9b`RW%Xhddbp4NIvZdB}Vp*dAKHDC6x}#zS%pE&Oy|>+Z5QV
zF7dZoX<&)LF}-|VPW%;g4=_zK*rrOoN$aCspYbGJPW*LOC+XV6-x@)xqzHkmBCM%8
zdcHfy{Z?oFB9&+;q}ho-)sRDwA*!H3J({(h3gqZkbeOI><lcpfi%)q$evWVAldd>4
z-&aoob!PjJ(~7Y_*G-j_0fpM?$0hmq2+2RxnI-Jf_XCAxT-|-tnUP3E8}n-@HF)Rm
zUjCII3^x#AD*{)ib<!<qyXFW#B*{<|q0@%Sy9iP*^M!Un(ndoNNiukj8rOv&?}`iV
zk4UFU@VV=(aU%qI16=U5f`F`uNLqcd5TqMe1Q8ZWIE&c4cW7GlBDmilHTDF{vLeT0
zMY@45c*K}B4Lx^+<<!5L>edAhP{;CPfFQS#9<idty)KDTh@}QuP_LGpbQo2Uq@GVz
z8+ECJ+Cu+*6dl<rF5SgpHKKg%pL{6aQ2H*ERD5bzj&I_VE`5yLV{#Y=0@(#1`6K`&
z?Gc{v=&oJdn790p!}y8M|Asez#gxMsL^cC{V0KB6x*~xtJj>G-ZEdylk{!nG$j)-+
z+4gH0NSM8xWI~WDJle<<^zvzZ>Q0UpH;PkR_-WQ4sA&!@F^V~DWl-u7V+&jFH&=>F
z)B0%*J=&~TIgIcq@vDJH*g=;yf>ousu+xxBzdd#ZkPLPj%rVer{rKHgrTBDQp)&_Z
zXZ5)!S>2r#K#byAuGw^$@6M$Dq-GKwB<cra64OTFqgCZpYft^R>@3>9xON=EnTNiM
zPkQJfU8)P-U@62LMY!-{sp0hNUz9=?hjFPEk7Ncv@fCmjXP-_KVghw@m(Y^$7(+Z#
zxR6)Yv2<hg2vMjGrJFnN?6}?%bH_5nu~P@mO9G})ZADBk)3P$)B_Q;wD;%OwP0)s3
zW5ok_&Kf~csJ`NUr*7*34czLD`k~L&KOEGWG*B6~tSVy8u&UXv7Urix<@mz;=+U!(
zDswh4Wf|Xwx|(x@S~_`htpY-*ASOpI<wXG}!5v?>*E|=CE)IZ02|Nhh-hJGr<S1-S
z8<|HS+{DB)jMOpE=xN(8Ri)?m;<#C}s0F~&Ze`=k{gxx|kse@3%EU7~Md)Ae{UUJ*
z>^%1<=EK}#Hrg5{y?Wlxe2g%dEI&rr%=9nVv=nl_lX1u7Q~rvdo3CY4ty4TkSh#(R
zFmkP+o^IkXdY2ol-gC4}Y$17C)q5|avQr9Nwo?kb&S(QH4`6<z;Fp<a6O){A!K#XY
zn1lisTV|d;|J;RhfjRvE1HqWQ#%|od{B584%$vfk&<cSk_PwIEFEh_ziZ$nAUs<Nf
z1q&4z00IbIXxl@OTG<6Lu$_bB5nLb|Qmq_<RLU;c0i`Cu33wGY^fUx>!LbxYVVJBl
zAq4rR`HB{F;?Vo8w20+%<TK=g0Ltlj`6DP&G%XY>(rCIMQd}I=NuGcM2m|V(s2EL`
zEC9G}MO)KI(rCJ5cRG^ol<-MFdYdaLahgjW)&O|$=(zYjat1;4y5w=kX>gR>ZVlgI
zU*R^2(R4{zYNDQT^!092AAQmks{7KE0Mt~i&D{xbhYCmUux{s~>VWZ^Z5y2#R9D^S
zMlz4-^u+MhY^xiVnCCI(THQlly6P@a_OX#7XOB(=&05fLsU1PeL{SyR4p|32GQGGo
zEQAdrJnb9=FZ1#s8Y*REu#a!5s<5W<)A{pVPbQIXblQ|~pr~|`t$WtLyMvlQdaY3t
zNZ&3KM(|uf(=P+go_+EDa~#l-XvuGO1|1Em4QJPnQ26d7-+2uTID6^q-th5vOidU8
z`Wk)NOuKgIY!+)3;aG~>0Kr7nMg(Dj+Gs3=Z4e}|5Vi_YnUNU9iYaOXiroQ|-LBVY
zNOfYn!PD50m5k*w^qV*5D2hhoz*rIW@7^r<oOi%)B}oZW9!S78E2gL#3S5SbG6rSc
ztWJ64sQT`y+W_0dCAZsMeIX|eFU^sfvopHAhYhYSlT)j+&Ep*7JV$2A%;ui%r51Jb
zwWu`6E4{!lv(hBwE#5PbN3=u!5?-Mhb9hp9G~UvXN}l5DevYW=$%$Lk*81+;(k*(e
zo7wNZ|NN)VNbk#d>*2nH^p*nXaR9=M_ITTGH^^qza<dRzD=&8LBFJX;fN0se2vC)5
zOjtIvFvsl3f(1J%$}O*0nc3~ZlD()hYm6BdKATxE2mq7J6+6Vw)uCtEM6o1l=jyyH
zGVnPsi_II%%W8VxEu9}8lPT;Y^Ri*%%0IrhjL7g^Lulv|rN&~=tJC}a4iAYfEg4?Y
zDbwlw?P;r6py~Afjy@e9jcw1T)81n{`sqkUAb5Yr4U!twEpOBNV87LeV|zeBzj3Y7
z6MTX8Nu4);z~fZ=e`h{Mx1ZkkXF(nlrun@~PtyDGT-f)Q_}+K%zacdA$sVI6y&uU3
zWb4s=opKpVdVgD$H@2eoBbOuFas80J-y)w`azWefVp~yzEb0B4vEw}t-uvER*5EpA
zf2Rmer}zKi8{fBn<7D){a<>Ako3(*$8Yqifu_lK_t!xL~3dY*)ib*LWa(1_3k3OMh
z#bLJs<VSUl-v*C)^j_QwjMr*c9Cj;qM3m+qbEZA0ioLZ<agz?ktpJofb!GM49Az()
zKF%TIkhD%{Lj~bQDqE;JQjDDd6Ns_Xx1>?4HkXk5HIvz1Lhe^`cJ^m{%Fle{%O`DP
zNm!%Rs_n<GLT;~-BXiqhA;`9|16_(l(kQZ8A8W+D*)|%HDihZItZ}xDhuw;xXXMJ^
zY_--lhuolo29j5^%hqHOht9SU*k87QF%7*D($O5m=gc-nZ33u8L6D}@MihZs3MgUe
zPv`@tB>K>513t^#YG@OgZHZzSw&)kpoU7YYP+{3!M9Ifz9|D7SRgC1(6#rgVCib(a
ztHn~e4PI!dpC)DKD?blpo}Q*zTC&$Zm1%r<)5*m=gc%s|^xo$nHN)FsYItUWVaZ-U
zp7~mz_kaGSpZlul_EEwgN0B*O)+j?6O{ohOUdRsgJ2Wbp)QhIn1#My%p-%+)GK90Q
z0BE8qbwQi7yunb*{YLv^LXf7^1q(n611Ne7Wi+KOILc5)Q(6Sa7|Li$U2vSBjHc8j
zQEdh!jP@RQ>h}87RA$-_07=BS+QVau5b>3%%z%Z{H9NHMv{y)Svwrk$byVUZLi6gQ
z&obo6@@9}U;^x+pi{yyKE#}*0>>tLaKMw`bVUXjKF7?Q>qTQ6YCVuJnd74<YbFgJC
zfrxm(5l~-~9nzlaiK=JMw9hq5^OkST5`nq@$_M|QpMEi-6J4mMQWbd$(nqj9D)Q8h
zXuw?C0@f9S5tK#}l!_xNwZpJqanrG)REV<ftyL<54f^w_@<~E23ucvStRT=qYfvvX
zht4YXcmQ3ws5NLUZOM!uQ>j#)`NI_z8=DWERqA1l?6j;1ZXw1TSx}-Azdnd#kbZ<p
z#e87F{8W-m&bZ_W-S$$NVjQOsUO&rO>Q9y2Kpa!FUHMR{waXqFr>H;sFdn_AQ0X}8
zHHwrrkw@<}YL%TUK`6b2{_IJhKeGq+T%~tQP!B5G*F73%-Ysek$AZQYwC_MLdEUI>
z|NdY7rvD~UGizIf8((jdh#nSHx#}hfEt|O@5?)M_aV${3k%aM#3&QorG&cxh@MP0=
z(a`XLv_Or}W-SDzdAcu+udjFjr=Hri^~s0<>?=43G`=oqh#~3t=*={y@pZuiN`ykI
zGBnee#@7X{7l>Uk&RiXb7US!ZUDuJo6~{h-zd^#dBw2OEV5BKpG$Fa!j(5etIP(fo
z5}4#_%~@u;7-6;7(YYdDs4=zTo^G@~E4tqqMq76n9_Z<wfd7?~X#U5)^S{m4%_gOQ
z8yYdyGI}`5_u9*nT2Xoge;D?Y`xj~JkGXe6CJCi>NZF1GS+Dm6{T#R2`-1b`C%nRw
zLCtJ0!nvk;ZhSIt`PR%ZKKY@~`+wf@*P?MyNkm*l26@VnNq^4c*jSJdY$H-VG4d4S
zM+T|YYG-tzAt==o6Fhc%a*YtMxRdh!RRk}<?!%Gip*u7LitisQO6=&oEzl3Dok2CP
zK|%;h^~4X20h*$nK{qW72l>!aJuyM_9~ydXja*4{XsMp~iXE|(gt>uQrWMrciAi=R
z8FPwE*J|B6$%^0w5DiY%gR$8FF4-KsB6uNZy_AiRWRqsi{CgCR=jW-!j$Cuyr-I41
z2Q@7nZi4r^_tRBl_?j|vn&*j0(7p4_HweR=2xjF~0_$x1i}D>pwMrW?fju=ETfdc)
zN?)~j)3zu|ld4jca}=563*F^h#b1_tc&%yljUG=Y^2k&3JWgx>B5rHgRuz$ujDhqa
zCf%-G0?B%yxg8I0YGjnRKfq(yA$R_vPY}tW*q_Owa~cV?88Gb+@LmNzlitC6!hDXB
zF_O_KX@8`bDr2^h7e1Xow`2cyGK*9~Jz0g$#iRv*??90YymbO#BHUv|;M}dT87@&B
z8w3YKhMTWasq&k!=B>G1qPm-j>OT2v{<lB)hBtiDC!uvXf(mz8JQxYC1GM!kP9cI3
zDk&Gltpq6>4cPiPO0HhQzvJ;_yfU#OQ>wlq#?APsS2pUioE3sOs*5-g6qpK13BerI
zp@-7qL{yAgW`ZiJD{<mVhg9Z(GDme0C$2VPw(~hwQC$fXj~HCrUPy6S{CAf~5yRZA
z!6SZ7#dQ#oBCBQ16%v=l*X&B9h#YZyiE6Hc5-GyXsMnn7G~9n!xeWtNCh95NFBI~n
z)5N}bSe%IaB$5vo;eJW`f&~gf$=u%$;0iuQ^4E{$ktB8;osBl+Q1Arue?v0yZ<HL1
zB~fZjkNJhoImOVF9p)#sH!(fu7lqv#{I%l4R}Wiy&@Z~<Rv+}k?r2@W=@Cj#`bFW^
z29K=q$0o`2sN+1hq+i5&Zq=8~M;C|3cDy*EA}d)IFk#^|>K7NjQhCKM&}N0x!<uk~
zkm_k9S&%JjZVqIlk_1NYQ5SEiNUlh@CX##c&a3lG`6XOW(rQr>Jv;47A=C`Mrp?sB
zd7>VoeaQ9|@<zgA<dO*R=yPLDB!+rx=6i%TFC7zxD8kr<ea(3<8X8~ni#F7TBM(1F
zue_LCzi8OQUo?J9FB*0!shZ|RgQO$G__2A>u%o|d{P<Erh2<BBpW}szPjh5yX}oCf
zx;kq^S27cDY}fQ9liv+iscHn@c6$L6mK@#Vg+86Qso3?-QxIr($c`?%I<lj_-CTe{
zEgz7tesZ+2L$yD;L~_<;yF0H-WQ+3^Dr{QT?VYF5gtDz1bqLhQuN`&q#KUjj!S}}N
zQ<_$Gn~PnAKilzbPCsY2yVxNzEjw&a7dyNQ5$Hgm-68+}-Tq?NrTur?i(S=a1A%&f
zZl@DIUhHz#ZP#7ws^7nBe!py|{c*ne{_hSKy9(yMlTalB9obI%^LdI*eY`x-xu;jF
zY~tI_1D);;zwJ0I0;6P}A)iZ^^xvI(*eb$^in!^*+^iz1d!5`CKTwtZD%~;#krwUd
zEH!zwJJwb+ELRqM`Nd~1UwZKJgBLFEO9KziP3Tuj6-!H62q((PIPUGLT?=yycNHYu
z^Ur?xL#T^6p~<r!zW<>YKKQcYxt@P;nZJ8*nZM(HemE?Wzv6D?t7<Qvs6>Ww#6+R8
z(gGcMPvj?E8AGm=f~F7UD;J`yA9scE&z!q5ELRpBy0qNI*jjjDwa_^4u27o4!|tt;
z>)d&@-xa2E-}Z|?`z>cwyACVE+PU>^s$EFEX_|EHbe|!Zyb(^X2MC?k2U$?<)C$4m
zFk-HZ^Q*ye&;(!zCVvsC1a3dQD~z!MqraF!^arn=S+&(JC}?%AKn2q}Ey-IX6{rpo
zIIWc~JNs72StJ5c$>PB5W_js2$0{yDq@{ycIxv)LVme7CXYno$4az%l?3}tZNhW1^
z_k@C>09{TyApI)0a?-Ubt_eS=|8(=!uClf#J@r67+rYNYU}3wK<K#-k{3l$&!oIy!
zyUt6{N(dcxUsL;Te@SMJ^zHd6OnbaT`&R^7*+Nu6`^w%Ax6o31m)NS@_rc{UnwO`F
z{MY{HfAhWH831QJ&wlC%qm?8hg!)jM@yMwoq<P3*499Y}>1n>KB2nyGLr*csw3W%q
zS^Z=cS?C&&_UJ{6Sw-6DEm6AGrW86QMQgZLI~|IG@{0|14#7%Ag0)$650!4KowJGr
z1P^&2sxv56C18jZD;0TIqb8)1sOVJc47r3#MWPW|*2Ih#t0JW}vx)>vk!lx5N9fx-
zdcHdb7KbFpTGz@HN6)thBe{WB5CsirM|GZ)YFAWV70<S9#Hti;q~@dt>&31ma=o3n
z)nB{zFX~GRQRaE|EnKi1c7YiaL1L)iM1}bZ6J<Vr)%<5({LD{W22fgiwfch*wj4S@
z=A;RN!Z9uc3FVz<V2pQAavmmFD3*q}5O^D{yNqc@&0(UAQ@q#QbSXF3R<yD6?9N0p
zhNzt@+Bosgk{Lu#YQvmV@dCjgLRY3x-(%K`D#ut+fe3c&JuniYZ~@V_sz;KPDBORy
zLe7ysg-xnCd?uA+aTn8kXi0;`7oitbPj0J(wf<X4>6NVC&iR+-&W4%eXU>u7CGlJd
z4)~%ANcu?tN!w$6t}l9JD&%HqXEme5SJN_0NKE)6HAOqCDW$TnBsI#uqX~_xQ%Nsg
zzVBThi1gGgmD5$#T=By_Txk9IrJQ~<<@7Ij^LPKmm&K4uMXY;FWcYQk?h0NH9ZJBs
zG1HP8Yv^^mU^IR15yCWrG`uc|*h#02q1YwdLU~0RUKcdhUGOLD_TuqpK^op72yC6E
zjWG3wZhRJ`;dMde{guEDKgtH3*;jXFnKUs1>Weq6kHxr^4{lV#aHPG5te2WR&!~j(
zWjBuu&JXB+n*%qSlu9+<z7;!N<T@0BHp~}KWf65MqO8z%1_4Z5;l&h>Sjkroa63H>
zl)zOPN)r@me?BQGfAOf}$Z@{848?$^D#J{GpBX2ovw>32?mQGWI-7x#$HTdv&uwqd
z=7f5rHOT3X*)8|;mT$E$vngNjkMIATk4(AjM{t!$Hs!F|j5Du{k&kDWeUyAWyX>Rn
z<Jo0LJBM<LqtnnvgoHPoUG`Cya;ea{e+@M$<#cv#v=nPniZek>@<r;1=mY?q6>|`W
zu3YxxcFW{2!UfF#&@4rg%q}|z39BU9N$Z18>Nizu*4LQac2!G}QP%B^c<Z85T;K3-
zqhxeVQ+BqIhj_TM(=`F7?JYR4=xmud8B=!dBbl<pl`HAv#bv*Fmpux@n5<R8WZ+|E
zNQuK`XCxS?;XKMdR~atu{4Jtm7k3_$N~$uv6!wQJ^m8Jv^(>m5^@>LM*Pi-~zdl_B
z_8u4{f5v+rqjCX1L2^`)nCI(kTc`p@&!aJbh9Hfy3j!O;ULq8C(ev2WO=*-}5Gg+G
z7wOg*q9yKptVpBmf>w!TK|(1Ea&@IDaezEQDBGrc9?4w5b6lag-5gqsayd4N<W@E5
ztl=q6vMzB*T33n;wBt2outvwO(IF${2n@wMvm8+jB|oS=4Vx{NQ0?y{^*olfU(qUX
zYrc~iU#h@M6?kL@^E?0k$3FU}Bjcf7bidTF%wQHR<w3?|=umWzs+ghFNTqxz@Bf99
zKHIO?wQhw8#Z^nIa!~PH*pw@nsWi+bTy4`pC$=*sNF-{FdS@a?mU?FjYFql`+htRp
z5uGqWGBY|E%BB4J7k=UI{?X7$>O^=Jws;#(PJ03}r3F7^>;p0YR|Dg++cpY}%Wm5!
zFfO}oqqw;2w#8^v^h?!l&fDKsyKOcZ6>Y;Zv*xO%rWlO61EQmKv6zf27qSdS-RzsD
zuSqqhddE5#iq0GU;Ob5GiPnU=1868Q(&)qFxf%1FX}_FC@kum#u$!EPnh|!(khUj*
z%GMs_bJHMm_1L`ShxFK2fB#SaOP@8_oVnSfZ%G<fK<(DNs9BGl@OmP741^t+-&(<}
z$Eq&QwskA(v15sRy8|$Jbr_cQSi$TeT<yW!vL1_o7R{N|V_7{_daMMrE9J+@f;GZU
zpv4GiCz~@pqMgy4X(8>2{H@LJuENiK329erz#Hq|Q#WUpkams8yIr%oJtd^YT+CWC
z&(yc<8B?8izhtG^q3QotKKbB|qL59Zw2Wb*S8f?&L*`{!rq;~TGRB2`4WoMSgI0-a
zHfTQX)OG8Cx?>7l{B?UX0rxa$DlkLsJxtH}L>Fgz&@aH7Le3WSQ^CykaG&W(AMf{U
zdNX(Id1NPJpHu6~-b@=hX6lSRj|oe9fzxvus(u@C-J7}D0l+HB8>Q9FDJDs4yT-KN
zj(2y9Ds*!_tKQ6RhmpAQi;&)G-^i*r6X_~qc&QI2dNaY1gO^**6NUKd==0t)v_^XE
zr@UwQH+#F#8d*LKzh{swAg%g6n{3T2?-|=OvtJ{vnc)GkKl5?FXG?2l1Zhia=F*zE
zL#JjHt5=TXSQ8+NcEw5+K`(_kwoB1(eYw#lKrX$sW-hIn<soTmZdaomN6K+G-!RLf
z+~4{`|NHk(vVdFj4_UxZ8)kPhel26{0*r^Ldt(^8GTENI;_ZrziVXXMycGg1`V9zC
zMlp7%Opz^zoZSeJnscPLSXPAlgUAhn8%%+11nDfgpuzjN;tH*o^{=L{=z`|ka7E48
z<jW(8MVG{p*-eD<9jHkd3|5lVV=mdj=hfd06k>gWCjF)GS3EMYjCYAVst??VT|DGy
z$Y7q}RFErY|GTh&KaBuogPy)1iIC_bWxr)RJShpD(<US2)a@g9m|KGfE{K@hL&TW6
z2}fvF!|DQXzZro0JAds<|Jug_rm8o?ODk>(l1=ST*2u;;0Pef}Nz-Gt2GR?BqkY9a
zQeDD#3I$<w*dbUM-vcIQFdK^bwY{MpOi7j%L53?Uf>ut*u(Msu#`gfKZb>a*N|JD)
zWx;HG(Rrp*Fd!&7h%A_m?*ScZx+1!WTXWtRUqi$IrfZ0p_}PMpDL<!}X*3nImS0km
zE{TH^WdrvFjk-*0#A(hl(~&&5W^=A4{LppQ)MebL``_kk+4S%H?~shH|HxyCJUwWC
zbJ=Er#5@U*7<-^63_%adzu4-<RzG<DIcX6r#7C^YN&2IB<ds*^r%mDx#(bn7p|{Jv
z5)xk(Nngz`b<^n8Uwz}>`r{wFIf9NYKn7Ai+^%6_>tbK7PmMBp<nm#u3Jx)O<nrMt
zlSeA6+#O}|NL3Y(A&qckq@oHZd5utHWEjLaMFs<9D{G)@=}L+Wl%7&iC9xfW&8UTS
zROQ3Mc7)=sR9JI8v?Ay@t~mFL#UB7WdPS=0{*XIL3LvOF)d-nMPeF~amp_`IUcYoc
z%II3}nfS)M>wbvq?L*5uIn3Rm!mk9jE&B{@Go7%<r*L=8$1{h!g5L@fMRd=dHTAb;
zn`eAobq`8SE+3GNc?8st%r=j1KNR)~2_!pIDiTMj%H`wpjgR9CeMj1q%M<7}aM2&G
z(f;R^#iFV!H6I!DYqtN*TYd=Y@o8Up`JwNdf~;XY1f~TN!7-qME2swxyGEwe18-$r
z&3UELP)HTrDub*GMv3XNt{z3AWnGOSOgh?d2#J<;^(Yc8>uL<zV44)A-SdHH3@AiV
z6hOqSL2k>T9|C4pHZE@mfre)hhN--zuqo>*3n%6%y`?}#tTVSQ+@9NQDsHB3u&MYQ
z&@9v-nyAwch9ZI5NohyvGmfTc0Zt@6L_?l7X{}4z5koAJofniXuBLb8-NUs+iu3%W
z<UFm?3v{ZoqUgEelDeX!CUUnV+}A;!IHa_G=r8^IpZo)#T80N`K-NnJm3gf2d#GX}
z-=HL!o|uSvtZ1}tD-AgrbXaf50m&TCLBOtg#VQ%J+7Och!GWRKRWe91bg+*DL$h--
zs2ZBxCakEm%8%Kx&x(iMY<G1rL}`XxaKFc|k=hQRWaG)8_0Fn5yJK%?Y?TZG6bFcA
zrg%`(Y7br~g8*$7m5FBT-VktcF#SBi!=(8#OoPQ~)VEO_OqT?i6h;#9=wN{F9X;P4
zjJ6{e6RS{Q)@#?yH|VfilZ=UyN1MxJha*}m-ytL%G2)5!Ds+wau4leQ<OQ|LRtHPe
z{Gyz&JNis2^yOicQ!Ob9!hid5v(gqe<N){eZ=ZCTL-mKdtR~6vczusqzu%fe>}a71
zlUERVr98$1<^Ob%n{=LK#fM12*@tk{8;`!(<XM41!@V<4!TB~cDpY9Zl+eECtiI_6
zC3^)g6GM_h-*ZaSId14i9L=;pSUf8d3kcK)<aQVc(0g-aNindPu{1$GPy3Vh$N6S^
z3vPD$e_^k{W?vm&wqyUMYNk%VyU}lX3e@yPXWhAnEyM`LUNxK8moMOJhjQcde*Pa^
ze0}6cFpB|N(_7bcOP)3ADA;Wj*A)zHUqSQmVROO53Kx!ohwafQB|?zq%LQ$&9|SR(
zP1}G&km}I|IU-fnBN8AAe+)rt$0E2paV`c{TtSaRKb`z5oFkQ^3xZpfbffq&$Gwc}
z%YuAxT`*JHSpX4-rfPIS{07)+DEk8jkGJP2wTvR!!N`gvz~WSm`PgE<ToUOK5)*b{
zn)Zh3IJlUvA_=x=D0@mWm}u6_cgGAjC1FNe$$WbZAc`j)F;BhbqzYGcXX-me5~^WC
zjf>h-;VYYm^=l{RtF*W-hp)00=jTS9%H~bU=s}OcCo-z2>6d4{i}VI2p(eeh^qiHS
zUF8c%mwb+6-dYAU%bkTMP)m{&wJ6?n!h%fBmW2;ZQnUz+kaG(~zrA_(((`q%y(kmD
z{k21fdCL!l4*&A|zVf&J)~F+6t`BI1i|&;zOtyd;f?ngR2q=}lwEC6nUKa%YClVvj
zYe<mT+g$|Z<#s_t4#HZ1k!Dg*g%T=)qI*pcaB_7c5wy6bEP|qYeMKz~lX#Tie^Eh2
zP;{>g?l6B@^xp=2_>G|GUKfP2hmyvM8%|TJR}|fAf~3ON%Y$OKCU5-5Olqp4E%-rS
z?NCp;t$alKdC0?*Zyr9rI_@0BrDr!=DJ|KK^OUNX<<N1O407*L@)_%EIE40)o~x9W
z!tL!9Zs*;iR<SQ2tD6B?{kb>4^XI;ODz6p@XPBrO$J6B1P-m550152cEDMdJCoeFB
zg^8Q#yjsAvFtnguF{f>SE@p4)oV=RDw!p{Nu1MqP=Ll}WnRzw*TDSxZSTW2JRx~FG
zYmn6jGYv$t2Z%y$iQ%;$JRsncd9{K8$Hc@s4}>)tnE0Bg!fpfvj=Y-DBiUEXY~|Z?
z1jUo)tBy>DHNU9Jt6}Ij0x10U%}!c^tKXh)P~gCKC=0i1R(Z7oFe7ZemCUy&;lNM5
z2U9pK{I_FD#zuY-FnB$re3e(T3AFe{V5O=vaI;(;^%GvHyjp~NrQ1%Or*ruSbg!YI
z=0lNR{2N`V6s~zb&HpZWwWn`1uXc~Avg=fO?8P|OGn!lJnYVmv)!B3N<=^<J@A<k&
zmFYtLO3#kv7WCXehqncstn&gNJV1b*Ro+2c@@bKv9UG-nX*>>`)<gH1va`ZF?ts1(
z8;9gutw=Og=DG=5nPY~ehSKm_E2Byg>^RC<1krTUoMWyc7}YLTL^7(qJe5T{jgfEH
z7U^pCZCH<#+`SvY;$|<L1(i+6cW1wqkxkIGTb&ymk~gAjOIAvi^6ViMZ9J&EJ8eML
zu|Ckk)N2WGAMSgg<8m^2^-!EcpG=v0al-y)tWR4b7wk_;B_TOh<?LY;tK1RH{&_|1
z7Au6JMO7q_vUJW})m3b$E9A;j?&@5u5Y9IbTxBi}D2ymlOytglZXt!4a(O(=L{UAx
z=`dBey89?n5Er$-Mi4qr7hXFvHgEYMRr_Us;}8ApOQCAD`0uLLpa6I^=Z;moanxpz
zzM$Hm=_xxaIIO&LevYH?PP&#ZxQtc1ov~`FFt2uki!PK8>TAv{DXZ|g$jq#B`S~hs
zo8^XN;@?a+yJOq_U8br%O<iA2fe`JQZ3DG!qm0ugU)%zCx`!&xwY0Cj`j5-eowxju
z_Wh0D_aFV_=Y;mv0!OJ!NQD?F&l5Z&I+3V;N`#wBnYrqCz~nITHirtcQkU=*!Q><~
z#fWb`=dWKusq%(+#S{ElIHHt96#JA9P3`M~fWOcgm(r*pQL>=aCG61M9u*7~1l}9|
zYZlBk;S&-m0td&BGB~&$4Ch$Ygh7NYpdw6fGg`0^tZKrTddUgFD0S@iy;68p6GlTj
zYvGW@!^cELKFg{m44^y!S8|2UuHP0Vr24FZfU5qi%OY7d>G!>E^en>9D&~HxZ5auV
zY&{%G3xtk~PwmR_O?=YzM=K=RbzNH9&V$KIhAyc`zN<++UUTNJy)KVSJ<eNxNIiby
z*?<1=F4UuXx$c~nh!{yny#It<9suO16O}pA48-ST9-tsT_op_Qo1z2YHx2j>98B-f
zRF8g+DUUp!2iPCLu`lYRQ(Yg?aGeK0b=T9#r%}Y`2@`ZRGY$9;0Va9HDh~jhjE)h8
zyWdNwRC$0s=EW=O5vhgt0@Zl{=w`v2vMNxgR3@W))lSCNsvA}`b}F+>WTu*DsB%ob
z6&gxD%~oYpj(X^QpbGU+K+M~Rm(uccR*sXQ^ymyP#^x1(T!1bq)|Fs3`BRE~iQt+L
z`wBq_fjS$&?NBL1tY-uvU9MXN#^8MSIXQr2!#RyaqZ$&yeaiPCxX0Cn%OXQh_VCuB
zKfBjbaQ}~f(+~XzU!Gtu;)AvBC7u<79Kz9TeVxj^^z`TfBhy>gt#U6<dtl3%l190y
z#olH25~K*qHVMFu&G`=3t#U7+7Zsxizsx`?#bK@8OGygjrmmc8GFHs)C7|G}>=E{|
zLGx1W;br#{uZB(@LA7$qy<Bt37i)l+{!uMCTi2;ZiJ-Y?tMWl5rqY-qE}{>I!sO6E
z@oA3cnj@npINJqWNU6`uo{D)5eJ$19<N``=_12Jk3rOY`K{BfFCcO04jb1=9Hv^LS
zrRP8WPfUVjy6uX26^0_ap0Axlkx?{Fa#4UEfAT>x$faD3eW^;45wo;hKqw!w6UF1D
zap+40k{N}*R3*t#=u5sk<ItCUdx8OR2>Oyk#c}9MjunFfaR~ZCXQy1Hpg<gezR=ro
z$x-M_1(JCb=!^Or=DeUEf!>081AVZs%3j%y5W7C61ec!IaM!b*+au#%`lVX7gXR8b
zt%Rm%PWq=(G}og=K&kYcbUOOKkT8#E5CIQ}4Dtab!JhgexE<aFa4N_br2SF;toolJ
zP(_on9s9E%Er+jYUpuQGO)AN;oL-(N)k^o7J>%#n!_`HS+@rZ>6Z<kEdF}L*{lLrr
z)tlZC91g>y(9F=_vTT8Ak(k7Xf+=j%wg93zki%ie<_Bw42tlem7X&XWMoTctIc<<G
z;`0!Kl8@9GqIc1oV!c{meb6W{1gY?RMW{LUT`<`W72T{T&!?@3TZ<t=6g+J#QjHZQ
ztzm+D4Bs$8Pv5)X>`1HxT;+qRjH?s!5lWR^a=fIwdm>&$?4Mp~0Ld~4t<q^?50es>
z?NCDEsH9Elh;^NG4|!6k`193_J)aZa{j~ui^OkRe2bmPV|NTGzrcW=d3tH>+9K2&H
z3P1#K4a?8iS18uewQ|8=>q1|o_AiAX)r<=U?gOXZ;y$QmT(ICijz{m>iWRA5T+mb@
zI*yT&*7QsWQq8zv(0Tz_*a}k3xFA|gQJI7)L<jCkRbi}1!{~wmIav`8C^i+P+SM~F
zTpoFzT=D=<O>#(R+OiycEGap7m+T0!qcS|<ifiL&lBDD7l7*}b-l|OF%@a^0f8dfq
z*0tSAJ)@G$w+E#JBkO`Du5ZtI8diO+eqP^|t$uH5{mWNpdcVbm`1XPic`W!4^#ElG
zWqPfV?H6a*{lgh3W@=l1i_TTdOJ?l$GGnH`CT>w{+?LGP&1A;D{F}ew&;8jbGU?6e
zIS6yd1}3}TAM%$i&;g@Ig`)>{-Iy}$IWP>98#UB{*n~|h4V03E7a^D(1kN&*s`k*F
zy`)JHBKhR_fiZiPgK*j_3B?JaO>HF=!{q0PQ5J+xacccay0t4l&CL6G+|nI_Bv|K!
zz^O9P^hJHmeRsk!=^(83*3Ry~`#cjJxtMiI>CBFU)Pg^O<1rjk@8;P5!Ym6fU8Frw
z7zPbpN}EWPIfn=>-zKVBRi35gpOEboM6{6nb9v^P#1e}9=RxvuzL`G*D1fu*EX0dU
zKSvS<5fjOHjAWM6dn`rxL<6*#WqKX&eU4FqkVXsbb9pqM=VYWptcR`pA9~?~FF*S}
z5KP~Z?|eL0{nZ?<=hNzriun>RKDd0}yPkdb2jURIL49Y&EuyV;MYNdZbc=3}j{C3v
z55MrIJ|5V)j+W&aH_N((JOz?|ush&B0i_c|-6Kj@9+)z2?+G4(K~|7m2+G^8y8#ks
zt#OAVCv?^;N_x-)3nUJ-OESGQA6n9bE_jj&5;#W?v}hw+99q(YCRq4ej%&~hHiwq<
zps$GDIB^{SS~f<<SWyz%CP)%4iN>*_ndK0axZO4}0n6ADF%Q&6izG=&5&2mviUtOJ
zjniz-Qi}V&rX^58kP7^_BKOjo`R;T_FwuxpFtp~t?ZKMsqrnE#n)&_!e$C0HaGO>~
zU~lRhsV!yxi#BWb#7@Sf>1$3p8sS?6=0!vQ+Sl+jKrgeZ!jGv))MRucXG^lda_SEc
znMn6=sc1kwF?`i5!rc<&&o#UMh4UfYRpERnA}>21ZOx@&DI{dCV%6<cm6#n0Yt5oi
zRiuG4QF%K8noHh}Y^VKO(nDZS&LTY&h++D{fZ<in69V_T$i(e9J}y|bDVHal4=G_?
zBO$9#_k{JjenNKE@@>q@gzPtb=imQde=+DfG_&iVKFjl>3U&e!1PWe<6=?nN_U0;$
zs17TY0J*FKii3H|)<75~n!}4P+ao}2&<A_`ZOb`T-A74DQKcze7O`Tk`<&Lhos#*1
zWuZMsx#f0fq(q4)#ENJhX-iI`*!>(q5CT2ON>W>Ln$$$9VGM1|m$axFeUoR$JKQ(_
zr@NoaciL!;ax!`4A({9$N+uSDHrj!rS?WHo+Pcq@Uc9~ZqG_)QOVFz7CB1kv>BUd~
z13&V$ABps$-i*GvlO8}dcUo2qo0HAme#OET?IIv%E!H5LJ6amSu%rD@xInT2&E~Fn
z{s6llwOSa5&gO0pl$^G4Vj55j#mMF^n`FQkYTKR7U8c?Lfjh(tas%oC&jArnYd|%Q
zamfPO0CQz~Y^gumkGpFu<uvnKOE3EGo^5N@H1<7zwZ*|dPE*#ifMMz6lkQ__M($w$
zVBm_&Vc<nJr{yTk%5!957fL6y>wdleU6PBBW%h29T=XZA9X{7cF52!B8o%fJFGhJW
z%CC=Ee}C~ezURk38b(=LtlTJD!xd6YE1>iUx<rpv+hRqd?1I*K3ZuNitPwGI(X>UQ
z?1Fnt<3&k}jb)%<Zv<(SU9i;Cz^ZO$ELNmZE`rEyApwdN(FNBMwbLlOAZlq?h=Q=C
z?T?{Rc0nr+(k||LU2-(aMGzx{HLBe?*v7tcP>@S@hYj0prK!znq>-f6bgmfXB3ZcX
zS6%M{RlEf#3VNfl14I4jH)g(*UA_sRci7nYG{-j2kx@^iZdz+2=48T`uG|~!%9Xxb
z0FTdxX4?b1nCVntVtvpHcuznLaNaFiVrQ-3&MDKbXO(H!E2fHn%R7GC54<DHw7Ru!
zrp?I(Yp<{-GbG+(u1g(mR<ScChFM6ggqt}eu3~2uWQ)K~N=I2R$Ij7o&>_3r96HC&
z#~lW7sp0pIa(D5SN7H{y8H>wFV{Lq&V`q?96LAAGjZAs_t8?spI<oXf!L3d=%Ri=y
zoq<E*%rZ)Dqy`w0lO~lmN^MX-8jkNQB7XR!ThrP;r)rm()Jy@i^nafR)tS@(ouc9N
z0t0Fz-QnjVVC~&n%<PkEX6N0a#mqh)Gy5n1$M5=+ABj#poo3-?)@ECz=|V`ts==|Z
z5bjAdY;$%FK3(XrBA*EDaR^E@?1E*w5T+PtLOBE_8aBb0E`-*W_Rtay`-)|{5ZaY3
zzSggBjuH*KV3{t2mUoMWi=afqE?A}uAsB8)B}p`Ff<YJ!+-m)+XBkg1IbkWSp#%g`
zYE|p%t)_-E*{J<XkFa@8?08c$dZu*@>M>{g7ina?%>etB31Es@%`g$7JQ8X{L9A&9
z<S(mU;vSV0pP>Y^Xfdt`f-9KD<Hoq+d^1di7+0KMqJ1V#I{jc7>5$H!D6F(8mnUE%
z0;0J_464uXxyErWhSFZ>iRD|xPT&8>|9|%01!%W4D+?PyD91spAxdImnG*^K<nYJu
zeu>B>-6&{7%;`p{TsU^mOf!d>{`)Z9jx#~1Qme!=k)WZpR5TbM+%yyf6DWj2lT;MZ
zNh*PeL?V<WAOtIgAmgM`d7gE7*LvT*zrFYO-}-d3p?dyrpS||G_xJ6+*Y#P?djBtc
z)~|bW>D$vTX~+LLFF^26Y>z^+naSa(lw2@4^r31Li{^dT3KMa`L|Czu7e24Z2g(Ht
zP>>VPsJ*fvm68j_R2S5!bdp(|no7w9K|_Je98z>!%p+-6q*8Lh0zKS<U85DGQgXpy
zwpcp`E>11Je~~QI7Rc&RA?9x*DLR)7Y71Pi_5!9g`A`<gLT!P@#*SpZJ87y5f|T~`
zv>Co;P+K6{qdu;#v${WJste`}biPr(K|yV?LbkPCGvA@0wm{LaGm{|SqBPZI-FYx8
z!iu1_SYbLrb9PYy{@sJxVvYM=Nlr2`s-L^@nc9x9G`c<3vZ$-w5^zx$zg2dNu0;aO
zaGsW24MGrpie!;wJ4}$^GSjBSYQ-WUSYx^2?5wCg?jt5+E?!QL1#Y}EV%jZgt@?~8
zx*0^#U;W{a{mx}%MYSo;J)_b+=OZsh84Z+Q7w`QDqro6i0WEJiHMlD!*?9o}fCg9I
zxYvxlKx2e(wxAkn6T3r@cGp)ttl&pVe*lu1LyQK8^;#(`kFdHLuEmP<jC{rD|3|wA
zZGN;Nj@nYSj}5P{#BaK1l>qdf>dY}#WEV@&H?TV`+&7@7wv-`!iQzqYReo+x+-y=J
z0e^Z@opZ!?^ATH0b<%hCr}cq4Bj=_%?eN)lKDnvRX}4&$ozvZ$b1I78`5*nG&;CP^
zRnVK!+RiQFX5dxWWTyQ2VH|2Upwh#S9y0^g_zSFA1>3qGJ^bL+)Wl>6X4@G|J4=i%
zkr*!o8MOL}$b*sf8Xfmba!@l64#8|Y4aG!34kW1s8M69{U>Pu~`vuK%EtqZRZnGpi
z8CUdy1#xQ0D)^FUXTgwnR20E?cGUW4lB}Bj7i`KANzwwHWsi$#D<9MjKlJSB<A;|i
ze~K-q;d%JD<WTX^`0yX&V<(U5D|Ya?4<B!x{_J57Mi(c;eqLDqud%$cnfI43(kED?
zQ(dwX%-T3Z`?W8&hc!}9xMk=;#SGc}^FoqwTam;Quf3(ajn$RYEn_OCNUoN|gCnJh
zArh@qCb?LbEcttM!gkoTq!rU8L91#M7PyM`J(2uukyM~JXC-5lMEi}>bA7SJl6af(
z5bnUB(MqNV`vOBSIA4b>7MQRB$^1@9DyEzry}I^b`*0uIg+hanJ{HL>ruJ}6#Kp}w
z8g(?wV^BV*w^ttQ%j&_#mM3lE!M=<Kn;-ihZ0u<tZ2pr&&-)&1oa{Cq>=(@agpLrT
zAX4M{*NebW2T+dDoJSWdN5u(RlZYbEQziaK`ZKRhBDy4LXu4pKh@O*XS>t*$xdli`
zX`7;O0D-D-Alpq|CN|fb3%<0a@Uq@r0GgSXqe049A6##qSGh)Fry9wz=Id4;kSi4%
zTrVtWoN#}!sR|zusE(KIxZus|VzbQ0A1^l5_lH1zJh#*FxZX@6yIEdr@IJ=xw~@qE
zJTS5y$Kwaf%V{@pNpr2`*YeG$pV}lHdG7(X(2MMDJU0o0m1Y$T?7&i+Jh0;d7Te_U
zoRq*<^VPXOZB|8?w?BIE#aD^mF6<g_f5*F@f4{JBFj-b!pd#onyAyJgSEsK!W%Vq_
z3eP8g$A9tfeP84;G^ymim?yn4io&KN0cG5#+;a^fRd`^zM0wj9P|5rieZ@2-nKR$0
z<wKCauM5U>qz$@|8^K&ah$+cSq&pkIs(!HF5Q_z1S!Y&oGaYHMQD(;78cgiXiZof}
z8n>v|$cpgp+EZ5*p6y|^5O%2D>bU4g(iihJaZa*6!3^$TTbq*$e8(kma@4wES~0rH
zyj9zEZ`8P{N7ZCe)V@XWyZAb~!~M46wZUgAwlRDq{!{)h_QWSWec$LfJyn10A+OqV
z;#gijQ6f2MX40UX+?37JkwDc@sbUljDpfAqp^9He(~;(8s=I5ZGNlt%NDN_X-{zdk
z?c`K`$4j5`e|_*H3Q|KOAGhNc2i><4Km6Xc_a8;XlmgKEL7=!_2whT6z9ioY)(Ld#
znx%mmf|<&KwBvs2;6r9UrxDCKmEc2$SyK#`U*o7bqpnxW(LXmr41yXR57O!xSUt8Q
zTbpKgu`f3v6Kk8ratqZ4VfP*!E^;IQ2cu%3pl6ce0Qd^a?6?DfRr&4WOVsk&(e7AB
zyucN&Plv^jk4G{VGHWp64kFAdULR$F3cp{r)A6|89JdjGR>h4YfH6izv6}og#gP}j
z#Pe(8_Bf6xx=wApa^?Gj1S&^=V@8&5^(cM$xBv66`Q*gbrq|i(00Xe#8NkGZHXWFC
z0D2#gsbP@Zqb#xxC@wl0HH2d9ln&T}P)B+>kIS`02U#%dfWop4rU99qngw%S6>}z#
z(nKJRS)!m4uhmb=KOsRyh$-Fa#YO~kR*6qV=qa{*P;j}Hrq3+c<W-$JeRWteD9RTp
zXf0nexYIY7mEB4*PIk%d@xX3ZykO*!Z6)*FLF+0fTk|@R0)%9Bo%!}00PL0M1lqrI
zdvb~pFp)LpR7?I>F<9}9MpdtBRl_x5Y>#fAm`3d?xT8Qr3Cbsx>tlVDJtfs^TcZQ1
zRqh6(iqkq8YN;AQ3b@(u2-SA15A@)9?5on25sDV6(zCq!&XgcYc%=jl1S-QK+o4)l
z!Zw@EkEBbb=pj%a&+Rx~^my8obe5}X%v?>cJ#seqbq^_pQ*RQ~i+sY_peK6^M>Owi
zpxE#I;`@H|yMnDvJ#AO)o(BjRE?C&wlnPac3r3P=55`VW9k#2Jqya8jv9KsvG=g=K
z#`rq7P{-|xyv=^<fZ-n3=2yn4bCM=txX@nhihMMD#em_i)`KIg1BMIEo=!rA$xmN0
zO~vk+Fqe}w0mB8LxdX1HV)r$X_+i^4k~F&|IQ8m$m(+e-GQn_<+YS(xirpmxhI?3U
z+uukOyGssFn9DaPV7QwFY(aCK`3?mPw{OB+zC{U!+cRM<C(;6jyWVub$|qpBp#7_V
zrBPFrqXe}umg`Cr!PQbktE6t9N`2{GuU%duGuE+eaZ=|H(u20wGFq}mFOO(rNt?~l
z5Mzen9?z=}4Z?7reoCrA_Bvw79BeB9*+3@0%D`TMXf}!2MRxjZ^JUB5mid~td|zDh
zDPQulzw{dd@1cE$-rD9(*U>=^O|1z6+Xbsc^A&>LK@QeY+PUbEuE|Ds-a(EWMyESl
zoEhUQiw^Rhv326Lm|*E32N#V^e~N3Qa`bB~9puo_mhA{Z@ofCm-a&pq*r5ST2uieS
zg3&>~L6FdVYl%zz+|fafo*1g|_<g3UMnERqhky9kO92m|Ad4OoJcsI^hYqmx%~BeW
zx_3UrbtH~Hr6n6x)vHObDig<<25gfn?$G0zlMv2+)QkNF@L0M+Xy7-HQQRj@q3Dge
z*sn><#}^n6N&WL1%EQ;hSPz(OO2TPspLk8H_?m!1!Fzz@;b_REboTTpUliyPUZRFj
z4`)x$@&zCfaQ@(tfQ;Q@?6hWjm@n`^K>%k5u-7tjNisdn7h8nP2ue|0ML|qM;v|_K
z=L`5?@KIH=dG4n_q<}woz*!zr{9AfRvE?l_^+QS+#$(GB)(<HT@rRTjPnhy}km3^`
zsr+B;iBEYyKgXWFPn1CIY6yqX%<O>e7@_S3FE;LZ!2vK#tbhk&Vcr{S7%WULGF<U<
zC@v#Ky}(&iQL6$q(gDDgRADXz+5>W!3z$ZY<JjIYjsw@5@BbP&jtU0@U`BQQvK?``
zI5M#*Zcl<n$gky5JMq&1iKw5mh1;_x=EFA)rQr&Ku#6%MSOzy;n465I;zWuT@e@_4
z)dH<}-C!`jYW48JQ!!;j>5kk#GV&uZzTt;c3xr9LV%BY!`A06e+XLYzf&e3^0SZCJ
zk1n_esk@+8afEe#gdmMd5iG`Uf$+6CH6M2uL<0CI<UTvV5jD3QE7GL6U?!cloMZ^n
zqPQSzsLlV~EjwIvG$=(7bt7YK2NPg(jp84<B$A+H?}d>9d5@aiIJX%6B3aCtBwOi8
zB}qPzMG_M^Vf48s8hF~X^QCaf<I*N#fK<>j*1qN$hR=PoZs00rME$Un1V6ePFhuzf
z=B`*o?3!YzZc0Wkqo1>&b<n_BAak@r18b%=sq9Wgshx`j&Vj08HZrTXlbJntF4lE3
zv%--OUzo9ZRf1D|T9nXaJ9M<9g3_iKC|8{im}k!Lw@R1xm#LTm^#}U4qD^}#Mwai3
zHZT6+oB!$0hc?wIGM+58nKB$u&cYE)KO9j_ZTh$wi#B~OjdFW(xX~I4h6%9{q&D>x
zOCqvw6p^^-wjx}3&e_pXMD3~N-uS6~Scb=j`PAZ|`-&?f-sqq&7oE9E;-8yfX+uED
z&Jb<!WyC!<LBts(3+0MCV<HGajW+!vw_5|CFOS_>K;lcdr1HW5X|&|1pZb^75Xvvp
z%?JPh)&!BxE-r>iTAa-_@f);j=G%iIih$;6I|)Y5H)qfH2V)k&Il-DDDAqe`RyRoP
zBD@qdZPaT{(&b54pIaz9LvyRBXC335gpSL;$9*l0ec^~o+teZ|fBOeFBtEq$onxP|
z?iS9pD!s(q^VA|1;VN{)2r3?xt{hybA#>G^qFH+RQ8dF$D0ncEQ-DVeVK_ABry1>4
z9MQ~Iu98h#zEAPL{Y$_66aMFbGHYPrZnT9N7(}*aYYn0j%rt7VhoV|6;kHA!l+Z+v
z4tdb2ZA=ZJ0S%fZ@@>yOn{qQq^BD0o9Mnz<PxOkNdT@3_%FJMV!p5nrF<Y)VwcLAK
z5lksidXhlu&Rt4*8JNQjiercn_n3aC=qnG<kUx?Z0l&z_mfcK{-0!=RqWE1>hrmG+
z9Z;P$C9X5c!vSDsSrZnZPI8VaRMkbE4+;l4l#zF$c}fUbOF0M)JK2+MG+*Au&&}?%
z{GH&KU0JqD6z}uQCw(5`K~k*AFP3-Jm8bDZN3ufmV)~#$mr5F~=+b&~stavz*14K=
z1~uOusCn8gYOT>svT`#dD}U&>{+>VarZI~ig~Mso)2z328Koswa5FSS6kS<#*fT+O
zfP_t&bh7Es79CUO)&2r;gIT$?k5F0kHQ;<|0oOLgBJ6Lr=%^X7C_|&V*=p;p3yzvf
znlZI}HB7pgH?^gfKgtHMF@7p{E<P_WSWeljJVhoBq4aQ%&pf5@oQ#(^ddV`1Hy4&s
zYEH>ACT9<pG40(=8S^dKq-lD#6I9Hma5ja%GKMAn>34kHE8qL8NBVn;DFo$*#ZQK5
zwHi^unJ*T=7BU;QA%_C(Q3%o$7QyK60sf~Eq$zYk12Yhb??Z!PMVdkvL=8_1HRw69
zk?kQ!Q|N+a{L*&0LBWO@yAY%)biu<KC>sX17;$dgt|7?a)&-ICWw?yo`4WQ%t1mNZ
zGu6~Rd|dU)5_OOQKvF_fo8uOAik<UQSMlY;$GbEz9B`Tn)y{1L7EU?CNlWU{rvD`@
zhDiYXMM2iV9xeRzpoZIbIvZ#gToS$+k|UHvkgpZ8xJi=zEs~RIQUIj|#)WI{@c-5Q
z#C4{}_+qz1o?q@f3{B@c({p^WM_oX^(RQH@SbplHvgFr^d@}R+c$xqS>U^X0Bwyg-
zm`&$%cNE6jc-y8ucR;J;=MJ0sxx;3D?yz}-&mC6F&mA|@a|eV>_1tm$I?vqz(nT96
zQWZ@6j8yg$d~j-HQz%RvfL!U_Hbh((DI;aZxPJ6cKn3P6Eb%}p`*AyYZB%`+3BnR;
z`2ze=<V#wv!!z4Zlz@SSk8m({2qj1Q!5fN>4pK%KK=SbtA;t9zawc8yHIXtvwy=m3
zUwBUjlze_ke5Uhvez5%e>El(LVEwhqCia3(#eL!j*b7vRZvyGUET`)`Vp)o?LR*S3
zHzmtjR#rTYZ&s<L*B{d58~=kp_K$W^n^j1$*Jcf1d_UMmwoYwkyUdFzg36`=u69g(
z)cRWpQvJJNaDxC6(+X1iyCBlf?0ICH#@f2-Vnsf#MNnztDY&r0V+d0ByC68MP?Cj>
z+JOwUZT#R1>w??u0U%HcVk|~0$S2bUkA-byg&KwUMR97e&Mp~jBR#Y&6`y>tjqJDR
zQ=y_sYx3bOYZkVV4u}6VTjrLGeu?-U<%4G(<ZqLd*MBR(OowIaA$<vmaB1R`N@sIc
z8?)M!UCWSpdfxux7o6!v`1r5yJ;MaS8<cLO($4+Z8K#Q;Wg1ERw^?Pjc>m&0f7^Hc
za%4o+q__e#1H*=GQaS_HxrAff_{FSox*$*#76zh!uMUW6>deBi38Y}-!D@}uSBx-l
zx9>0)<}lC(*~6h&beqPL^Nc#}k>D0!R?!pPewiEwS{phZEp$e=K0XzwUn3AJXu+c|
zv)gxK+8o~8-z_-bLcAVyZZ|(<-Qw+oE|BT%@-Qarj{@|9h(=r{Oi}xrXBJ4HT@OT4
zGHh6jjX5K1pi)(x*;GPfM>Mw;HO!qMa9Z1%+I^+eO+&}Vr=o4`LrCj|LEGAgS5i^c
zFTwxDdVQZLHJtdJ!Gbpr77V{udb;hsLh4tbgt`}wY`r-_H%cbhRvXccb0&B?jnIux
zo|txvTJtp*MQ^4kdhZv%?pyz4#87%OS{ER(yfB|tCwQ|95Wd3dVCW@(pKBK&d<CS8
zTRWUGXo$F1jCc@w?;KwrD`pn}6Y1fdFbNGtsFu7Xy8y($ipkurmeQ)0b8^`QutXb4
zQDBE9jEPfc7a+V3uxPhmrg8y_`vHWhP+x`-MvgIMILU#GLM#mX7C=|#)bmy53@0GN
z?tq|OuH#nZyR!uWj+BGJ-EA#`@r81R69bq5WEN*(1;AQ$!zagOYL3HU&~5Mjv>YQ%
zu9#xkTe|4T+|6Bj%o(nO9sqc%eK^+{a7V%a_PGi1Z<I<7gNJ4Q7bvQhuL_{a3X$3J
zO(F9GRAr=@fVMwtq9D=yxdV-`%!;5(TdX2YCEJlE1K1zoRGgpTRh6b2=?(yN2~;ZS
zcyueA(-0^*@wgoQc|2VO2x@xc#U;S6Sv&*?1MYf01l8VwbA5yfE{KF@)0S`T8^g2z
z`~x5V&whRAW^H0{2x_zdElBc22<oEKfW)`o1%VS?iYC=k7?rr~LL!X$Ef)-A>1u1`
zjS!@6cEM6pL(7+$g%ISODuUYfz-v6<8tPM1H@hGzYM{x&mqE*b;Q#YAQa8Kc4%}Z-
zE<u1~$d))Yb#oC!@34VtKn35NT6D8Z!ho=@!mcaCrL81&bCHamuf0+4$H_%EyX0{p
zMD<9+kuauO^Jev^s!yxb=!W-Sjp$O>bpAKATYU0;{x|l-C*S9PV^4firChgWd2Y*<
zrvIHGs5hJ2G6?GV>d~I%+ft9ymhV%KfAT+FeoV?<Db(LxR|EcoHvw**^hcplh;Man
zd!l-D!7}_62z1HgWI?J&7fh{LYa<J?UC^Uck43PwW&ue-k2J4H_2`28XwA}bvRRPo
z(FH;KWUX1~%+kt3oSN#<1xsrdQf-|cE~-ZtOs!d{P&d~o>d__P2YPE3VT$#)5!clv
zkB0@z<8awmOB+vPyIAmN_R1x3?+@bSqSeeQDM>Qlozj{GB#JRfCCPkyqBU!=GA?+R
z996m0U8<wHP)7atv(j98NKgB#?&xo#a{cLlRo06MqD$?cyy2>^g(`Gz-zTm;`>j<y
z_BQ?22lPzy42TJ?qhzn_!97>?&N#+9#4&2`=*TT<&DWg0x|!_NU;2iB`Ja5>uNuQK
zqVHE|eGyj<X0HbGIJ7Yq${`T2DpFq>Cugq)vp6Z1g+&}l;^gdADIyUnqlNv*;pFU9
zVQJhhP}vuI9fCP~6(uBbL+a#SmA#4*l7Q8+<fv&Cn{~QcPm3g$%FQ1Cs+~I{`_pIZ
z^u#FB+lSYtaMaMIYj<5j4@s#mp`SX5BWRF{7)TN&^#R$Q0iK4`NaSHib5X&)q}Mke
z&u1qRFP%ITEEx6&TuT8=DUU>)Q92&in~8y#hpLEykXV8rn2zUm94~HS+7w^V^FR;q
zYay$3eke0771OB(O)%F~@U9-tv<1xc{I_5Bvwz^{q7bj}7!PF%SSIEsA*~>9biiu(
z0~JB#j{%Zt?eif+kb2Ao1IYyL!(k-TisU|=3Z$UujOd{r^A*9#PQWV#of9HXO+Ds<
zMvF_q<*Ge3pG6nkACR^dB-2u-0_IawkGUZFp_Qo!(~!C|j`(;M$sN{|CDA$E!94Ln
zbV-)<_2jFin2vmMc}9!m?udwt`TG4DhcG;{T?~5i-GLl=>mdme3C--g<aM>Es<{3}
z<gPNcfAKJ)9T&)9frARwRkbNr=WXZ@Rb1Hr_N^TMMkxd+o(pRKA~!Tw;?GOW57PD^
zu}-hWA4B#d!`eh*9hLa2gfF(<h(7G7+c|u>yYOY&Et<oZ>G+6qMpu9RpZm*y;%!mj
z(Qx0*z6Jjv4%)D4O8_`bT$6aqIkJ-KBny?AHP3u$fv2pvq1j`}2!-udFlR7PPev7n
zf@qFubAD9@6BK;XI|GI%ko?!l!778fTdMIxDcXhr#5Lv&=JtRAgtU_At}@F05X>1&
z&R>*kM542sZ4~so(L33cNG^%1Y%a3OU|L9o!YPJ2cCw;5gNYO`r#dp%x$h){)v5Qh
zV{(eX4nPDY<)ujSo@e&e+*->H4W-)gG!FX>f_9#*{sUCot1#|H$@<Ld`)hSmR^Qe;
z*XmCVvZgKHS}X=x7a#ZV{ttz=)nL%It%ZhYteBWAx_PM6Ac83;iwb`F0E#GOYlzfN
zl`K+DaA>FFR)VYyH>#3FLujL%EE>#H)ybkkun~i-@{^wi8(F=mNIHU=iGw!zCEC^{
z2eV%l)M+^Vm6Lflk^WL$9iS))c~qlYX({nZ$xaWL_%{NibR6O~U0~a$w)$aED*Rd}
z#O8rkH#pFWREK~*B_wrvxq*{Pdx@m3HYey^sYThFbz5qE+VXvB{bzpor~l>;M(#}Q
zgR6D37Bvi@b$PQB{aV5R!ih#*yzx&fCwjvgIDBK!4_dCtz9(``*@@mA;e3i9uwN}+
zpmL&p%mjmt)_<>H0KgNF9zlxx(vlNytRYzaCx>GUFPm2huI)B>s9*p{nQ`VgBoW{c
zZCb6F?@sCaKVYV8eVwCDbhyelQrzz-=UBgA?`B0Fvi*H4s-scZw-2G&8xzoMa@|ze
z@97tu^JX`gH@h<3wY?+fD$_YLc6XVvX}72~NONKTW(xaX^7L1{<E_c43zwclpN-xN
z4VBEfFg>+KK^}G^6XuE8FbcjHVgV9v+QBR+;IYEh2Oe6kh-MROl5`%tntc~AW}pdC
z=fcp7E&YkSqGZNwMPq;=vmQ!gji4BH6WjtJEVM3tytZQ0eZ}1d4XHf!R>=b5)RSyr
z3LP@<OU3$flbkPPe6g4#%$WQG*<S3!xqTX8_sryZvZ%-8&1`)YQ3*=%Rpni_Q>nc!
z<ZE6uD({JpZ+j0JBq`(TlZnV8?PZ`*ZB7j|X7awvw?BO8`S(41@5}FgRqGDedhf-H
z&%Z}>YrX3|mtXwCyDrLbqW3()_VaIhR~(FeH{P;2?H0|p@ph_4U;PKa{Ug6+9Q1|)
z3oY4k0W%?X$3kqxh_sE7DHAeTfO9r08zB^e8T7Ud@;<P(G%Myj*>1leN}XB9<-To5
z%z4c1(P!QZH$ldeJ@;(RW0sNk2xo0Fa$I9dbs!)nMFp!ym`<-|IRvXbCSfHsqL{-X
z{5X*r9W|nA%rb>qMWFV^HKcf<@!TL`3O@$aD@eF5tKLEl>I2i&0cjwSR5b$%C?SEs
zT987UB$R4M0-?3tld_#mSv#sphRWyThfN_taJd<hS+;XPaAG^t<w)T$OsTYLrkq{k
z^?>b6d#4lM+QWWsTsgrsQ<=NY7+Jp6`>egZ^zk3~J0Bjk7d0TQKW{VV>CbCtO?b98
zM#)^@9a{OsP2cxS_s#yiF-l4S+sIk^{rNJby>Gg2<<D!&3Rc`KWr9<_#@e4p=Mgmw
zC$lk|mj$ywze9VuK<4mbb=bV+0kv;^Kqr$ZH*6biPJ9_n(z&Fv!t@6n>d_TRynY%?
zoK5`gdQe^XsA=f-(wzP%YtT~{znvfazi{MJT+#<c(^aSS%ruL3PFk*{Pq0W2C(=au
zC1ogywX9p@IZVp1Rc3$$54M8ev@#QCJY6u9**S<@C?qKFI3CLJtj=;N&n0CjKTjw_
z={rx#Fulc4hH39~WjM`C?ZD5bD#K~Z_bJ0q{=+}>YySIi;IsqMm7(=R;+ICVv?Mo1
z`?~jl+`#AQ>%!*G=qrNcU+3ZMHX9jQmYb{Tz`3AtZm??^>QGdd?Sk(}W$1!{+$ftC
zo3QKlW_92~@L<V})dqZ3REDu4m0=NFa7r4J8`2403sM=nAb1U#`=N^4EZY@D8M@>F
zUk6KGs|UO21lMiUAo}?<?xs;LuJQcukV2DvPL*5E^?28h$n_QdZ*yYV)U)-sUiaio
z=6;3qwBzmcE7&7E;%{A9gH2n$PlNr(Z~dj;`@Ya%stR3$nPLQq3}{b9gY|f6ReBbF
zjW{-a&Q~%7_kng{>J!cv5RR&E>|{_(0$en=W>-e3pk27lx@LE-j8Zu)v;!i0Y1y4S
zlu;^M%(@?P=V0T+@7sges6O>*WLe&HOrnp^I)Ogk;t9!F)gOIKrnT0wtusirUB~#}
zK3x<4MyX*pYEI6+Hp$ruUJmuj{b^p#l~vHR<@;37C;r^~zw~RzDn#>qM!|<<rB+3h
z^L)n8Rr1Nzn*VKf%cd&VJ0X7ds#g1VCdYX~$Z@9Wua0`0fgE=T<WQe*#EEWA%nanX
z86d~!e$+?*$o;pBF*JCjZ~zgII@eLQ=`U7|P>dUz0yU@uu<zoo$BI?4szBs-;D2ib
z>tfXgX<Sx}ka1|MTDe$tko~Md9Ra3nR;-Fu>n(7R6kKg>-eX)N&9rU72U{^dB6auj
zYHXbK#Gl9Y#2;+ti9gsh9yvFH{M#79ysKw-vXf=v55?4U;-9?fI41t&LoTs@${FIK
zD4hb0j=cmnmAD4`Mbo4y@MyI+QxfDZSrDfH`gCVfrIO7B#!)M;?0%yt)+i}j2e_{p
zlcv@PPn#zsHz#1y)SgXaxbsM`btKbse1VfHHCW%{DP$4l>`tAC*`b~1Q<BauiI-6`
zXP{*?+D6-TZ#0zE$g+O!FzzWMj<A`ZJ8a(IbH~wAkIO^kSL4rJnlu&99slu)&)qy}
zDl+?QFUS<MByO*$M<7rEkYqcKZ}3R-q^Wt*RKY&I{T=Uq{{0uw<oN$(cy!&-Rj<D1
z`O8-xz53|o%ZD;K#SgiB2kn3zFUY8JtmCUr9r|KE^hJWI1eR5xS2Zr{rAL?V4OkYo
z0+FT1`RRHNE4!+rc%c$E#2H_{eEHRvFCV>4zK?vh@|8|T7>f(}BJu^QBvAPw%KGtZ
z(>r#Xdanrjb&koE1^a$lF3qd9d%_L0(09&p>QH`<awxyq>;A3~F7a>wrr)>u?9utG
zKs^h@3%xLgYIgJ7K)g@@L)x4Zd^UsgS+NjUiizAZnxE{#ByR)MHE8M)L3kZQUb8_u
zFjVunw~T#UWA>T@G`3qyXHPzL_L{d~Gt-_XU_^!>US*K$c7a+UysU~r9}kUtgTSc)
zoGp&{ao_kk*3)FyOjsHEXvMeRb{X`OHwd2{Gki2$SPEHF{ytT!DwqTI(kI1Ig%&m9
zC(7O+u6GTrInR#iZ$sW7NQfNX)`^p*d2Y_srVhJdIWD`H4&5J&L%N-*r|n-lmCGsq
zy&l{8aV2^v(?XLEcU2G0wVTyK0c$QJ)>`0(pvp4RqxEN6qy-$Hvyc|TZdM~LaL*I>
zniS6hT7uc6nL7>aJ>cw5#{)`M!S00YC&BJyI~*`LY}E_`qAx1$Ibq??$1_fj<6Gd0
z{5*m5NZqG`AWtthkREC8bfky9FJYp@=J%PWcfRsUNS(BiVIfZ&+%UKGXtsVsRs0S!
zcIEM^uC)Ky;&?1!x?%0gv4j4{69yTdc8lgL-0eWd|Ki{H=FfQRuPs?P?QLs&q=RS(
zEl6rGtqIN`<F-XaBT(4zC&)iRi<SFzrCx8GH*YAa^fLd{5%u|7EBw;FHk!vcNgUW{
zkOI*=swAaj#w3Sn%>^%Pk^{8nmR<6@180Wb44gP1|2t>Tw+9$2^*r`;46Ey$=q1$o
zoyaSuTwmd{=uPjag@1VIB(E4XUz`VgENQ~W9Z2ZIQ~ZR}9gByLJJjj;r*h8A{bfpf
z`juk;S!qXsrRYt(FoI#U7jD)a{(fb2HA!GhNGC~>QyA9j*5GyvFvZtIvJs9ZFfseR
zpn|K1O>D18mH{xkHRiFjYf4?%Br%qg7#3jPaUCrafil0EWC7P5wma$d$Y<B)Fq7m|
zWm)qG$BHS}N-{m_7o35tXJ4(28Z`8f_&T<Efq~8#P{T(Zv^}jN(n&>jcFZ=$cuamH
zkP#4-Q=L8EBMzR?7-+NYP$8)2Q@%U65M)hI2Gy_6bI-2wJvsu<uGfj*vnDv0_M#!1
znfpz!X^GVGq9J*?GDPC(7Y#!GqVc2kW%8qwcjB$;W=&5JflhwWaJlV^#(yg3?0L~}
zO?@xgK%Nx?<#AKP>&4LYb=9naL}Hb8Tw{Vf>qFko0@stFRk+y@Xb;G4?t04!_Hq1r
zb%EhQ`FMG(!cE5k)$z#d?eg)Pi**GEU&|wuj^}o`*=t;H&a-0R{kqDtLZH5WZpZQX
z!SW^s#bcm-d>xLJuc8(m9Uqso*<5ULcg*^Dv0-%#)hx6JE$%%9f$IFS9p~TdE;boZ
zzFA*vsxSir_3?F>u{m69GR5^~d$Fm$KLqOI>+iq8_2&D(*<WlbEEWXn<2yfC{{8gv
zDq)WPTE6-8Q(JlZRCC;WfGs?ng<ut%_^rz(mf9{8z4Zeuw#|Ik4+c**Ijh&7L+yr5
z{c?j@JswhG9^F8~bW&E2(k0~4jXBralL7C~$qwF?IoGPG@)F-O*ZR(%{HD+Q*1$4r
zpz5)ag{_|WgF;*{JCu7BLZReZZ=;=7C`NN8u|g=6lq1JZz{kmgv>pCsO2%=!*rSh5
z?B<$gaDhONO0o!+gyV)&^y(F<4P7wujcYJ$RDz=pPPj19LKX?VsziK~1>#P5_^#86
zcp32n7WZTBrX3k6G1yIOOceG!3iSkflZ!<~d&V1UV8lEA&+q)HzZ2+Z{obw8g13RG
zYH)H9!~sT9zrI;%?S?>5^y12Uj?oGlMghB2zvtS3;etC1(L@Xfe{iu92IZ`aveGhA
zW;oJEXszZNv(h?(FB<qOc<AhdZ3MH@veBTNoV=7aw_NwBlooO-(s)bAObgV?a3S;~
zBc;Q0(|^<0)t|JcKvarO$JI{84d(AHO+w`t+5%OhzYscrtM4;OWhNDwV!`yUQIyGn
znxruz3PcQ;4(V$ck=@PzRCO8Kcqw(P_y`C++3t+?k~k4BIw87xdep!MkmC;>nUms=
z4!b}*69+B4RltD${>tH(?Fd*%2Y}ld8vERtIMULejz4!cGp;uWjHK780#?n9M1<DV
zg`}1kUq^qQh)^V7;*Yg3Ph%9WU}s6?gC9F>;#icF?rZ?hT&TG_xU@Y1QDv$sR1Wo+
z3pKY>sCoB?ef@v38nf%LE#!YCo`ZBZr|r6RH&XD>2^}iCuH<t}hy2QhOTVFm5yv+4
z*wQ%|xj|~5Co344jpuAUAHDPrfFx7;Pd_5aDPWSy%y)q(JixG)`Y|6n2zDh9)^o}=
z4t5H6;W^`!-t@10=?6wzg28sD(_oSMGUFK>0#fmD4uK{35u~uX-C~|Ot!xNNX~PAF
zXlSJd<br#Q3z8LkXlSL_VS?Ko&du0xx7}=O4guv=u?qnq!T?{bL^HNx88N>D(LuYS
z)HzIWkl0pH4!$HR(-J=SG22!oQ-jpDN*d>DUW40K<50^Xf<QsHEjHE-uaMebq!Tql
zU6)1?+W&|_<x`HHdv=SAF8^8(-DaTT91!1NK+F-7fKi?w<IXL?Gk>j9^`-`m>3GpM
zx|#krKkxVawZ9u&keYC4bJsg>Zg8|+PNe4CwL$XxD|0!<Jq}goXr?_gTbdhy!Rq9N
ztK2o_3`<HHqpply((`9Ee{D23AYyMb%{g~%GmM#N-Yyl;6f5T3HO46F2$=0sx!iJ+
zyUJaoE%l(8cyK3m+6xFq^zRMOmwFw5EusyiPAgQo>u7H1sWkRCH}sVnPc%35)EXsM
z>DPIkVxt=BGo6Hv8_;+Nx@ZfWB0f>wi+<9|;xo8|VgKMqc@jMwj4QXNx|8n-0N)0U
z$lTm;gUt;H{8PyaS#$ilhm=IGH&I>yV(okf!`_1vp_^xD8SYix4izk@?pg3-Xn9;6
zj4V&lygZQ<|NEzIzx1oe75l^ii&4Eq`&OIf%!++*ZemvKgL4zJVvl-g&)mdxhk+*D
zYtKJQk_HwHeVrBi9tBY`6(IW+-J11vrP%i<MoL+5xdDv3QNp=v4LyHKzIj}(q$rs!
zWacmc5rqM2VO4-wt??eUV&85V9HVS|#O&Yp?Ah$1{L7LknF-e2PPlw~K&{N*h>}32
zS8I+II#nfR=&J)?bx${-3vKz>@vV=6d8Lk(Lk3Epy5z(uimtT%Z(|Z<)A#w`KAHG8
zN~MCQ>uCQAU5&o1448!e7-kUe6f6<Z0J0be%@M?fa*$u6U@5e{aXbr_cns3{C5@5_
zmfVgCCCAWbNH6M{XEm}3qCS>W*t{1!Y5LICrWH<UL`yHWsP3}&)*I1oUp4=&-|)7d
z|8;LJ4L~~f-F<Ew0uOB_d>_mEpt!UK0o!E_Kt)iecta3ABb5})7-(-4K*oFMf?y^F
zZVU*NEr9y1AXT#qZWk-669{fL!q<~mq-u6SBNZhK1Z#^niBt0qx}dez@YE|CR2YI(
z%`RA)l;CmOki-zAYIeaLMw`n7Z}d-CS40Sk&s`+lJKwE0)|ZeZ>2tf}c8zujDo0E^
zm%Lp5-lCd|B${-PJftLi>E<^VpW7vmnEo!;iMwO%S?TQg_8_lG>S(M9I%Q@BtF!0(
z19Jde9}qCR#nC3Br#15p0x!M}ZpSksld-Bb^BqDH3`wC8?CdwI_TdohMfpY;oh}X9
zTX0xwKT0}#zDI0WL8k?-bG1Z=di{+=-T9h_)nYHr)EsTEAV^<x)GSd|t2K!<qi!$t
z-+@}x?QW(~t;|H*<d(z#>Z1MgC3WF*bC7z}l_yQQb?QbIt?^(N@i1BiZPMAi(OOjf
z03&V3D`_20)&|zDg7-k6g7?UFm<M3$iI^?$9ysqK4J7o&((z*5((y<3XC!Nfj+E;B
zvK`0c2g}PTn5S4PY(hJpA1v52J>t!Gf`z$gcy~p^X}72ixMqUFn;|HC=a2rAfAI68
zL8}uK!u%X{m`lWYIV=ij&g#$!plEtMi51YCp6E6Qt-;9x3~HM@%t33RJY<eh>y>eh
z$+yKNY?$YR-330jMYHCh6}n7~{&u-utJ#eebI^KRVi2{ixI#vhdN%~CY$OyI+9wzc
zE<xl1$&*1Vz5_Fylw6@5w2{nID|;ji;YUDn0T->6tnSVZEHrYRu>ETD({<+Cv%^pc
z@gv|AqRQEPqkMl*1wD8mEVId~Z<KG)Zo$$kuXDw@XZahAdK_w|yLn4>2*T?qai@IH
zqq47I|Kd5u(hce|r4zK%2RfF{bQi_{MqnGCe4qb~J$;`jH5?E(fL@Jvlf`XEUA8_6
zL%?c+nb_dWY|_}|>jt!~i-UmnEEh)*$H~Qji&M3XYS(cJsQKQ9iy=;R+T=UDbr%E4
z%k=Ui0>-b4F)dP=2Lc$sC0^F^9T0oL&UHX;KP3K+_k8#d{q0cvYK7a7c)M9J>OvH`
zbv@uwf^uxx7RVM57!i|+pvw|`A(&$>Yj|K>y6Z%`Rmi1TJFbXvXQF~)#T;>=2t|@m
zIM*-`swqP-2VBNr!65r!^aCN7L*gyMR%k!Qg>0~|qo^EiA!t=~zGc&XBUpvRDJTV{
zK`WU<Vm>gcr1yI>PjQu1NQ|x(HgGV2+#17IlBD9dP5jmyow0@k#C}&nX?1&G9VIBm
zuWG~#Y0Z3pY@8B9&0}+&XRC9nx>l9z_R0dv9%@t-$SPd4s4G|ht72Y*vaJ&>8M@ai
zPqt;UvgM8ZwxLZQeabujtx@AW;M2JVb)FfJL}pUs64xd*p5FP}szKR1aa&q_+VX8k
z#2VDU^_GA2C;yiK(Cb32RtH%LYvNR`NJ2HrsL~s%->9rN9l@+NLK&<#Qsl@ACTk7M
z#&!Logos%%YxVsaX)!9d-D=;-U#2^JAB=?LVw4nON3&L1eaj9z5!`gLwpptixPU7z
z1%8;XvC``MJ%+2vMWVr=lfuhd{RoE}x*92rH8OL}PhM$tc*i&?wfb&>#cShJB|71#
zSxR)bYQFiX2BuaL9i^J=R0~}aaTl58Bt59Grue@ErHb!*^4#bi{VSs@!>fdPL7!Q6
zqxoDWuNbaiRhI#d1D;|&Kpe|(LmNtGX7&&XmrNVn(z_){#Pw$85QYVa$|l#P1dWpP
zulzi&e_5uxQg7Teoi}IdrZ-;Q_}u$xx2QEsbEfWQGIhW2FMRvwe9{;xa=-zXXg!DZ
z$my6W7gXSYBc#ZK6vjCd6ezzQ3S;UB3xzSLbz#Vj#YRkfzDAn%BIpxaj|(N}%YrFb
z#}HH`C~4Y}(38Yg7Nj!v6$`)+Xh@sbYP(S4tuu9A!vqdT@>*3+kEY$%3^d@rhw3<I
z>I(5lFV%6rJHu4RIa3#Cz)p|jBqu;jdD|{P??88)6@Td=gSJ27qWGlV#A`uwjGM#%
z1M$m@E_v<HCBzBd9oS?p?cH5z&s5|{m^?cS(|x*g`T@WHv%ccZ-#y0c74<btA8sL!
z*(43N1!Rj<9EQHOfb1~DC<A0C+ffGn4g(tg+X`2|!?0X;CQJ1?45*oP#x3+Z41FC5
zCme=OOTr{WEcP%AZ`8Eh5XZi{U(c+rMdcG?@UXt|i6_Ya#h&<-_w#e?>H9<pl(5{&
zc2P3Od@M7GCqwB9B_j!s9DBDQP$-Y#V4V+A3Rat%8DiPHCBeb<X4X`el`51Bk^@z;
zLAKNN*A+Sac_Q|f(Ft#$BGp%Z<nAZlJ65DJg~N2F<GU?VZE>3=?}^e%iy_SEOjMFM
znh-0Zyxj`sbS8L1FcptkN?-}wWF4P8yAWhCSC%Qnbi6kIm(!VGEhlN2lvMx#sMCK{
zI&&>7C=lGN1!|GAlsTPQhPD7b*rW+9=JAU>ERQ+}253j=mC8#Bq~0Yhoyn_2g|NBG
zDxJAKa;_x5&jzZtmCVUzd>zn8Gx5papq0$GXN#1uW^#9=pw7S1Bvq>#Gq8)Ix-<&0
ziF`%1>A&XOXW11BPlfu}!}Lu3#V0+=!XDeWB^EJP!0q2T?0M!k!k+ULu)S9!j&djY
zITa-^ZTZ#@XFchKpZ@YMe{0l}w7Gi)y-GV|t={){OZsmpZtOwp-?A(46?Z`}N>B?z
z5TIj)0NF0A$T!>t4;yrAO1T8ut6h-_*ad;lAmDj(AA>?StsoV!3+_O~sDhwoYz3)+
zUC_KztccmC>TKFBTq6~*3!?a=jXnF#0;6%6YIDI!S}189E5E1`$U{M2e~hp0<Mq^8
z78gj%Mb$%Gzf^Tl&WuzwY4{vab*ZWp(mtDALq0&sQ))d$p%2XGlgA^S?`AzkR*5pd
zI?GDuREZ?GNMA?%!tnH@hLpN@WVh0Ypy-|UlD)9noS-zQX#8P5S0~N+mAlKYn7$dg
zMXg?+3o<uTkoo#|{=GkV_~_D9rZ=Nw8y9QR1i|F>&?sfwxLW`br$!W=t(7^cZDZj+
zfb(m#3vPFmgxIza4h4-8Y+p7|&pn%M;~<SvWgGWv#gXj~J9H1#D`wkh;SP-G9y!29
zP^#m`cm%6W+#m(JUq)1*f14vFl?xym$Pj6Q3Ug~D#6=Px1ZWI*1YSgal@gq|Bs$61
ztOaIQL=^R9PBP(YupgH43Fp%Izb&Kb7E_(Pj#7rxm{@e_J`7+5J{m%q^Pn7V5ff|p
zuhS-VGg!(0Ma&YP^8TLSCIsaZC5BQuxEJZE#$5I-gE~C^=*sKdi{$cM?AJ>G4jCw7
zR8f5|ux9f4E_R6X6{Cm&Y^{eTO|N!;adw;VwFC8-HV@b?_;Laxb4dU~3Hf9|yS2W`
zB$*!V3yfOQ9&uuS+IK*Z9=;|Zvm1T3F>1d3?%I2-Z@~q+&gt&rEkLx~kxZr?gHd*f
zx8;e2d)hqP3g7lM7w9Q9$?n<nJ=(!369g4JUh7cz?>_x4z{Dbl=a;tt|CZhYY}s3Y
z=|_g=l(ztz9$@pMCo<zLz>)E<k>Gso-+~uBpmwj<x8ZXMeO9=;TH{LYXQ!R78Q{c*
z!OCBhfknyRZ3Y<Wz^8OVnU9oCIMRRD&VxcfAYO-jygW1EFUx$Wa8TE{-i#{ZJgCZF
zhX8`7bbRfvH&a+S&MCJ;uJLs$tbaQmsvY2jaZ?+_1A%ISKy`lEj_cQfPx1S4o>SEv
z0fGAXIu+Z_gTm0F^X5Teo>uPm=RBxto)!i?)#vASTt9xWys3{@i5K+ODx27gVN)2G
zhrT8R2-u5RQe~5)sc<XN(Z1l`?k29IEQPsIX|oD0%Dkg8mB*P@a4QgEl((e_I#K4B
zTv@Qo)Tv9)!<Xhk_vIm}X;)=zus>+nc=+Cz-~FmqV1>xF?0R&WcJUFF&^A_0>~;&C
z_H~K*#4_dUWDuP03e#(E`LiGT!c?MFv)c(F;KswBg%5=Rnytr?3^~XN_8d>bSmR)w
zFmA}}5F#Q2<lI{iD!E!gunKAjVF}1icMc(fU=_pJ+ZA&N0cIWTtpWVohPcNyCO-=V
z7XU(wPlQ2~b$ac&XLAT)Q!wc~ZKPK*Ut<+QAYlhDkZu-`SatgG3`eJ_8_*$EH^zPZ
zep*$PDSiw*9`UC&4nXa|KD<)=^~Uio-goxbuPPJAjrsEr<`V4_QKGe%By46ySRMAV
zrX{;q+2aA$vuVq>nIx*P=l<c(ec(e;A%LoavT_xsTdeT!5wk-x0c~Mq(zXkM7*&`H
z?ia{uTD*8rT^E9M7>gizOJqd^FZwQrAXS(P9@hteOnGV?p%GIEQiZu7!a4M~Fa*Rn
zBvm*eNEPOSJHSmfUfL`zzYu~{VJ?VXM-wb5ix8v=D}qXo2E~L)y6v|X73Pva!Y))R
zf^n_ANXekPBq(EO46r6fF}2@YvLHoL8z?Ecu@-yV#V9%79W=RcVm2g~ODVjfL00ZJ
zJB%bB?`xL9$UF9VN}TGFqpDUlp+}PoQHY|jbVpMkk$=22om&FQ5=JtW6538aWd+L5
z&F*xn+rXsi^&a%BrhPqp>?xYGRg9VRtO<jfxN!>U+4=QZdv?#&z9-$lGJ#Wb@x4Cf
zbjD=HQYGNw$K&+D20XTmo3$ASB`y<JIWDgDm7jlK8f;MQnljkn#+y^7-J;fl&9#u5
zsfB#lv)}*bUmgQ$1;JhqNdWDP!;21uVDGSK06rSXxL_jKQ_`1=SfZkELE`{bHUV1L
zEJ!cF1+T;=(78r>0ls1o?5)~1O$}sRaJxYonAVlF11*?LynrIPM;Tou7hU2y=8IkO
zxLYcm46VKb@6F%40%{#G7esnl0Tb&|@#VX-I{+RhKrL{!cCM37TAbYpXV7rWymoc=
ze18DQgF7Sn_k-m0E6IF=_6LsMq~wxZcX`cG&w}Pw?QaBey6trv&rn|}mTMggUAmvH
zu3r|^1A5TzmE@MlKaqOCJ`Bf*;m$PPg{yV{3-nimhMgH?Zh%3?9)6SnY6h8RlgQtz
z5X#u{=ei<O1Hoy_w`P=q;HQ1nOMm}+$NCekdWOV0y6QC2i3U6>nbK8PhWGXmgi*&7
zLDX&~5G3!HMnth9Rg4P`vRYHa6hW=TLl2`^!AfLq{bL~Lf&~Q&`c~u6j8oGDxS+A)
zpq#SC{2{D(sy`8#F6Afy3xK8ie8o}arpn>r<BBetwYU_}Jgn!5mpWY5Mxj6CQ{KhT
z&F*UP!oK<NF%*~|X%}|s$_?e~O1-(9|Lr?N{2Qf)Xt5B8ve08c+l65h*8mtS0oAU5
z`b-m!BJ3VGY8Vz2cDvN$EcW=Cm?@!5xcfc$c-uA8Q;f5(G2EDIVp3(>jw=DPuZh5V
zDJ`sEHSZFNr{@@JqO!|1w~Hf2Lf4O{gg<3XV9j++46^TBXL^$H-Gv|s^(GXJYsqQP
z9SXPkxx;3D?y#Aoc5L?W`NS=jPyRIc-)6UL%9DlF{JG=D=D9=d*q=Lo%x2YB_P>2+
zh<~FLp1T)3R(C7ve}y8f;)PJyU8+E8%|9xj#2!}=K@dSyC07VkB|q7Yl3%CB3?hfB
zWGJz5D!DeXveu-d<jInARbw5ohOlU8UP;G;`r#bPSwTz0*J&AOSwXIPV>%V=4NKJN
z{GgmYr{vtJF@t2bY9^AJYWn?}8mrc%<NPQ&qlBAoI0UNuC)?=@<9hS`M;UfqmHbhI
zu8-$-I-ZIyHudo;g<1Wz$|m-r9`1<I2iUt=md&T1lIpf84wQUXA<POeHeHyTJa4K1
zSJ2eT(I|!8l0=A1^_42XlUJvQQeMq+8D86qtH^TnV*bU`fB%!-J;oFtw0LN0Q5Ec7
zUrmb#(sHPMZ6sQ$D-nV@Qi5?J6*-xfmH;>9s7673b{I+StTpL2nBtASG_ElRvdG;7
z=Z-gywC+lr8iIT>{TfkI^k5DZ%jU~efh_v~H0Qp;FVITnKo&Iih;$hogT}8tc@@Zl
z%$<l!N^ZOS?^PhHO<9y&0xMPj=whAy8y&%lXTh<iv#anl2eRAMny@RZ2^?FSXb|gM
z*4!bIm7f#ivpSNr&MvvzqkJNgD=^Kr*IC`79bl5;8|>HJAU@wCgHw`b3S9BcnxaYk
z>^L_Z65jUJLLhwkyGwhbOIrPz4+t1@t2L*25%3|@q-)Y&Kc5cG!w2Zk`|I(!<ZA!Y
z1yAY@Z`6u~FMWy?eGGRLMELQ}S!A6rFdgOloG*|^>QVbnIU-yP`DA{E>#o+_Kv>y$
z4eA9Xs{=D$S&fdFhnsMgnQxJ4F!Pm}x@}~dxHZYl0-07Jv*0LHUs$%2UF18THs$AW
zyZH1|f@8Bs7*Htux~Ojnh?2;tdJ{h_UhVVi2ey1zq-oXLxqfhWy%f`KQJeUf>jyVe
zKlp@C{_1c2{y-7v&1iWmliRhHkzd&oWy2m!zazYrk(*!QrLHVi?RG_O9;oitKg;Q9
z@G)6x9<!?%N#|?KM*XndaO4m3*dX$41hY*)fMeMFgnh@^tW3Hhrnur#QV99fjoXDF
z)XptHs7f-sU6JdDXVHG|%I!K9a(Og=)DFdD<6jJ5{Xs3KmH1KWcRO6HYQV#<2CFiI
z?g{oz4xwZauAe_>?YY}|qz{^YM>va^cb4qBHbF%Cpv~R`s&Thm7O=}X{AWhHvdd$1
z^3stRcAfc>bJ8E;p@&`9pC|0PxIx9PV++4#vFi|?St=jB`sn4$hvJGTYWfWU1egsu
z2as3b<pEst6s*QMq*FioU;a=3*&mH65V(wC4&9LJ76Myv;3J?#O6lkX`?kyAfG$|F
z9q1#JddLB>I#*mkQ2cn^x^I-$fIeeziQ1_UWPDy$TyT~PPmNlOnyC<^Auobx*wnhx
zTJdxF)HLKSc-S3u$R7&mGJhotN&?WX5wJmJ_6H6Eli%nX#Hne>n-!7TG=j`5D2Ci6
z4f_nq<wj<zRFaaH^OIu$tC;-5a%V;RIJp?|vgQ&nX*vfW(?lO-eVN+aXKP~K0vr;?
zVvB=iTGE>N_F&WwVT)LE1$U-hGvA+*>o}}dR(wlq<{N~$1B8mgVqnx=`*Uh@pIs+z
zBwI%zxmY)nqpnH#7<En}{ym@q;<czR;SFuXA%<v9MHCl@yZt{o__7}{a5X1IkGe8;
zz}=J9ZJDbb&!C6uI@OLQTaV2v!2#^$m@^Bhi{}YLCQiFWb7tXoSTH~Er+((2z8ska
zg^70i6J*pIn*@%XCIMy^3|&h1g2{09vu``te_A{j+yQx~{RxG^$acYVp}})O%<f@w
zpREz#FoJ2!n0nef7d&oQnx`PnOna9F>5#Y}+zXm|;`x1aT%4K?i3=ij;+G+uUx!lU
z73q)^L6iuTdtnKlhUV1bkhmnKae#)2{pn~_Z!fayPk`0Nd|Vi1v|AV^#;<bJD63J6
zVu5aF#6g8On1D9W75dt+WX}nc>}K2licN3+$M^Z)X18qWIqIH(#_}S?Eu9vmsz%(L
z%f^UhN0^b?2}7V>stN$=Ev3u?AEy*qw*xPbnWzc?N_&uw=fe}nD-a?AeIzc<BE3W&
zp_=?oTX{~RL7djO9LXC*`5K!@JDi`>x2JWsyicy>opy_6%X>SP_u_Z{@|XSg=m}6a
zs7(b}8l3173}<K}Lt0+*o?srO>kbLW75nt+ur$Y-C8v*`<xb8ZhnhGc_A?c*cWk_!
z3?@29n%GT(6h_%Q1LlWdoeF?^AcC;0n*0yJoC<&i*D3KpbBMo@<Mx~i0Jk9RDYP91
zTXce4!Qpt2E0jzc4tLTJ%B|;NyFy5?<`T?L%`aJ{0zhi3zylEN2-i)%%qkTCl2;%-
zaCYGMZ5p6|^U2mcOre7ZX*1O}HbJ%<(-7!{*2SV1naA7H^ZN3F{Cv_%dqrQD-)F+s
z{bhpMUSe0X2-i)>RST)JVV@^aJqeSj?7=<PuvgHup;l{s4M&!5jS{hGzyJUJus`+L
zp->gA=L*%ZdjN=mi<(*u@d8HeF-&k+pJLNo(2}t5B+*CH3R0oEAfQ(YIEH4G8em?L
z3e^Qs0c1%N5KLjIs!vUY>VkVTB}o_a5jde17EOifg3*+;@U*V&f{La>bwR+V!Q&78
zgIV1+RyG8wP+bsNUnpE&<55*~{xTBExFiy$ng<0q%o>ei$&4HaO9l{eJUK$W&QC51
z)z@S<w4Qy%?(F;vjjG9_mQ?jvwA1|S)rzEC#PsE96{!LSgR+gR{u~A+yDoPpMyxhE
zvDq{g>9J1xcP^4Xa~nlcf2<!gMbc*Tsx?zvenjCB4*bqnUJ0qG`gGdzefsp_fAhKb
zo=LST0eyLD$QUsax%F<@q1zM(RSEZ)EHQHH4G<mjZU?iCIH)FA$gPo|k+Ml9tPuy*
zS1jb#N1s*}D~f|^f<bN#?XUesAt(;22?n_}CKt4Xh~l97sSCL^GCJB2;wzr3sOnab
z$8{?RHgB*M1gmvmn)s(6({vprYDaY#nnJp8&_*pao2I4Onuu#Fm?m-I^```}CDL%T
z4&***Z;n>;ToN2hiu3^@NX?@E=;&&aYMx1!`Ea<6XfUE3Ns<DGbjc&&(30arwX7qV
zp5F^L9%=BhUN`JWM($y!xZoS7#FpTCQqLisJw3q}KwvLba=VmJBd+tz#|W)GIlqU^
z+wLghT`q=^okfoKm5!o#B-Gwr{V^KqC~6aTl8DQYAbDpa@z%+-p+;4;&_Dnob#*|M
zscW&t$h4gU$+>n%l4KSmQwR%$$#CflcUUv222*OUPF%7<sp6!RB3oWgUex$GwB;zB
zA00VWrf~#n430~@=y5!{Z0KqR3FQb0=CwPLaJ}$#3&}n^lB!H^%bF?M@%!NitA0*-
zyc|;XKylt>6KPzuI~CSQ>_zud*+j1sHVcVlcN5QHSxOt7e6zCrrFZ9b(?awMZ~X_K
z`ASrr)IVv%wA~shA|`e)fDOFIITC2Xw4rIKIZU$|?0DRIrlsaEZE#v@4$}swrRFfL
zP?96G?F>uhGh{v*j1;V)L|;9#QgfI#JSvrWFJH2lLJ$ucp`h)89j?N(>x@cOU%^^j
zX%$5O_kXAjM@~$ssVL;$HZiCena5~03A+-X^yD4SrWmBIS94{b#(kKc<kVbsdJ?Km
z_H0L(G<T8=5V}r5H{LiC)wEmG2BdQ*$<1_<e8Y>M@nb(VHuV%$3X64sTx=7N42s;k
zWYQ1|b;7!2>&q}%x+H;wv}sTwn5{1g%{ZeZNfC`VhCf?h5L#KTaOp6PSTS4Q{bqx#
zBUfC2<FZ+?vcAA+YJPU*bGl;1Y<+i&1?xUoakUj#>B%JN7BfiX+=wY;T2|&tsjM$N
zK~6&u+6pVn`N^k;f|bElYZ{dy-5zTXDK^;6wExu|=eB@`m5xuoqW_IOeV-^bTo#%w
z@9Ws|R>90bS;$_75yReE4VHzz=S_d*(GQK4g-X0}TyP@G>3GA&g(7RP27PTKm^Gde
zbW5SBQ$NZYZ*X#S)_8-HqqD{XVvgM4Qo3r&D0Nw=L@<5hn=6gC+)&xFezcKEF_j+6
z@dn6$nC7K!JjjsLN;fjSVt0~*Y+Q@(g$ALLWRlJ$BP?R|uKCTS&hC;WF2d*{6y=D5
zuw9IH^6iPZ2<D-^;nFMRYernOUJBqpu5(l~i_%PO`?{Sob;#4HW(`FxTF{jv|2Aq-
z7wL<Rbft53v>tT}QIbbX{ulMBIb(ONj2&`HIWI({AO@32E_-|L>8B(=<qtdw_R3!L
z>erhS^e#(I{`!pQ-PfQx^|N2`<A42&!jV1E60MV?z#9Xu6U7I4%VW(%Q#z^oMp{#E
z*kSik1fYM46;ai0DOsr2U9hm<_052$T6e+05ztiYE;u*=nh%BxUS|NbDwj5sqyL&q
z3O8Dpy3?w8TbF`Xnn$mmgIAWKTqMP{^5)6u2iRQUQQUG|<Hd3}WyFFg8hdzeOVLbQ
zzR!RBnjinmzx<}iS8FM+TLwpcn`&Wnj-^hkLAkSMJS7#4l?r3F%nooNb$X(TNJZm<
zG2jI_O!Z_!kcy@VmiaDFhHZ75ipB*Gs|AstXnfe9fGYl0tSA;ptET`m!Ff_a@FZ1&
zqplGh8}&T8orB`=sLmNLf2-VU)teB$nFXru?6iMpHS?NHc%JI(*Z}=N0>49kt_qrI
zi0+PtXxc4m)%ct|zM16l4}9>QpZt@72-E%%H-*+s0-zTH5;27U*ecM=b|D65^4JAa
z4<O3oVm(5TrqBhA{Sh`3s8BV9AxKkL1c#;`(G<F1DF*M*HlR7-SdpgC1qa6?(G<Gi
z;M60gQe1Fw>XEosMRIWL5mPBeQZZC)X(XjFvsbo@X%D7ST+-&tp%DRT8a6jnlKJkS
zqrwKvfumEsg|ueAJ#=Iw$&EfqkZ(3?=KC`|o~gP)gX5X<9kPiO{O&#Dner_f9?z8T
z(RIc%WxqyUlco7N_V-lAM};#pg8fUU>Rmh@o~xXX<#E}=Zp?5??4KOucN=ALJw@H0
z=}}g<Qr?2Fl&~>@fI7TL?W|zHlq%+@+vah;F2SRq!~%7ML<~?!=?jC|8P0ctS#di8
zECHpZO~EggcniN4x*5)ox9nw}ot?QqlMd{wFJC@-8^#V#AFD+tOJ->?e76Z(9`xL+
zU>Dsf#5~|d&f(Hyg;=W`D|Ac+&i0y7HT|Yne)v8A&X}_^=)+`lx*u{)vNauaO)6tL
zb#mjNYm!arplgyXDaJLR+Rp?*&p^g(NDbV5!ZD$mo938gXJ^<kshpib$0XmKVJ9Nr
zo?#~<-=FI`5h)2WrC_8^!R@&Z?rZp8HTqYt8VxxOt_u9`TsV5>no#e$aP*XHA|=Xs
zrfbxOu#HmD>_of)`5veFd2`0(c1Rw6_wqmdtj~zzf!>TZV}j5CzycHxM(LF>%L{@`
zgy=ko9KB~NwFB#-bP!{pSchjQ8>u52hqe1^yOj}ydBq%%ACY+z%o{9!XI^r4B96PA
z(JP^Oxy>77Cjw)n*cJ@m0F8rf+MseGyd9bifo-y;%9tFNM#yjt(j+mvC8)k!Mu2^}
zN}p>SU4+}^aJQ4O)VX1KvuN+ieKLKP)h%f^97lR+S<w^=&vJ@RmB=EZQzc%Ga25r>
zWz~cvV?0tgE87vj!q$LZDIE`5hiWX4+NyLyJ~eSX=#4<Hl#buC;FvC0sRQG9*-ppf
zdN=uag!kt(fk{3smeZf7gyYx*`O<7jXG`jYWOq~^q6L2=hvPr>egD$$`ns^BigIe(
z#?UQB;5v|xTMP`8RJ6mP>b9{0x<r&FEm;BTQ|4qx{{_Mw{mJc$w4^SG;<}E10`yQ?
z!ZY-cmed7-5ZD^hdux<VagDU3E@-431g>|fN~jZE&{!9t)WF)ImNZtRC3Qh^dLV8`
z%j$t_Q8mg{EU8O^@re)s!qJyqz-NYWYL_AbpG$B{w`*1~PGvb3XsAqTh~In?TA&y}
zHE>JKc2|wgj!F`VYU)v#;oNa1ORBKpDQzP&cevfw(}E?bTu8R@eU>=y=jT3|_%})n
zBi-i_2<P=%4~{^1K*ElzOe?Z?WX_yFxta56w`dM}Zzt&e?jQKU@4gIEt(XlDdJVyG
zf45k34&emEoX(quAbP@EARL2UUvY~;1QMbm`ZG&pyHEwEsdhoo`jG<+Zh8;2j;7iL
z_v@ohGTvb>wN}exMVe|Cv~ht<h;J?44ndmgB8U$fMq|!G`(<dVT@YRbDG<RFyu$Yu
zvl=Uksdh=C{y=<;b0g|+VSNhDG`QXYjp)+FfgwyXeDO@W5jB9uE9`tDv|74jWd?aF
z$(9~<cDwf!qdG;VV|YDAZvP@ZI=7fT2`y&!#LtHJ$u+#wZqaObZ^!U{;N@@np0A2l
zM`h~t7}<C`gDH4_+}qG)=c3gZ*#%2a%>J+japg@@3Nuj6gt=mPje{5HG?3mS{6wy~
z!|N-C*8~qMq}o&v!+@F<#qgTo5u<^1#f6VOj&Ci7*96N*zurkeg4FSg^g#ZwB&&b@
zZPf7k$q$Q_I2`Ct6hhDZy(ORMzc~s7I!PI47nZzAk|k@G1hW~4aTv=l@f);f7r)5Q
zjuFPv4hnC_VtN1Slj3eS`(f$pq`2(&$U9qJGw_$@A9!v05+*;3#kwA8i)q%Q0cz`)
z?XNuRwP2o)A7uZ~!O(^EmroQ=v3HLPAmav8)>*RHDeK%03FxG$5pAB8roQg@K;i9=
z3nuIish8l|LPZ%*Q%aL_JM!89)gdjPjz?nq9MoN#^_RES)8uGXOs_k76Y=YMBO}Yv
zNa<gt9Bq&FxemgF{s3AmfAvO|Z;cy!(4YCS-+b{(DCSdTK+aBOM6|$!c)ZcM7MIZ~
zfw0E3bxq^xid6Nyi?)S`00ZQ8#RDdq)+<(Jz=9<@V3vC$$UEgL9xz>A@`BooSg*)i
z?1BM0+MyG^S&@p_1p{=19(;|6Y!|*vRR%1eqwN7z(E8MM84%@EsebM^z&p2+8B4S)
zFk@k2Y}U+JqCg0(cg9N+mnk(M|3ZNfTCF$jZIp~uk;Jd5#aFahX`hv^IjWdduf>cP
zDzH$SZBkTqsRd?LUR2kCM($CFu0zY(jf@`q+9QfP70FpQOS&WJc5a7mAJomFeRp?P
z!knso?bWz~_I=A=SbW2;zd`NmM8+f9w_rmt3`-c&vp&z-w|Kj|Jw`A#`YLN*3;{td
zNGt?KPBem9`ySV8_=L=G_2~1geZh#NbRGNs%2GnEf@<vx7t(q{QCMqjPNjV@u!~D_
z#+4Sr^4Au(*!3T#hSMh($#rMVtbI|V1BVKnU9j?BS5vCivg#4=9lG7u+itqi=U$_5
zL`^9F+8wE#mE|dgc~dfa2~!&`U~`t4byd}6@&twMBzb}WVAKc=q`&SIp>bW;7uUPX
zuIo)s&c1TlXqx^aApS}`@6(p=b51|u8{YL9ABuFn)=oTYPzu6pAjzmvNXV)>%67p`
zqjTzl$0c&$GGJqgy0z%25TtWj1j{52)Q$D+4?(I&7c`%fMSXam&5BfyE@*>nA$aKW
zvQa&{Aad~PcrnYaS%Ww=)uRjUaY}q^mcch2{<d6MkJ%mz%tLOjv8t@ca1W5dw!e?4
zM?ZO)?m=pDbtK~|C2Qc4h7>?90RPunGv6Hy7U48zR_nJmsxYlt-JZiy2M{74Fu&X-
z&vs5#k)BYwMOmJuZdLe+DcXC&!0>g5G0Hf?IdO1<iGwRUr}pfQc#j?Jdq?0co>w}r
zv?EGzT;&lO>80Ya(m<uhN?TPqR>)tYm+JZVJ$!O&2h(m*Yr*E;{+sFT|A?1<_)9+a
z&2M@WXvCNy(Nvmy0iJY@afEv$VPNKRdOByP%Q!-eypqgQ7NnW+6{E<o-^=`%EGSW|
zXWKDi53~XtM~FEf&8br|5!g1CHQ=cnk*R6Z@{)zL6%WXx$*^KjIZBEupE}nL(ttyx
z=bKaK+CeF>A5d&)uThKkcJ7#Ph_VH3dp>tnJBSg72+-QEQ`Zi3_%d95!2CLA&vyp{
z5HN+1KhbI<DXQx1)$Q>g^RQADqDr#9KO4+vX31u;6(6LM%r^*6j$#XYuQT5vdpK~N
zi;W=vt2Og2N~0K8;wx2>`5vWFjLPyGl4tuhbX_zSYr@Ez_Gy~LTT1kuOP!{ElNCs8
zBZD2UJ*9DO@4w0R{->Y9jFh4+?>)d4+6$EN5rvXwlUx8-X+F4O-DGx-?vVIM4abQ8
zIy*<V1FZPQ<-33LUy2lok_ozVWYmI4PwbfZT;2y4o?#Jem!(Ktu=qTP#gA(ILy*?j
z1+C~LBc`NP;OM)sB8{&L1}o`$(>XP5uL~Nx01~NdHvZA?h*Q(_x*#Z|$(j$r)n2CX
zWkFirBDiKEK?Nm=n+0ijiy)AZy5e$U4H9u`agJQFG#>!3D8mBslHweN<Q|lV%+DZC
zqb^TclNn@}#K|>(jjv^{giDT^{l=Q#Y&Sj^&;RwA`n<nfOe0tsb_&@)I4O`D_V67p
z_qpoFLxvF$a~k<c=svKgcEk|OiHzxn;KnE1OuI$1t)701mWz8jtLVk&-*YP6!5kQ|
zHsAmGAM<h=13s3>IA91u6MyGWxg;_UXg=3cfqBa?!8uaK^l$dP!#Q7q`ny>%2g+#r
z<H%763Oc6_{d1h0(hj?28_(x3Ii($RXm^CPI`6@gEs=Fta?E#q>MBUyEc6;dw$s61
zR*4MjJtPcqDTR-G?kbTHNr&}8#(-CnwAFr@C4&RFq;xb?lKJlJF<V@d4ycgS`KVE=
zuJ+NG&UM2qd$FDa9shDbw+gK5^pzzVa~)>bXY%Ty7z`e#cZ{Q+>{>I!y)a>a@pG2~
z1%Q54KfKIn$mL;qC<9EglrgJ;3}k)z9RBb&Lx6JgSq=C~7#QaV((=41thnAxK!B+E
zEM%mV%NsCF%<4pS9XQGJ2>|TjKi95LMbAuIzO_lzr(eGPuCGfI!?e!q-CPdkz}+wk
z6DYqHK*%@N1rv7hK!*4V<Vbz$g22KcA51lg`Oq~uPGcVz1jrAiSqd)D0oJU@N7n_9
z8_w#6Ao`@XEr5{v)CEx!W?~o}Ra*?PX;x(H<ATQn)hNOb<XZ(<ouMw&r$rEb5zzVU
z4_jF4v+aTv5q(-D3w_FJYmMniGGiBm>;#~g8Vod9_o-rOI=WO5^#Nk2nf$*-pXouS
z_=*M_<0SRP{BN_UZ2CU`+b5&nqXxvdhHL-M?Oe}LV^(vUJCv(5$4SNU4NjZl_c)gO
z0rWrg@A(?fp6lDvc+-~e(|Et?mwwCN{q!h>X<gE@JyxYe0%hWa;ur*mAzCdogukS(
zm^dl6gMgSa2n-!OlA8%4h3B57#`6_p5Ey3F(1Xl^)Oaq4p<Sq&FpshTA{v63yn-69
z2p;!aNw|PY!Vu-Q3yO>y&joEx8X+lL8#WPwqVZhPE)tLwl)~EIrvk(jYRtY7&J`eL
zaE7y>wf*cduMF<1nwfN+`S!%TvZc&6sea6QV%X3aXTje3*~`4LBOvXav)6ZM?Qoo)
zInMbO#k{hm_XDSIl<(1YwWf*1FMsGhN5Y!q*I5v%$U>uaIzJziZH?${H51p&psBXc
zJRbHhr+S6@`-=2h&V{e*QCIFTa%<G}!|8@*A}%sMO6HfmZ0p6nr{MP@MhZV1O*8N`
zlOK%QP({S0JvP+=S&i#Juyi~+lq#4f1nLuVJInxRtN9Kfu7fkRzW2;(e;%(ji&r`D
zSRKh^odORneZ}?5cAQ^~R@#)Gr&uNYTJ#CaY_v6Z`-|`ZTV*fnXw~KfpPKnKU+r82
zHkZxru54yEeB>6jPQZ*QI+bK2NNsv5?i>I8PhTWtv3g{uL&x1wl2SQ{;!A6e9HvoO
zptsxak@Xn}9VfG2mWU9UF$Fc-$>7aYq2mxuW)2;Lj02$AHW;P)EMI1|A_RvK;kq-3
zBZrQ~l|h4sWC8QfQ-zKZwt_CK4hRusR-t2wThXDWeqnrXaish*2Wda6wzomv&#LV$
z0@v-voE|^>dCmkQ!sJyL{|J(HYNLaPM7O>F__2EqkGpMeD)}Uav0aBj`#8e>YTHJ#
zpL0tx^X}mxi0hp;`QoO;9h#2su<dR30`ATWF!eHf0SWy5=<>Z6UQMfKY-pvefX3hR
z=<<tSc>Zng3goyz)u(#_fA!N}dD~|Oct&r=)x7{kxiT*dqdnvW9Cu4i_aYb3rhBOv
zij7-<i%SqJBOKW1Y+RwlhknJy4%{2H1CqUf?QVm<d=cDsW@lwD09j!p%SMvBHN@Eq
zfY!2<^l~-aeu+AqCJL^>fh|_>vPlaUYs(KRQIlZnzqxU}gt<+|879?i>no>H$Rh1o
zUjHlq*!++0^S{k*+0=`foDrT$u+OW(iIbR2X&rmRF`P-TAHDi$Cc*Y9^Bs9R4CLR)
zB-l^=kyk(XEiv?7vm4#Ygth9TX`ZRMDp8%XU`WmH$b*TT+onq}om1bXvG%xJ3kW9H
z5ok(1PmD?=ik8T%9nfE@A*iR^>dM3xU>Qw4laso+#+>)Ya0mcUSeby~Q^0DhNK@*k
z1`>qhB#<%P5V=}^>zwu8A$zZ<USZxwC3s%=srIbNWry+UW5jAKl^Zbs+w4wjo!jQ&
zEBfEQmE+$i1?*>&n?}Z<U_|g@;ioRCUMTowRY256q)64G9#Vr!kT9*-qdJ@eO{gXy
zlypMDYz)M<66+>|)M8Z7g?ko81=m|~CIF*?P%^P+2@_m=VJVlS^E2I&HU-{Pa?|*=
zkm`@zv}CgVv3{V10Ppd*<z)1P<*8KtH9IqeB7WqXKJG`}`+1?W6ddU~%b=3?4Z;TO
z{9)0+vz1=J1&huC)<bv*GB%t#s|bQyNy(J}AE7?Tf_&IqaKFS|6!(9<ke2u?$RwZ(
z7G^+D?`^aQX1kCrq|S1|BiH~$6|o^eb(RZ`Y8bwX>AmmME=9y&?(z@y8$GZ)odKFf
z71=Hvb9J79KC=(6BzCC0YR`$|666yl5~{qL#5!JNk~w54p=Z)-rdY#x%~bJ(UI0@`
zRU?y>WU7(Lc6tw6wFJNaPSp~wH`n->!mCPd$l+BbH`$KsryYwe{c&8Ypl_GE{YiU3
zm>z;$DS(kv57j#C-Ae6xxw|*v#g`(NtvB-GQ}jx-CDWFt3d+k<uk8QcSO0HMkFh!#
z?I*!uBb<7w1t2)W>I8Bl_91h{5l%fJpMxOuhR}oN#C<L<U}^`zWAI!?IrVfX2Wkc&
zMQzI!IjsOsNLfDiP;PDNqe?4i0R$^<fW8o4$g(0WE)A3_s1&eXvFT1suZjyhv|Zx|
zVr2uaR=Xw*VM)A@e_bSj^KG*q^5zyvpyo71bAA4&qO?@)H8?lbczsNH<+xCB{HOe1
z?1@i$mfO&>dZ~4a!xU_B-I5E}s=gWKOLrmJ3L!*I+O_dW`O2nh!4+Y?_C(*7I-RzB
zpE~`CkNvve@_pf^sW<9wng>}JC#Wn?W1(g6AuV@{7SxqP%b-KTxIonb?g%T)*H2y8
zkN{DW&@w~RcyC;=kRffCYhnM)f;qGtj6ri~IT(ZH&~h*a6>D8C@}`2&qLunTxOP%q
zaXL3kIn`8{Z&a2FEhe9eDhuh`YFBW*)#@|&F>|W+Nl4Y&gM3?hY})dDdTjr~zy7a%
zUsRjazjQrj-sXJ(4*>RrZw3lV@q2R2#}t_hMqJv{)1AZ3{c=T@3oBya>QJ~D0qkbq
zIdu*<OGDWf%qw*iS%;gbN||$n#;P_hr5^Jyvs<m$pw8xR&>c4+af%&)2ld!_2@cOj
zQ1qBD8Nu^%CwaX5LyBAIk`X-v=F|Q_l0I_D6h5zHN?oiu8a}IvYMQ!K`7KSsnZs9f
z*yZcO*$Y+73r``nYfAqM4LLVzk0EFIiYHHxFLK(X<5xUAP}QgR98~rAbx{E>VKqRr
zS84aMhy7grc}H~=hV#GT`RJzIqSgk@*wLH8j{ewNzTy49@mJp%ADtGakb7GkjLyV5
zB9Z9e`|Z8Q#p<HHrPF&XilH#Lc^fxUYHFTiMTr$nu=GxV#Z~BQb2l^Nk#g!X%ch4D
zPV$1bVwq*LKcYWd%yXQYcHCD4UoIy~AVZ2uVBH>VZHF$2Obp<va9@r{#i(l#ONt$L
z$wCYR_BP9_CrPPu_+>h}_PErc68Vd3qShs2KF+e+Zk}%s;#+lLfSlQ?XX0nSnr|R>
zHGF~7Bi_}C$PtwNixI3m?gNd6)s9c<qMX}y!b{Bm#d>|88XuvXcAv4MZ`^S<vyX6h
z$Wl{2BW~R6Bis(v%a?uVvwrHsqXeYG2OU{zqX=Q~*DHzjqxar+!SoAm&BmkdR%@`a
z!3OTpV4{VK5ELWif@tK`6}N|jCO1P+e1sy1`E|6vU_FqSHwLaM9LBE^Gm^l=%2TgF
zyDl}8SW$cgTQO$b0=v=*ijUx@MjajHZ&YE9$R9K-ijQD|#~nECd5!p;Y?xG>S}Tku
zd00yeDwf38)@IctNx(yV9dxzG$uTQH>rXB@*-EQ*4GgMoM-Z>uYOlov4p>Juxn`hk
zBlE0yf2gi`>l5BRRB!*z%mlZ>OptIk=`EH>9u2l0$xp3^+k-r!@vmGDpSFB!P*@MY
z{EMIU1OND=O2DMiYbWu!24#|@Dbae>rT}x|bGtyhnF?;KiemAw1x&Ve-{w#<@yWvY
zX`GF<k|FyD^654Km=mA-4Q5kFni7LSG`xvZXPgaKHW7h9b%B9WX0k=1@VRGm;`0cW
zC}Azwf<mA^^<;fibc}pZCDPQ6i-@Zt`G@!)|7ZUjd*YKWd>iHBI0w%^4EI}H<i>6-
zxnxw1DEoxUX)=yLo>ZeIrTs5eT4g&W+}aTtGQTIEPvYrxK5nPu+j&JOb)SQ(AyS4z
zW4w_aSi-Zwf?_4p<;bt&u$%{op6?QPGkgDc=lz>n8nFefvYs=9H<KZJ{?Gp0-}sqd
zQw*M3&er?iA@`)TjBBO)&n|fO{w=cv7aWPd)?rS5!+q4)(02x3eOLO*+__c6f^E^W
z0Aigk_>QSUBGN-pG@ASBi<SB67@5}!R^I=L6D_zR7|PnfBYXeI%puiC!HrfU<J6-D
zkJdqKg_@#=k1Gu7!+Nyn!y84pKXficUW1>0=Lxolm(GWnFYglj-Jts4Fm-*OC^b|-
zQz9d~RTmi8Z9`f&I)fs9O3$I{ZM-P7Oen8*o*>PknxsWiaD~7~r?9(tGNcyjXFp)S
z-tj$0metRY=1^_T<Cc>VC606AxU^<^fG=2E*M|c$J=&*8arw69R;J?GV^l}PXFf)k
z^<#w1>^os|t<Rj_LH=#-UEbBRJDv%w)@E1vPfmp0_ZVH`AFucrz0g8bJgP%9*ETUo
zQ$qG@<ed$_ro)U0kXglu0|7+Y)dATNx;8T=ikTH75Bd};cr=EB*XQSUcs?BCogGI~
z7@fmN)HHFl1Vu_Xlzu-j2341{#{7#6uO=f=)usZ0_JDl->&*pUpyCLEa0m~7Iw1t=
z<GCH*f4#dv({DN+v_jSQhd_ON?Z~c$K`9--y;$$7^NTfz`;P<i`Gv44E=R%S#SztC
zt88NL`e5Pj7SS-+mw-@~H-C#EP#bA*QAKd?0k(=Tz~tO?VcYbjx_j61l$Z0a<)-;&
z6?VV<(Tgw2w6oHD{`Pmg`}y}@aIzUA>hfw8LGNH6lPe3p`kv=6Uujx1t7^AyfD^oP
zj#G!0;cGmU<JayDPF-W;eYu>v^IneguY`?gxxWX&Q~mn9Q1SX>)t4_{e)Z+cM{kqg
zO$zz!ij=Q(GCo-76gl|<lkW<B+bMUYU#?tm-%ra!te2BNtO63I-4#m996Wt&bUxne
z{;n{|^D}?@m1n;&s^SWh^CXXf=HONAv_%2g=??goKCcTN(E$mc0Vc-KTe+{3s;vmZ
zp+tU~f?ZBQ+5i{CbXa;JoYjgs(c-VzE~Jg9>|AgQAU|?947)w!9fH(qE_hf2Cnrgs
zg(spykea0kDg`l$-Jmn9`#KwHN;Hm%r$i`TE7+iP+2}<Ru)v78=G%xz=O^Fcr^K3+
z#Hg}Xl2*qh_i!We#bIU<KB!L>-$y(;mn=9qkVBe3nI!Y=*=>+1)8A+<vsyFcxu&K>
z{!5xOKocP|@A4fNejGphZn5C+j&B5DcKzK)eLr>GEq9-IB;?=Qk=w<W)1!J>n)JXk
zi|=}n=k}9-xSi(eO>sEGN2PR%Dtb!pO_mBBqr+LImJkx&(OIm$^uDq;L+`TkW(3%l
zy~)~}>F`UUWL|kQWBii1UOe~3n}YMWs=OJvXO%Z3+l~8@HY>k@?QLx7JiDLGp6{?)
z)p*tLBkG2;yUN+9_dz8j4$nLY7VT?`d%d@aMn3lh-qkHieu;Y}CmyD*SCbqQ{fIkV
z+$VAIic8dZhNs=Xo2F~}^Z)LD^*cT)*snE-&`ql$Uq<uYS1ZqHHP9LZMn}Qz!Kel!
zEkS)_Tlc}f%oY1<<+-SyO5l6*ZeqopRy%-#5!Ra-J)IbvGdH4oG`d0gXvd-$O!87^
zgJr>-RwLR&8Ws;g%hmaiDrrR0YhVJgB6yVrc$Zfc7sJ1{LG3AtexxeFGiqjOa@1%(
zEJl`j7XP=UB*uKpX%S1V#dbU{7WW~U5%Z#Ma34P`Y)(^*;!VkPjH|yYZOR3{WtCqm
zGjsPqEOfGFk^`^|*M7YOlNX*kj9plEGI8laz5tz;<pJRXcd};bNxp!uY;6`WUTr$J
z%CFfIinDK>S0x^0@y2ZP!iEOn5e55qo1K=liA#cqQ?FAEU6V0PPxA$)53<e*F#8(0
z!|Kt)?<v;SekCpKs^qzNj`BPq$L1bkc}VeZ=^@3IJ*1Hkl!p|X{*dxxdPs4IKcxI<
zlw&vb08Uw_^N_x9@+p1L=JzyTm-0(O1d=_nHX(;5{<9Kt!fBaYFt~*0xL`;MaTGwf
za7aj2G%9TdPPW5mW5%v>L9aI(x6SWqzApWKLh_w{zfM993BAgN9ZSgJdh@8DH4<`F
zWm$7uDIv!fEWW?y$>R4zzHWp4K_jDCwm4q4)A5pkOvfKDHdR7+(<FptJC2upXKd=@
z<*7Hap2Pl@P3+ykSV9vWz6e=7?A^c``{o9FaVz&Qf!y2O%u8*ml_zJD=>7WJ)6MjR
zZ(hkwUY#D-l-08wb1q-`ac_R#XN;i?_sEM#;$swL2t=F~B*##O3sQBQ@SL(kgmt!p
z>Di;g+1iHe5GBv43m(i4(V*v)ObDJ1Opq7zd0b1YUiNd61wmdJ<1@q|A~RTPYY^;Y
zKahAtI7Fyubu6+x?(Vi>riplCdw7nT$4S;RS$X<a9218%IUJ)p`>2zpUXoj`z#~RJ
z0i`UpQtn|{Lm?UqvjGW1A)oRter|TB<=bLbl(M?@&?}d*{KaVh&h3QH&}vsCL!Ch!
z)OMj|TXd7Wt3}Jg0Ynxi>MUAP(?=Rrn6wvKw%)8X-KjbWxVqdG^n2MKKU0ZT@$-b`
zsTwCPPtm+QHR*5s{a^6ApO4;b^-$aFbsB?oF!$MVHip->Ehq?dAW6h{B=sLXlMu}5
zQE>1w28H!ngI=r=tg_c=q*g%T0>yj?<~(Z7UhlWmO;~ZefM?za=Ik}u4Q0jSVQUI9
zu92G5zf75V4ev!p$>md1le(bVYv?j$aFO(_zjc+p-YySF22*l{fe4l4sMaeQseDj9
z7^;ptRPC9%D=xY_=#56x1)dlXb{j*Mmt|&{U~0AUGDlzsf>=rVuX6s-Kb^`SP-)=r
z2-NihR6L+u=QQpckSf?TR`}d=8h4<If75B)|M6S?=Er@{7@t#ttQ{7dwptq#zQ%5K
zVv^u-DI}SvZLL5QVQV)8Ue+sSTMN1nPGhAVbG(|Jtf>?OkEw7q6e3qGLsYi4)`=kY
z&aiT^Vr6SV5G>)q5j_qXFoj@kYmp<9YXn1ID_GfD>{r%(gva8vg!#ULtqt2c98#$L
zEeWtw=`R&SA=Ex(I#0FAef<WF`Ib#ySpKh1CjO0*sn5VItp7!5F>^WHprsXC3Rv=8
zl|-k;3-)fEX$KfD{QQ6SS3dqC&@KwM_jth@koI_oQRV@4Fc>Q7-RCYK>M$3i2adNH
zg2+WsHDy7@3odvh%0&b>4mq)1P)*cfE|{2?HWGp46&WwMAPQ9|?y$@Nm<aW37Nia<
zf&iZ@8Sx5O@J5h2tO%lOQ_5u+aw1wWuSgx{g6J&OIoiY;x9m`&!;0j3qjd}XK1O|E
zyS%>Qk{BF{u_n;neZQo5gDzRROVRWtl%@GH1?}RJyZu3NK41^+5IajqT_iyuCE?t5
zzZSTBwPwCQkOSEV!!#5{ShESqNm^O;<%yh6QHb(E^{7`VZhpjA(bKMe?H?S~d<&_d
z9tg_}Xg&`D(*T+qKrS{>jX7Um+lw%wP&@gZlgLj2uqtpBz|jOwO)$~`k=BW)F2;f9
zow=h}DEXoU?wDhrJB)ok^S}P!=l@j1J}3{MXyp(V-C~;n7`&yvGDZ!qoI@;)fhGx7
zBolelZ}1fn(9pqv;A(3{4%=mZg9`#ot2DZ}0_jK2E7EUpL4;5{r0|48+)|veBK-yz
z1nQQ8tZ-lsN^_0$8(eS;(n%|YfIO-Zq~G9zh>ffcv)XqA#cy!QJ@yMy6u*y!Q?|?f
z2A4!WSGguICq~?$_}-)TSnb_N9VVIL@rEK@@wG}w%Godb*}HozuMAv?LNKZbBQ4gg
zsYa+(RsIz;5|!uHR7tN=I^nqkRHW-U9S>V~PEL_&!rV#&wCq{nSMe=VPEr6mQG^j_
z?D>}09^`W^@6<?X+VXvsl@I>uulb#CjmnBvfL!BQJqW}Lj>TIqI^;2uw6)6WZqICx
zAm<2=qQ;BLs{E2BSPI2t@~;c4buTPNB~Ud&KkZP~ZdS}S>OGP{N*#leZ3~{F#`7;@
z4FuG9Xs2veq{eeWbl<XHmx7Dt8b_55o$aJks!rJ4z<87Gi)>yr=l&KavUn1v{fnf`
zO#V<ooD>O{6eX=>(kuioq|H9^hg9uN00z`TL`mviR(q?>2}*;mwm;|R>ZCauxI3N*
zQ$-`UsMYH;p#5feB7Xe;e#_ITXHF^mJd0=qe!&0A<idV}Sh0=9RciBpFuo1N6D|GQ
z8t`_-Hk#mMCfNgwEleI(M0SvM`plCmw$V?$J}e}ib@xoNg}ogeg~r|<-&&#p{~-%B
z0wy2$wffsAO54BAf`b|f%eXlvS*rnY%>x?pnzQHIV>oHFhYQnHBgrhHf1@M5l7w}D
zQ%J)>zCVi6_HVQ~f|di{2svpKj`Et<bTu?uh-y$tK33_#z(RW>@^aJdb<?%_`{K;;
zcwDMH_75)(;M4Z4^xl(_`^$8go)-J$+RGQ1d*-tHfEKtXuxHNRgc18SCmg4<oT3?D
z3;y2i|DH#eU;M)JZ+lm?{415f1TRoQd8&d&Zqe*wOut3TNw;XZV4?CSU;X9}d}Khx
zwc_b6hSfiPstU3o=p?G~cuGX;g3&~e=CL7Q;~;#a23ssR43%IDjK_ju%J2=k7=CK-
zBXWu|1=py7)`A&qvEPDZKm-@4f;NH~Y=P*URYgH%SeKEV4E|CETc9Xw4d*Lz2-K$*
z7sD@d3x7$MWCwpM$q36O4_HbJ@)phPTKx2rpKp-WfaQLr6YR4pm|+?AFSlun-4XD=
zVP*S1QEC{PF(({vFyROgWI|IR(#Nlhgp_2_5cs3K4NUm?=}3E)N0ffYE>E>7)0S^-
z3iaWi`L0j<=&zj4MY|=%2fbyhY(g_sz>Ouj&jh%Ed0mYleR@X*q#<0Whctp27!d5X
z=t|ZgE>2B-=qnnP3Q0xQriw!_12=*OcLShBbLtG-*zGt4gisKHqtxFz12=ZcG7iBF
zaO=&Aq7VHdx9Izk0u138?GGs-SCK?uf(VkpjU`CY>yy{OjTI3s0-ZQoAQfM{0&Z+E
zq)W6hN=t&S&$qDxZUh7H3ZyRW+4KDg3Se+8*1!$4rdBtoZ~%kwyuIa8)9~*eB*3s;
z8fK?&ly4D6-IBNwzx;YD>F??r<$Hu~T!!w%^&qibeWOwPA~xZ16tt+4-Nfj=0Nd-f
zm9%ujBRogj>!I1`ln~2JLY(1TETAslKM-6m2SK2Hh@^F&;fa51Oi;JxrRmTpA<f;g
zXs1P5B2v+^9l2+L>8DL;f%BTz(@%lTt|&INcOu!0O0}DyW)tN%R-Mm=651PeTNY{B
z@_iQR@wff<Ul<(~5q0_X!cfjp_o@nHN>+j2Z?uWiOKv^%7m{T1lG_mdg(Lx8u+-*y
zx;^Ux8Oda!>p50jeg60|Re=oTR$3rK9lx!lQQMVD+F?Z&Fgh+!chGjZET~C9yGYZE
zthp)SdL@}^Ac!--hb3#`Eb8_9Ri3RuRRJ0QMWUHf?RCOg4}~P3bPa$4>_ccXU8P@h
zW(~{F&7!h7MJA4Gv|~@1Zg$Xb&_Nd$+}n~!f2rJ9`Z&X17rcNg%L)GTbt-ccme-Vz
zUfqbU?Nf%o^~>M&&)yvrKdMzdU12&l&&XX^S;BTT?)e}9yLQjvpc7WGg~Dk)u<ZT~
z0<bIZPnizkp5wRBOi5g0zk7}zlJ?Zu_Zx(CXV-5K(p|adBouciv4iQZ-ShPdUL@Uf
zOzvyLlFB_NI6~4dY((w2(m8mtp}$;n*$GT)_k0llo$t>u{yX2GVf=T#L&Ny*>J|;+
zzw<pBz<)pYED%M-9RjZfI)2rWUvz>k@vHo`Fc1xc0~EMkGnZe@6RJCEma^Y(|8i5w
zCS9%{U#?ftR{#o2*7vEgZauy~+CuY44sC=_#3>!_!j|a<)YTQ<ZG>#_+DtcKYC+yH
zm~y}zQ{mmj`_8^Qy`XT`!PEj~pEw?_Jrrg7!Xwnxl3$AD^yf*we^LoT?NT@hkbVNw
zOWwq>^cwHV_n(0%cLzi<C3dFEFauF;28i;{e_^@&@W_g4Rn^@tM;jcLN1_dY1_A4@
zmMm<Sxm_-3ExACffG|Rxg%He0hXWWj#O>N6TcwG_5R@3y;0vhz0NcS+qp?bJm?0=J
zs0%tG;s}M-t|&3634(Wm4YW{Xn~mkiVnvBTO%N$LHu(a#v1D6tMot*BV!a{-!3W(4
zY7A<U1e)U9@C}jb>_|!s>XOR^ibIq{@vHqh;&$0ZgGmx0uu7t1x;?vO2y9KvJSOo6
zzWIv7UFt6+ZkMl#bTKKJadvbKv|nB60&PveP_&&5N!+evzCmE8)D}6o#hss1k>9qa
zbwLoava;N<-=WFzw^(>}1q>le`>uXN16fh2iMdM^?u*6yd<vEB1J9n8?#o*0bpyI$
zA9A;JtTgJu05*ytWMR-Xo0$x{_@Buk(DY^;!0s?S6u}iICcZu(dl2d(#qmht-7Qz3
zApFz$!4+6><*np}kL;yvhsz>3+t}2f$32(_#Qz_!;FQ?IJYw;#Tq2vcd|wRyBR~J)
zU;8Q1+pM)VHwIRDyCRc+Ti~ZL@D=gegY%wx2|dmlG21Sf7aD^i2trh-B_-%rAbto^
z*SO#y4HJ!l3+_-(k*)$D^9H$)21%0`-^Gd>Ex+Kc=#VCfF>uLY)+8E(B6*rM38kk*
zWkcpfgFv9^ipC&=Dx^f_Yii>dR6LcgnrhpHA6<-rO9CvhlsFXg1gw`TN#^?lyedZq
z16P5rhWd_AR@|!LtOQlh`8rX1qT2KSLK(^@)xYPqQ|VDgac3KOG_S{<da1jYG_~rN
z;D4J-X*MY}3^Qz-rRG6R;!Px7&FxUmZL^qY_M)q=+ShDP`nkG#4j}I?fSh)VT6;GK
zkT(-RuKvi2e{B_AetI)npP?G+QyYdFW;o~yR6fIyD^S^_Aq$^<hC$PreTG5HNv&fK
zB>oN<&dO)FhTWtgQA2dXW+p>8-hb@I%l|f3E3I{>=CP;Li1!)4bjfYPx52#R6q#s@
z9M(d+lhXn4uPfSe4Qs0d8uPN;<r8AQbd}825H=mpXC|4aCiVhiXn534H}(KWVY+^V
zHdsG}Vhs>Of|YdsI=8DokDEu-7L&gzU4QsBy|zeBEM&gV&%RF6%$-1IHlDNbeDu;g
zWZF=fw@3Re6fUj{Y6jsh=L-+8fqVK9!B;u<37Kp2+kWD&|E`z9cxvSC#?#{XXan3I
z*H){wT_>}zNJA>}F9dV;wbZgP76id+oe0d?*GSWX*H=r_v7)%+ma?;1AkY~=akdG=
zoP7li2|%6*JCOynurpT7+1JB*r4#Yk;nt>-X*}&PsMrnr2$(I@X+BjeoG8WmBAHV~
z%R%$Cn>Ij!A2CzfW}Qa^**=4;2D%H=*MF}$+4bLgi>I>dv4ykcJdZIuuFp=p4fnrS
zm5JlHQbOo=OQdRrlaZP!K?Lv^UI8+ol~=(0d@|DVmbz6o0MPk%*ItcKcfCwcv)!HE
zcs2LNop_jDpLTck;Q#sd4}Qd(-ULux_`Pm-J$#Fx6%_n!TAJ^z3r24oC}-NFYaO)O
zfLXM>+2A=_qe*l}TKWja{3eZC1+8U_zy=e(D#5c1RWT+BqMCxe8=D^Dw#fg6ZHZ65
z&;Q1rzE6}IOr#zz^0Xv4ffbXAcoLzW5$P=Rw00z6hoj0yog*l$f;4!Z$c9Idrd8H-
zK0Y2v|05{Oh#T6|YBrR%_NU`JInX*PhW$M!NTmZ7S0leoF-8)<o^Ok;7z0iPoK9Pw
z0YE$W<JE8e+&})dk1jeu5#B9572&K>GT$$@)>7(Fa7|p<7Dh#GIBb~?@Y=drLr|<b
zm-?b5AbM-#*;}tWcz*G{T@Wm}QWYasvqiRx?`?uxz$vBbf{A_B9~-9@-`fNaxJbFi
z9mYJf{+|)>;(ME*%_;<*5c!o>P@W235l{>1v)UuerYbT{t;h#oQm2y$`W{%4`rPV!
zn<U!l@O6pk-)=fYUz)b?vu~(HDY@A+lb%MEpSscyz1IoTBt3n`L4cxYT*To5WfI_H
zj;pl2H#Jb{VNh)0V}tO=)p?&2Kj!McD@p&`ry}Fu8fEF>u>WP{PXHtO17D!eP3NEP
z))?{F-n8^oU+k3z29H0W%$)}zJ=Pcd)sZ(1&lZ|2+7=L1Z+3PJ+affZs8(<#w`Wfe
zHl|zd_^Ln>A+pwm(_@&P?29tFoy^mn>r9XKh4IbFJ0P8)`DIZcfd5JdV$t~+0)O4E
zDS0)!m<tG^M+Mi3wh}>nS8Gb7;A^fnV9@58t5s(Xrh+c~SK6%CsEKdyW7gYIoe%%+
z(_R8hue3)W(<;lS>Lq}P*Ivs@fPZtZ;NcCu1X$9cGW^)Q1h_hX3Hb5aFTo2IzQO?%
zE>>g;^e@t%?TAYO@+LFhnKItaq(pB<<z|e{q`WRtcTHq&#N9;dRyZ9Us~CQRY=@=C
z&`Kba)A4AvtNa!S)LnkH-+~`3I}V)@mol<4{eGA^SuNL@Nx409CM5<zX18sPnUs~^
zhS8Aq32VP?vynlPrJ8_|m7D7OL!dss{{9=8!5P0FW=(FY;sONf<2yfC{{8gvDxR1A
zT4fV^H#<wtZ-JD?{LX#ZeEO+P(s!7Nc?e;^s=4XHw&_dt>=iGgoOdHP%{MCt?d^|V
ze6i->J~0@x2s+2im|U4X-VHSI!g@}aA9VwPdgmOc4i%1ZKUBHKpMT$b6W93j?+fai
zdxI%s9_L@_rS4&6*Q3j{A}>^G9py`M>(!SpAH7Y!Pw<-;$+A!-7-Z>_?+QKQqVPJu
zSlpF<xpKjMKP?Zre8<s$SWVkcyDL1@a`wEP+yLbbe$dzTT_O9#ANc7n{IstcW8>L@
z16Le$a8jGU75RajaUeMz9G-sK9;zlg3_V2Tt)Xo|0Mn)>sDoUvOgIF|P6s%aGY*6N
zKGYDt;^25D>L?ckYY%3m&@1X0&qSBZ1&i|rmI}GX7-$5?70+9dL@s10?QO`ONZ3|M
zM#={|`8YIAiB`hTJ}^$n+-8?7ZXUuc;RdNdc=`5l^sBgzVDgYTF(En4d4ubgVwKZv
z#u}}-!{*UTi+PSfK|Xm*;eVUm>BR1~b)B~2vR&U{b9L4FZQ!bD(<X<Jv<Lim6yL*M
zqxOGRF`YQ(>~Ek}e-;zC_U^{{3B<1ajZQ0B?Qg)}s)5|-ma6;>amX?*yY@FE&I<QW
zp$l%=A7FFkUV-!-r`H<JaoW4PR~ejBE&v#T?cE5QGp!^sVR@Q~iOW;8O#}VWfBa|u
z@GGI1mG0crKxIh4VX=gY0tVuU;o}mD+Ab@>y5L^fHW3FePzY@Vc@JH1hc*-;IM^W-
zql!6J<jrzH5VLV69mg%~FusFvMF>(c7eTLsf?L6u5JHf5&;@t9g(X<f38OjV5Ts&u
zLByVD;$aZFTUr)61gV&dpcAiQmNkB-`Wi(sha~H%ToQaYnpuh^B@N_~$HPJEzhIyh
z9CiNQk_IZ0h<Bw!ImVo)HEA}B<Zg$7PZCx8e7_`_Zx8!(#3t=P!dw4F=P73D&ZH<k
zjP{(;kVaOWjdt2b@9MwzRe@#CWj~9mD{=qZEGnD6&;Rzx=sD^c5wm7q5cs}XNb2C$
zHS_sNczeokOZ!e+zEAsp!Kc3b+kS8q00U)#6c>5`F<-ict4O}m03Hd~0$>aDP=<o=
z^>)n2E%0DNt4hZlbdikD$)}dU*{%^PmxTg&y9=Kb*AIZ%p^;JD;w2{aHD5+DmA2x3
z&4Cz_RoqBbF`rtK@a6Z}u#*GPzzVZ3nk8!h4D!A9b<mU3N=h=t*2KU&3@+r^QLpGU
z73RBx!U#v4LK0C)-9<X8Q>l?u^DLy-LqFGm8u_=rsTV4o5jDNqPQ|8?>8NbKbF0_U
z*T&bUPvhVC9aAOKm8$=Rn=5FV@EYN#jZ_C)%qhx~Ra#OorpZlgF{d*8A)WBtfjKQ>
z0vhJ3wB%U(pkQp{{B*m|agznoo7~(wp9LovPV@Q7bVk=)zWrg7<T{=InleLrM%SFM
z%-!^wCdDMVrXQi;i-s6XQ9im%DatAjIpr3e!#w>3AM;5c`s2x{OQp&~Dl1MA|M{9#
z)-iKe%5bQIuUc|B(Ggl(L$o(gf)}H1f`cXal#fRccVq>SA=S|WNw3x!r+}@9{2uT;
z5-9Cgo#H2RUGYN_M?lHVrc<o1D(i>+hD-q>X`{pa<f8^yjhdGSy;goY;Y4HBv9e+7
z<dd%1*GsSFb($$|7VVt0TuGl`ksda@Gq2rw`7J>aB*QDdcjT86bKPsqYd5!=++C}Q
z>6$QCy(z#pN}T&NZ>CT4fBhGq^FRE5VU(41$sw;!Bxl8)DRb+EzenfRGe4H;sQI@0
ziX|l9Zo0hH%yYURr>`2p^+AksUXez*2m)bbupq#`np4v#yC51zNGkyZ&l1(GMvz9?
z1;NRqAvtMpG}yIW$nG-Ic0ts0h_vJEc5>O&-&!JVmo&yCNCFSoE-6OYC6Q3Z*OjXz
z<4?0DBW;)59!XI_$<=PxIXfe5mn6HcI5^uq8Pl6J^X)-14he}!z7B|2B{}L6<d|Gd
zc?F!aS(L^6s>n#mskj7X@yFXtz2Lb`a}bPrL65qVj#T%xdwO5yBGk<nq0W!a?Hw4g
zGDH{TO3+<d83n0ORTR9+i7V}femu57RtzWMkK-^#;t7k+r`@925t!bdbWU{sE&s;n
zf7|~NgXpy|>yCg!7&ycTMpghORwJ}sR`7Je14w~kz64;>;clX(alwom8SZ@nP7r1e
zdA=o6>MSP4sp$xm6_)^SsNfp2muf*e0xlRBkJZ5dy0KzLq7;Y+=W=LuG6XXcWqXw3
zB(9OXP|c~u5%6;tc0OR4jh4`toMd1nKvnw|!FDl@mnR71)jUqmc*j{TVQyoKc-(Tb
z$6z`t)?8%bfB5hDzu43FiIUwu(gMUqrn_mwLE8-aY7&4{Vd{Zr?I1v)J<e*J5yVJj
znwe^^h~mH>Q~@|;J0jc;padWZAUdP*M-k(3!Lpr>$MtS9AO(PuimF#}<mq^VnBsUT
zh^I}dyLiA2c83IHLX8r?uJg(R^@-mSS^4uVtv$yl>{o^mR+jemAssJ&(la0W<p_23
zIy)L}jh9$7+!E7Pn^%}WpTEI@wL^<ftB-m$-2S*1{`0K3GS)7Tal3VAs$AA^=oTRM
z18N2Y;EJno<?-CJS;L`4h*YH%Tp&2ARbkd}+vSpx0R)*~Yy>L}2MTv-K!72Tnrr?t
zm4*xLx?gmblu)Pql856~LLJ0Bz+u!^DNnFV27(UFQXR>BcLG6Yo%(T|lk}@<%E)Jm
zx}tv49f8v*J6z43(6RE*?9sOm(Z$fG303H|fX_iAc)P<~SG<L~qLSxGdNaM!P`*-i
zEYv#n<^<iyD8O~oxvF>0cHUjK(^T2WEt-Rz+X-?$_4j`5cYM+qldYxm;Af4w0EZPR
zW+-?YE<kIrlfFPlqfg0QYy`3s_N{gSVoENW5ZjO>y8r-FDMc(8+B;!Wb^%cQ1c(ax
z#v>B4ItDAekIDroV<CYFGUA~mS;6hgm|U=hxBf@%0{EC*q+}PJvu79JuwI*6QMy#q
z*|Uch&H_M93c7X4J9ie$eXwCElSzGw!%(>)k9R(F1(2lhGxQwT=feIsMEX8aYB)Tb
zQ=K=T>P!wpa_!+TRCxPlb9NZsFj-O4M6<*2-k0C~s(J;2XDTg^w~AT%girkRUprwI
zC;C5_?2JwW2hcQZAPa`A6daw!DFZ<uI68|{_(hPm!E|3WoN;P847LS_0lXP{jbLGy
zAUg!9SX>bODL#u6P~%2WvR#gXD*YlLmv2|3!{93#zX+kt2XO;3y@5CkMG}63VwS*s
z+b&sSmUQkh8%B1Prtz;cYO>W<-V$cXO{ty)6ntuIy+A2;)&6Ok{q+U?Z=Yq3e`{>C
z2lM_{vU;E{sxz8Q`(UEW7hx>ey{9@<L@nVwvV`Yw2LU@=bv`g9d#7MyTyN%1LWcFM
zp1ju$W@&=WXISa4<(USB&B~wqz3=*4pA$WCTCej2s)Gy;GAkZI5r7*g*wi2c`sXef
z5Rjh1_8E*YIM_a8MXJH}+UH>>Lv@PE&`-_wT5aQ6FN7f|gG#6jUC?43blHJ@sK!A&
z_bioR5k%V_(#8Z~tR>T$SEMp@!I&M1)NT9oh%$7^nDe;qL2EF2`v2K`7ntq#tS*db
zLr_p?v9V~>uQ9{LlQ%r~OFJpjGqe&Rjo(bTRGg1<h5-*S@A;e=hJjcuB_fvwMI=H?
zEeX(oErFEALMv%#Y=u&6ts<ycK)F>B18I$Rr1tk)m;YMpzxVq*`*|<l`R2@qoOz%9
zJ!}8>-p}59U4HAg)^f?1^SIec=#~#I(NmLLqkj!g6P<G;b}N$k?rawaowUqJPL(Ti
zR>4vUg`z7hV_L(Q69{T(v$V)qU0WxZq%A+i6H!HsVCQD(v7wNvqcK`3cC&xVE1g%j
zb8W`+P@7@T{~4XfnBi7AscFmipdMA~Kl!TP_rw2k<fPPx={fPc<q_js#lOTL_0A>Z
zOY8m<3hr!t-bimas#F&|fbk9FiiOMI-*qcerMe)ZPaC)nEzk=V|8l|EamXO;_w*l`
z#IZG?dy9XmZ5`;j4Ljmx{}R0{Fj$x?ZWh+V(pS8r21<(J0Y)DbrK39I9?_S+%R%z&
z*upY7c9JX4jvY-#F6!!<Qo>I=?FjM#O1b17?76fj<sDP&^ec-a3qSP|zfx>%x*Yj+
zJT?9p!3Glb344*RHSz)WlD<WzylzhL!z5W_5A&ruX-?KWyJXF@Th#0IXGI$1ajl_}
ze#9f))IlN94@raE;d!5e@Xvnm8(uogNJDDDh6HXLg>dY?jt~k)+L><5ZAc*C3L%o`
z)Jo-R2&4j~i75zuY9%LYK!9MLh=MxYAg`D}U0BS8aoh&11r0as(hy}}RI9AG!hBn?
zwsF-tS!0uBLF5KUh-SHTJj%V18sU7qfQ2rSmz!HPd$kU`MFsre|Eb_~Mw-fRbC*Z?
zr`91dw;Br9JwEw?{cn6T_K8xd1Z;%eEmk&J-I<Zrxf#MxOLmr&v$QGhtDD^qG)CGB
zU-Z-e;z!N`D$511IRYw13(GNk4%rQ7h2UW!Ep)SB22}RTm5#aw$ZVY<EP{cro&l9c
z_XQ{{e5<@-22{|C2P|Lagpo7XymhRY0hR4xg*F%nJhq#|;2blc(pqtB(do9YYRP~K
zTB&hGDOEvV;EFdDKm}=DcFv&WVgTmU;v19(IZPt#<$T=*P&uNpuv8swkpfWt8W%3m
zp=|=J!5CYCUSKr_=b3NM5lhLB$zkYJ?&awD{s3VkS&^s{I@|S<`342zwLBW%*w1s4
zc2~U{d^p`U>hTDuqu-yKU?VEmHL?HIU8fcKs_e7wx6wr|O7hK-mSjH?DYtJ6htzFp
zLn}Po6q?C)D9Jmwg%fUB7%7hLE-YwmESpZ4k4`$iu$I&QXp2`;0LVp`&{DQz|Be)`
z-9=pIKgK4X@U0ZYEvL7Rv*-5)m-o&*<7vzHzCSUY-|>~d?rUBROh>yQIi_Phk=X1;
z6(I&pqop_T68p+3DO?bVJ-pfke^wh~$A%!)h6{odj1gOc=?t6EQERvWra)Q0AlkOE
zxR0&lFz~87H1E6%9zn;IL+`MMa&6+!yyY&4T1A>DKsD&{uDsk3q*iyqBbdGrM7k0v
zxuQfN$hW2mZr18DgAat-%KGz&Ru9R;Ui3e#nvFS#B}J>d<N+ao92^4?jOOE#XLX<`
zn${3K^q^=k{n82>b>lp)q;g}hwtVv%v}-#7EzOM%F4Ndkl7^RSJbPL%)p#>v^z0C$
zX}74i8Z%;aKg|q&<s0Ap$=?z5UhT-`3F_A5556)C2HFN<=p@Zw?u#eksI{=OQ6FgN
zyVytqA`8;;wiW59i-{f?he^xpf~eO4Nr#6<(Y|{2_LU{5n;=jfG0|uMEo_~9XmPge
z99tuA#37Q%$%2wiF+tFKSqcOa&Jvm3?yALK^%a#_L$;1Gi}Q+NdHp1};Eaep#oWxn
zNh({%4~|@qB(SgtjCK9VC7$v%;pDJsD~^uDRDYVHRb3J#o5adEi*sp7Z~F?rrIJ|e
z=rH%%2oWCX;L@cRTwC+FWaNc4Q8zU>Pr61f36cZpRQ#1Vji$Sze22_8;v7<B(u?F|
zuOoRG)=PoGlKKf<B3<2zzMX=K4q!GFHXp<`ZZ76jfvKgRDs8EC+sG{&Jhd)gUGJ^D
zG(b3m-W<>#f!;b|k!E317&fS_?Ai_@EV-=hU_br^VcjL&wUx1(!YG-pl27<_7`2i%
zi)nv^b)^^;0yPZdb_&Dzn#ZR8JRXJtdP`aWIW0tGaK|O%#S|AN$AEBhagb*3%j~iw
z_Ud!ic468rnq8KMsYv>sFMGrHeO9zx(2S#l1(turYdDc$e3HB?E{pdOTpyW00&;j<
zcQf)70`@}#GQF9SD;CjKJlxF4tppHU44NbnEC3>^xhp#u47X@7TM<NLoq_?&*-a6=
z%yQC{G^kPSjW$To&lRN%3)FUTWHOBIIGtCm+*IyaUbUTJcl6k##IX1#SL@F7kNc*7
z+``=HqkE~jn?M<%{&hyxndN&`O-%9|KkZ}R{QpI!Np*f78Cg*w8>$}x(^aQ7M@Egh
zi_YB>Bcs(~5b%sgMtdE9nxl^Fcq4AI$Oztje~#Jjj>rfDB{hL$md@3T2PY93p|NXs
z)yXG%!8DwNP=ggqWP~<rnhpcVDJ>uJ)s)Bx(H$G=V9hm(N4kTLM@C1`68h`8Au>V%
z<RFI1fvfef0F7#`-5kn!=KIq|Y2u2k4PX44GB(CG;UjvqWgLdGX1PNx+QJ>Gf%sYd
z9;$d^<9F4~6XT*@HLIO)rEgnj8{(*(bN_6s>@^l0g~}iMG;Riva&Ju==BVf%qawyV
z$jscr5U6rFqp5FAIJTGV%<^M~VE?DS?>E2aL(h!2MiB#M#sUX{vbE$?xM*Ssnv3S+
zt&xP+0Z1X3{mtDHvu)MiWc7HZ2aBSy6~lwwZmic<tXTa`s&!_u*buB+QPfPo;vVo@
zUm}<NO<XI>_~@9Ty1rXc41uj^R4E9qhXb?K5V$198A)HA{d#R&GQXPXDy|zsEs6yH
zme^I;au|r?cSUiS*wd!+o;(Hq)AS~GaX5${pN4~+mETP&=ssj(?Q=>EcwVC4VyLtX
zHj#{|<p%vng(Ue!w&{|Ha_~I&&xqPgy-`=N*L&$fgq)~l5!0IKNxlMf%NkCsxkq2P
z?kQ0;(bhbm@4DFb-D-<qt3LB;Gfi>}i>@INqO$%G)hTQy*|;G{-C9Sdbe`#HzS?dN
z0E96B8jz~76O&|moUd%Mx=42CIsGB+hD58iD-S6o>>-sI(eaRC(;rfPOb;n`@rRTj
zpNEI^WjD2bw$y3h37-?ZG2?skY$lpT4=BReJX1hXm>21U0VtLj>fCs0ncI@~$2?~s
zj8RL9dD8`ul<kB-@~w`|HKt4F>4_LFjn^zMC#ENUzrj3djLR;(76{bib2}YS=1<4@
zuVq|wKA^l%>44mh{RiWzF><+FKLpD0WjpPU^UdA;IG(z6_rtvDy8qw@%cr9(A_sII
z>um3~iElCc8WsyS5P&Ye-H?W1n;3+R&BGpU3%?z1;yl_?7-O9_OYqu82DeebLByfA
z<8RM!?(aFdw&0M=?oD%PdQ%78;W2L7wYAUXDb5q0-|_Y~v^wqzoyp<dJ9$^Q%RKRU
zq1~DJSP_Z&Yrpe5zv(Xo;H$KKM`E1cA1$cX%RvnS-@Yc;T2O5`H;4K=3IXW0xZue_
z&UC<CFuG8}uU7viR;2!P!ENi=M4E7SXgc67c<`1@+r{2m*~g01s7<hSY%)L~Ukp7K
z7mS8YYc#GbhrYmLxepdsc7*vkoZ9%Lp7<CntX`5M1j!@1Yi}lhQT;FaKHz1<4+uCP
zzt{TFr@;F~`CPJf>Ntn38+3n!ZY<7;`nqL@Y>jjZT{@r)ZOaFgAT90B?J$ma?i+#g
zg++@CM)tHA=Rtay#yTCp+FJd2+yo+9%CG$~W(6RA&6X{NFbKYvqXxEK=-E=mm38s0
z%9N)qPpzGor_%a~zxSKI^j`#}Rk^XQw2UbWeAI`3jMN`cX}Mr2E8y#!3GP%{O>nzL
z?*YbIC`lr(Gz(H`xuBI5KoHdcwOc{${IFZ2ih?VmSA;aJ$t&^!Y%5~iJp`q!z))fP
z>d_<>6EE}AC$$5@6VT=5+omtF5(%}@WKMitj#8KzPrNO+;Q-gJW!knOk$LrYM%`J&
zCM8lql<OD&YtXv=Qdd@y0zHFj549!!BbEs&S8bX#vXPeR3qe6td`SydabJK$EB>D(
z`BJ(hILlxV@!^qjm-_I?>+F&?fs|{)@N|!vzywWFXRSbTPx^LV^TMYBk!~J~g3X6`
zDzI#RD!7?BI8W+RffyTKPk!zOPsPi9Oxzxec}6M1ue;1B)qcQX&Bz5hS%QI3o4#N(
ze=Eec#r&O#&HG>+Pyl&xDYoyx4D682rv~qF{I$fod4B=b!u(^0vOlm9)T*}gN4yFw
zEAKC;aoV5TVZNoLB{mgqbMwt^i7A48(~ANWxE^_oElde$)*PGot=lG+TJMu_=VI$z
z!1DOy!5%hTp1eA(e0x1x)b367va1nt^aOt9S3c%P4j*<de}G}vN{Ail@gd-W!kJLb
zCpw$R1LA^hy4T2TPI(ecaJvK3m3A9>Nc4EBplit=oN9q0kB6@aqabC2NDD{~J9joo
zh$}8e3=Yx)EFtd>od8!jDf^Ws8UQ8_AY{6shSb7tuC&uXfEuw(`Pdm02MZQu+mgIk
zudP-m!MzC-M~SACEaEg#J6=z7k_~eQ!ow#TGXJ+*<s@w9ryFm28>p|QCVX`WOz~Fk
zOJ-o-ZZvO5JEW%?DFWeIGeLoRsO~{fYO+3CNKi=O6#dqXl*5+$o1W{dn1gp%3{r9>
znE(ur{C2Fl$DQtvo}TQh)*%@sRzgiKM^BIT72IkovO@F7!J6sWzS{0lt}M^taRcI5
zeg8D%vTH{X7HQdd4v&Y9-u0F@OL%1uFcK6CCJ!Y+Ars>Bc`EAZYbq^UByA{1yahT{
z+$4A4!Ha*7YFwGb7i&&`3*a!>TOjV7Jg4}#^cG-iV$#EQZf^nhtho<g{k47zPI!56
zGT`Kd(N@NDGTMVe(>?<MO7uk;2zV74)qq=s6hb;6+zx2d<R#Roo?b<6A_S)hFHYWH
z-s`kKx5KS~(*oBZE|^|ZX`&=vO+J40a`1zd<=|T6UX^P}P~_8**EddQkb&4<n1KN7
zUs5i}Kol<ziGcF^$#z`-W+Q2X=A|KFu_@ONfx3Ua{*7c@;`c*lVN<+32-N)tKUn_#
z^zmw5-nw{s9I>&1Tjwbce7k{L=bIaRi(A<;AcIYuM_YMQR-Wv1%^8rJX3f(Bl{&?i
zP~g_PU%h_iZIaQsemhVA<`N{Sb7Mtg!rC8AzALwJg(J7rPIxT2#HHs9NV+QwA>R6l
zU-3=<`*bi7)QlebqY}1gjYteKB+%l-3VT6n8yB=m81RdXwUePgsudRm`3EEnI#4Se
z*p&qtLNviO2I-oh5iRX2;9%4cE(l`L5>OOiBfySy`16YNvzj2{0rBENc*ln-NH@y`
zZL|hgTw2{<96AGL2u4u$t}xhPfOtv>;cFVL3BWZ<0tVegXb74l3jd@^51mwmg_5r)
z17<Y6blq^CAi>ltpX6qV53c@ys(efTTG&obBO!@Ick-Abd?}Z?_OC`~Y^by4jL$gU
zH9C4f`}ksp&+Z7Hb%+c$LA1F%KV#2-04LM(GdG$m;T<U70M-tc;-z;=A#}EVVfo}>
zO=jHOh%`wMW_KFIQ_ggJ-^PNNhX{x3alf}H`2+e9s(R`z;ttPy%f>JKu5bJO-yEi0
zyCHZroApKjVK*Appd}U~KKlx0lcwDTQ6L5YgA`w|9chrVAWgdqT2pm^ert^K?F4Ds
zUGRW9bTx*sul=EE+FcMZBCRHXgvC}WT=Jo5+MD2paGMHBiZTn*w7cMOb+l@><#y1g
zhNj&GfgT#(xI*LO?qbBWyX0}r%6B3ttECMLwXg6)ifMOAja(?X-q>K`BuUfml9<q8
zjqERPl0(B7rn2O>Qj0K3sd=r1clvK`xo>29R?BQ#rK%!lygc6}r`7$>6g#f#A0jE1
zlAi_#;@4}%7hOwb*qJk&iLR9xSsA6ITUuu&{Ge;41wai=k0X8t>Ea@i1I=oV|JjSH
z#Q%z}1>hjt5&r{HL#8B-&l>!KpD5;*_OJMffT6LRQc7BsjZMHwmz!yOmT%CkIk%B{
z4$rCyi`r(s$REIFdfF|b$$Kqs(d*qzf61Tv{O|nJVWyQA<a8cm2_a%*uNHwvF`!xO
zkbPxl+67y~<oyw$btgzO?Scq{!6-yvjdDZF&Bcl|(=K>`mD2cfv5`aPuEm*y2+gDI
zV$cT@gH7lm#ELZ2zTy#RKg={6l<p)B&4M)3P0*WfV!otJwTcyKrd@EiL9-vOxW!N}
zC8C6&m}!?p!3aC}vHi2xY$VCVBYR=D07FtG*(fMq&h%)xv%;Q!s1@&G*IL;{iI+Po
zsgkc%pSn01Ue;;jJ2$Dj%y<h>lZ-E4)dq2c;Yd^M59(uDdbp30Jwt&24EL=}v#mFq
z=I6H`%(PoHo92h<2lI1(@!LQBone|4adOk__$v(UO8Urc(Sxlg&}MKvD7mPBq(R9*
zZ3f3%?JE%KK_BZ3j)Qgyvq(S}$a8<_4348Qn)c8}FKrp=oDW^V@%3&erWq^*35>H~
z2FKfk0yHDHIs*Ga;E-f+9L@F6(?$UNos=--6~#3B4~ZkAa~+NN{>+TE?P|}axdgH?
zPP+V(lT5P)lFpgwvFv8Eb4;qc3<Ba}kZt2OP1|(&LJPhd{nO)Mi;Lmdw77US&G%@U
z#rPsSb#XH`d+KN7`}`W;X}4%Lz7J!3-}#0w`K0fS{;>*D^zik5x#0{=vineCFF~52
z86A9`aBd&67lIkS#zN$S;+1AI_-@4vU!!J)@gV?l_T%XUGkm=}U>+&0)o#t{7!^6s
z@O3M^*x_1w!3<v`YG%6)ga81%`>W3I^=`8Q^G*a8Lr|LJR{Ig86RlG4K!WTqyTI27
zQuveO;7iR+XOLY=IebkxMw)NN6hh?Y>xE0e<B|X)2(ts>NBfZe$_1BnNivS%ZSm-E
zy!tis{W*e~senAP-h(yE4FXv~+=(FJ+TjS@mpi1$1&)puT1vf4lKB<^Uf4=)(+-5m
z+OGdrE{Zw`PVNmHL4A%J6YtHJiP+t|l&6-I$M`1qbMzSF!#;E`p!xQp-wUpb?!J4M
z;`4GqU)lf0S;QyZt)lwwtqf899%NV0JhAeWteQY>1jnWH5U6@##bKaFVYSJ2MB*T-
zAw8W?^-Je<NO4HoUur4x3G=Z@Sq0E03yn^)0BL_@6)s_A3e7iNj&Lv=s*hjmR;^_1
zsh_=<=hu6gc8g~3<zc*+zx>9}fBU=6^?0<l>FDuzz;JUIv)rDK&_V0t2a6B;i0mLn
z4c6{_Z+WxmFYBM!CUxzXh|s$gX&rq<R#%Gm!T~hO1+%YU^JpAh5W`_$zu*s{XBcpf
zdXBV>E(k0_JJ5s7Z`{9FQFNT0V}t*JN(>q@(tGh0TOl##m{}oltSHcdOQP)<%S&0?
z%3)#kB(*fh4&L~LFwo)=<)2*Z%KbF8+y`r-h-c7MKHr_ARDm!#16*PtnQsr?N#*|?
zmYDfo&hxBSpoVublGLYlRV!Na3T}1d`;1@c9w&)+VWi`eo`$9M+saSTBtaK+3`6#?
zf0{<qi(_!v)9HnN-m#?alTp<^UC9gRbMvsmu(DA>snsxLhhl~Anw#&u5a#AD@<K4W
zK38BO18`AyD&gFP7oa~+^8(0J*xdrdu-ETWFQAaYE0NCm05nUk2hJ?tTQIVkfBGZ;
z@#4!PcGUB9;I=6Zl!ifRu*2?hSzkt_J`DspgjTbQ_7!wxe!Zg=FNnT$`m=J$+Bd0b
zoqm-Cv-X8uU@JVFBf2j1htAq}zuPSYW`*{(V)!`6tbMHw0Q5PMu)VU%+84Q3&IzI7
z2Xxq7Ox3<iX*>x@(2Dz$tlC$@bx0m@LH(Li-r|N#Tb)Duu7(|hC3f_?0|J+J3mjc@
z<o00Ag%<ebUtQ@gc62n_WNgnLX|){KlYE1e?FC8H_eod7So5q#Z`#uf*SvVM6s=jT
z=dC2UlmBNb+(WOMdh)55^QUd=RxB;q_vu)tTwQhI9FZQB_HYlW%#MSl;a<|yI<GM4
z>0U8ImEi^_#V$`(*`X-*yDH|phKE8DOW_?1)5%@}QZ1h}d5sqJ=W)f3ym5N<(G(HC
zF3=j!8+V)Jn?1w!x-${`*-b(-U3sA;eO4qqT5v1O(vPq%O(mS+%5#V3orwJ*U-CoW
z^5}!3O@kPj-WxeAF_KCwJ-AN2Y0S|^8w_w*VlG!_L$kTFd^54}3;O-{)-D^n-0$B8
z7l)IPy%8YZI@J0IWVQDll1f5P>oy4u2z_@k*&DGH#TyxR+$WOl{=ja*;kB^H$K^_B
zXkn3!h}|T$GX^9Vs6y)wUSh#LxMEU;2KE^0<f~&>yb*+|NU$>!MWjAhGv6L&*u`VR
z95$K!TFx_jix{$iI9aX;_;`Qxe1kAJfa6^FE3sEacb?@A>Bw99EvrlY7WD$oMWQNo
z5}8(~AqS-qHL?;+mq-Y8goyD;g~pKSkcm$!4G+dX^f)#=82RRUpK<Ki-$GMYPrWAt
z4`%N9&&Mu%VDiEz4{XF`35P}l@#MiIE$~`TLVNhY_5c09e(n$aXfTdcQ{8MT_N#7+
zVIR1H_am?&sJp#7$hs&@#hIa*0UKgAK)+(vL`kt@W_G8EiXu`|!BA^B;xe0xrq>Y@
z3t>6y%k+?-^^Y_>!iunu{W+GvwW)Oo8h<M6SqWT2tpft+Bl}`1woAa8qJmckNqXkf
zET+N=rEs3BRX1+tZ0M-k>zoRX0CQ4xk1l$5y{iiJ_8Gx*+BO&Y02j+mPJm>g1|}&V
zEjA71)2nABmk|=>9pq6eJCt}4z#W*lt;q%gRut`|{RjES+T?`5U2bv$b$3yb4ziQW
z+~kD$&r5(rfjL6k>d)iamd(;`Rf}tH%?)0=gL*8sc`YhP<|3b4gQ;@}ct69iKj!a!
z;lKI5u<M$e?a53B^~uR7B6X$mWf%flN*8~D;VA2Ab0Bh^DLAz2O%TaF#6nuMOH-Hy
z3t47;K+_BgVvkB!(R84%i2fBw?jgbeuVqlQOS|rZZ2gB7$x&Jkm|3*zE(i*j39ivB
ztvg35<0iO+y_OZ%3rU{kixIo-lAM7pj4PnCgOe;|rrn05WS%96QvG!oG80JN93w}`
zjX=WX==ts-e&6erFPGLw&Q6SUqI`QW`+Oz70{SCbdv}+d>;b5;)xm3GIMs?<16$o#
zTTEkrCzTpG#_WH?D90yV{ULIJdR3Ja)W=#LgCXXc(}B-JI?$fo*$bFSLAPs~@_Gk?
zzvkP&{}(<srKKei<6eNbnMGcf1Z2Rrixun$ZFaQH6d+i3TcRV@iNSyp-MgUXXR+eQ
zU_b#Ho8XDTfD+xiAm~akn^+Ok-_&NuIZAYIf*>ekE*sqiu!xi}8-fzun_wF?I5rqi
zLNXUTH5gE%dy}*hC0OjS$$-Lxbjh111HxiU0?{O`ODnyaUDv4;lI8Ab`W%ud;v!_6
zZ=-kt?S`*5MpQ;~eD&tyH`27UUpr>lsSmQ>caj(T3u?QKP>h7LZl}KYYJ$gHhJY0Y
z(5-t5{#O^dkJPiT=zqft8T&*jFo@hmFxMdi2LcqNI9_1e6vrd5M@}Jd05Wz;;Ez!G
znFjvSe<Mzqfq&`FDeX)13G>a4NFO}r(wziA0ysbEg0){~x?sNWvA<A;(x&`80UQX+
z`SL0#d(dZ>;rVqLrrjc%?$-j_dA+*~pL%@XxBZde5vz;sE`vGTgd^E`1JOH-PG4w~
zL(>2b5byVRK#oi~PgR`|lmqo~aRv@hGJ^y!4Lr(m`ske+#LscRIWj7yTLW9)h4~pc
zh$^VVPP%F4Ksy5mV7zJd^ByUL{?G+DSfRW~LiZh-esqT}zyUA|P9zeeEeU~q>;fF1
zD=Ax+vtkLkfqqT841VF;we%XJB$LP$gAtdZNuu8|oFz)aW9udJ?O|$LfAt+|#fs$p
zIs)ny+?d4Gubo3Y-q+I&w*S4!T>JO@ds`WGH!5u4K0yC>h7CUD`Ct*-<A13SFf|!F
zZTa4QkwyHrKk&ZC*8$q9A#~kqW)W#iFepr~S&5~61+=dO1W+xi07vGqlyGf@;s99@
z-3(Qm$BHFfQ$grnRz->y2*DbzS$V`BaIh+t5X|A)$$>37T-zZK!Z|`wqGy9b)v;m@
z*N$L9O8|%<2rzFK1_^Jcg;uC1l_e1~_E)3HSd&EaX#V8X(i^K)^@HD=_ESxw^%SCw
zPN*l<g4UJEHu7Yz@$e@vco&@)x{|A$E}NKJKU~HR7W15f9(he8=n?Ml9Q3?;{X|%r
z+C%Ym(Ye_4$r+o}8;v<zdOz9HumAeTADLKC+62p4P}UL)(1lnQU@&l&)w0-EW_VpN
zhA8jWW}!onZ?p@-`A0V)vL}&^QwtP=G`udj+moyc0|erzg(x9N!|Q^uw<uf`LG8_-
zI~~&Sy5JV0lXXRwf{K}p6=`^zAWA01_Mvs66fNZyX?UC9aZ3s=xgieG#)=a3xa1KX
zB_*Yc;L!|kk|a**l7|JZoIemsA`$)2m?udFJuVqTp;v1|KV9;yiEhSFO=;hrN&huc
zn%R!ZcO?uF>u5IFNuReSl<M*iKx`U`P3G>!ml2}`QctE@9A!7vD1=EbI%uT$brEKZ
zKZyp4-6mTd-)16#J>h4J#>|46UU82RL+`x)yqCY=%4cD|^A&8q^tLZ}((qEL{;2bX
zPLz~RyG65IpMHy$lWx&+!GQXse|htb|K5kSLKy9@<#yfM0NQ{-%n%wGAI^Z<1yeu8
z;Z!2N?k?DxL~YlLBRz%Of{=Q`1!ERES{`c+j(r7}f_B{n!2#yX9h~EmO%LjdwCgUo
z+i&OqAVgn#>2Rz_yWRvB8}&Uf6x|5MAxOLKg0R&#hJDF#mG#hK*Ilx;Pe7%~$?4oU
zIUmFhzox%#$$z#r+a&faCL@%ipSA01g`YevtmQhe)J6I<Bu0;VBJxSFm+<jgYGES;
z9)#-6ndoHvNJd_2n<;L`LA{xQeSNo<j5qR^EOTtX@fDDFJ_=w7V>+xlw)U0t$6PW-
zx~~=nz9-4_WM5%gE?i$eu<NCj3?#|)Xs7A#i;Z*;K}jeF@x67yqo-&4>Tq0g;uhCj
z4IWuZpZa+cb`lR0T}-UzJgu3YZcHo{2pl~?@h;L2&abMJhWMH>dmht6>yu~`OI^}N
z0dq~LjDAgetu7g}=g|_Nf42aZCdrsRk3M8&&1vreW|Zdlpc`2#&l~=&e-AK+)E(b=
z4<L8P_W&#8_kf$}J-|WBd%*1nnoK{>ES+bTKDRSV-}EBJk~S6a=rOjyplCq^HZh96
zZF0bAnM}_Sr_g4tQ7lKGf??tz%Huj9wPZKiNcc8zEJ`XEPI&kjgD~A+J}1`}9GgN`
z>Lzr<W`3S2%I<(b?y7^;UV86)(qOfh-WzWGqj$V=oxgkKI)BIg{F2@=+^v08_L|3-
zzU!5Dzf!^3RxHnZ!VS3Z_(Ah8Km2*yLxOws9Nra17Jv84e(?=I5UDyf=!3`t^heO`
z8Cf7{SB$o%>dX&#Aw?FaJGG+;=~9w^aH|HcMe@He>12s^>geNxjFlCT+rb8r#Ss`B
z&k;-a=a{@ND3bkNYX{Z`N&aO|sYDi-dyjKu2(rO&*6LCv-wQzD8VgZS3Mi{!jVw;~
zbSGlyzvStj?#cIh;VwPh)jiMtRO+OoUk*Gek0*Qt>0N!O;z3pasd`U$@||4M_pt=X
z3^QPO|A3*tqxXdn+InHIiy;kT{n~KpioKs_mfFCC(OWblgb#xde(DeWjsJTabVq$(
zZnooq%6gC>Md`_ogMFe^`~F62<38I0;jY<nG;{B4<hUItl+g*M=-`Fc;cvTIqtR+L
zrsH-TP3L;^JZ{G~2PrGe27la+V`ga&civ#fH^}UDCn=O0|AE4ebCgUkd4nBCQ-vZq
z4H+r8$OniW#}C-Xv&?q<b!*2<P=6vzWpCXXH=(ydjDtSs`+w#?{LE-Irs*!%pO*aM
z910!fjI@As_RMK~>Q5JJ`4I36jbUwHA!<npRrKDH4pr!SwOmW@=e#2Ir>|(kve@nA
z2o7Im5Kw=*Ab8E}cuf!-Bbqxw>Q5I$cT%mPM!C6=0rPmu%$(R`riQRP(4j?2(Xt@*
zr>_VSJ+ee(PGA^?;_vnq5pYr#U2>0R(^6-S%a+E^`LacSx+LU`je(ii%IQpNQh&N+
zurW3}X&_eC%y$QHHpgh<Jdfz6+Wkb54)--%pPMZxma4te(ewR5+cp#skm0cb!@Xa#
z+#r;!>(McTObPI5&3uOfsb6SyLjK_;PteYDkAip}eY@Pq=<txdo$W~M4{M`(ZGXL;
zsz!%>5UBY3168-_;^RS~p3Yc<)h>V4Z5s8{%}D<j6bCr>c#>M*nt5)<itl``m*aL2
zNF>>mHl<#)fwej}L&4tdqc^>{PBf`UkFkZR8Vw4rn-h!x12I<!XN&+vOWoCk<!Lx3
zE<a{te&(0|lb`*WurbQ7cUrvHvP4M|sC0b+5w(~LMoH4h1Si@U7i=X-V7p6C$y}R5
z9p-|q(&%9ZGb!)Q4hD-r8{>zLx}3|W{^+Z}uvQ)R7yj>g9hMnzBYNS)*CL(2=jU#T
z$ug?f#*1$ZugZSz;wtMSund-^oK_kCQI6W<Td3D1w-L=V--?&*(Tig6((5eS@%|24
zgn$ZP%3rk;RyrPyUE05EObw108uI7k^Et@J=XTm3=bQU}u~FG2eaFP_NXu1qgdYpV
z$&p){paew6*mHZSj+t9GKfBh=({53(r)MVm{V>tL<rn_?N52|*CA}H9w{@qc6&71}
zWOq$OKd^O!8rWj%rVb&Ds@jp+g7ntigdv_{0t&@dBZB~otvfXbkiL|!czO&V<0+Rs
zJqC~}&n53NUT>126|1L`R{fgIWM?8(Zme;p+QjjZdU?Tzvb)1T03}V;5J?BkY{>W^
zW<%C@%7CRV6AFYBR(I$vAL6(cdC(GHV)+J*H!khCiSsQ3{Lpdpk_izHQv+rX@@#n<
zkz08PjmlAI2ucEPJcJPzIOhl4Ggo}tEt)Ow!(@WK>gor7+ebyOEQN>$NT%0RA7Hk6
zs4in=M}Sm7t5__?=8P40EJ)^JfdO)`yd-S&KwUw~oKbt&qFqC`Vg|~#;HOI6H@ZDa
zr~M4*Wt<G%Ar3NRU<-DNCZPgRqvdTd*><}XkZ!v`KW-d4V6wNoxgeRyYiY6AVu;^%
zNT$qDMk{52i32P#fg1oD(QZQ!!UG$8)?ZS%;(nStV8Y7!U0_m0cbcMAT~bMKg1Dmp
zc)w=3J=$Fxk>3gvA&TT=givjA1lYPg(g7>^022Yi<_OT9+eT*6K0lS<bH~if+Ghzo
zkm7H?xQ)As^0M^C18l{wo2a00kTBEf^61=NkJ(3fetm>#w`lee9>z!bz90GgfBgOb
zQmZG^o6-9Sdsr-(ex@7`!hg*6H~R>f$ck21l5#{KT5L;~?Zj*y>1l#8Fj(wr0<(_*
z0GfuHnZ%9IL-V1N#z0tm<e&};avoA$G5ZKeVOnl-P*<%;w42l&$Rv8`)m{i2`Ow8j
z&~Zr{3Hg+$Vr%r-&c+YjE>I|slMJ`xtnF>_ZTX;<H!^a%J!w`2*UA6suH%8W8se!F
zg7T{EY`cIzHYsts=ZXN^Df|_FM~=7o4Ce5;H_gx<&l0KbGljHXi01=om&{l?;bEq%
zj^w~t!Gi3cnUEnqWU{pK^R&bp{6<9Y&&-xi0Me=4-8DaBs(U*?#>N-_`j7j=|JiRm
zqr(zo11%>y{g8DS_#76#ps{`L#}`MMjr%mt*$o;_WF2-=eP$hYQhjC}#zEp5zMwmQ
z)gx7h?X}@Q=>SyWS>g0?&IvtR<D659wlFq~`+|dGN;%`4&~{*fWX1-fG16(EywT{d
zSKc+wIi<Y|W8;MSKC5e0-PQWSr>wJ0@eE4~O|CH_o>Wdm6c}P>D=_q3oEd=<Ssyy<
zM{OwRlc%ZAhVSSK8av|Ksz$Tojc?*p{{CXcJODy*6VqdUwOgX63B80pV&*{znx6A3
zD-wm|LCZ<AWfd&cox<$_g|WIOrZ;zQZ6>~;>~)QXGxSkV$vz0Glexxut~u!*M@K7`
zo<Jp<*pF`0L_p;^uBH4tpQq?xmqeinqHe6YUHCNWZ~;IRX~@GB2Hfjti=&mZmo<|j
zx`MOC3VFN~>zxGuMKWn3{GMxSB1qzOA6!wsM`(@17mZhhisJ6~%n{g?4c5S#E6kDZ
zC6h9`0?0?o5zljF13>)Rr@d$>md%AH*vu~)Hl29%#9uW0TW+X{O-C2_Q7hWzeL<nE
zzi9mUG`+x(^cRgE`zeNayL^t}vwG29#?yoUdn>RarLy{8gv0Ovat1*8Fv+7>GYOPD
ziuL-6Zg@)>tPWSa>*0bxpx+^<9M;<_+|k&7jjGzxD;olJe{P4*fl|!%F7Ll#X=gsZ
zg#SSnklM|(KhAfV_eW`HAq%WKvVd&I{z6TP&CTL!jn}9B9GlhErg&5vVFSeJY&KUL
z=#sX>`gnC&RV`{)bIN41zS<NQR0?6!4U_G3!UxvA#_=~>mcFLnZ_{1BY^VKkzWM%d
zP&!*uMjNWce0*-F{rNn_raoTHh16fGZQ|Q45{uFcXp5@e<<)lCHsAE(HtD}dkFnK+
z(Kv{kF3e4Nj@!yxxh=j~T*-T{=$*VeebDx5w$t#mOD;hQIr^zz@xA}&kN;X!W@v*v
z&xBjMJPeb?m?V0Yd$b2tz3ZJhyJo05qY;9rW9$WK9ehQz%M=7{S2TI7C~vVh1@$sV
zG$b_>8iKUnzM^S-thia)7^x7H_u5vB*%jzqs^%sH<pDN98;S{yv)oF1i#+N<o>V_a
zHQo>e!mplUtSEk}pCq7j4gsO$+VJ=!DbJOyX^DO0>o>cu8LIiIz9wuTyb!Fp2e(&U
zoV2EBR9_QzR*WLtlHEWu-yWzKYpo3ict78x%&tJ)2r@K0`k-km&a>Pg<Vyq$fxb8D
zU^>ryhjvJ7m?WHs@^DNu-Z0&2X(wa@RB)_pRGr()x?t;So(~Am{CfMj=9rI-)e2`g
zKHbSJHlv++dGq{YdMn9T!TTu2Mz+JcV4`R?Hj0k8(kJg4T@o+HE9{96RXtjUcx(3W
zwB^U}ijV$?U-_~R{|ybV&{7r0T)kWj0r9j-doviQi{C;$;SJ73ZB#VAVye))r%HQ-
zWbDTczY<zcm9ZTRz$dkg9~y=Bz>Q(l(B}x#)$2L(*>J&=CHZ_xnxNaNv66hT11`Ds
zX-8X}MgRK<UeP2ENO4oY0*<g*#`YC)Tsko>iC_=#Hp4x@O8xZ+Ug465<q@zoCHJWI
z=&oGw3YToy@M!M<+G&^Ep6ne!JK&O0a|lb!8<d|73AA1EMDGAxd%i{B3D5?j$H8hL
zS^KhPxkp+g#kL734lPH&*tSqbtHO^gvu;~(SOUTp4%T3>g@PUk_KLrn6x*^!O|o@y
zivr#2&ebS=WQd5c8>XaFk+aU_1DhQDL1QSW)e_O2n|=fkJ4bY!epDj5RTt68b}0Ei
zgaz6FwVqE{{JaRkx)2UQ2F5%`M8t>|RwG5UeF$7b7{P1O>B!IHM#jod>}`<p6JX@I
z{3LGz(19+y+#=cXms+HmEB@@b;?r(XZ`Eh6`2BFjf79>!>F@fz!4+2+(LEyr6<=tL
z7*UOCUWen28X>!2qK(0z!~SFdHQ}coa|01R?xhW57Nq5EE1qg^o)B(~a$=1?T#4gi
zh(=RS`idCD!D>+o`oN;N7@A!dY;%<k$IYNnmYUNAF;|jvr|6V<>=!GROwLY+S`xHg
z2(2#iGiU8{%O0FE%<<j1gtVGkkN*hFx@lGeijXeCwfN*h{x`mfPyU_%jc>+2Q7TP6
zbePBgqDj!4jD9~(M$e}7*_l$)I%m9{*_1vEvFnq6;J<$LEXZ&YJ0P6t;o)o8&hP#~
zhG;A_(jc$|u%{XX7LWmCgPS`9R*>N&9Fai=csD2Dh<tZW!x0$+It@o;kl{2OkwJ#j
za72cIPQwuyWH=2+<a=}yju0f#pJ8JF-3pGNTcAG5%`mrqA8>?jkD=YM+Up9Dz&Pcc
zvL!%JQqI!;J0lW60CJ9$kVeUo3L&BHP;g?In4Ay+3>~=yb|#{blfnQjE<zNLgkR+x
zIRFcm$0S6eODbcBa{heV6Cxq`BS$12<JX!&hC%n;*TrbG8DzNroF`o;WcIH16ngz?
zO6B4uBUFC!ul?mudQ+s~wMNm?@K&)%oN?kjXfGch8_G=6>nrY1Z6%NZdQyR4O4Vau
zA-h1!>w-~dj_J*sIu1b^-X^$MuceL*cGbF9g&^&&3!)L&2I&eUjzRiTepm?7>^8y8
z3UlBn$Vaq0M_OGMj1u?l0!!D65zojaq2Itx;F25g#Cl08#3s2TeT<Swo%aWq^ovWv
zg+ivBQ=V6c!A~ja7nh78_uEf-7MOr0TRtcrVOHMxXh=Ssw)P#=po=*DY)C$9)UyX+
zc<QO1>@tJ<q7*a$9#Y*NRu*ZaGi3TM{f#Wwv#nMaetVoS^T((C5E|ZOhjG4{dc{6p
zz~{oO!?CHE6>i7=!r4fhd}Ujm+hg!FZZ#Rrp6D~t^sTem)0Xdpb+VfN*>``!SG?`R
zS~gqL;;v3DNiJ+1NdQAj+5k!W3d&FX9^=hew1?cwy^VNA_e1D3tT-~cS?-M;`sCzh
z$!(b+YM?YVAFV~=VyI62s)POnl21<pqB?cK!(v5iL%qAPx~W)E)Tv8uS6gtFDG3AB
zGpZ!eY?3=b#v%#w{eUSY*)P8y@QDP2#_&pE5Cr+^EDo%ij09f$blpA`7B2|!9vL+j
zFaFJ=BM#-EiuM0Ged+(~f4i@fVy<I&aD+UD=UI=VvRiS*GH*?puQ6pxY+$;*qD}Nx
zT(!n)XdPpP5J{3=g0Hz>FG0&@8h*2p;)=Lwl?--eAP?i-?O=4dkO116m^rHFxhGAW
zRy`d(J=s@>HO9Ls3E*fo{z)=D+E+H_97hLZuU}J{K6dSg!<vORc(lQ$*K|#+DcM^;
z&*NdIBjKV2YLZNkHm)55zGTf6xGLQhX*_C2$4t02+%xzT7$aNn(X^KUByxN7!8C85
z%1ZzdcO2SFfPZu6;l5r1EIH4jLQA_xpRy7bc{1G+fhR<vi^zM{JP-mV(!%tgW6cXE
zB3uu$=8NmF?pz1i4qrhi7_qqq={*A^Yg|sDT<E}~piJ~McaZcqa82U;ApjLpcF3-a
z(&E$k3yVDOFE3Zxzd{&2YaUGS%UJWMI>==XWX%_j3TW{4{JC9zu)L}GQA1Sp*J_*i
z7L@$PTF19w-1{abRV94~PCNMcE)1GFH(l5^PkdWFKv3~<4SpJvS?6@^npb{;bv`cF
z8vo2ws$RW*HIN2uy!+MbSKfAo7ei(_jSRzWKX&^O$2tC|W{1&p9^SpS;MiT^F6_x9
zr=}Z#IoioMSaQ>@92eGheceo{`t4uwyZ^^G+*?nhsP!FbRYX<oq>4l(8FnC|4syX(
z>kF?-7?+t!LOtMuQR}<g51If`Lo~rt9f+u-T+n9p0+AkRKtz|!1yA=1qJ?OZH}?vH
zlS%N#B@b()mV|Wz1ZhyHNh{%!4U9T2b^}&Usr3bD#oq8_vMjp7LL5Ec9-u(1cMwy3
z+nz=#h{)xK&13WMWu%v(0xEaP<#=S{e|5<R>2Th2Nt;?CHc|Tgf%kj5Pa*vG(h?oe
z$7PKUx|9(L0WNARs41rKSC~O6{?-|&2L2XW5U2eC9Tk7$3@7$vOQXk#t=e=;b~qP8
zti1*x@TPC!Le$OT6^xI$pq=-ZDoo3?8i$z%Gt*#RdB@w~9waBjU5pzZrlFs~9n8zn
zk3g!LGC4Vn^w}kQ-tgXE{NnG6-XIDS^yuVnu|`V}LWC$5(fml@2?d>W#w3uxK`CXz
zaWK1TLGX>;hcVv1!yOWg^TKXNv_X)+!=T(VqmwqMoIMj3Xmn7qnSxHXdcw^P=CcZ>
zm<FT0#ApI<0rFAtma~Wl%~Sw>d21;cUNWYwRNqY-|8@YLEj8qQqA=f!`J^B}_Vc6T
z`@HbT;<C>anOL0Ci3QqQOkma-;zBVfP(40AD`H6X7tiSJ)o#1PBp!lxXzoyda`UGg
z;yR$4g?Kc()z(PP@kmNC%q1}#g425lo2}4xx;uJ$dan)`#v{yNWbZ5$m)1;=FPmuq
z)e|smW6iXZWO{zDfL9y9hWTgpil#qA0+{hPSFh*Eb^j0vNH(~Salhn~?L2shu(Llz
zIM7JFDE{#QAEK9CB@Uh<ItWOmh5G^b=k!Db`Zw^TTUP=mlM3TRVbZ{7WION?EfR|T
zFHViYzblLv_-~i^OMqO8zexY>62_09)#-9N0+14V5?m!EL<#O99#<$zVEmLu)(|N8
zl5EET0oUQ>jo%OO;YIk~0MG-+r(8b->hZaqjxP+QbbR1tCjmi$ykdXgp?Nu}>uX39
zn~Wr2>M_0r7>9XNAQ{j>1-l891>YnViP(0J5Srf(H}g{Ho{=_-bKCHYhJ^&UG7e=q
z@NrJAEjaXC2#gS1p>j5iM7m$MlksERv}>awah-WEJ6uWs#p15eCv@Exu+_=CqAqB{
zt$2%KUq8m!T}jTUdbO_`$m<RN;4}XCyUrCw?bhJg&<8<v)Sz7xoqz_voT^O9n99k@
zqyl+unOcJ$9IH&qkk`q|qyl-ln>{Q{s_pHz2Mk>2q0!B%!+mOdJL|edD2YB0og@#$
z;do`p>#$yLrH>fgD$CU-$qacRSxRRP2M2hl94MDO>-wnoYF)3I&pQ5r{~FFwMw!&(
zxwvXd4?fl$Ll<<^DS1`9X%13&WIW;ILAxICzn~S(Lo_bKx&#^xQ!e;t*luis<aBvS
zvOTe(sAmA_9WT8%QBhy}AsW+e5$#`@V)%?OzGSlXV+MiB!!)$o$!6=vyzg@YApOy=
z{;xj!-->1}dNcZx<jK|-HMy({M$85ir{S{OjIKd;jJz1uE*I;o{ldm;m$UV?l4N)Y
zyS260ibH4X+fq58_6idw%7Ux)JskJi+hnYnWKKA>`XuCYtW{YYx>(<nb<-u`bkaQ$
zfW!^~Q166)QW8$+Hfo(Lm%Gymr``HU{u6D#wsk4~M7}>KT@=Y5_<5f8QR*E!<)h@B
z;0YfEeowwf+tr$7<G7;3um)auT7I5j2`Y@l>?zUGcs?%kd(;n^l5A5+@A1i|F7_`5
z4n~ttm$`;l<c^d7Rqtj50CIT9X;aPHdJ4Zs_Zs(!^en8qOYF5DuU5a&I~pQGI$Jmb
zeNA*_WJ7?x1oX_cR2kV&YM*m#td=UcJwoGh74%qHU(xJE3a@;#fE9Bl&N4pDj~8dD
z#q`*EGEW+z=jEg#!I%VFs?W~2Gh}q1*QF!%-~E|y|3hCLlSV-DMcTqMak|Amum`Z(
znIOU34^3v+S5_zBf`=6cVln4}?w*=+2|>abF1TN<l?IQpE0CKR`G+74wF_ciDCx&I
zG)jf~L(`FR!N#*eKh>pGG|9!#E2Nojg1hZTfD!QP&FzUp(@Hl%xJ63;7sg9HM;d7t
zM2k*vz2zLiS1uQG*7VXmi3MtQ3TYNKU`|S2C7i)X$)%H;O2e{-C2K2kRsXO1`d($!
z9M|F{x1aVG7=9~W!mCsgCW1O7JF2O)u%m-&CxD41!y(l@DW%X?`}8RtDJIGX<P(zj
z=XMI~`{F6dnB@HhN>3-u?bx4;oSdNmh<_0yM||v9PJf<eN3n@~)8#0GJ-?Tt3=?cG
zRi(ckXO^dEj*wZr^jCiHd#-<9)PibZu19y?IKav`&|!1Gm%@bH@sNI)3!=v(YckO0
za<fD4;o5GIO34L5`O`UtyAANhPLN8;1^0_3MvL%;-z=oWGas5tsR^RENfqa2Dg3)E
z$Vbct(SdU(x(3~bQT0>LkxHov;*g@fwjlKN7sH3n1+Di5+h(GCrCU*=JC`J2s#PPX
z@MQFxPg0&dmo%st{E5vPr`t&~N!KLT8|}QY#SoUk(aYUgt=Y5_=ZRmn{^-+{r>a1U
zS(-}Kf6XHa#`UHuFArZhkHG%LNe*L;uT*3_Y;le2e{ZXKV?VBxfLm9sNu|#9pqG&h
zdkewWHUYP{tOvE{|BTLKDlF@~M^jbmwB^TC>Kni1Us<lss?;S*yn%y(5Cb2nzTf$!
zHQJNv-d<g0l?o4RBP1NujSe(rR;d`h#`$kh(pw~~x<hA`8f}7BYiZ1$wN+KAM<l_i
zSO5$4%BrYTm=D2Npi&3+A*<9Qh(t2>21;$9dy7g1UkwvqRH;F?)*rH}R4{l{`QmbV
zeJ*z1RVsW;PVs}$L7i(=(~}gXDlD%9H77GUo6R3o!CLug3ejh;obA+W+A&W;l7AwW
zV;^F)OQ^Z{<f_yE#y4Z1I`!yk(f=Z^CBx|gxlvAacHsChW(QD6)@cX0sHKYyT)2LR
z-s1!M7@VL>ht1uUgN^geBqnl{O3j>NG2yYwc5*TK7N<@5dEDa!X*#{+Afe#bMTw@=
zY=U(H)q1O9`f1wjHBg@a+uwiRfA9&B>({FM79>klBm5=nO#<$pCbO-4--Bcm<bXPT
z<uMnk7v%41yTO_A-Xv?Zore~=u{X&A%}YczfGKNXw;l|KmLS;<jX7>s(Z0m6x^6`(
zPe1f=hYDdTFqG#@EA@P636k4YGvpP)!=T#<m8Y+`M;xz&NX+ll983H>qC8y^qe88U
zc?<Wtoa9+Wr@AF&@Kq5hb5TFnaN6|?|8|-sf5p$b`?$xa#~n-FcZ<qqzw(%j9<4^y
zuFv|vc;)8)r<ZXq#k3~<CcwSxH_{+(HH_09;Y;1WXOtAC=4|Xbt77y=>Cu^_F!ycr
zy7y<edD<=NUFf-$`2DmJUw+}g^TziE+^qC<x1>g9hl9I5DvAueSutPx$}DLU6e|Xo
zc)vjUQ!GUY(vrI10j*SYMGXE>lM;gB+GxKCm|U1rF=xlE6-<Vpgoq}1glQHCh;yve
zoP{7QX*;ws*I-Gv7+l$%qxg`1X!Q0}lMC>?w&XZ#_QE_Z5?I{x^?eveHb0%|Q9EXM
zhi$wgE$P-zt<%<qaO4H~xm$Fy&5QlGO2Ru_OZi_Iyv0><uf_glf1aGc?9X=r003R`
z6OVM4){93kDsd~^VcAahp|8Od_d4${4@cUc+iCwkoRAX7y#MKNf;9+va3E_G%9sve
z4dm;s0JJz*>2&1R33Dd}43UV{dIfzh;oYZicT=DW+6sUEFExczkq~>m&Me=nae~X=
z^Y=gK(?2nsdCfOFxZKzjiN7Okip(UTui%2nN&#FT!*{nnO&tPp6_5b302m;sy2?}C
z+Gwz?h^IoD<6s8CU`0MvF4#P3;2bh;I-es|kPE``CI}<6n7!tw;?R8VTo6#Lvusf*
zC%P&G`9Qhg_IN;u!xa(1RFZ8++}$q^9Gr;I6P5R>%YDg7TCM4h_CZxo)P3vr-QWsY
zyy`UBa_Wg~s3r_86`!VPz$%@zo1wWmK{mVd&x1Q}PwE*ZGXNxJcYdzp{=lf?{_?;0
zjxYIjkyTKm+`IFf2`g3C@NdohgsUuZw|l@kxDf1-bF*{NxKB%aK?v=g@8Zs@AOnQc
zvvW}pZtW<~%+8H=l&Bf*LyqFkBZVwRm(A{~J74OQVL~zfT^xf2I_z};g7|F_N-U9|
zCDw*k#r<VVR>37f!{tv7Nzi8M!OvP<wRPSq@8*1gnoC8~#I$7_7`9G6>6*_?SmEi@
z5yLA?lmy#LS~dIW5>_TZzh{=fa+lgqDUQvKIX$!FiQko3($^k?S#nYBG0rzPvt#XX
zsl5f~wFFYKoz9;xaN3lgCjtwpe@THw3d$vIfSiP<4JLU0&v#()L$Chh|Mg!BWvJN<
z4=g;3cUW%d-803i$}p!d`CB$YG`GSl3_(B=eA%-ge?}KX+ieW|#Ji3arI}_Hq%v%R
zTlnBAh)x6DiVQ4V&?b-xb!6M;V)^*GAT*cHS6N9vjeKY-Ll?BMB_xhuj%s)4l43=q
zf{S626Me@!K~aXjq!o8Sa($4Y-}%@j#cItEZW#7jSSx7ri(iNXWcQN7i8arvO=>??
ziJ>x^S{#0GWvRPd$UW(_Yf`ae83;YZI91+H!~c%09REfs#4RV(XNR)PY1X@>StXIW
zxdpM$-jPe=mI)e|fQrA>XO<tsUf%gTzvpwlI<S{<e-Z8w@J}HTBU1_;koz4Me{l&g
zUj#=Q1SL!J0*Z@K3d|KzkFB^yc%a2!)D@vrMmh-z!so6kygC3NSaHdQ&-ENL{0mNr
zl8C{m*;Y?X%eLHQ1L(_UdE&ASx=~X_HbDR?xEOkY&R>p;ss7>$u((KW;81rbS^P!R
zy;-mEP2Y_Atm0GO?t%P8T^#ckTtTTa0}O2+GQ-4W{BJ0(_~hUD-}q+i6QvSR<M6Zp
z1q?m=i?36EaRzao9f)K4>q3Jv=Vb3EC;NZ=+u!lRH-}Ny1i6DamM#aAZ4U|(3?4}G
z){M`->e)?bVy0-%3M_gtIw44->??vp$?*$p#)l+9CrG30f~~R@ScVrBjk24X<A5wK
zLZZr-qEYr0kAP-iYjNn^azNE$+~R`xo)-gKi~O;bDYZk>C^x|snzO0k+Qxd=SNM6v
zD7z#=3oZ$|+`<8*B+0y7liUC=Gs#u|OA3F*CDHuY8rY%iS-lZo^WMz2m`63oH!|vJ
zF4ZpQfJXLod+UF1vbO#`|K3(cPoYw$=9p!{X=9rOV3&uahTb}6u?O~y4VZH+)8`T&
z7msvEpEu}w=PTEr_wq|``+_J29%-vz3u3)*n8bb4Zc!ii&1U+3%=E{<>BB$blLB7c
zqR+$Ptqw2h783NRV1t=v$u0s;n7{)HDk!*c>XdH5j7tCu+Vb)s1-MyIVrLVy8PkY#
z5lu37&Vmv<o8b1a;rKshZ&t!z%7PL*n;<%W*qorHG9j{{#Ln%|);}JkWh-Hei;-N5
z9~v|s*mIB!mgtVsoukCgerS|0!%PF<MyC))#QD$~JG&%E%D5UVxj@B9FDdjHKTAb)
zx#o7QkY${um}vmqKqJ4uIhN@GR|K7XY0%5j1=;YUW8#?Rgg_dpl9LU3GT5mwPHp4O
z9OxU&xBRpBaJ7w_*$^sVSfp)a7MWv_^3^qFflYQ1!X==CkV12}?<q8&E8?Dqia2}t
zFSYAavB+u5_cn<<u=St+wx9mGi}Y!)F!CE~Es|89_WI)P`n2i*E$VQ!vPUm!DGY-u
zm(VP$m7-7AD+Uyxpe>n5uKFkI)1%ju92P8{u7X*gn$8!+YfY)_tUT;#C#mozwIs4e
z-I9t$y6)3L5z)L>WSrKNY@|t|NQLE!SaXF2Uj3T+?m*q5iiKCc-dKrWS~K4sSR~iH
zn@*cQbIq&j@%^-sWKWo#hn9%56wHBcs+=?(ONn%OaXpcag1h8FA%w%Pn+BbMW3bxa
zB2Q%R!1Je@fAx*O@TD(?Zq~q~honIIN4I`DoNI7u@%rZ)HNO28ueh&E7Zxy0Czv6r
zsLaEhsFRS?2AGu`dVvH_w<7P9AG%Bp*YuBl1qGQQsXTFF(;qrRQn&`xM@ycl^|={^
zq*{Z${T9PByK}6N)CNTiI#=Lm45T<o(anB2TXgk7c)@X;r1jRHyg*Xcbc2%Usn|>A
zyA$Ppmk$G{6R27;lJ2@4O>~kUd?Ror`#g0`JFRbBxT5hXqgVHhV7X`8|CM@M6f-2!
zl5mnTX-Skvs2-hYkaj@Y{*r0}tq4f_UrIWfXMu;#o$Be@I~Y5bioFcf<kk}Obl>;G
zKlWQbAx+y6_i2C}I57z*y39DvUS#&AIKUdZ51uXxd3(P!yHc%F189v>#+6sR0Xaaw
z9O#NHnCu?ej0<50VP*>YD^$Va>4L(fo-X>Y_bX;kcY8p497PUT(NI^+p6(tKR|MTS
zZq}mt@;O%I0EZS|6SOR`4Mnmb2RajoKJh_xevvGm?g8iv?G3t-3cz0^^WE7WHk=V7
zKE7luLUN-2%7MvAXFl;+$xYW{UU$L|ur*S9fq(TB06#vhD;6Rs=D?go66)mS*KmAz
zdc3dL3lG0El1SUVOoxwO8Y!Rjd|!dA%jiRLXDDo}51IIV?uhL`Wz*!@f&HL5$@GL{
z!VjDk@jzgR`v+HhQ)rZQg$Pc&@uNZs#=BcHJ>yrP-7q7EX9sUrA5TfM*>;{wbStrV
zO442V+O>Mjjt(3P7s4kO3B>-DRc@(CqUvdfYsb-7N11nD)=ba%71|<bQ<($06ZCPc
zbf)n0JOGK*E85}}>#kj=2-?vP%9X*<@xxZhY3~i@8Rho|n*-FXyf+wnH1giyU;Vw|
z$Nb)KVA9i6ih2IM!5$fd<7P(To?KTP4%>G@;$H3{ICoSKuE<cu@E0(&20A`DC^7*j
zk)%1n2Vi#Vo`QG>1}E+C&=bQk!6$oEoKRHy4Pu0MVMWV!L~j@vglHtrpA(8MnJ6SP
zDbsQ?VLX=8f#Uh+kk>dIyurk9Owop!iE>v6l=GMEIN+TphGU3#W|ebdc)9*f=aI^G
zIzG-f-+v4k$6)V#{-O)h1#>(0$K0d5sgIWj(~s-iBcCa*ia>^l<Kc8xI%kS=z>@I(
zIBy9f#*Zn~=3-kAoww3(e5n%nX>T}KarW9=qt>a{y*QnO)Lx(_=$X75<R-69Jao$H
zS&p9Mn}6-Y-!q{x;|b<E^|({G(YSFV)^58woTRv0S9#DfH>l(nHEwGlisqZ>D}qx2
zM+!D%w^<HI;e6zp;2K>KP0);YtjK4{1y8c;`II%mQ|x-ao-TNjT`!)bOP-|H7mE8y
ze!axyz9utelGg&y)AD}y6%pHVcfg^6hrzl6cn;-9KWh<N?5lxwvV_UAyi+u;3!6a<
z*VKE)j|~)j$Z>*h`|K~Yt6(x<Tfh^XgY(jAK|Qq4-Lf6J`va^o<A3_mKm1dFHK0x{
zIriY(>+MlGuatQFOn~adxmf44z6&1LOFH}Tl|Tfbrp!G77`?b4tR4Gm!zsWt5yj{1
zD^saWkf5jtTDCr~NR{e>d(0cLnEL>=UJp%`>ViAOAriWv+koiaydqVq3!+?!(GbdU
zpw`vTx36%HRH-hwh4qC}!&3%$vcGCksZA1NdVwH75~(nTV)@vjQe6`La}fuKB+4y&
zNxDx>azWcqMTuuxgEsrhRjNyxn&t(eya{W@j1DN@e0$*e66Hk_OvZY2=Sh?2YoZl{
zR&_zMTeBQL`dKxtN_0rwPfFVQEFj`nVN=@Fxc*<jpJ_j6W>eAAxce%MaK%3Kdm&Vj
zZ?1RmO1wZn1^?TfO1DX=)8$KjF{cz~CbzS1`ZxeWKd>ji>d)h@FOpX2wU;OmEi}WE
z#*dvgu`gbS@S}?_J5EZIa!`BN!<`+4MF+gW3Cq*@_1Emo@?-phANtc@_=fkLr&TXV
zGa?iDOw&luI01G7z(<7;IT~sXPti<o8mYyO#IFuMS)nD8sMvkQ#s<L65m+c6ns?X*
zBZr0VF@08yirobxhqXpmobF<%*qfjai2}kVfNwr@$zg%mMbs5cZP3mKnW;>xJ|3iZ
z4GNFf8*7_mhoictV)vt;?3O9Ma+5sOE>jeHlf2L7mPy|Z^|Y$XO9n=$>V2(zYCYe|
z+IBa({|mQz<`-Nx<ohJQfHTiA^80e_YmfCA^*GSJb8*$PE3TS$i+T$-v$pStwf!f*
z^tZk7zy073`k+T|dXYv*u@(>S9F&PsCTLY(p_aG2Ib$+M4mFmh5U5Ae3{9Xn^a6av
z)}C^=K&v*1G(s>VW~fH8X3MLkM3&L=Kv{;qA{`V-Ya+_P9^$NV&b%<oGhzlY&AkF)
z>RLFQ4M^yrC!=P#k=mt|e$zzU=WqWI-hTdS<m+^VXVNdht=AA7I$j|HY*`M(pBRgj
z!wrtWPHB<8+8CTVM2Lavi%(MJGYmd}qNMjr-oMLg^iWJ69)UJeQ2BGcZg9TRC!O6#
z){j1gHoT;P`tVA&0~w-c7+acj_h5q!LBV0U+=AKjJzKEncS7K_TQpm+>7`-INu^=S
z1#>=M^&!9Y$NoaJY}R<&EtsQF$JGuKQ51I^;A*sBE?96!jS=lDL|+*JL)L+9jQ~(C
zasMSo48aV5WjN95h(j;~l*q|(7?<F5#zzXlgj66fL_0Xa9hV%oRbjdeP_~)OK<R`u
zkkP~pP@+jJS>=Eomj}SE8P1zz6E!vpj$^W5W){^(o=4{{HjTP)Ar4g9z@10~W)k5V
zX}-k&BES=4n2e)z+Q`PLOJNVJ8w^6p*^4#H){#$9vL|=7Zg=hEhVupeB)oz9oDm2t
zj_#*F@9gP}e$ow#1MG3Dc?Y)MrN;B&!MAVy<e&VXe?F9!Hkfb%xp&gpq0hQh1MbiD
znOB4#KNyBnX=)={?oes@iqY+-wJHcfajvX?&;baUq`tw8luSVGaYUu%EAH2rIwgr}
zu((uT#)?#0E@*ByTNUh0#e^W0RujYrNtWz4Xc#BDC<LjrTyTqePv~?|xYtJz?Wwdv
zP`xkjhO}F-A196t727W<SruQ?28ZD}U!ZA0S@ZUakUC8@WMnCHdyHY#_*K*9{6$m|
zpQb3$DKd4%)76Y~qoMn6G<12iV9)VGDZFXR_nt1jv`2s9M}PWVkw#X~#}%G~&oC~Q
zZ9pcnPa@DubHS6PH96aW(V{mO!cgJ)iYE(U#<LB~bclwqHQ*qQXB$8V?GgX+Y(q?j
zZi$ZZY{R*VnFBH=k{pH(wWMpDq$oVU?$)FqP>GEwNs>9+utSlet_c7|eZ(Z0@6G|B
z4OmXhb)fdM`-yJIHe6m?qmExBhpEtR_B&nQ>Rzr|pSrSTZB1x9`7}jA>S_-G(&+h)
zXB&n%&75sO#ZGail{}qDJe@PmSGxGt@GAnVdA8YxsqUt|ZfBJHnD%}3>wfG<{=|ni
zlvmZbYhU+$jQB?{ay{r;&iA_sI@@QgVS08qfv?i<l6IBWA<EW(uFUS{7Hk%YKX>54
z_YPWiH_bmoQuxS`g4O5C?q=(QuC)CsnB7e)8HBQe>s&p)z7P6B>lAR+ook4^tRSK0
zZXV%0st1eF(Q3y0vZp(dC`<8pnpAz&G*2)Om3qmy+@E~nqm%55m?Qm!DlYHRG+xm6
zr)awSk_jct6%*UVBWwT5WH&=X`{k~z%bFC)8i&e%R7;CD$<>j~<w>&L*d)g!nI7aT
zKsXGrAbD6=)3_v=p5!Z%8YH`bzBIz2DzQd-l&?_1?+J^e5h2o==~=$o9`^P?9YG-L
zo@Irq^?|wq&y3CO7?q%>YA>0d<|{DGSb>I^2s6t1NKj`m*_wFA*^@>jC0`O(H2on(
z4Tu}y_K@P=(nE?ZPyN@26xAMXh50c(q%16PHq~?Tke;d?=^EUld)b)=^_V`JYd6@@
z{u0wQC{{ra`;z4lR#U=}x?&A2ZV4O*wJV666L19qymrZSayxA08t0pFpcM)b@O@-?
zAW-&~?bu&{p4epRN=~}46s07M*7C;2>7aH6Pi>q(YjH~L1_bKytF1@v#d@FjM=eds
z@<?$;y8f<MLyKF|@ue0dT|WfM@nt(Mc!TrJ_a6lsn^HRjfx17pV}JZ$c~fiDn(Ly!
zR@=n4D6CN&ZTsjkzTNmlgDq0NsH4H5R3o6WWD~+D6wxA^hQVn`PFoozGuYKPiwo1r
zLGG(=WVqnF-}%z@yIy(sE3aNZ5k4J$a{PZ9AF3N@G1vC>+LW7iZPP=!>*u~5yT0S4
z_r3=MNnd)Wq}s6+jp-h}<CW|D-7DAmJMQO)8`-UWRrcbE3hyM2`0Dkm?|$|AmAA>o
z$z;@Po>!A>3l%}R5V?TKcZEJ<GrWUeEUJ0N<=P34{j}VLXKgn~Z_%{7!d-bCZC75|
z`~6)Z8uIRc_h<j<TRx=u;|f#vIV+nWfzs6d-g>w@KCY|_+X6+NJas0wmPe^!&1oLK
z;Y|>2h+y+6$T1ew`>`UO7#D2GEdU9|lng<tQx^oFs^jzaN8q~MiaBRx{ikp-m@Cq=
z@9Dw#iYV@9=X#g`6vy@}(h|9#g)1bhqv!yKE@X8)G);1gJQy58X1CDozB@^AGTWMH
zyQf6`rPRxnA4s^DF4>$n;7itu#lE8BO5Ny^D2XQo#S228|NiLt_Fy8Dk2hRdi~Y1_
zxj%cE?kf2@YpCmzXPq|<5!`L_7^9=Ih>&}39$e%YApCgwq>Elk&D6af0zQe;voOQ8
z+X!~j=hbFXedj<)Z>MlTBs58z5`VZO@R&S#Z~a6U1MXpa7o<G3eXF&oX|tHC`=`{;
zq|RqY>NIT>PQ_@|0}Xs`1~Zd7?}ya6`q?jf%d7WS+R^%$tx$;}1}uPo+ArIRK4t*t
zY^q-f(tNpK%gT%ui%|KvU}R-RYCv+b<z#sUHBejeRCPs8wwx}mpnK7lJXu`9;H^pC
zLve*#<{Qj*vc>1AHn+3c*$Lq1u(a`Mii}>z<OIi@UOopaRaih=&}5_~&<`Uma}O_1
zFWZBBMy)e|el65`0RSxObieOWF+k&iYC`}l>}>m<1Ojdj_O-ixi;hRy+e<h<H^EnK
z-ML$o-~j!Iq)XhQQ+N!&YgkDEU8dZkOUS4nbNtpn_MYcBum_aDB6x)1+aV(~2lgis
zfE?I2jww3Z3%4qV;mP-Z;c(n<B^ii1eCaNq!G|2!8=QfF`M4c$btTc}p|ybu2!j{e
z3^^}Z1ADY35c0xt;q5*>V7Ba$ui4s?9@t5*57%>Ge-f!E)$*sIiW1nLgevk4LM6^k
zP{pkw0JX`UZ0rkK)L-zVO`%TcjxB5BGS}X*)H{RGG0<gRt41iUF#5kB^hyWl!1a-r
zIo)&=*g8fT#}2GfEKRHwnvcwdY==!oUy+(fK_&^0awJmDG$LW*CMQCec`AtziQ7{m
zql@F2NJ41d2)&?8T$D({50(=Vnq71kV<hm$ui26b5C*n(xdSpSuACi^>7^F;K80u6
zEt*6B>9^<-2jqJ{<{$mmw+69XOOrgaV1-IAY&Q$G&RSvi4)}qvak;3HCe8(;o)g4!
zGFh@9LkbtPcG_5Rv$FvP_LVVxTrdhhR|MtjinMPo7=@o}sIX3u4oDM>!q21S0pie9
zQ7#yTpXk9*SDb7yCB|)GubQ%lu5byXx%*t>k$Z(p#QWFyZc5l)gY?ss?!^`Ssb(IK
z{y=qPGEYj+3G)V*rxb_)M=$0~T%u%-WIN2^fWs(b;PUbKc;x-Lo%ZkSH|uRmUSI50
z-hW`fP;!#47kPogi%<iXuD9|c#4}B&BUyYmhb$L@vAx{j*~1%wPKjf4X0}A!6QFcz
zV1GBxEZ-|@rZ9i#cYXJde*8H_W5c<Y;212rSMH|5aTbJkZ+liWCwmUklWuQ2$W>B2
zvqP#=(}STFvZ6WJaWE?yJUaK>aIol)rd9H59ItD<>d%ieZOJq|>3wzFTsVG->KRca
zjal=o3&$T`bA0L-fBV1xLbRMvOwq~64wYjh2tWe3C|c~wXu-aMLqQkC1#KV_d7)bj
zsIP)KJJG6ULE)6D*}P)OPJnnImA#lKY(PaEnwp~>8tq&`r`V8aijnvA&^bGSdI@yH
zz{Tv~MJdNPR-_ebE23>Wt{P<I{c13rIA<qz>kV6sQ*g;{1?A@vOXN!;<gz6jt2>S@
zCfp@4q?bemthv$V?)e9*ji)xCKZxWCjMV<<`R<^RAO{+fWeR?EFPU$TrFwXDpgFx{
zzCYlkkl!okX?1_;ipmXIv%?1^F;7|BQYOiAhfq<izbCp$D(aIY^DR0qb}ViIXBd6;
zmCoUkXT8Yg$vJD*iQQn#x-F2uJ@Z1pbXdjNvNstAmEQI(3b#(G#W%653O__+L%ouk
z;knsjDBKe6m!d1l{S)43a^HIRgpfk<Hy;{45u!snVZbLv=?n8ApK$dz$-~Y5CgGUk
zZ=T`d%D{v0H}&Uf{w6lT%Dvp*v}gH@LpT6frdo|@%a8e+zw*~V|JVLf8WJO<Rks>O
zVSNDvE6}0DYAkFNyR%!>YBWI|FAJ-&TpG771gVx>uoXg6LG&bjYNaNKQ4gv?cBsY{
z{S_-xDY+o3pILMOrL^6dF$h8GBp2L5!DtyB2yFd|e3o1gwF$_}%ZiKc94D!y<XX0=
z5ULiQJT1X(WALAFQS(>)9GWIR`QlCMVDdw!tx*2rEmiq3Ypf|ph+|RuRG3?ed{AY=
z$AQ{?O_R+xGH#C8RolEG;ZY0U*PP|kNlNf%l@|60VNDFetmQym<;nqj*fX@1Q7Gl;
zw5xvf!)gh0iu2?igWC7>0AInG;lLd{ZY%gR{duM*_zJ8I+JD&U1;(fq$qOGN6m(=h
zAvW`4gw6Bhgef;!4U7yCX&<}C2(={s81dtsAETE&)aeZw@&_}I@Ur|v$0LNfMEW7@
zDeFv5+QYtb1O^nEKY0vNDUl3tS2>}TCh34un34CF_b31#?2rMcK_SZe!a@|BZ_dA9
zMhgm4^6|wQq~mitS^VlxD7+O80K<h8MWX5j-Hg{86nT?)d6cOkzR8*xV11|oU+~-E
zW?rg}Cn=V{l@2u0Lm*W28T{=T^8e4twFSqh8(dn-BfM0gl}Qw|?515C?}O{il^^eT
zdm~H4U7<@hyn83_3U`?+KNfhR;5hKHB5e9+fAgRF`kxQ*Lm|nV^D~>Z#KJl4Qu8x1
zfdKd_icU}FXD~kuz9jN901mWeSfHVF0vc?pB_eQE0CqB9&xg*isR1+;+}Q}jSdog#
zR|MvPwr6lvwn)_U&@NS&3m$iHsZ?-vX=6_HC~d%5b3654v+r@Ao(G4Q=@EM8HGGb{
zdmQd)*k7>xj4efAaL&&pbNT+1pP6eBo?R`1>6b8H#VK$T*JBQIEyDfOB0T=0Upf9#
z)FSB3IAQPruXa`^8@%8dtXDSX)^0D-0(8&am|#pM=ZL}JEz!cRTQM8F?V4aW;$uYI
z5}n^<@QBt3Zi5~R67XliV(@Tgf={D#axl9s8$1^A;m~42rTs)+k?y-+j4=(7KUiru
zzAPxHM3dY}VIY<q>8mYhze@(cVx+OQp#4oU2o}T6+QKt;$zWJq-dcOyf_J8TZTyD^
z>YHcQT&I}w(Dn}xPrJxweICem8Ia$10T-~<<6!U*)7y@~7r*W<@eskGyv<w*d*aX7
zlmSdWoBi4Bzk>Lh4@L`)^&`}HbM1?RGDI!7gY`wwk9psdjVYd8A;ceF{x?7O55w&1
zz-u@AHvSruv=7XsE}8B|Z2*H!`^u91E{K#p6V6~;FLZiR78H~Y$Q4FCpsYb=yE3nX
z9Cf#%fZZmDIebXIFjIt{xEc`0p+$q3AnE}SSqst*IzYFg0CerpNAM3QXvGNm93@@j
zhbH5Qf~ZB1GXE?nsGT1gRgLgCfN5fMUVkxS_Wk!Ep;0^u@C&40LtavOdVZG64SR=k
zO-^F0Ke?EFUlY?3nPNbSa>WULouniq+Id=YtONRySIM)9gJSk|!%_#${vsJ3pU+wT
zl*_Vi1iI)}CyPg3C_b5yPqWMG9C9aHirn31koz0n|3&s-w)*#P_5F>$FRO3s-JqyF
zlANvnbZYy(S07BfMKqg*FZ+xfGd1<n(MUgL%l$gA`v1ds{O$k#7lIV5Y!4@C821Ay
zJ|?1RdKU``%`?x8cEtr#muC*VU^>vgvS8l@cSnHGvf^qB>RuJ3)px-?Y`qGeq4oi(
zRt_N0>p>@KTK%>na0ugGIGZERkyhUYK_^&?)!*;D2~h}UY9GoDl~qUzU?rG=E>Ic|
zpi>flNT&8T)@L-9EYv=@Sv*U?0+*B4)I6T)7nIEI`P{x)<UY)PMkRr2#;4NKfN#{Q
z0I|~<b?%bH0~!FzCQ4RU(%va6-KD{SVxw#!veGPzC~5FBFgJ)_rK%5UVN@xm6CUvB
z$W=*MWf{5^$7g%Wl2t!b)%aXB9w^Ca(XTCI>DHQh*k95!hP}5M%;$ah&;Ely_Sw<b
zUd>M*=j;$f!0ASugVJZMw{q9SXldq2w|cAnVJ#Xj`|%~t*>G$gb?6eU@;m1|$2kWC
zP7q{>wUc(VdBqavU^*_&k&L39jIzywCC))LGh#8uIY&$U#l_?}2kAV9FS4Q~CqppD
zIjuYzI`^npZ3vb)2N#1L%@UK4=-0(0Lb~#p<gg*_i3i67lU|a&GVBnbRIx=qB#%p6
zc`upc9J@Ps8<ya770I)4PDC(^6wTyDxhq2(NA#zvtXy6#`j%_v|5OY#-_XX_h<i@R
zj)yj?pZ7T++TR>L$@1+Or#d>%K@LgHmvEG)K%4%myv9M!R8?!Idka<jS)cYd{^++P
zRXfT6KA=gvl(z2Inn}tON_m-;m5Ede7@nd*M}{kjs&zp$jL;Rg;Ai5k&nt?mZGtGZ
zpdx|aYNIrQJ1VNy1(DCuL$41V(Naf<*ls9=fB;uS24*l^NmQ+^*ycJNmIrv5^&Caj
zx*$4*;vCU`c!|=CPEb{?NkVzEeh$ths3laO`LY#Ka>?zALtiPmmFciWQdF%;T7yeS
z;-?&NX3O1C+W|?CQMJA$eoHMR^)*);<j#2Xk^cSi{`jwnChHtkg=@!$y5?E+ttxTo
z1gpx8>W!uc^$W{awNB?=xuM_QNulc*S?^5SxLHYOGr`ZHw8uVCV#t}!IjWiKdMB=H
z$JL~dzQ0AWhCSJ5bm;((nyjkz6+5&1m{<JI|K`iz_)+)Q25G%TO)z}ev;B<~vOeG6
zIJZGE2qM}6QB`|4pbOd{+1Lk8rBmN9R-~%-6)_r#g^g@myaC;fE^G)=Rl6Wqb#Ppv
zu23!_E0*R)xxX>mDKSMs$%XV(D3bJwea$VZU35)oOJ)>`Bvo~j1jj*pCUfpnFG*G1
zByqhkQaJk3+e6w{Kxsr(yX0=W!Q>8+RLWo+{r(lO=rz@gecjv*+E;~<HLwqTDZzOC
z+!W0^MJDv{xc0?uY{Wl60=e-I)W>Ovp|7ojSp-IqF#$eK36tn+%lb}7Qo5G+7b_o3
z_51F01kfd8BJ6Z@$;b>~C>i2V1ST;A(i;zk0DfI>U<@uigm0yr?V&%TJO{e@83EBe
zZez?_Cb~UfXMkw#+bHwz&#e-t-J;&U&BVa_AqIZi-~EKQe{*68h_m6j0{7V?oLj6;
z&m?Q%+;%${<uo47trvqiQYD;gQ5s4D)mBCblEb+ce8IkL`ru2DGY?hpy~KU2C9MI-
zTi%?*xrqA?i*7bkDOW^l-h&@tc50>L97{OYf*<sM97+muW@m|?Pa6v&NE?)$Dnr1p
zC#FoUx?kwl_B@$w1H@7-yc+IG0SLP(pQe~vweMr0lOA9k6`QhhoXmM6-gQgT_D9L4
zYU~;|Rjqsg^UO??dUFjb$`0A;4V(aJ|CbIZM*jhw0O{ux=g&k1K-bjnPym29e^~i^
z{(ZYBc~{+t6Iukac6q(~Ekmf;Og}p!-?Upao9XGSi%S{`e(A6OiI=}R3f2_9?Gs!e
zevws%EEB>?4Qd^ht_iM_nXsJTI++Q}39h|%>tSwfEk-rxt;9@wZq0K?n6`+5w3<6A
z2`=EbVy3~R8!-NIf(se7n^R^v!Ih@U4^w6(!G#E#Gwqp-(&T@>nnL8;Zx%{mWSipt
zate_TR7hUWgaj9Q{eee-^cv_7GP1fHz1$xEF)`g}n24+Q=Tsst-=NcpxO|6BB;o)$
z<XdFU43m|3PP&_}nRHJWu9obK4fOtav{sk?2w&{X(D<t>u{1EjY7qHF@^CH%Cfd4B
z@14%5KG9gtPcLL&vWiFthO5*3u9W*5Rx9?rgn3TpWpgr>cH#ccn_k4&%a+4N#Xg)V
zkO9OoX90iR#J+f`Z{Zy2yKyOoF&ST;w0v*a$-4NZzxk1$dA_J@y(iqrp0uZ{sL{u3
z1FUnrIGS3|>zh(h8GcOBCiWGePAWYYM3!v{d&r_PwEO7<sq>oP_NX8)YRZhJ5GzvU
zxu9_*xFRxl-HOzBE*K3mmj~;|A1l%*xu7NZ@LV9bsJw>|6r<E6(bQL{AqX-Db!uXi
zT+&7sB4N2=e>&ROv?f8qCW#(%vL>s-`#!+6sBD9xHv!B+-cuFsYfe_oO-0oYs`Oqz
zMP;US8&up7y_tFO5X=jI4DXA1Ve4J0Zs%C^*~OyMZc%TtX2|${Amg9<?0@tPKl1C_
z*j)5J1*_R1W8FgT7p>dGn(vNVW7pVMR#fJKz(Kc?;@lz5Q-(_j((JonV;!Qn+S{GV
zp&16#>^H&P9$l^xLx5)OtZ@^(gQ-#n`~*F4#1&_x;Qw`BHxuaJ^Y3kC^xUSBsrOgA
z#B64d=mh^s*cRv?{E8)#%&q-AvT}vStif=RFKA)U+eX%^ppD{VB($^VE%)_ULW@qP
zJAh~TAOwvXa4xdj?d$<wqU<>DE|G6KeQw9@bp2vWej0cEA4|6Pa%bKi(o3z&RA+wL
z^1WuHGyhYc`HOG*u|Swq#X7=d3fBue(dh^@=IRA1!sLSRr}<WEOQhbh%+5UOF|2_j
zyxNn_y!K9_Q;QbKol~2g`Q3WEQ)&m88~vfPGmj&KD5v2;H&)}Uo@j5YI<L9UqIX$r
zA}8MP{8f|hw16JrndC{_a~<Lab0_(tCC?;z7~qB8P-xK=$D3Xhz{_3~FdI;50^8-7
z0fe9Bgo@c~oxRo%j8+I=_WQr}zxeIHp?R&!I&u5v+&DmC0E!5`V~V1(%<U`lT3s-x
zi(nBDS_%@Kc0h7L;MfXi1NsKMRR!s+xZn;oN#X||(KA8);5anxn+sYZflNR4M5rs$
zzPX^b9VO8ZF1FGVVnsSLO>oKi0TkSzb9c95CPQLHnpVJHm|UgiKUNeq=S#LR4_h=N
zsFIi3R(3H~8~6kb`e`M7OFI$_JZb;hiQVX24TygrmRBm(I*|8z9b1Y$29vlqCg=?H
z=n=+b;@`Mv!s{I=^1pcK#KE>-^$N2ih@~Psg6RwWjgo9rlUyxO`~b<rZeuL_bf*$=
z^a{<dm^4&L<;^5Xx@x{AcqWv@V}iWYKr%hc=yq*{7$gHN_)BZ1hxrQ6u+7h0A?wvW
zdcqL&qi>IUog#sU6#Sj~*o!@ONelwlS_BRcADm};p0BJ4JXye~G{&020>1Dmm0sKO
zw4lLtdP=c%>M6z7{*?0Lsizd*m#385mjAKimZuaaw7cg*gqPht^vBcxg00z=yUuB)
z%hF1H6K!pZodVT$$I2OWy++sU64^qaaEWC*e9|>qO_w&`=z3i|TnN<txgGm&7SgJ^
zMb_*6)dt@OjR*o|f7wp^OPBA6%+bwyQ-FnyB-SD_m+jcUYa(9La*y@b3Xgk}IQ5Sn
zV=HIruqhgqC>DEiudX%-GPhf_C;(c3EZ+KRlQ~V4z@_=>-N8zre(#OAC$G*3zrCLA
zG<^EDS6!1s9CAbfKKo<;(EsxpXG=y_J8jE=A_^G_nVp|xTi|%?ShUAVkiOKQdP&yh
zh=K<pGUOGLWkGZFHaQzJLpm#v3=04X8Qa0pE?(UiCMbJJhjl9%*@&$Q8=!Ph+l?@i
zc6T}giR=wfvMRWS1v*CHnIZ_%3l=X4PZ?95u&9f*kYVy=XUmV$!xeu?N-ltxbk;9D
z-YEaW7%WP%303d)3B2YP)H*#Mk)j-U6Up*pvKD+&UJ>l1VK4Dx3IykCVyX(rIy}$i
za##eDZ_sW*Qmsg?txnU|JZpQ^ExVu&P&_W(E3-Z9U%atoGsQ0R98S)@*#^T0Ld8U=
zRArhbmE5<iU@~r=oDHtk@vWPM05Msu<JV%|yxD=GddHhsP@Oh!xoO{0$B{MfYtj0M
zr#M%ftfft17|79E)Pv-6`tW|zhd=mhf9H)~72T<nSM2FSV;QFrVi<X?u!((TKui;C
zBgEF22`Gj!1Zl%uu#FHyuNIpv7=m;$nqV6th9JAI4-v203NK=W*kNNWqGCn57`|eR
z5JSr?HQpg8ezvdJMu@?|suy$CCK5_WW^ODaq2_L+vq=CF@`+33|GKZ!QGko+q7ONH
z!8K|B7b<(6y>LlWD)BudhSJ<^i({~f%!4K(wvW)UIh7-Mgx}H>8u0t(RNH7z{Tane
z8&z!MgypHM{e3&Le6QCT_I%>+`K9Iiq6$tO(H<N&S{*_Pw8QBA`V#icmGY`L-J!RR
zc^)LpmGX{g-wz>ORq(_~vstk>o6%|bDKfDOrBC-MnJeY*u~J@Y;cwF|-(J5n>T#fb
z=M?d?OA$}IMZE=^Ba{1yO#aHBeD%HmMu4B%#nmGd?;zW{+_JHvI`h%)m0o}gwl23j
z0Eg<#hae*p7u*5;lZGrP0kGC)A;`$Y1*07<IQV@}T1F-=X!U*U1-8UYVxonyA|n$Q
zL@rsAYI`)d(dzmTWMt9=k)GBjw`l05sA32b{A_})6YmO9QFmx@KwUCg@vcGb>LuxX
zHp$kDcM0-WFG=t-B)u2!lHJhig$wxUk~@4aKRTQC57x}L2jD0N^5Vx_F2O79*39=O
z`uiezP}jU;_$C%UmEflk%&|%~HB(1m^SM2d)EtC6w))kR@Ur|kh3nntmT>VVuR`}9
zXQ>*jiF?`F>&(r~?y<Sqi;^J+>5R|%nhkYs+3d{TpV^6c&#PbfZmsox$4l>hPn*?>
zjVJNdJFh?Q<u3r>`qDdZwov!pe1F<4nw^M;snq|2Z}~g_Y!&@cmFMYDg43gsH)SVy
z@~GFKht&!_IuT7!+dM-M^KAO^Kw2pmM1ccJ{iJ#lxx#Km8YveHX7&zw%1)3@gbSiO
zsy4Sq_4c58l1_vRvanNp16+*tthTR!)zXP*f_v}<Xi2xbg*6EXK{^pGh(Q9R;c-~4
zx62MeaUz=Jag7G5B6*Y^J*Cu<PDGPzBX!#tpR^{O2$zhRy6c7Y26V|uW?b!@Whb4y
z22zk(;S}<#U~QzM|K_&*3?*p17oHwx44RFYJwDwk*7q#rh}oVS>fB>P9iXu3B?k(N
zUw50x;%K+Cy+w}F9_LGq_*5Kr+VZ^tA`bg!|LTAAHGk<hHj=L5#U6oqI%T(7g028n
zhsO5ue&$ZcRGv)`y)faqvpxUX2oClY6cc|}7X&jGVG;y);7s%>pOPC|U`(gP0-#l@
zhaW5E+|U-2)P&gqm1m7RL$KzCFqJ@7+=65w(M1U6+|Xf<zGWiV!X+1+q?N*7e@aGe
z*)*M`isQ+>PUi*<ag;l2$Ks6cd@6Sv?B#07qX_?t+fDOgzt`1%jb3sz8ar@hG@|pL
z(va#ViBzG`XjxQL6LLn)b;(8};&9mhKIVRHR|oV4$I;nTdnwZ;^5d)ZaGDOh0x!pg
z-Yt%UBxr8GeT5__>-e$e3f!#jDbgA_TN6c39DoBQf*!Q>iY|PNgz_5q4x9Ng!sb0D
z8p&o&j}bSO+^XjCNqvk!jm6h;YPYg(Cy1_~B%^{bNH(nADZELEvr<80&x}Py;$?$i
z&}5Y)HZm5j){i~~te%VdwPDgKI<lqxLHfC*X)F7%f|VA>-`puGT}jv(%Yh~fa4M0M
zR`Q|-@3UvduJ&xAl6DX#X!^lBW~ef0(*cEen)eq&kPgW0NRkeSw(ARtwm4r<=-cnN
z2GO<*A_8+3nkgNh+i`sSV0lv?ua>jbU#o56Td;TmOM*~GD(jIX-L^^2FK#6oMKAd6
za1-azmI5I+Z5GSl=%g*K4OSDg2TkiX!6EN-T&^uRoVE%&ai%Wm214h-F>czm^~&Y0
zoZI?H;`VmP8n~aF-+FVrFT45GVk1ETJW)xd#1UV;e)Zk2Ucd4-T-rieS=T(TCfOD$
zf^s2p0h8|vU9Bm1Wn8YE@YqkwU3k`V4Z4B8c9ZT3rEP#N?}@fEe!ssfbYr)l^)o+t
z6^R7(hkFcQ4^lKdKZN$%qu}2;bS)SF##X`K6|g`idt|{B9)NEQ_OuA1!!2R|ESNEX
z9fsPOTeFcIMi$H%z#gLkRS@*!9;e6{06lCey56Bda4(oKfc*hThWK8%`W~k!7yx?T
zf$<EV91c}4STTV022^9g02Fr5S0f}B3rJqruGa9{1%Ox&eo1lCT=Iw}6MEt3I^3fq
z;-tCcZjV8VazO|U29o*q?9gLLQH}k2h*jkK134Y;1*cOc&cqc>a?;c*3Irm&H22XO
zMz4PO!zB@PeRJM;RQm_#T)Y1tr#Lm8AbT_?FA)%2)A?V3-LuzrC$FvZI<R@GX8iWb
zgee;3-O?5m`qxZAcy<JYX}5^R`n9-4>R-Hm2lxJ?Z~n|5xDFbkVhcU|h33AP#YI#L
z&G>5sZ(V+kO^gAzgxeu_t{Fe7zG0XMs4c8qH}H@Qf7yxvPL4Fr4BbL7!(Y3`%EqXh
z9w)2t7fzBE8D0Aa$XkZLFn|oTyhJ$A?zg|{1nvMFU2$3)1Tj0OKeYG_cFJhmj|p)i
zxk0g5cQs0mwO<k?`G&orG`}AFtUXqHcfM9h<Zdb!4qF#?^6B$BBPS>E`~FY!++Jpy
zQn)Y0q!I3mYne6$oM=HgFh77lm)9iO6F(c?$jm=)N&9KHXg0hLleGUmU;BF>rLyPo
z_WEcic!aG&$t0?HG{*Jb<h8wiG{fI(E+98>mp58lF2B%H><pi2tFoXNUKechqj#%a
z-)3G6uL)x0=|XCcF>o1UHtULFczwkc)Cj{?@Cp!>RzWemCfKT((aFYIzQt9G;WfeC
z0q}#Yh&Z@EM>V{Dl3Ub0tK^zh_T{qG@Vevz!|Ftm72>_5s8T;o?N7|9&_{zb;xsj@
zVv^_ut=S(8NkC0?cl3OF(CvmS4Xn99C+S`?-=8B~EJ;bCk&aZv=Nl<=&+KHt$i(n*
zUJ>9Q>&@)vdDfNag7%Wcv&NJ?M=<9<)WgWs0{fRCeNVseek0*?TyHII_jF8&=sPbZ
zc6(|a?L&I+@xOPp58-Qk7b|g>Qyp?hWSh&LOGW`HlImh`JNXn{Mxg-xY)5yivS)jU
zXBXo+b}>`|p1DP{i}5fn#?Sxl|M)AP1}{)6Jv=Aim2pS3RfEMrACxti`LH;-AqaB<
zP0;(R9ycqQ5t9XJ!(0#^h%y+^=F2z)_7zAGG+{1i-VJiioFLmBnl45YMDkR)1{|NK
z?1@;Bs>TKPAn{4ae#FFp{$i+vT=3XPd<*n|7CRd&Qa!n#^~eJ+aJe4zY7rO1B{8a)
zJy_6(1pW6kVvi-o#c)Z~HRuntU9O~CSnmIld#b@(+p$gqeO)&6O6tuK7sJ;?-)ZaC
zf(AH>LHN-p8F@9o9e&@8=VdlN3@ZVHw#fa@uHn-8zp&wBpD39y`w=2x-}+fF{FJy?
zw<;3nO2vpwJDWWhY5@d_U6k#xivy+xQ0~%_N(-XnfU=$TzbjJ%=Ue)>fT@A!H(F{5
z6)-~we9z<fLi0(R5*7q>0N#l+2c^0@z0zO`q;E+aD4QkCeE*$KCM-{z<VxT|^Tl-R
zPyWGw_}yO?t*!M{9H`z?y{fY6J=uaPtKO3>sH*B+bKDqIFA}r8Mosq#`AhU{l5l9v
zENa$37wMIz1$tJpC>{{EQ~_0Ha`zVJSDl}`uVwSTWMXZucrqL~T;+ENHle71UIU<=
zeC~h*6;rrH%G--KUbfRK)^nZloR&9MIeKaT?v3s1qGdK`dgBKwjt@gRKF$}$v2lx_
z0iZ$h{#_&>4K-u`8VJ-lKzMDJBaLR}&b*{^if_7)dGF;x({9lm228(2mkjd!@^}B1
zPk3u|Khk`w+al+bY&YnyOoM>IWdlx0rUsQ_9vXxoiYUXqt*FxzIEC$CaG!l;VSo$9
zJciZIMm2^Y)sG8q+dPJmxo*@uE*SF|_L@A)=SUUgf-#Q)vtu+2@I#-qZfdv|LHZSw
zq5qm`$@fW0b_`69QV7Y;YEe6SCo-~g+DAO(x%*Ku?q~dai4Vj0Db5`Jv2;JW+~C<0
zda1#i>hw-qzE{?CdVj|^ea3fxd*BVaP_Jl$gNXdQBHaGU)p;(5#zEXO`oCGx1P2j~
z+cW_YE7Iw;trvoW2#wV9=CYy*4x)9Z&-x=Pn&2RAmeOz`3uZ+V97Gfk_7_7p$j=c|
zl#PS9@u|jfG4eb$$>1O!K|iR!k34EF85~4hSbyC&a1d80`RUipW*|6-XyeddkT{`!
z^u$3tBAL~lXTCqdL1c%7B6(ID(@R&?*I^h%A-AYO|MhjnL3FJ>a}Zl}0{CK8T9gB2
zD0qx)Ck4%SJ8kj}j{1aW%t3s3ef<;v`QQ4ie;VAc8$gOvo#iq}ks4}qYHUUd)G@Ru
z0BK0Whxf<5B(*b;TtJHbf(c`S6k4*L1$ifZMRfYsVY+B*W0WrY3a%#asS841v%C!v
z^8#bRyL05-cEK%3WXP^Ev_{Uh6QsUwf}ng!F(uG(tGbLscla=->FSaocy~({d>HB+
zZM2E{BDYR`ov*v%!wWV!r{sDqUVD+ucL%M>$u7qQt=3&D@!RXxP`Z{29`kioE9V?=
za+JpiWdXg!C)L+u1?IXuykGn9t^^D5{PKUX-q<Hf4)I|W^tb*nGd}!*fe+90?`KE<
zHf?z(n(4!hx&PeF-F+^>hW?kI_~jozj}7SzC}Kk#Tv0vv929JYv?L(XFcKpW?J<E7
zqKFM?d$k-lm5=}=MG)Y?KwV*IMtA5EvTc@3Xi)Hg4h;1ibI67vqM&s_knL@h31(*n
zUm=HVn8!wY4MDU^ct630%uK$kS-pq0j+uBpV?#4G^wO6|(R8iVAvW~4zV@51z9nJ}
zedT&==&;<7=fV0^^t<j};;d*eijMV{tWO;d1ulvPEJ|UHV8ua4sjO&F5vw5tbR0fZ
z{gD+7x??HPV!K^RtdUh$Ry1IbYK`a)C9?gBMbYfnfW4tL(Tr0D4CNIIHUw=cM9v)s
z7Z1=GPQP;fYJJ104L1WNSFj;8mC-e~C>0&7NxG}A3EB^TA$G_Dxu*O=cZ(pz7pL>x
zKDf`W+AD_+_AgEeHF^o<RrP@^I_BEzm(Qdm)M1zr@Vw96N-j3%PWeD4wnfh~1>xs(
z=MU_V@e3WtlajT2w%bn!gg`l<YzO`^nAQWLW=U4-Sh7eqca<d=$yYj;knX~u_Q<K*
z>&2rNAyALc?Kr-`h-p)Po`BD#&B!x@&*zxn*~J8=a^{#IF?(ORP8~l>n~y0SKQD<1
zKK>8??hk%rj4i&&RC_x~w34XSpiASlc9KZ3_GU1f>eNnhDU)Wi87!u{MHwSKCyJ@o
zp@C32D5}&3S@vWZEa;{!!Vy^FK6uEcx>e6?m(td@B)_w%#wceTk>SYtAY=CO#gsIT
z`4g1Xkv>H-x0A#yT<c{y;vJPV&K3zXp`xPd1+_WVnGxEkU-@yxZX9CNhw)WO<Dexc
zI&A_#+#)RMeKR2fwl!PKhCydFa6$*Oe1p&{+=4a?`|BSfVGjA45w-2%u=H1yZ&8G8
zXmUO{dcH@8EojGbkKlIocU?@OAKl7!agR_mRh^_;xjyQ}EX`*b*3szq#)*5HvsBH~
zi6OrDdf+&P*rokC_0Z#Rs(Mi<tg#OfW{&w>@ozkv1gtus>VHB0?8X8zZ*$sF5VmM|
zHuNkmN%Ww_71~Lp{ozZLo@x-No=Nqrw1-&QUs`S@&*sc5-Zjp*v`9nStU~;SKsml_
zhbuI6v>@%C(VJeBhGh2eqU#oZUC!^eX|vrXd@!o1i-!){@@H)HOuL)T>AH8?-LzXY
zL#xwo(Isxw*Ztl<^0nU?v^&MQ9r5t=VH@yuL=`9PT2A0G8gjv-_L7E@*sKP%GIXO{
z5XcA-4_2kF2Se-WM!DdwWmi#EQ!7T^@@86T7u+5IP)O>K+`sM|b9VKxLVr<lCNO7L
z&7`ei(5kNp_KhVt(d44PYMN*ljI8Av3E6V!vxZlVl=1U)qbpw(3#H%OW8+Zg=rtSs
z6vHayD6M5^9QYwRPvM2eFW5*BL=8&RF0NvR8Tzy)ly>RYsoh*7%<s!S`c_v`Rg#RM
zcyjrGd}zX$4XS9Q|7YHxk3`;|+p#}k4iuHg1;e;s0&@r+7R%|+<HqzcHc^w4W)u<=
z^T*me7q_hwC>u10zWb%NbHege<`b9i^*&MB|LI?S-$#7kIh_^NDEo!=9roWtL%;U+
zo>E0wXEjC$Dh92|Ppp`A))ow5Ne3Yi6AUt|%dE3Zxq<G0G4MSZCF`s<2?^@b#`nab
z>Gb)<APK~FgQSjtM_5#mwt99!WAFhdT_8$?V5#mS;^vYq^xG~s#^Cc~pVe;EE}}-7
znn{H<s%=(LdUsvxYV`Yiz*Twh{9pL|@hN}L&+*OJCrX@*74SZm0vZIVc>A-q=s-n8
zoKR;;J>bDFvBJ5Mm#*5MB`+mb5Y#oRry46zJ>fA8^>ir;i}TH~0@US2MS`Nwl6sS$
zC)Asi5MJ*7+k^W2sjy#t`CtFa4?l-qZ81JXm}Mw?5H?f>UHAie<Zqg3yklxbuYg}k
z{REnZ^#DaiuY#?N?qfY|H-jT+y8<i<vDta_3Ydr<dNnAt;Irr#gO+1^1w26R?ctV;
zjG#@brLNW+sR_?NPeHHH=Iv-m(=cOK5=|)HKo*Sn5fWzu{HP|Hu&7~DgX<~i)nR#L
z4-`q!TUQsmRydpmy#kYtIW{6V3v*4AWWGP!BMTxaX@%6~+RF`sk+Gu>TiO=%YP;Iw
z^aMLw5Blzk`tVzHK$I?P!p;paDXBJa$=w>1KRM4eut+g8enn@Ehx)wHAdX5`ZNkl%
zlqhT6@veYWEOouLrun*XZn$6D?T=Tt=w8<8#Wu7_|Cnisf9s6QFjYr9Df`d>NzP=x
z`kwb_GGBaXG{rAItZau?MgtW9SYafHfR(z+GaXjYcb$GlGcqivE^LIp$-QjH@d;>U
z9~$@<ddMfMdIr$y3)A^NXFw|9*Z`#P>!R~x1ElIEur21oXF%%uiB!I`&$%`#w}$7^
zz`TK{CtJ$j+%|qn(r5;xZg6jgUFjK2Sz~$q2rC)4h`GDg(!TcSKheH_$}PGCkou^9
z{#~#B__^S&m3NMHdC7nj$Svs2hBp<x$Olp2O~Kvib}&#ku)Gqp4Z6JK;O=CXmmJ)o
z84M|WB)x-L)WvK$xC_QTNPj)VRRF1zO<r<vccRJ5S^M0=B(-T~lo8;Cq1NC|Od9{u
z|C1dq$PHa&io<}$CqJ<Njc>+2Q7T1uL&G~qclR0HVU}BuRv}hyh8MmxjQ-`8_PLGy
ze)+%r!4G}&xgi+vkx(USB*Q)m%CX;Mk|9P~BZ!15L|8efRL!wPQ?BGu*F3U9?#;Ph
z$*5?-H4Z143$4f=ky;;B<=7AHL%~+$IWBR-OSqu$l^@!sbumuE^yyBp#(sN=J1MCJ
zUnNpX9v{?iV;QibtK%c&*pH8v@)5wV=&z^5eo^C(+OPh%EU{k{`GXhH*9RBo!X6{l
zgc}ZIZWyDM*iQ$jGoEC}v3y07w7cr*C{@+%o1-uN{v3)YD%Ul!|J7Z+v8HX^Fsn?z
zeUM<wbUlz1DW}3sZ)H^-z@~s%iaq)&`<^a<So=(L#z!oI9i<nfU`ev^>3|TZ!5X*I
z{yqBudbA*lXUa?&?3L&e!Q&;to*)KsI{Nbjq9>u?<yzeoK)9{*sEp^WWB0UM!~kR-
zm9cnq-|^F*|Ji@CNXap6pWctkhzS^&UPbRB&#MsVU0{#p3%fP}16?aLpJWZ$fnAFJ
zF+tGnZC(ZNMondfprA@7c-SmB*A>XhQY~UWG>w<-hM61Iv740tenrMlE_g&8G5})?
zwt&B)dHOgsy^AKO>;wv;4Q6-MlD)NajF}q8jTJ1%isD`Pk}*^Rw1Rr<TUpp<8`Z`c
z>F$Qcb1IV)WU{;b^XL$an}QuT!u)$%nb?o3r0~g2sQ<;(sX00JJS4~L8NSq%PR;L6
zTfR2~%<uo|8-DrQzB#I}FsuiRVpn0h1<iC^Bj$h}!N?uVprH!0ub`Qz!dwsvPN)4q
z)fog7f>dEHxI19B9rXy37Q)HRD^i8I-~nZ$ntVZGNpYB1kt)muQM^g42<=4|JDc_s
zf>dEmaEE^WDu_6syBMl47d(RCEDaJcOwj01u_9HN3+@j)O?mB+AW}|J2#N}GNzjWC
z<xzd20&F130Ldkhm4W~zk<1xL(tCBu1I9aO+J~vjay^sOV$x$B8_M+}m5hGA_i#h?
z=UA2}bX<JOy7MOesV8~}DnwF`Bay~jfpv~p&G$Qn7B%ouB3MKkx2(XjXZcd~I9Fgj
zy9%snx2QKUb61A@>B{iwAN8TDj|&`A@zw!#SotAHz@SBfdhrI#nwhpOpl>@?EQ2}>
zE?m#H<qO^fQL+pilY+2uVq0QGnrRn2*=D+AYS0Q_x-x)AW7aoT%$b@U;5-P9_oFVE
z8W>*z2r)^==HkVQIa9OSpr%N;A&d1w5xNi*Gu^HRbwZYRLSz2!vP)y8-2%jBnrV&~
z&@d;hNi!X5ZaD53l9&moZby=&l66T8N9UkFK)smG)Bos#V>U^2;1+HO>SqP<%;ZN=
z%`VxvHYk1<yfq}xItgl{gBzmTH~0$r<$3b7%8gZP%Qx4KPKI}KdhCzx<v3;93smbV
zZbNl4;4_>+Cwq3Yw5d*Dp`dYz{?~IyKrg(Aucus-giZ=IBOUNe)ijtTwYCTZ=8LE(
zLcdPOe=eHn*`q!C45=jeIb(NByG66l@G#{@f94xL=i@#td<Gqk<vxRr%0dTRG}Wbr
z2h+kj!P-~mGYkYdcu=ip2nsssL-6P;$ck_tl<gjZf=-%XE1e!2JSga-3+BOt{h<Y&
zG(nE#6|eyQk5&4{p#`1v6}1GNg9q0_-Or=)1f4WN_!g)tfGy^VnthBF6`gcR?E(YI
zmFNGGq!e%ZS!!|^l9&pldCDY7pTRb9c9NJztqz(1qCj20wkR_=NeXssYr+rU7~vb%
z9JxJMbFs0Ox@paPe*k~bx51idP&_z#zCqx}x>JXWbofj0bDs4s0$dp8KI6LpXT?xw
zi>{V`fXrr*SjXK8b283yO~WmSPqMF16SNKGnChxNP0+UN7_mRk=Cp$`r$3*E^ryWR
zvxD*cIvCS#(d=M6jDzv3pZ4T?zve?f=z|`;=|$Q%_4eGsXp!e)fi`Q1<LMUkac>QK
z_cS61_>E>1A!^?(`UHfKK?$4Ghmd<QoKILl3AM<mslueb9uLW&gc8^#+$WY$i?cH*
zv0Y*auSEOcZS}Z71|_hf6%iup9|Ze_K8oqg;@r^W^M{No!bwI}43L_uCr_PM^dwVA
zpFY7<KUyad%?8wVYcx_wo@_FL?~A47cCocjye8UD$R?MBm5fjMMB3TK*WD*dB<t##
zQ2z_BHUl_UTkT{D4kXg={T-D;IS*pCD^8TAJA}cC15hYE$yb(&Qpx`2X8`94OBum=
zrM_~0<}-kE1#UGO{PD>H$fsEzJ275SdYG?{OL5!y)E}kqX<0Kp%~w{~#5Dmmbx*T^
z7=G<LG*{H4qp5iR5)0et|7Y*rqisvGx-bOgDM^sG2DnKCf$P!zTzl^%*pO<4W|YKn
zyV8V2>ssAa1vlMw>RMGPyCX3m43QoYP)s^Rd?7=@U?32sAv}!902Nvw42F+r_kbWq
zVaOi=gph9Y`_0EU=l893)?WMEbI!fhy?Tu5v)5g7eQWK#)|$`X{7shxry{EO_?3{J
zFr1=a=ZR10d3j3DtEUuOV^1k|7hmwmQwq`QDdl#4N^u3bGR4h{dx<Xfl)m1xO#XQK
zUohaz4Mz{ua0F5tM75E$T5rf|lagj@iJyYQVBMXedYleeo~<hk9|RDZRR`qC*cuH|
znL%j1JzG~@!4RmA=XO-qHjA@0Ue(gDwced=us^sFAy6GJ+v#{*Z|(|SAI>%vgV08T
z(71ltj^l+QC^iKrUK+OU=&$94zE?lCNxAJ?cd(WFx3G!dx}eIj)Fz|Kx!4A!|I*M!
z(gb`pU%fe51%i0`-m}kMH2#xaQRM|Hg3mtng4AH1e&$WWMXTLYeYw(()9>ZcL(ZRJ
zz;7*A=g*)Qs&|fy4t+Ks%C4YWqfJ_4-9Z<p_(mFsc%f1(EnkvbFFk*L?+x;O<g1mh
zbYWR_fsQ8p2J!_a-xYe_{a?F(SBB-v1rPnSJcL(m_k<g0f0}exc&N2!@v+f%x>x*N
zA)@C?zWdLA>5m4YM-ig#l{=<CMrnbAajfMb6CpO7=k;*E7^MZ)LUm8=WN=XEmHVk<
zl-3F;A@O5FkSewaf?7{piEaf1P9sP^#s#<Qm11SKD08)^rjjj!K+0f6={WCk$I~l!
zL2GxX;1ZqZ8O#rF3(s4Tj4@tI9Mnou<NBI0#|x~<JxMCSA{leM;Pba@(rme8%<<~o
z#uL3QL<HhU7YAD%b1UTOM(*;qe{mk8;&Qpn`DTofS&lBwmz>!CX<D`JQqGnuq4qC8
zk}cnnRQQtV?Gb`V$uDd*ocejpcQN0rZ*G%$uS~^7#v3d}_Ed+pnEMUpeuIS=busg|
z>Sw3#5hQ;=KSEXe+pY(@+cA9Xqu#H*`Kdqns*j3snu_{$u&%@8iK|DR0-*o3T8|9+
zmzc+p_eM4aLD0Zx>dDrC#g>wh3o5Y)1(jGNuP7BG7le(ITpwtBVY=&92Z^3c5NH(=
zx*!JVw&H%|6{VtQf_p90;nb@YS{dpUC7v?D-DY7Z*u%=EuEm#;ik`2ySh6Pqz*>ND
z+g1lL?fygVz)J%h4kU@lY0fQ4B$wP`GN*KvqW~$MO8z>ML~_afrbBB7*Thdy|K>*x
zwwl}%aX4yLYuxTY#w;7uW_MU;W<&HMx)%$mMo%zI51dymj>XXO@^6$#nY$URdk46l
zM{rz%J<Atp)(Eqkp0f#F0F}0P5FJ^bqPeAwk<Xv{)VF>27X+OJs7KK;;ObGgkPv~V
zj5m<I3|gm0=Ya?^M520hK`UxQ^(;M;8G=-gMG$aHjZ;9TrCKipsUBT$zgiMai4_-X
zX%Wt+rh0V2-D<5m3e*!uK^QAiJ-Q%(5oABam)V0`P*hY1Qau*I4l0>xStwj@da!Rj
zS??r8VC91Wf<e^}$MJttRKr!tsEVpv0xnLx!Up6toHkJ9SfELx(f3EHzvS%@8X%({
zX*)^yr?jDLN1hGNa!p1k?|eSKNjpj`l8$e{0*qy<v}21#l<jmpu9t{mfw!!0J&xAt
zlR_CWnQ1xsbs`jyEOuo2CMW@>WBj>2q68?KmB6hD%ZrY&*W$?XLptW&-}Hh1&T$>H
zLLpIg%vwe$<rlryF=Q2>Mp&ZHr+I%X9plI*OxZSQcf9CX$LugN8-+*em}O5H)j9^Q
zh`3-IXvF5!wT@Y^_Cp<m8dM`#>6kK%syKCijqjT}#zT)**C63A>zIm*uH|!(?W9zg
zaZ8);<vQjMe8y{j<i!Z{w0`OtT&t#n19Zhv*l=hD=-;VhTyT`tojS$^N2!#lV_a~Q
zN|`#Q2r4HE%;5l)GIfj#j#4R8$GD&+QHQCNGp@pdTL|`;lr4knOOBG+XRH8_ozQ1=
zqA)_I$7Y|g0=V1}I(s3lDQ7QJxKHP^FCmpWT^D6=)%~eMK>fE9v$jK#o1WUr<h&sJ
z2Tu%NVMm6m)AsM2zkQtiH<i<Qgs(=RB}t)*i|I}qIKtA~4kQ9R$}UxN>rEzmyGtZ{
z?FBgEf?e9fo3{Lrhxe<W{9E4tqoaPN3$@yJH`c~hY2UFnwyb?YG^J?k?P6p6QT83I
zer8*A)#)>`J#24jyAaIU_pk)GT?OHgiQ^W6S^L60CtWlipv787lV!wE*1pJH>7-MP
z9qaY5W$n8IO@K7Jp?lxFP(SriSFmWc>Vqn*1_rLW#!F83U7g+c+EXBbT!v*SuABcG
zQfqmCxsFC~icB0r>GA9q9!40t2*l<o9d!Fr<SY}|lGdUdm^Nz+3G6YN>`sq7?KwSF
zFI|Y42*kw20E~cN9IJ3p9~K;nfgju+9;<Nh*aAc`^g}sz6pwN-=41+^(v_12PrF5}
zZl7Dxucj6KH9zwWZ~ORTZDsq_p54x9E8FRCs^|#PEUO{+(SJt%M<VrL_UhIlD?(X^
zlW}h{Rf41_tXW=>{)(@--IMo{84&Pf5zL(h#nJITEL4=lKB6*YfNEO<7MJ$XSKMP#
zfC^$Hm*Pu8koM69(Ne`P1Lzy*gB9Wtf>MMk?OJk;u;B}I;5XN(MJT^Wm}JT4V5X|g
zrHCc92<4K(JBgFS=3;<%{k^paWs-oLBQcI&2>s<YIy0?F@5R5+7G3Q~5@AiyCAW7+
z6PvbXr=>qgE|pk0e)XdkU(NW?TrL_-xBT(>y(g5h%n&RW|M%nqp-h_&mh^5;2g{Qg
zD9+w688mxWuu-v^7h6$=4jCtl)XU9MXG*?0+#WW&pVFM(ro-juNuf-7;}Oc>*WE=Z
zLz>XtC42;XJ!T(a?hMN~+Uaf_eG>z&>PP7Oq5~?_(<>9G^14_3;(LBJVrYF|Jcf4T
zVzYY0N0832Fc~90LTk|(LzfjX>QYJ-SLY+3>qod)VE%Tk*HQTh9iSazDHj_X7;aYu
zXCnIuV4vgUADU?>y~a#uA3^65QIH0`5v+X#kkn{r61ei(B`Y7{03J}m27%zl0I+eD
zm5*QzY-0IAuhV!F*+)P{%G$(NE6g9tk~ePoCEQkb0@O10A+RbDjmIYyx)EfHzu^P*
zAQ-{iyTKxC)q5gVE*WuHX;gW`YO)A@N=2pZE<#cGW+`cKy}4QDIue-vWVZ>-%VsQX
zy$cleg}9eFGjMYxb<=LqoEf;De(-<%@BgnqcAl)hATAw$<p{_Q<ZD1nF+2ys2+T#s
zN{U@Epr#p02x5kYVw-FYSX8n4CKz<s;7w}<B{N`xg$^5y)Hb#{R+Kow1b2XliPi5w
zi%pZ3uTiYN2?A^ZGfFt;dTTVcv7%Ug7sNj!DM7(Ch;Qo^#p;_NN{ghu1C1>v1h%JE
zt8bD(ggEHbx%uMU6+G2IwCu=RG-%tS7CNHtpl1=|89O2=uc7)ih37nB{x3{;e9HUz
zIra>Fq6AX%BW77r@X5-Gna;tuJckjCtKCiqfPK4~;{XA;tJMKj4!bq$!n~GGD9=hd
zp4*WFe_*qY>&-o|$Q_)N43N@xT#jalJQ%-&%}Y%evd4NhypM3WtxD<0Et(DQ^%&j{
z`quyQ`@Za0O8x|<w~~_Y2Z=e{E`y6f+;R;}Z)4&1bf&irn9(#YUyCWvNro!iJ|L4V
zg5b_&wLA;f;r4n7f_Vn!pl5Bf{8hMZW55{e?|P+(D%=K14ATcp$uIlK`y6g>;hYHC
z9QlJD4_}p%FVrUcJ*qJ6H>ye|%Pj=>jer=ScT#=#ltI0{?=UHZlDqvz{Fsoulq;bg
zLp{b&Bk}<Vxe9xgf1H7a&7~^8eKENKy7o}CKja{&G^PpLhsY%8(qMYC5BVIik~tV2
z?+;}A8}PO!^GED`FIztkx(eP10GCpx4cUJDx<FowY3h2LEOZ>^<o~_r-}|Nt&uM`3
zr|&+rsls3K7e4njzdhQpw5!{b|IS<xqcl<Y*J`!xLUd1i=Yqw_*kY`Ux&$FeZ=?v8
z_JdU?GpzFPNm@G>?2u0omjJfRENHAqV^;)G9ap?B`nog&AA$_zTo4d!(8j?kZo$G@
zGgVBoiefR;#u$iKH&zWNLk@4l%E+g@i=Ug_=?pi5)xFr{9nPw}H9~@_TCJW|3UHec
z94ftHagvBL2h@CaQUuy2)+NBr23Gu_bUtpU<6EwG$y?{+j|B9%-m1Eefc}*3tUA2c
zA1C5zAi6GN!R)a;60BVsdOL0THWp+9=U4pD$8NteG>69hy#%5XEi-|L#>*y^RwWP>
zlEMVyel&r&N8V7{wb1E_<XfGbljp-yZiR#oQ!&tYSqtU_BAPLoKtxFgA6mhjKs1hJ
z<OO%A$TchG1mYf4f!d4?urAeLq$ICG#i+g+@VOg3a<ZDyg^f2)HqN~zz_yHWZ_3JX
zF_iA7?lO*M?yx$g9w?e6slA~ND|Mu|V0%TH5m`)qDd1O2k7u~q)#ikbOez{*?YeZ*
zv=riyPWr1K^^vdn526`M#~`^*@)>LxIF0z8MUB<g`#1&BMYo4K$pyin!RkK*F=57f
z#fu=l85iulb*clB-r6&ZAa#-p?xB>l`y5b8Iw^>FiXe583vN5Y0$EvD?rj822vR2%
z!Oe=p%PEL?-pz{CNktI6AwUyQ5DA1vke15@51Tb{k<fChy^)v3spZiN$vu~(<VGfQ
z=Wipql_H5Q2wii7p`^{pCAZ>|_}<#-3KoxL`+dZJa7kcR35=93d7&nYvp=AcRb8jN
zrTTetm84aSdbksphGREAA1732d}>#YJ@HA;HrzWukk4q{tr^GAREVT8D=FH>-4rJx
z9K1^sY1#99UCMOY@<Yn>)=&KRzxjzsR4b6*Lqmi0-|pryW~#^S2Z0HpGA%#PJjRR-
z#q$`mP|`!6%tQ7-+>6})7fACqw3XU(4s9j=h|suKT%O06Meg|y5p)hM7ay6wp1;9N
zMVGyricXtTePmnhRJA?>&~6TZHtiO*rg3gfyPDRtZ~UCIpZ@O1AL-4w(7gZ#S`x~+
z+nKSN-9u_ln_PkbLK984%K&Iau-h*YS+RJF+?RwRAxH<$1!JZuCNpRfCj{yGx!@N0
zWGyjocIcyHP#1!X)m#v5=md0=l0tjDV<?Ei&RwwUHaZ||yF}UyD`vs$Uc`tKkdSGF
z8mE?6&6kWBCzv~4dn`wzB?&9;54C*h9?N{|#@ec^b;#5hJr%WqqPCHza(TccyULu0
zDIw4lnYft7?heFANI_RAVc`$Uc2|;^VRw5iVNf6A;BY=lDaL4u%%?Jh^*YQ@bFOms
z$2!b#y%o3}h1Y5@fu@t<3(I!8el~WeP5F5uMnb<BxT(r4fonFV6PBlT_ZRett!@ng
zli>f^AO5%h@~e*}oX|JQ><3v4cg7=XQCXXC!XQL#iCOQZh7~CdMbUchV+XjYw#_a8
zsBQ8oIpK8JEYPjPQ}4U2=C<S1IpM^<6)B%#*tF?~5X=cDXfEd8nFI$<P;=^>aOyC4
z2P;Cb)83i<WvYbJatneU3T}|2YF4ZgPUx~x?syEYkxtyaWR-C0)+>T!xaOkwlUE5R
zbj?yJ6T^#XIPKYUI&gmgBfTb^I%#T-vrkr`s?ZjKjTJSd%I^XVsym$ygOnLmSfRe;
z(-e)T3t2JIHnP6Ge3oApJFint9<~gq(>djIh1@^vKfV-qyS>>*^xdUviqn=KQm5bf
z&Ch*67wS}tlkO8*sq(f#<yPn<BnuC{Bim)_v<U9D#Qs5Wqx{WTFryL5a9fOMz$;#_
zNOf9P#Kcsn0F0Ud4Pz@vby@^LSS`H<P|x5ks8^&qb;12=p{`!H+Dk<sUnA9N5!_;^
zt!SUsLK5Rykm}S04=A6QAXti;Q;RxvNwCbaeE}Kt)n3@O^OB-YU9#I?@|t+A0D!dM
z3Q?yniS9>jt;8&nW(3J(C0W#3{XzBCuz#s)sE0PxLta_kh2mkRt_0y2$Q~yj$PA)r
zJw|{qPC+d^u*dkIoCLVuE_FvTb5)^6Kr&E$VcAYDCg0?=DL;>UoG5>$7aiqK{JJpp
zjxvOIeJKTMPy2N#&}qvLDbRoNk#BtGACImsjs9GLdMt>EoTRpfTaPK9E!P--Y!?I+
z`KqUUj<J{Jpn8<OEC<yi>}7RO4et&J6zFcGqv2)OVR{a#y9N8mL=gR7jbI&AA2uY;
zA(<agDXk>y?(s`f0IC)Uxn^?cQMFinKGkMR3$SY(b`i?%U|%cRzw~+4bce^?qIm6}
zd^FGy6iwus|H~IpuBwrVO-dxDHFq8TFEZZ^ri=kGfA9l}znD3A>oJAVM?l(3mCtQm
zk@<!`OfNX}A%0y1Nkt!`=_}9rn-D+?AjBT?Q}yAT?4Fa|_nvzO69H+<-kUjAUV8Ok
zfA_5qEYr6Y^X_)p5b$VGI&6VA0>{c8q+jB>+b#=iTrhapFr$KYCmMS$;$cIW(e?_7
zqvR}O3z|Bifa^1t(JuR`Vd;2{NT0(6ZC9jScEM<p+H8=tsjpFrm3C^x3C`LAWL6_c
zyWFhERxZ%bHG*Q7U9!-&aJ+I)Qt)tg^1|Cf1XufYs-`ahv6Qz3ZUmyr`uixb*?-Hz
z+p=2q*A$3}pM7<pO9HQ8we7c@<@<vloxSUj#89X9M^e5KTQgYTFbuILS=}K}!AMXC
zTiUSs9ra1XHyA7l(4ed55Uu87?)8v1nWduF7Py6@5ufxz9=K7=U6g?=SJN1-wfN++
z^m6c$7EduB3ecy7Kp=gF*^cAccy<ac+X_X3Dg=WYP<6sXpbo*f9WfUR=DA7&<~FFL
z{DtL(4<8K&<l~zO0{Zi$5H4u}xGbnh0IldQ3xwB5ew<uVFkNw~%QC?uqAhc!b!7RW
z5boO+-}foU=f*9N;HDZzApq&?j4(GkE(QD|wX@dgCOhQy+bM6Ni(GIFsV}O?1;>#3
zVk%59s6GJcq;3rqyis<V;3%YDo*Wb0ZO|M*E!Z8_(lwM<l!wGu#PAbM{|{jQ)l~RJ
zj)DKRKiDN#D-8>W(En;G{Ok_^|5xQ?^d097jfd_uy?P${Rh8&y#dS(lrpsSPl^~R_
z#d{;lbIyOAru-%T4P7kL0%AHlGhCO6=%!)tFI^&-w)~KK{QAH5_8<7k@T9fY&_evt
zL{HZSWlxNv)Lc(gGjmOE2ckfDVC)6h?8hPg)--?`uFqsE$bG6uTc2Y$wP^r!MB=GM
zmGFtzQa!q0G!3l5*DLBVu95cD1rI0&%QXVn(gWmEJ-T2Z;?V)Ac|BiovO}k;WTM`Y
z*E(yKc+Y#gDAw%0QW?GQx)5ou861AORbZ&szsM@h9Y<H)adf&1W)J5PAE~Ef=B&}p
zWsRoYqE=7O5Xq~7NdC2#|Mn-of0V=3Xu7R46N+re!y6&JT9VZc1T%}yI_d1Hkg&?3
zfDz8a%nr<&me|sr?6FzXITYx?(t}eYcMW^oHWuel0HrRi(O`DhsttguPyjuC=%C}0
zh+KM-sf+UVez##Rh>|;*F%WUcr46mxFDvfc4<EnS%@I4Rj{8uVTUQ;X*U1Z(vot1p
zicB0r>A9GbGaJanTsudfrb48RAqDhO>qZvI6D1Q87gEZZ=9%}5@`U9zFQPB{5u4u{
z6hbXO{DVL1N4_K4(bO`zGBi8Ic_VCxr9Zzl>Q39$KrKrXaEGB+OiyPwoj+q)(FczJ
z7}*OYD#ssuA}AX(=GX~CdS0<|{E-@$X4r1MHXhQr#>(+mrV^}(?=lLtESSs<W*IhL
zhRV>tOs6C%P+UNPk3ubxV@Eth6}Q3<&@5R&EfIS1Cx_&61!R5wOIA?J0|21{iW=%w
zu6la`sVCHu&B|TxCz62IzY*A6Sh(QXcarYTzq-W2MG}*7H7B%O3OjryS>2#DN*4Ov
z$?w{Hqv{Un)HeP~&OIBq5=}<&%d-bgJHNO1>8mx5nhdH{Rpb$uU1ToQ6c{&zZnP=)
z(AV-wg-$gddK7X+_Mv541EN&+oOhXRZHhV3!|FiJ1Gn}slpHiso&c_#YdQ5ShC8L6
zh1WE4<zyDC@B~MuF*3JZv92O_Ujh6fQ0L0I9r+ogzL8sx^D}pUO0Jyg?>qvWx%(=V
zgFt<LZm09t<9QX%@40r;LtHAGZcqIYwcfKDb0{~5a_<`efWPz|AM};)2w<L8pDqRf
z>{j!T6-?ODX8Kar2Lc)v8~}iM$clReb<sy{=e1SS&7xo0w1?+mkz#AleH#hdxl`mc
zzeIf9F!PEDDR%v`og$a4lFxSi=Ir{kThvC>bBgwAQncUtdp`R^J~pxv3WRmL?&xnL
zF@@U>?0^>LY?oyvT=1~oqRU;7`3IOfdiEj6tV9tE2*1t`&4SEIxL|_t176sy$jHP6
zF^x_8;z3?0%|LlY#=I_=W}l<K3M=O3bcVbxh-P@CQDLqzht;N%*)Fr|F4*k|{6h>6
z5T4~?LQqn)E{T>?Vg)J5PTBhRk*tJEZkOm46-g$5TS+!47fE!HNnYU40Xx@9CbaZz
zv^24w3};7MzNT5yb>`dCf%Z|a6BA<z!iyjCXtXaz7SK91wiieIVoYgAQxxBZ`X~DX
zdcY0mi{0wCf8hm4^HT)NNU4mEXlc^{gg+qqjcx(OmZfw+6AnLUGgFgYAoTB~>;l}L
zOt}ku{z*taG5g62klIRk0fO^O`Qr3mBbAc&cDE)ZbR}PGZ@?V+Kf3c?rro01L%5!K
zFTek%K4AGn5&3JTtXH=f!y#qBQmR|@aY$9&0zh#Imb-$!jMQ{hx0ni88pR>ythf!Y
zK~{VN_ENEaaq65DN43T%BFaRz5X^N8fLB4m!z@4Gt}RSUJOn!qjoD6|3gU}8&;G<~
ztGdOuFsFh;Lv3`NysBGlojSEUko$txJW1xe^B@SUDOvtx>Mu<3tN*aEw!QP53@~?~
z-~AVXd3>6ZtDhpHC$01O4$K<B&d+iGX&%G%#QpYs&sP1>wd&Ju(QMVP$Ev^okNt`N
z_xSV!#YX{+(7_su;Rt*b?S^BxFyj<4H(?6{_$XR+7u*AQBveu;%bR(N6=~Ir;9_Mn
zOb@G-TDK6C3Z)~iR$KHM!nE$u9<M-%5R~x21!>mB2BSGqWA6~m(5$^Q!_!!U*QxGJ
zIU4*n@$Arm6}4}#xakLO@&<z38H-#Mu%#O;6P(lqY;pHeh%5E|2yxRugZH)R2e0~?
z|MSy-IU)@W``TROVZB`AH75B-o0`(jF^d-Yyc(nC082<tcJ07xDZQxx;8<&ft${pW
z&P4{JCMGYbIt;;_iv)sdYiT~TWmM@i?Hu!KL^f4t73>cOL;u8zITwk&R9<MRL>F(H
zy5sZZD{j$9sN^4=j>(M79pVQji(Jy0jG5g5=TUQ!RW1?;RUl7!a*TG=m?W-JA`M@2
zzgVE3o01@-YqPb2ka9`ni7jV}c9Z%GO;WPzl}C0_{Qd|*qPMK<a9`ho2rmL6<tQSm
zmWN<O5mLk_mA(%6P@IDoJdQ@4V~wkiHBxplz0$}o3U12LsLke_Y-BF=*=ML=gbm}_
zXAX-9zMgyP%`bx<<Ea;<W`(W0k8W6E3MwxCB?5e&wQGO+nKwQ47UTQ)q8DEbsT-;o
zGaPzv<{9~_w|(8uerC7;8Y8yJM$}Un8vs{~KI%3{meX0IXzHA7Oj*f3nmQ*NQQ;$8
z7aiQ&EeV{eR2ub#MYSBxNSg@+FeqQ!oLf#dBH?#H=tM!FhMN_0vT+pAoRf|4Ek^*&
zbOHP#9|Y8^7O-FD6Z=)dps>i-0Q7N-?tjB{#wXwBe`8O4Qjeg{@OhZihCQh1&6L`Y
z0;Tq}^wEsiot{2AEN;DU{)Ml9V=;Cw+{5NmZ+K%^YnXUQP4ISTr(Dg1k(^B_<|Myd
ze&2d%vi|nPOB(p`&*5K9xkbwb`;8v^++X_g=fjk$U(-g@2ziwi3T=p%k243TLG~DB
z7a&008gP@02vN$e64+rNGK@1ouIS-!;V4x!4TC3bM2E#@Jev0Dgy5kU^4=WMCIkTT
zbr|L)sX)vCY_Bm#)7$-;JoN;^AhFqenH)`nCE2MobtrQ_brnr-_Xv_@Nwoa5A%7K3
z?^j@+ml%29StpJE<dY&_v0F4}<%8O<2zhn;n88&k)Y;rL`d{7g(5N&U%bUln&QzN0
zoylk*0>M(M5#2+%S4J`}Db*;M_((Mh*5`EE1AAJJsP)<MK19nq?H0|owduF$6wCX6
z{pgqd%D)KO1HBoo<t>=n!vf=!8XLqQ*6!hL^!FkzWWsw5xJe_J^VmoY)1X3dLq>(#
zL}$wzm|6s<ZRR&6y%5BfSyStV^|{Vt!=3`13!{%QP1g8hmk&20TVAjpkbaYbyx1Ci
zn=P+>YYHxVBcv+JYo3W1b1PEDxhu<C7>f>={L=p9RUW%|5{Cui_~xgq^4K7g!xS!l
zp~Y5QiHs(ePG7%|of6dX8|jecN;2P{a8HoyZ`~6?NR~B=e*&kXmOSc9h?&0voUX1j
zj@MBcB-bk+<Nnq`KEuw23;W-Q*yEG$^S`lY=o6*VBzJc;{4aw0W*C9uag)Vui+kFy
zn-IPLp`K!*(X9)TgE;<V!0m&!^Wu7;Yf!jB?R<UfaklfGLY*m1;>pF}J6zb?z}B5!
ze&o>c>jHZw1$hjnWb5+b64aOW^q=a0ObuJ7E#DeXhFov^*mr&Un`0!P!V5fmX<&of
zM%hbH#a76DgM6i>zFaX%8D^&uSI&?PlR*_|5I{&KSP8%@M6Cq`f{Nd-5mYlAF;A*u
zOq6K^GpND}e#A;}xD@YatjK#`R)m#9)I&kovr6!&rs7+xL5U8Y($6|SK;CjyFUKJj
zkC+<r+@k%>k<JXMcsP)X2|7Z$2JErEE)_Iw`5_f_IRAye{XHKVMD3y?`YLFH@pFW0
zfxxAGy=z<-MoWp{a$#La@p@M(2;)IS5J)g#OV4_MzD>~sh1nK^rCO4ztb*WAtpq~>
z$EGKkRnWHZ+QM&YSFBV}p|u4s&4b~(?%uDEU3bBEl@!t4`^Qz0`z!u;$Xii$b@zV7
zXp7A>`R@MR^J?}Tx1Wp5kgv|aLuZJ8qeSwITs0NX$U528;+z5g!cH=j!NAVks(ta!
z{Rw7XQ4k>@SnC1)#5;G%{RVej)&yZs`%sE^UL?zn1P-Tn_VoOo71+#v;rTmT1aXND
zUa@ZkLq^(D*e9?g*AE9I(-VBQUF|4IZXd9xoo>nEK{>A<BW&i!2%A^<7-6;i7;!T_
zM(53A#O()pj9%~0P479HC&AO>KZS4y^MG<r4?c9ozq4M@=}Hkw%b&AeogpWXJpA1m
zG=Dk(0?^OVQYhQu(yupXbOcL;um;6W)e;DS`gm@q<GVAwEa~|5*}D4vYf<~@{M=5*
z<9c(1vfiGps|aN+)*v0<`@!;Z60npAg>3w|_{fRw&(?dGMG&<=i3kOI*9cgAbB(>I
z000-f;NJdbUTR&fTsam`-+T61sfCqlkK;-dJ>zfBts>asPcD)x3%>NiQ|B+<d+FZu
z=Xd2yJb<1HYe@1@H&D&%o#UcI3%rMiN+EC**TYIzxtzMxCCB+!YT!T@7sz;_0<(!#
zpFe;8rRUG@y+OW_d^Lh&<SShW$XO^(sb9$~KSWtS?h0M4DR*U9t}Hn8)AA65^zet(
zTQu#iP+E{ydT$khb?cRWR~SkE-NlD|{|A1^F*kN>Hbim*5OTY}i*fXA+?(ClZn-DJ
zA4BdPG(a8BCMN)y(WMp!qdPGii|odZ&W5PmSYL6!+#3gCteD+c0FMs1Ml?Lg-0Aun
zMdzqX0~If@UAQzDGuyUMWG4-?kXFct()rnJt!C@zo*taT^>jEc{Tg##&(oMcNO+O5
z_%`n2md^jXJ|@~1@CHB}?O5IH)?Vb=UX{!#E^_71nvcvNYume_l|LKaG&uL$r%tlX
zb~nyC^n?l$8)4R=&Z0E_EGCREOcEIL=1H#n+3>r!uyd_sdX~?&2nONx<H=hstmD)l
z6P!Ig%x4Eo84#~ub7}Q6sutM%?k$)olqqw~KAuK0J<ey_&6;G*@VoJQUc&E|=LwFj
zL)OYeihoNFDYon(4F}u~z3&ex_TZ`H6XuKhLyDsxiHCH=0cVCe`%|Q7sQf`GA}4>a
zH=PL{=Z#KhLYlbZlt9XR)Z-POE;*36-t54_gN8$y9blNx$^qtf9FHF?Z?^ul`p0=W
zF+Is2Z5?1Z&?h;-xZcdTNe8%M+=L%mUq83w`Zt&`1+O(6_}$s2`u-58j+gCpyg2;n
z_`}%-MaJMH*)$ZTvK_}a@q|8J#p7PfuT?g&cSDtF4mkF1V2yoqgT1(w?tu3<vje`V
zR=!>beDdnU4x;<Q!wx4MqF?yhcf9Lkj`K8uk(uc_@-(&BEB+c@H?+J6o2WKDvLrf0
zF1X*XU=z6F7N0~t+peZJ$_D&F5FSrkTcr{46*J<@3IVYqZHNnY9ZZ;<x?6W`w-ujo
z7u>E_Mym+KnVQd7kru=SfuIIv8{XX(!zB6hR25jh1TG1#{k?$LNd3ffSCV|(T@rmv
zfS^l23<Bro2dZnzWdI}E%-Wk%)s*)e_MzcA4~1YmzEE9LMpjGD4roAq_M?uQ`d5pb
zxHPW~=1_OKA#|^8ZT8Oo7JKN{FnlHXG{pte6Xn!YotUb!n-7+h^7zeiQn}nR(=?rC
z(r22cHn);8D;CcC<94e;Jr8F9N@~U8`Eu-NEYGegw;XjAWeS$~%HiIoqasgIeQ`H!
zG=OvGl;gNdX6S+8-k<uVfAh2dMu5(S37TW@GUyj*rSsrrahGfg?{2>WCL4L<-C-?+
zMOjcXCMJlGkaHH`vMrHsXjYVri3=Wpkd_s9$eA>P5@q_SyN*Z!Vh>OvYy>69^c6RI
z8b1i4;fcOUzD9A0O%T&Nk+9VjrIeTjCB*bodszzsuC!xkLCu)Bq|WJpiC$tbLUV3S
z1DIsYkp|$6Cc0WvQtu|Y-K~kv;F>Gc%o@pjced*t=@}upU>d5C%(rKY8kH2)_#5@k
zUfrK=tuD_F1EOomqpn2B!KgK_YNLH0qURgPQ5DfsPb6aPVQ$CbM%afK=g{~hvZ+E3
zJVDtUiRFMpu{&Glfy6*Mffg}^Oqv6U7_kk?VPG+lI2|BCXb#Hjpj3nSh|z#syV(iM
z@St*x`G7?({dqhn1dJ@b_d@iDUo&82sEF+^0VA76Jj|SYWv{AGZ@7v>8}|Sclt1Z@
z{LX*z_JEP8U2XBh0pevY5IP{YrsP=9*x!)iS$38)jA$aqdW8oANyr}1n5x1KyNv`i
z#&c4iZorY-oI1yP=q<oP433s)&1nRySnsg%IL4>-#5Lwv4`2ciYH&qlAlslY$9g3L
ziC9m1fvN~D$9mlcF)+Uk@VgQ;hvam{7y79mJH@`qEjg;7hVZgO>WnAI|6Nulj^k?M
z)Dv?P>}d*GQUQ?@)2zNLxI5TH4)(@cai*#;dk0VS=$WqCf9*f~yeC72bxhek=_*XO
zkgD6jeWCBT1&~I4N84r1hb~ysRVcgl1gXMY5J@T&P`D!at2J*ED^i8Ip!G@sz_i-R
zFfAAmxkk?HpbB%r-4aHc+5!C<=46H-RhSE6pd%?hp+<mkRkt++slr?^G*gF$4RPLF
z@Tk5~y<j;TzNE>W$=IQ*L=F)*)bpz39|%3O4=*NoSyn~m8bh}<pN^}V84FugJK7Ee
z*-o0JE?#IX5{gEOKc_1idqR(Bf=l~K)0S^j2UIk#dF~UQdojXZ)mW}*++hK46+#;6
zjMCWiB3~&Oz7FVQY8;mAD~-B9*;g8Mfhu2V%mvE6(x?lReWg$|11?bZl}0=u)OEA3
zggK2mj24l|cwQXJRB@jeIbeB#@aU?%IM5CRA28dEszvjgS9x)0cUFMm{H-)85x4QE
z<}8XxRhfmT&A&!|n>+kJRdJ=lTZ+Km@^pWypQfj_TsK#@fAB6S&vl1VKkxeO*^T~e
zHo5G$=QfjuT_I^0LeuoNBQ(XY+eK*FY%;3v-kaRK^*{gcxBhIndBfVb11kd(dI&&~
zSZbAOj#Ni=W!AokV=oRD)BOORX(U|C+ILh}X6-wwE3@_;Rh3!$j%&)SeaAIrRh4`I
zO{q$$^)abeMAcLuxWWHk$trRndpGk;9D@WgZ=HNjzQt*i?`pzS-z?9>^#`|~{hR*7
zzw*6DwcgsW80_zF-I_}4A<HYBk?fpmQ(9T;q2B~ZKFOx7d-OJ0>m3ej1w;V7AVdI>
z(bC}7{bEd|(CPpNM+rzU3udhsC<k!mwu!u~^)Ti_`GnBlZ*`Gy`zozhFb*5U>aEw8
zwO&^+4)Ff!MCVbj?`m{e_+#$s>AG9(plLj?Bz>c>2Co_W-<hfIaW<HrQbl<mOVWMx
z+ur<jpLLueY=j-V(qr8kWEF7pP?fdj@_6;L9*fu+kzcDBvmP_`#AJV;Mmu|N#`6ha
z@&Ju?t;fK6ttymB|GH?B^%$am69h88RZm%u!OSV=7>G@J6e(5gjIKfId7{r27y`(e
zmH$3R^;qR}6*o-1u0A-+y|Q7?tQ_7Ka~cj^80zhaE|cBO|K(Nrx!K)pQX++`1HRZ?
zXm|zdunU{81n{IiWa!~aePXGjEBd+WD(f(Wsx-FE@GIz-1%{8$@T(hS+x*P8oW1HV
z9Lqyb=lm*jbdPa<MP_@P^J|pKtFooe2nxgyn5}9PnQdt>f*zJq8H;Re&G}VE*=`qW
zarMLSDXV~oBR~r<9D%(D)miHpRJJrG*@7acwc}Fq@HJzS?F#W#^L45`G=eKY;^gcc
zO;LaGDi4j(6@ZVcB^UOC{Dmrf_8#<Mn%hQ{)5CmcUk6o4glatdn*6Ex>_@!=k8;#(
zdlTNND6GaZ>!L&RFw8sf+TS+U{`9CDsTg{k)BZ)=Go!B_h+?2dUy&0Hj030F4DA)X
zuA1Sr<%ep9zxD6`r!W2TvF2jyQvtAbK?o&Z$Y^$C++$m4E=HRM<DT7m-Qz-$OqliK
zV(OUkd37LYRAmq=QWyA&h0hCuHcvbRsjFRZhb}3lVL@}3szDc=s5I_^08n0heQ`@q
zB${n|{95eUHL>#=$<(NhDIvgB$;7FfP@<<6l(Eu&pR>Yn)}ebywY~pzYQ0<#=rL^?
z>QGD2^jrus5_CbZx$Oi;h9=(Ld^rUVJJ-w_9jvq;9e{M&R255BJ;XLh3X=Q9sa!Lv
zrt2Gw##O_om8RFY`_k`fM?Jzf`1KYfqTZp7uK$JNpA+{Fl(_GaQ3|<aMj?xuO0eaI
zjD|4e3g^6HQYFKdxgC`PC9g@aW#M{BCxk$CylkiATW%ZhwN$;#$GB~9y&0!XZW{m*
zqP11KQ{xNEcAOtSSpGW7C{@5VQW~!7An0BfC9nf~4BB|XxZs;O7QO9ZWm!tb{`3!{
zt5;QzF(wmYbjll31ig*OyL9lg56YE(m7yS<hm<f}H)ejB7tWr2{)J~=e&&UsbSXb#
z{MvL=rrecbxw7EUUEv`!zf5BNA#Cic_aF7~A3wdF8lA&!M3__GqcbV1)c4p-${Y@+
zzU$qxEehsv9lj|eO!i$LdS%8Ou7lWye2UWiEF3R6Xw9i_n^jLi<)_VpIrTj{<+2Lb
zN5@=N;d&wL8=7-jhwGz*F7w?%k--bBKE>E#2PXk6$$}Ny;<P+~RK(Q;;p$LDSAh6i
z^i{+0>h)3UF&S}|gij60Z{E|s1Dw+`Q@$aBTvn8AN){7lRcW@v4*)?8I7*TohCt;9
z$ab>BD<;X)@hJRNen5}Yqy{kb93Q}Bk%|dFKn#8MV`{@soEKh`La{+V=oE^S(SB-R
z%+ebVj2V92U0}@cTi#@NKBx4bIe+@@V7u<zQ5`-^Reb2XC3H%RxlTXAUKIaF()Put
zX{ZA)<_Db8^nS`1kB|A_FaM|?j`H|LvnWXy3;T<rw?+Lnna+AxeAXfm=*rE4P~I$h
z2vVE4Am=utTN$qZdSzsFAxIy=1(9~b<Yb<@TlU6aQe(Iv=yExm3Rwh{sI{0KE7C`B
zK`f+mv4ESj6nF^IM<{~mnn4DGgmEZPh@lKY`Uoxv@@8Hm1OeXB3{?n<kKmGM0U}@=
zl52F5H%p3-;F5>cK~v=bMA;aRv?dk1OYU|DkkZT9H%6?MB<Ul#B%CL%$)5;i`s(Zx
zGifbgQfxQ~ebtuti}bqR!Q>Y(sHl+P%WWgm_6`^9ugc=<rPp&YJ^5mP@oQ@m2FaHh
zSbmz*AS`_0!-x-%vIUZRiSWM)@auwj4kP`E4sBX#;w)NqHP2@K=FGY&og=r1cJX1{
zqLeStkJ&U=57R5ttbgmz{I)w^@?l4)We@8E0zk1w+a4unuKvIjaTYbr)_Px;V4ip_
z&AMgwp)YrY#~}d-{&+3$M$$i5e?WhtT~51hZ>A^&bM<ErT3f6LdOQTUZ9R!*-A}#4
z%ueC$+;teHCB`jQlmx9;sFpjktR2U6)itkF>$nX967=))TcZ-+t|(@`oO^@8Fe14D
zy=b-MQNvoI<Rc&4-l>5E1E-ayl`*#btF>9(zw0Po9%p+wib`Va=Kp+muW1YX`DMK_
zf+0oeAke>L>y}$ox3s>^WCeRX?3X>;3S3+sDBO<fmxUzVpdz_gaDp5kJ@CP_lIdwa
z>kz^U79IR}GM+6LJvIMqH7v|_WmybQz-|Qsp!!j%`DJmP@|fa9>Agy7^lZ&NdbahY
z>$bfozvh?4=u}B&;c|s4r@GGcNS`saqtD;U(e?4{Yb&jteY;s|ng}GwH}a&CE7n02
zLK`a&8~@cC0{c%YYII{yDt5O|D*vghH}It5e3yMvXOh|Q-dM>3AxdO`W|G-Qon#jM
z#z0rF5+@|HWm8#YLA8yX|Nhn;-7IxFY(le^8sANl%$90h$&K_qFfH88Rk&2|spr-A
zf5aRU%~d$B1lMUd{%R5;mPe0U4>Eg$Rk-(m)j#{_cL!)z4RbrJ4Bji}9S~o&uvUz)
z;K_Np-7VH2hoK-UQ>{yqU2*h)aDo#AxBDK8e&ve$$kw585ZM*CQW&oh#8izpRJr2o
zgI3|_KecG0<hOuDM#um;<pI+hniaDvZm2Q{F1l6E4V1Epd-q7{i7NzrQg7;8b}fNH
z_2_4VIReeevulaT5gz`apRfJm0-CjZmExcEp*MpgyO#JO3%yR9+o+`DhG*9jq|_i5
z(=en%$7AD!<{K0t5NLXOE^xj>7{g&P&;fYlYR#hoi$)7RU?70F>evm`0Ck7M>T)tr
zmnxoMaTHyKPNK&>{#RG?oL~ea=RRk*=N!^CY$6`n^cDT@kWBm=rN(B*anNgB9upRt
zTwZI-d%}km-V_RmH%n)Tnp(yMu|8N8FqM!n6)^b-g*OP;Q&o>d$?havRKw|`)z9K{
zU=wK6(^G@?79Ghu5x7(3ohD=+nJ6mZW(ioUWRY9hHmyZF@Vma^9bf*$aWn>)WT>@C
zZeRBS>)c$g;>W=BB^n3i4UT$0?LZMM1Jj}BTaZOYW0d9zklXj@@~LKg#Y}GB00`=V
z>CrsVA(hfxBkh2%SmuiZ5Mfb%e3=T3;kF9xh5e=kzF)p%j28#NZjExV6oX45ZKS0r
z=uFe@aq=3C0dik$-PkRA{gPGl#2%B%r490c7`}J*e0wm>Q(>|&l|A^4*a5%JXr5Sl
z%FM6x(mGqzn`+^H5vQjlLm8&yhIoN4r=#_#E93|AceIyenwux6>#OlBg1*Su55DoC
z>m@OjHpPo8x|){D!5Z6J0|U{^UXB|~!d)G<j8uH=Q9o6!&lR7WtN2X2MXhPPbwjsE
z%RC279T<ey6-3=d+Rr!e*hd}6@f*J7@BjV@JO`aJmtbOXZ!QhPOgA>8b7`{u8l6j%
z-3!FG22jKd;?@tT+>62h0~_CZ4fH_$8l#sH{O=mX*e+BNYWKog84qiWUvB)6>|O)|
z3^-$2?@KB^e(pj611x7V=jNy}W+YK;Yx$touMeT{=!Ab&CWlGo@V=ObNM?+0j_1JN
zs`l^9)$+>bYPlgrT{T$DJO_q6niOWvw)F0W?#?No$p7iL{oWt_)#ISZ1wj!+CZeh$
zRwZzEw51NaLtYiRKxSgWUZCbD1Zhi);O4-nkub}hwM>Q}ZK(^QwHC-l3SvP`0*4@N
zX%PfB8ghq3{B4awAOvYkT`=$%u#jq<Ly)%A1pxrHyd~h4nz9W++R`G3VF-$z1Sf%-
z@er&)k;pf25*(`R%f0cgB}t+I%9`#P;3}+5HA!YrWCyZ0uZZ{1pc1P4njmsgwqNoq
zwJ1+X*}5dY1``E>FtwoG&wkYAixEZ}vKr%sWHnO|-O!q=)M&<34vzoJ=AWNqPkhpA
zXiMi&IKO=4t=C&)DBb#7@SQP~bK}GnHcl{?aVfrCdv@oD`sL@}^wLvb_{`Zthsu}Q
z;8SnD8@s09?ytNxV%ja5BWk^SQ*O~IUciqpf6e->;RR@6p)K6*7i$zGY1|hpA&bu-
zt*UUlT63;A>#^G&a{|2puOR~PNZx0bSuvtW{EDay)`eTNJBmF5VSQT^r58|EM1EaQ
zy#(D+vtlj_V)BS}Wq{YdEg(wD&#SC^I2K~EL0{O`PIKY*&<W!Y;G3*-Hm9yIl$HpG
z7cf+0O_;#j$Rv=9L~xlFrTY(bvK>~NXhq9`k+8*<W&;~0RX*t&537G6E{2!buTW;g
zJ2OX|)-frLV#<2UYZ_T^p?p@%lw`frTZ}Y!+B-eXZ4dHnl*97Mbl6A8C{MdZvr)bt
zqx=c~xO?lz9<QSNi1?Y)Kv*(s3c{JwfN7#<p1%9^Q!hPb%@GlrU*r;qp)LM^N;y!;
zI~(O+1MrUBwTED?LJV%z1CXk>51D2#yBy`bVeZTIWVvQ{vq{NBMC>8D|3!j+4$ZGV
zG*2EvDqq1vkicE{PWKS30yTRGkFJL>?H0`*!u5CvANKD5{NMUBfw$J1(GJU-Jgx~q
zGk}8;jRU3ID_hgy_lj_V&w+urM=6{O;iD6Xb0K_mPG8a_C>O|t6L7hJ@4uyR%7ySU
zLIA03Vdu|m#&sdwM+mG4Pa_i3z9$>>Dx6)JA+TBWhNe`kNBi|2sRE?W59>YP;mw*%
z-uqA4AyoiLejk9JTgePlfE=gAkj4q8hh(O4lH|RwX((z+uBDYPBqt{g#G0xJKb3b_
z&HDpY?z5TaXI;{h_O?}3`7!&w2;SdaJjW%xgxNu0+@67N*GhV1x%+7j!p-^%Q}NLD
zejRZ~T3bgv^f$ia?&tl3V*{oN^Bd>7Yw9u-QPu>bTD8*CV{_fJo(?X#)lzUsp)u+K
zQ^6$%PM1~*Wj$SZ-#|KNgPY^aWIeqtv~OrVX;o#Vrwi*FaML|ane{X}XpHr3g#>h^
zQ;+IDY6Z~|<%250fD9Kk14>=p*G5L6W0DqqC9u5Bqgk$SGz*}?$<QMN!mmqehb6!X
zfCeMqiVm35dp@Qqp-cCCOj~|P$NkUme$8L~LqWo>3$?!J<iXA834wlrFADs>Rb4`V
zWF0rE46}~IPmM9|;%Nb{QE7!*$Bhmn%{p#$7-^;BFep`67%=D980F*3WF6Nn$O_JD
zTyb_q<2Pm<H#&{9_C+rq+&o<ys-i1o<AqJ${{4IvC=cpK|Ic^3GuR{Wa6$j;2RNWJ
zDbTyo_0}gv00+obcD}V;6eVk9L>&H>fTsgC3qCn;HN9vgcgdV#YBugXB=_B>83%$j
z(*u15rVLhDMG|9nn`a<B(Pv;ogP*{s1;ZX&^so?d_;rG_4bw_Q5@_gl&Gby4VMGir
z4`;`libt_IdwQtPfL}t8D9`Gm|Bcd94QhVr>cpC85NaJ8X@&Fa>@ZzLpiBprXPdLH
zSQvH5-3FaoyiW9S0DG0Ap%b5K@M(BW)Mq5fQwSc7v8Q^B?8BdC|Lg1ZpK7e)y_^?P
zeKhWbZ2HRncSt7wjZ(;kju7pW-;|WYKwv(3w!ZZ^LV+WEscl0g2wP8Ts05*FMX-v7
z%0ora$|oo{>2N#hlO%S>(-0R7dX8!`Bm}DCWjh^@>&=X|p!;CrBM4%T5Y6KUt1bs*
z@0l%R-I4q~FUJ=*eVsL~cbBdolojiWXdeRY0r`X*TrUz8<@>L9XQ$C~tj;!>V0V2u
z+f?6QX)&T9R<`5!15L&{H`H#HXPb&>UwJgr@x31`e;tWLgM<TA`uOr*eSY<0o1`E?
z;DK^85E1T4VUtuyzKNwa74JS4+vFkb8upge$=jb!Rt1A&+!A!Lid`szUcB}0Sna_n
za+6o5hgFUmSv|`!()@|P`13#ha%2RNo&zO{dtthT*JimO*VFR$7R{;}#n~?7I4y$8
zNCrWGU}%f>7+k^?7ZBt<aX}0BxZ)C=4YeSDUl#<cDj}%!d==K3S7b)82$tbGAUU8R
z%7V05E(ksa9m9!XY(n#t1^E&c!QDn1g1XfbsH1vCY8Mwgbim$<ZRtQ7(+EmNut=7{
z%mC`N7b#v?k<`{?d~YzV(gx<Ml+Ih1+%56a$S<^B2uQb*<XhyD9jFiFw*+S!T-#>N
z>h|FB#7{xyR<O~r<|J#W1}yjw#I&fdW^i7bnDnpL5)$hPZ)6skJAn2#1B>Re;nkN7
zPY;9b9S9vc*fetKFnHSXLt*e=`lZi*;h#jRZ_DApMFHy;-e9Et;bkvx!-HQlL$$wc
zyG#KWK@2MsO}$@Y9z-L^H{1mePz7j{z>2^>s-}(=#lXphK=)Gx2;_1F0?TGaK~kC^
zvT@{E1~#)>N!wq(Mk-)GHCjC^U;){*s-ZZwcrkwJH5m`+CoM5dzB#qHdnS1BRu0T;
z7G{WiYDH4k7YWZ}xdq8){X;4Rj!EtoYf{U=$AQUH1?*RODHW(HQD>^@XN?y0b3IN?
z|ILHob61Xq7qHJ?c>yP&yZin(T>SXt`}}Y08Tv%28Aw^VqR7+b$bjT5m1lfp^u!b(
z<>~s)p4IEpchi<1(sy6{h7Y{^%Yhu%_}m>%i`J3OLS#?vgwlo~$8DGCI~T;uY9(l>
zv$h(Lf&eN`<_D2^K~r)oNPXukMrC<mihWgrE|ukh;q)m*g4V4K>JLbK3=Kq`V~{OP
zxTV{+ZQHhO+qP}nK5g4PZQDL=+t&1V=f=#h%#7T-Vn^(Xta`DYRVI&#B-0IgKwo#)
zw-=%y*U>2Bi@w@U_|ZecEHgPetDK%MUba{U0*Ua1E>~8UtkDiduU6OjEwZKK5oPoQ
zBx}Bm-kAc28Y%*N2&M9EL}j|ZCskc1C@OLkzI!U%DOXZMImO2G_U+)iPT&6nSA+8-
zR^hm|K2_;Y(=znJ!vw3W@uaNE*}YKS0deA23|2W!g_d`w5%XL`f(sIjcbT9XzE%{b
z%x>#B#MOFiZAaLfg?4}Q8t}7c?|TA{2ILp}=O5^;Noe9Gv+&aR;iH5g#b!3N7!~BN
z%g1wxlvPT~gc7FAeH7;j3MQ%tJ{HN^_^z)CXIYYhV77O5@i=Fh@S(CA+W2c!GK?XU
z9&D%7^ISv<Jo*~$kNFQa83i9|usOaK4I51ECFhOYu+b=|$G2jzJIAD_-;jy4#miWe
zH3zz<QTyzqPGnzk2UQ)-wX0Nd+qIju-f;HpNwNXQpZLx3jpm|bc5$+;r}cp}&N{gi
z&(u|Le+;1$7eBkvw+pK9Z;o8>UwPJ%sRz;3I!_^<_jpn!$dOQ3EVaFVu3NMjv>PwS
z`2+szZIe4bv=MMp<5jSibB4<9yzpfqX9O-O?6jZ_<b1$a3yV5P#Q1@z!~`cj45wh-
zLQtkNf^|+M%2Gi+C)>1OwJxy$7<5cnl~GUW;(6aa^c?`k9%Ga)Aa3?T$t65?Ld=Sz
zW2i1BsdUY&R84XY;Uj>ym8<)$F&12TJmZ)I%+y6!0<CLjYMHMJu{8y7Oak&n1>3rj
z?$+%Pfp+z!zRyDV&*acyL9Qc!eZWwmH_SJ?ji=AO?>GB@Qnn=zBuBa|9w^adOT&dr
za2a+c^=t6|U>^7kUub`Xg72-r|MhxZ)`{H7*@uZYx>+kRVJn2OJ>}3&Q>?Lm0B*)*
z0IZY-eA>erJp&VE`mSy4vUgEMn|xu3?-i`W17rm&Ygw`jvI1%6B>t?!uhns8r{;p5
zo6|BdkT?BWinMbF=z?aV=MIxpRg_x8Sm&2I5=j4BBV*V5Zd<3#?p$$22pwLZ;=xJ-
zz(m;NoXP-#i~^o2VRV4giX@p8G{!y>GFNwC{!^J)I7J=jl>G)D<3#<K3uh#KQg@)W
zw1lu+M*n-GP*D?<&pn2kau=F7A}yAF^5BsHd*ne8N&p?KilT1b89HDq&T@AHnb)mU
z2tJ0;Ft>E92F20rQ27b<_#G1G`Yxh}EC6Bi6Y$M!6>C%c?axeY^mhkHuYdj5I!Wa~
z*RD;A>H*(Kyl6)#QKAa+;yp+icq1Vki*R^w9Q3h_BuWbeiPlHSUS@W?AH>aK5M{n?
zJAq^I#<bM;pPe>=>Azv#jp!`YismSTHeefADd+&`Dx{5CZE@E`E3j;!8tgb^IC;Hq
zTn@u6e^#pT<<uWoQKUSdyr0-#by8i885PdFV02Rq`LK2nkD8hwY%W@&(i4<0F~Os>
zCodoM8Eo1ZRd=JUNI<i`=mP!glMhc`iVM$u5GFr#sJl{bEV7ML7NX_fWR?t*<AtNK
zx&y3JxJTs2^)s#!ycuGt{F8k7Wyb%`JVyP8RlOH}K72?d(hF)XvCB*o-ntCZ*3CWD
zvh&lqq*}S~#RR@Pt$9Yb^DT@&)u$N9I3>xbxChjQZNinGUCUq*s$`V=ONGWh!(V!e
zvRzLjIc*5V>rFK*TGo<GU0$M|xltyo1@_n#D2EOVr)`OcMQS8<31!Q!ITi?87*eY3
z6MH)fY-jn(WyOb};Ap9-Wn(aB+;wKH{v|)PY5@C6v6S_#OaQQ&t~?T?1lBZsa+0Dv
z<+CJQCC`~uu(W#eT)j4<Z|nZAr+ZnKf_$n6(<PDF)UyA@&dV9m7wXD7KhO9-8@&%t
zhMuX3v$S=04}|T4f?B$l#8cI##lL#46(%L;ZiccgIWYa4v{iZB<lk^w2UQz2sQE7)
zhg7?i>RFKYT%&e*<K<FUJgTLqE&?*E?elT2Z4*l}p~R#%$V-IZP_CP_baqJoiUui{
z&bF9nUzn&HP4SYn)Y6&t0IvW#qb*A({Sv=~wUmIBwb5rg8>^lXTu0U(pP@EWTfa>H
zz=#xpTaXP4w)7u&T@z>54s6NTc27KsSQbMtX4r$wI5q|W14k*q+=@sl1fik>$_mJg
zbt@$jpxETyK?@oY0u(bmwa;S7OxqmN8!Hg9g;h^41Ect7n^JsTvey4N(2J|eoUb=_
zKSej%p_wZtd&8;0RYCpa<^4UQH2vy3&_Ifu96-V1`qgMBfg}LTn6&vdhLRlmjwl9t
z>TqJWM;%TMw6{(zM&w|P<k{j)sjD$$_zdwLd5+1_*snUA9yb*@Q;gTs!|kO@aj)!6
zcYpB?-hzMb*uMh#f8jp@wd_T#xyhC?Me8;#H;BWkrY{RNY<=!ovE~FnU}NPN2c5Ds
z#~u+Fsf@MI*MPWlE4~QVj3eFUmvBH`H7h5Ian=_PsdqG2*1$vMNC)p7(mxvr%vP|y
z4`8jd@7>KGYiNP=3WGOXHwM~vq}sW?@dC|XmlIur8M_EuR<7Q3rP_Zq{&rm`kM(gM
z1q2N|6XU2^wcT)$)rAav-+(5%w-xe|$EONE-Zm8S^<HfK?HOV71m&0$^lbgW=^`&{
zFMB0LJX7|9$%^;R$h~i}^W3e*Y*yJ<T=*;9xp~IOzX{|+H2C2F@13C_8N3;2egL95
zF3q!9E1)*n1dn7=LjDDFaLsxZJRZnP#LoER=ho*ucOy78VHi81ay2RC^^a8X@;Cv1
zz#w7Oa$DT;^_LBG+qB+u(LD{@sOv!<f?6(7%VrUNk{qWt_56Y|fSula12=BB_|PFZ
ztnxU5sdNA9!FJ?TP(yQe`G&U+!rx;qFx0xkdsf(V1<2~(F*9Ar`O*9WGo_kaKy{-z
zSV;=c{cW2-3-WV)gUO#Oy+090afA8RdhZQ3{_kac`Y|_A{eoxDro(sZW*wtjZP2ac
zQng|Y<ejpZ8kk;~t6V2nFOUu@-Z5pBa1JjaT6ULZAQ;^daIHG-R`HH9+6eKqn98e+
zl_Rav2p#17!I>ME-bLr^b9b<^(LwyQM$Ko>xFhWf%0$JWPKqD=w5)OxkR0W-eBn*d
zK2)91kKZswioe~a4B3q&G3ub-3>2=y=WlWAL6~j(pSYboTP7YuV7SWj4||ftKXE5+
zTzaSNzhAvk1*~TK47`7O@vHxv!>30IUEZs<KD13MHIY=i9i(UN*-9D@VJMRG)NIPM
z*)oM|gK9XJ;j|dqK{@NF>8agMM3o`5*GxrQimqUW+8-`k^Q5c)m@EH%tgru9r9{So
zei;I#PkvU--_T7{3RtX^F42AERU)V!)P-b1%+$Is4#I5&r2j;S0bo~IdJU0LmT;_X
zMZOzc4JfD`n<1KYFtU}ilOO^cJtztYeytES<)t$R3)y&>Y>;Zf@0gw#z8-R*C-5k2
z1p83+ighB{Du{c{P{oL%+#vFAp_-A*y~A395WbW{3krTwQp(B1st&D$$T;hGpt636
zN5%JWTRBE#cyOMSjeCn(5BISB`ru0)r)}JZNT=@gzq3c}9Vr_|2M}CVw-=#-U_<sM
zS0G<kjKBAe)p?7de?O4TE8h8Se|#0r)8pqkv96Ei${^{?y;^7coM+C!1K?^P9xd&3
zz1nB{vL>=)+WNw%)!O7z8u;MMaqVhS-~|mf{2dk!e9e6O1<(tKC5)>)nwsSoSC(3-
zwN&!6y;n2wZkq<7stN8H>=4gbb|jpYd&tD}29AcZvPEw$qmCFPf!X%}M~^J)F;**V
zSjZ&*)W|)GE%v{uBb_Y4flSg6s5-f!#B8c-uVdIw%gssMd)1WSXxC)kAogi_#qSbB
zYf+Pw^|IV9El3B~JT4eaR{cTmWkI-Ki@~2Z$MLbO-_ghN<9S~Y82cTk?(a+cAfM91
z@a26hM?Oc>Y*jzU599jytN#V!@lt)(O&D^C#c#ak6^nN({wSXs4E_}SXujzaXw>vN
zT=^Srs~r8X*i@a%m+rtnmMuG-8<ai_{!~ij$ITb9eUqOl+AsZ}aILlbz2~L=^dO36
ze9mser}^{y{*BTu>rJx{%4K~6y2S@P-T~jn%QmQ_z4fL6m1m=xbFat1nfR@Ul6UFh
zH@;>KQ~I8Tmd)t@eOc)K?LUMPg?ZD7?B(?j+m>1vz}Mhh`C9rPSkwC@Glxrx)zS9b
zB*&&QJw(oFhxdu4!V3f}G>AUm19e6GeyEf!p_=da=UaL%fA0&}8{hr%jHj+>BaI5=
z1bQMp!&@yw@U=nwH$56+U%gJpZb4pJ_-*A??kVkg-fxTK8}CHHr&--=*T8lH{QFMN
zQT7h9sii6AZN@MM_-QjX?kyT5@13R%x)7q*uxR}pAh3MNj4Z@Lopb+{(J;ySIa}5w
zO*d|k3#JYN%01W~5M*Z9uWmV255!f8gNt(oyRW9gP$;2u67(hi_YTKjwK8rLO7N<L
zutWTsQ@%jpD_2JiVgaXvNWjG2OvE1Q*i@FD+E2o8>q&{h2hcwT7*0ykbeEj!Bvi>I
zHy5h==0a*}C&AKaMGD^gkjR$(-v4iV?z}!4VHL9Q;Q1PYW{ecDR6M-Lhghbxe8w-R
z=os@!5ez$)fT#OpyqnBLp+K4Z@Kljq2>g<266!XB5A?G)qb!0it{h0@eLOYE$8|zx
z+|8u5xQ^U53V**g1Qrt7JfDe%7{~V6B-}Pr44fx@&AxVs?>Ey(`g}pz@bkFZ`>^W!
zZ(ehM*A%fSc16wv;=&6P7jP0t8CI^yk`~l4rAz$IvdE{_1>|$7NPvwB89fH+0|=+V
z=#VG!YsmTxqTL{64V^2HZngHm`4k?mK?E*c1H9r8T>4r#hY>wR1O1x3bUI<rEGX$S
zsZZE3TNLr`4uq=?Ns30H&K0RCjzMoxTtL=NDZd&_*R`hQ^Bgl<?%~p(8exJTEKls&
zjdF+Dhh^2C&Jp)3R6h2fXLQ%Zb=ccL!PQ+b5lE>8&hO@(ONY62(RNPs{_9&rdOJ77
z+ELNhDET+@-kUBW_53do+cM0N51QuAAf(Jrh!qcm4xmjT^3?oDg?d3~=gk~aRs!qz
zfo8S)20|1u`__Ajp(rIihJIS>Di*a}r?oa}DXuk;my8GEBD{JcGt^~S9VGkLD6ajW
zreXy(D-hDMv(<K;;2WH><1yY+0J?J)V3@cj28wo3+;X&~_flHR*wX|Z`@X(K2`S%2
zQg!GH7VSFHr$qVMC)xBRM-dI=VEc<n9;+GY<wk;|pev&1OvT={jBHQ*k{WAkMp-ri
zwmK=YmE$O5i+1kY7%H*oj%69@Fh!DtE0nGWR=Mo^MllNd8nf}RGIz{k9!q<7YpV?V
zsJ)25(>voSw$Edm*Iz31=IuqpUf%2Q&+T0v43!(Hk0Z9-BR$M>gd)Io!Dv+Rn;$yJ
zQzT;NB|NNel2J-jK5<liAuL#f?k&{oHo9P3WvcSc%8EX2Q1hOsLkr+q<cMFl+j}Z>
zdp?@T`u=48N<JHpS~2VkDttyc3^p7;u!_RDdHb&7LAhE@q${hL?~#+B=i|n=sFL?_
zP-S15_}b-AowQp$5brh%`jX9s-ONhnH^+Y5RO_{u%~sy~F#p@QJlIXa3i`&zvznq?
zxrjY`cSlu6tL|-k-__Ikh^no3_<iL{D<dy@pf=su!b&RD1>6JN@NP9*lI(0yN2@I?
zM!ih7s6B&it*vncRu-Q|o9IR>t>(!F8yHh<Xb#BWVRlD%**X`Ptg0Nltq$yFLDmX3
z=EPB$9f2=5_TO;(+fq~r@BXcjwM48n{Ym6hRza4XyRDxQZ@rkzjfrs894ksdlY8M?
z3TwX6h$b3FGwn8LRYI|@0YcM#a*zrOoqAzOu_)$z;neF%8Vb3VKU^HJFMp1@j6@wP
zb>~hhg%ZaIivJR!%5t(YFc$JN^eOC8YAWPdX*;%QQO0<vXQb{gRsVU5GCc-HQeKHd
z584+9Ek>rd%N(il$FKO)aah1W?-pU9CFxd!A}LZ5u*tCATF<4<#XT*MNV6189d~Lw
zlWQN(x+Z8%d`cKW=2ry1)PSWSQYqUOyIRpap(;#7G@Xj_NF5T<U>bx9R0cv}>51wM
zBP&5l2#?yWD^5Xxmr-CTELPgLl2lMJ@J!{yS2BIhgQ=u%P%Jg7FMM|C0utK|Aii`^
z(sI*$q^+L0@shs%X^Q`%shBp0mDuH}H-;AqZ*nLU2LGs)?wRK^d<7-EeQKTfs)rhQ
z)tC|njRlC88vv*R&{79|El^DU?gN36kO<9@*MebOuM0hx|NMli$VKRdRHir3g^G%@
zszHdJG952ZneQK)Z=tV`G$Q>+4Te5JE*%*Ga9FdNPp5dA;_#<J#&YxazW3(2mr6~G
ztuhRD-Q6DocI{N`|Cf)I&J6neQCcrON?KA9RFZa?ktpP>q0az#-c<dA`wq{6D|Ngl
zo!p7OT;;=aC!E4BroV<wI;!5Kn*%A&1js~{;zCw9ZSPT4%_5e?+CA-)3jO6W|LIH&
zJx(DqFKz^8$~;?*ne@Kf^L)|npi(0L!bvt3zJO96M>JqI7XmF=23t`$F<esz<rtG3
zFOVU+0FkmM(%xa73E_T6Dc&S9rvN*$&4qx00^0zGeg>bXoGzgR@T-5>8OrMm24lk1
zLC>nMt9)35bB8F>s(d=(w5h|>jaZ^$4JCh}HWhxUR*`q(w&lNZyl@@`>*j*ZrvcTD
zx6Rp-O-wfg`3B$!aPQvN_S>gpsqACGpm(@*V~F<l-)YToT$czIP))pQ{ST>d*L-w?
z4R?!j^P0ho>=J^7!K;cUxXqwi!CM)O<@Er2*MG6rqRlzPFai`o-&T^pDZ&)jHNS4L
zXavyJT@i~OnK*C;I~mJbcmtWasd33H$>m`U-~_8!YY9a1)I$Q|N0ac<dFhMN*p8S%
z8CuV%_Alr>6njdT<*8;*fx6vwFUN}pVoNm1Wm5D!Jb%YK{2EMMX8;Cpfd3E#SG_Pi
z)sY(f0|m~y4prxr7cYnp02f3n@oySuqjX=BuENg}b<fZz`mgWoVjnNiFZEwb*xMMq
zyKC+f0h6;nd$d2Z{IEU=je#Bj2LA{Krh&bMwa9S+(RuKq;GOzK+?ur+#nV77d35Vh
z)ZM)sPnLsNa_QQE5u<aliapPnHt9^ZEO>MgXrw2iJ=bhZWOVshfdh!jYsQ)*u>}v!
z1hHm`C<wzb<TKunv3{@O0RGs}NJQ&SeU;my+b#wPpo5gma0Zo-2{G7|O)ARYd1we3
zMw+N&K}N4{I&ByNW`1^GVm_#%M@s35LTU$?>m@nIWI;VAR1GV9#`SO|NGhcX3NOK7
zCCM#${Glu*3*bI^dVPNR28R0_HW~D?kUr%OQDF!gaFbq)XWygf8ts;$MD;z5)N4ci
z`<^N>wZ1@ytXiW<)su)t_mL{#JQI25u*%R|tjoN3>#+y-nK4$l(t9%RAh$(mE;$9l
z9*B=@9^x0_Ge_){m+}?u&C97>DK|Uf#>r^ZE|u|)TPx?YfGYpzwm>}aH0)xO)6LJY
ztA{(x*HH~GiAX@b?i+p007c}~yl4bM{$S-G3RP}>NiVRZWV9b9F6v6;JzR;cr63$|
zlc4KtKV*O}`4@7fHeu$(?URkS8CQ>AdJx}mwd=*aNwDrl)rvXCF7z|>X39OYv)lM|
z;z;mZg|A#I;k#|W)sHrz8X8}+KGXoBYuCT~NTRf`n7H2g2|B`r?CmojO&_+j<S?(d
z%~9Vt+`}_%r<c38fAcZ_VE>j;6kCVk1oHH2tk4vD;UPhr8*aq7@FvlYkup!g+eUrt
z!00;0<+38o*y7=5#nLda(U+m{)jXV=a}Ojz0W_z^5FWj$3SK4q0AeIawT+{G5BZ{e
z)9+0fv<b>mf4bE^!gX{cY}lXCs(g_`q6vJ<${3o4gF+)o3uh}=Z{@>#36HisaNnA)
z$=Y)bm^YBs{A4ZJenhXr-jfF4A=)hXeV**<skAsxRrJeN|ER?BDi4!)#h6g!>o9ui
zoxXP2FYrpwtwcywWijn3{K3`Y{4K1_E!Ae$T!(g1oW^H<0hOL%S-n)4l69{zL>nFH
zILgoEz?gsfau;%XyMA$!<O=V<#3sCM;eUd<+lxz73#6iLnKL7=O+y(|J`W(!!gkhi
zyGTQl2gEQ_;|9fa*g(@}0h}$U8IClhBm(iR#IT9&P{+{A@dluq3>{I&?SocG>ePcc
zascH5#p>%2<AR{m0B0unr(w`RF9=cuP0T>GB@xV+i8><aASKqvvW11`hq2G-7Jcj{
zNrKPW710d89qtpnW;w(#$Ya)m%=ya5sqKj4fTW8k8U*h+MeF<T1gk^^?<T#*a>M~x
z+qE8Jms}JBtCJv}NMYe04t(9{Z4tP<M@=%n##V9{p8@z0o7`{Or`RJBxw*#C1qu~=
zs+$usy>;RWR@i}~F}~q(vK=Yyv6B>thc8L@j_c7sl$$RCnV_&_2zBE1l?Z|&Aqt<c
zEgU5|Mq<bnHlqv@9#1h6@J2%+HYZ*F2W30+sJAm4@dTSjJ@}MjqCERgVdmJaItOcC
zG!Oz!>K`SD9pxukS$uR(M)9d-?IM<gqqZUKR{6rz&uLVBdUKn26h1Iv&UZiQw^tJ=
zwN$I#hP475P4KZ7dqnFKxr@F;FjqT)Tp?!*Pzq!KVW<ao{GvqumX7&nhk7=xE~D>2
zO(#ZKnrIlL9}O0HJOV919QIO30l9)M5|IqZ1;l4wtb#<+b4kZ3y1MM#R*CHv(`|e_
za7*QnMhYJPt3SG>;0}HCj<}HA>^_WG0n=>5Z0MJLQ@PDM-w!tl@^4k=P65u@{NW>h
zUO<49<csu}vHK^$R6W?rFezPip=Q{2(#-;bVhjpQR>GvjA<jits082{SF(!~WtS2`
z#KJ`eDej=4PY!E|I!^SRt8kn5OpFOKU`UyQyz7Zjlp_5(H^F#uFy5kyGbMZ54kFID
zrk=C^P9U&G-hpRIm=bK*WjaxN2`dG2+k^FX#NBt~rT0XAkixohzA8J{<C5&eYgL<s
zjJ^-y&h;5pJb8d~l{*Wil>3A_jx1DJ2?9LE#LP0qS9F(-F%GQNje;@TxqB=>h&Iu4
zeRv~l+y=JJE{&8iNTv(EI6z!Eo1{vIUI$t?8P$|=LNciZRzF#{Gb-}Dm5HxRiy64B
zRSSB^)o4E-%=ee{?JWQk2*ucoSW35AUQX={86}zqZ*{QwDgo<9w@JFEd_dCn{>@I~
z`~S)$D)$IH;}`zsl?T?6&$)O=>&m}gYg2lC!jC}zQ3s$i{G#RhIe1^*dha>)k*_wq
zn7#A@lyQRrdd-ulxRuM}z{5bynU!sx=Pq|HK~waG3%;W+K}G8J1^h*iK&KW%!Z*0j
zqwEW~uAkgxo`HsJDjn^^@XBrTQpk9Z!RFvDI4c87b1CKqe}6$r<{Y90Ws@d5x>fxj
zpfB-yeQr{yg=pVF)}0E?#mP+eAV<9)=$)73JU3T^9BTNvC^&qp`?1P5tUeB7MkTnp
z5n+-khA0Co7Lf_S4T%U432W5+*a5f3J)H0>lSxjv&GAy^cQrcrO+`>F)VkuOk9yqo
zvpeJ;w!~!0^N-pF<HNi&%j|JYc=V+rykGv-^o|rCTZJl#3iX^J{a#emvLxgx+Dc>y
zb-q@G5ZUQb@n{Dwrdntq882P=xDOA0YD&Qf;PPFPf!ruDq_<RP&9wgHEHb2iMep>U
z4qnQrAH<4daf6T+u@sx3%i)%?y<F2pu9ve5w?=F0qasYPwW-6_GMOvA`OR_h^)iX8
z|KiSPI{&kGk-0AOrEQ68uLiQLuumK^kLUWVmRdC*hN=uq-*U4d!~(@SnghNy3migT
zu-QasLisBWdUL6?0c$G;nyxBNjp?vltkx|Sr3S=r;TY6)sN$F9)4PFDXyVWCC=PKf
zMO_L={R4dpfZe}nlx4IobsN|~d*`W(N`j3n(eSCy=wqlc%d0~fR#)xY+qFO9w8{W%
zu$*~#lk#4PvOD;0)uoidUD1<kcl}#-VD;u?Wyx&y$@Vn1xc|=k5x@C+B)om|W!L@Q
za2rGahc!wHzVr7{)4^n(Een`|zf4@2Szw}Y^p3_?2?!U9lNHbkHRfIM=y8zx2L?0;
zMJLEMWwC&wJwzyIe*(C~>B<5cBGO=QR~r&v6>X{jvfdxVl(0-q#uzQ-WW1M6vPA)r
zNC|(Vz6N3#HlI(A5|xmNX8{)twIGt~`r4QH8m>fH7PWV_V&8M8yi*y~t!>9R)PF)(
zu7LC_LN9N5>%&61ts94CoBQyy(e|?D-nnE9NiXVn#zq1<0II9R5dA=Nyap9x-Uk4e
z$ZoA?GowN>i85=@<SiITn{eG&1GutysLZ@19GPJ$%o=7jGxw~C76R!IlE=lvX=9X>
zcj;A(DKE@*rn^PF^g>4d36q0CFm$Amz&Gf(|3xG3ip|^hVCcafHmad?ciRT}*C)XT
z%^D7Y0m5jGG%(mSm`D$x{-@G=&sxsAn$e)gx0*584-=lLb-_5c<{+MR#fFpkO`bTO
z)vYa_^=Euu)*T$rT4&r8|6*1DRcDqr7=DepU2F$m?|K2K<9Y@lS+4)76S8*o)N#r~
z`4O>}`Y$C&rG-xGVBT8(C}Jg#90l^lBIN%ivA-^_i)pymNTL`Yva8M>hDFht0-OBT
z^K!ND#y;63n;N^uvJUY}p1KQG9S4oXjq)!3%{hxec>(w80|nA*F|?Uu8_d~0EXUg>
z)pogpYm2ro%Ay~e`4-0hL*rLGB1;W?B-MH5lY||MR-_1u3+fcGyFY)dE3M8wIt~8%
zck{~6cfxbaeLmGvfJZ<Qkz0&!Wa<zX5qFsD`4_ZJ473eniWZ6w<Vf8`5W$adDS5X6
z9eLvBBB+zdc)&P+16ZgZS+J#B(Ufy3INYpVEk;WbLD~lsi+XcFS(MI1EHhxC`~)+I
zwOoz7MA&JOb#cJJ?=1K&YgepLUwoW4r$HQCrD_J*Lx2|`)t>|348j%j2m4y>{~e}F
z942O9%X+-!&m%!I9pLxJUIensA?q1SyZk2_b!4MnC&}N}o4wpl)mV{_N%;9bh~8cr
z0ha}k85SP20Uf>^1i8q&mu9Amz&x!L$@m6(hQJV-T0SJ|5Tz1{!4nw1h5UgQv&T#0
zo}JyI|3Z}qleci@dex1Ulyf4iMd}DZ1H)5Mohyk#BQ0h@CgUOKI6JFnl8Px1|Cz~I
z)Njy_a<)Pj0FJd^Sh#6jo+RdF^@2qag-N|&Z1shV%^vVEmuDr@j<BTBWa_Xde7_Jq
zoPNChPj3{`c!pLvIC)P3>=emn8LAHHGBRTHp)(Zl4zA5M$+#OTPmGH~1`x+Q0)y9D
z_XWM*>D}8fMK^&ku)aS5MR_53$rL^uROP8*^&*`(yrLIXGSnb*8IrTRcdsC4_-e$z
zhB+Nk_-r!=U5Ds2;~I)9?hC?rROd$n=Cr-O;D8!h-lNlkO`Ga@ILW%!3{_Ehj6;d7
zSkig2{4BN^U2*tVcM5x{TG)y_5>lzQ*QiG(jpVEK@`K1{xACH=#B*U(H34oH?vtT7
zqDK`#uH+R@v5O0<wN%#)QLn4YUpP~IE&3Pz?c2lW{vrTr5WMVrgH*{s!OG5C6I(@8
zB$i12xZkY@^qX#%n{I?lL-$va4`y&8b>s-2S^qm^{_o&XrhsO&a<G0D`qq*9s~c0;
zX90iCW6G!oeeFb25Nhn}0$7=pxir)0Y+Da-Ar)Cvx>Z@SYA|mbLnl($aLd7Rm*W&o
z+rb*h+z~wEZLEHqK|w5`Ya|l<L}q)?CfFVNG#7jW`z}_kj|*jWHXJ?#?;pa*-IDIH
zi7<Qi&rYHa#-P8iq{Fk#vLJfpKaq!Ki@RoV8xE{JX1%k6anXoNe|#fKvySHXS5S<r
zCwCyo9`>nLYz6?SD=Ha;Y%?o4V3&`UGjG>_MRL5(;WKDoRy6P7es}7BA9&|u%S38(
zwOF*&N!CLeQDP3pCM}a_PqRp=+*{4ysuir9jFK{9p#>XG$=b!K!-wVw$k0us(NLnV
zsp$|>C!)AnzcdvJozPthPl3M+y2S$R-z(f-@w?#^lG%CY<^QVDbV3@DQDL7ZR_hGZ
zS@gtcxaccN+ESDkTfSi}7k(sVY*tDeS&rPK7B4-@AgPLYL25YHG`NvcDt6R$Y%utR
zYmM+wsG(5*YK;?K4tIrooTmsaDVIc5KVaD>KuBEr7mC)J+T($coFri?OGZO4M9kXA
zGwl(0XpO<!juXzgs<>S=kci6MBmCqg@SYS;rOPK3n><E)@uwL>Ead1Tk{7+Gyh``B
zd-oeso^WKU#5oJ6S}WJ(Mz(9DFX_T|bCdimUVWN%NdUP(C{?rXqa0&E_{!G4!LPwB
zH3#S6l@Kv-jbYIT3Iyr{@?qhXz6b6b%ly#n%6x$J@79*`MiX(|CB$9YL5#Dy*~Rx)
z@p$`|9xs2@b^xvRKW9ItUnj)Pi<Ucf5H`>3C^;4hq|KfCLN9!6*mI(lSw!XUxIqdY
zm1w_UM@tADgp~N4&E~x*Hc-OfWz;U3D>drBUEmv22&LKfe9=@LM@ySELJ8J`Ac@v<
zgJ3wZD&54{An1)b)u8|jR)ua%>U&DcAPUC4NdhpPB$vh>F-8zNOB(hBokW+$#9~5<
zq=~IaDGY90ElDh(be3%eDZ2UbgjF6%+sc)OM$}YwMc<>~3&CYwP1%iJ4u{rZ>bOEw
zZ;fFAs?Np~>N#f}v=WYRcY+IUo(@2Mj>48G;yAWF6jXlFzudTRMzaqUR8C>R|8Q_j
zHfXJ;r*N8dWv8AEf5ypqzczv43fYUyE2BZT1N%E0!CETl2J>109GipIRkATrvRf>s
z4NNQHxr@;(!Pqli=W;rkmLX_Lra_38NjtJ^z1Wsd`2lL!yFrQ#FO=n(Yz^72;rkh>
zh)-H&<05|^{GWRp$oVRcScbZ7O{&AR8JP`^??0lx*;lZCJLdS{fboJ47~stNCQ$yd
zEevHuXZ+QR6(76+oyjLc8$P_B0HL5MNSd{4xKAj3fV4H|%M*F{4ZOm&w~8o5Q=9Ma
z<L6WMy_jcmSV~gUcUs4P^?<!@_fMmga(rz4o?gaiHkHZ#oLAB?BkX?XH}6k*XeRGi
zwC%^Y34Sg%Iy2-Lm(cwDV~-acx_^B18_F|`AC40G2)=lv#HDW(nphFo4o!e03f9Jh
zW0v5i&I^r%7*3GH%KDFNW=|Q~bFMJ+K*@Cxa|Z!&;5w)!3C4@B$Ba3GHk~=N&I(8{
zZ_heMSLfejrQ>FTCf&<o5HWH<(~})XmLpdEEbOxX_PRaKci1i<so8au9fTdXo^fC8
zSPa5Ze@dliY>JtdPq0)fcJc;MIr3tI!-Fws(unY`5?5-<ADPSt?@cB^r4L@~uZOe(
zQf%j3>`|vw&z%E0@%E(^zu+q(m%lRV3kfKmzFM-+bA)Dmno#+AJIcj+m2SjXPPXB3
z+m4v~{N%m1=E<=M_qcC91t(_HP+L#u(dpODMXNc%VAeqMa-wZp!(^_*Aj1TO2YPj$
zk|6)9OMhfjBn2l0S;Tu=GGdmg4_;1JE_wo6Re+9~zC}f+79J|dY`vOK1Rn=!quzx$
zT%tH%E#o^+t3;CEEi9xInm;_AORhXAO{vyjZ>_w%PYC8JF4KbL_%Hsn<S5P6!<>_1
z?jNL1+clJ{{iowJoX;grBUn{=ayYR}qTX{xz0>(NBT;)Ag3a#ErvfD-lyfiR+{W;Y
zuxaXwfG*-bfrJKBk$?-aIeZ*K;Ol@M;;03^0*zpw8Idz;IsdPNzzU6`+(A?_cP?r;
zip8DIW35^;8lbCP2)2Whby^qV+WnOYg{1SZUgB{r1RY0^Ce2kpmgB*aOQKFaFnS$(
zhgO{|gjCE`lD7};o~8f|Wl_z+W{#cU^2&0qKgJR`L!r^(RFaoz(UGb_4N;7tPnMC0
z>zUVc>ZYRn<*Pox_=#Ayc)|P#-X5{l`$Fo!-#<ew0PZ7M|0#Xveo%3IIrJS1hMt)f
zV|yvrEr0OZ{&eO9+bzV4Wusuh-~;Z8cn%Kt9iio5XeB^y3agrgj7uiW0+brIbPd0p
ziv^gnm`!a8iw2C)Ze@fYIY=|OKhHcctzn>0kzM{Tn{|CgaQgtk*s-=c7K~u%w4eya
zz><pvgY^9?J*G24I=3+6sH#-bD5){re&fYgN>YOBcm{p@Fni^UU*|UrtNV`;PTgtc
z$JK4joV#UWI4g^>#GlT090+NXB((_x=sT+kTnjyF)B5a7D8>FVj%VJ&6=iZ`UJAvk
z;<W>4XE!2>M5OsD67U0P_96t(A5=`2FHa(Kx?m*vS^qU;-%74Oz{%OrGQT163dwZf
z_%aBY|NSjuuep%Hz8UUQ@`{$anXgUHUA?CGPc+%!<*$;~t6}94g-W@&CEKWJ<QUMr
z3D3;I0LOvtqG&OoNs~VY4%qCfROb*F=2{gwQ_0&Zp_Ywdp0&RQxm10Ydx;pC>F%sC
zP|{dZ`N$JaMgfx})JZp|$3&7;5ijjA2?k(s=}{O$X8V1R|K-Qm7lbV9<i4+%rChd#
z#D$N@qK$Wfw_U4|6K*`KXe(HHs<>o#{<=SrNK937O~6?)CG@(#bt91)vS=Buf={+W
zmcnPx!*QT$mhOxd6Ge<h*VrAHN-uZb$vH(3?QRjv!+-vcj+67B!Z7O71`2}?j;o0&
zDwPc1k?!ZeOSwt-W!^`tT7(Sdq_#{*06b8S3f_9>{JZ-HdoM}xzvIo|=IKKtgSn^w
zX-)!1o?uQtYTSFymE{ew9|pu6g6HEKXe)(7U>PdGQ9xN}AOyj%L=mc)j7s|}7qQ<)
zKMQ{OwccvE@u->nE`mewG{OL?tbOBh%vV7p5n`>38Uh(D(Q6|Bi$tSXjilkUVJl4Q
z=w+pu&!~VFrd7Bg-pL6DN|+YyBoPoU5+D{Gu?BtVIW|hkvDiUvRyqz?n;Ccy^%dM(
z85=v9wls}ylj1}x_2N$|#$2c^zi6x#gnEd3Zoi|G-fN52q5K@=iFRuTUs<XHt1^}-
zg3*a~a2SJZwUMo)DvgQu5o)BjbSmwjZ*7#Y&_7k`f_9Ch8<K6CI3dCO>NHNRAj;1<
z)F3&LvSMYFL1O>_XeId5OtqbF@Pyj?SW``8-|ln=eBTxp_2O*W_s?y<qod!~0EIeB
zN5O|+j<+6H`2|k1C!xB0-hiHmYS^8ID=H4y#5`l$A?lu?7`>W_b{Wua+yMq62r$7{
z6=z|nf+`uJ%eI2}1-ovWp^reU8PHhfg#Q=_6wguPJB3K^)kjUoV5x{Wjpt0D?`w&6
z#!fNAZt*Kkc`_07)=S8(+FMUQ_?^?`LvQ>7=<s1u3g;ih@8MeK7*%(->YW9=^Ymt$
zpK2$Wvwlgt=8vBKkKnyuEsTfi==XR8+Gq#0b0jogpiw2)q;*eGh#ffgk2|!SHvo<V
zmICML+Ixh4=R)$afRMWZwW?Yr>S`E<BA_7)EuhA&Vnyl<MN;!#n@=O1)<OxniFsI#
zM2*dV#Sprv!et;z!$Eccce%Js4QNjpfg;QgrT0&SFXAPVu=Bs!2KJ7Vz<1vdFKoK}
zn2Sveb(8cOqzYv#Rm*|k9VHp242h8Zh#qBuDz<ZYt9*Tkk1B`R&)2~#UO%!<_kgT9
zV26^1n5VOrVU=WvNd*nmCFK@QD&>fkt2d>y$60ALt49yP@D(R(a@85_uN!Kh-<e(O
ztUWg?0iM%K?J@7r=1($C-U9qha9o5`#FsH3xll<AktT#CLXakcHx*;Nb{j5(fFnIn
zb%4oZA3(dHAMLpCeCkw<PZ8hKOJ|i`e2iAb9%0~559x5dA?23OnWc`76{r^N6s<AM
zQzn-4Rj&Oe$bDCk_jE0QM!TV<PXGv^=18t+jvcUcE3Sf$X2y*XQVCIGZo!nfhSA5~
zR&sdQ2AH5KGk-Pxo-k@4_pp0Q7+4bCIiSw>9^k>0W^uL^QPnZlQ{%?Oqk~bnVWw=<
z3thMN-MVz+ZUW`F8|m2nRGu)i;yz$7=k=|P9ImN6tuwkbksa7k39?DWi1*3vo3K74
zxjvEoQPbnYT@K?IDS_ULdZixdbdfMCYJZ^(VyhqM{_yVP@_G>5F7H(WVprQDNH%uz
zb3^inBb|u*f-w$b^c0YBeuhB|fjQLhx(j?0e#@itqE1wb!wNb+?(g!hg~RMxb|<w*
z709Q^$spiSzw4W1fQ)02r6f*UEG-F_4us_enHR*KWP?&gk<YHQ;gL&fiINdM*0YZ4
z963Xjd2`|M$*>+NNNy+34v3S#(Gd9eec+sco9d{)@%RirymjD?O6z-VpoEC+ItDCm
zl{5q_C|}0k;o78jUqaQgkso$Nj^4Goy3ylpZ8UG)61Q2n(btx-eT{B^74hF%if=)S
zhh5E&%x}C-boX6ay%IiRy^Aq!)*b3yDk{}>hfVktd;``6N+FCiAXaZdW7EL#4+eDB
zO0iH{X_uE*bGn3HgKDOpE1SO*!l3a7OxL&Eu6IZD?_;zU8G7b)y3q?zR;gYdDLM78
zYbG6vc1#QhjT>~LA70edH9FGUXuS#6o5Y&*aGUCIo*IX&wQ_m)@G{owVBKf4R(&Xs
zqyq0~@8pGF>a}07`wxn<cuNW40Jvg`y@^G6BjN>rEjIUaIA(O96H`X6cei`J>_4W2
zbO(vUD8XNImyy`fkuv@s-+Y5luP{5G%)Pg9Al$1#b%QfCpV4=8)+3`#C!m8VmO?;g
zf>nn>6yc|9=hPSBp=AM9<jCj1wzZF#pG#m=zyrqfnj0jw4M9QqK>_r_f`?Dp^ul@l
z>O2PbZ2wRLq|<U5bp5U0Vd&a}H;pM!VA}0KhRq!$O^7%;lBKUdl4K;?O~PFwUSYae
z!Q9)dnXs>tK7cbwp3u2ECR5F5V$7v~%3JS@YH_!I1df0;2Riy~4vfUy-GM*t*A8Bb
z(Nz~gJ(hO9|D4S5ep}RIZ8q^_pNX?K=l$v+n0<N8-r4=W-uS)5&*6~0B<gTDY7YHE
z`?3%}?7|h`*@%%%7i78!Ufm$FML=B`U6H02$YnRN%0(Gm9#H{bOA&!IQa<0OD@f~3
zL(&-8!}gqgV_6n^h;~z@348llpN|_PuIJT2V3Jk%BuWaq+P+qPclY7C9md9rX1a{<
z3i(<x&WO&Gjdy({a7lg=xU^^F@RW_!q_G7IqIba;t{IYJDj2CXE}t*ou7{Q~NGS@b
z$HxslcFGscDs6ez^Q&}QEkRoy8xqw5u`S7+y|={!o-)$Sx1o+hoZRv@DgWrBL)S*M
z4Qz+5*YbC|`Ti<zuil^5p5lLA`0j}PPJw^3whTp@h5QKnws|yrZ8n5}@X>^)0z-R7
zCJ25PbiyEOXq@LMT5ved0;tkMS|D#dUAu<xwcwDaf*Iy8a-s=Y`4DLZy!nYtQGCce
z(`cy@%$tO)sMfH8yyetMh>J(Mz+IxZl_rN-Gi4+3T}^bUDv{iB1Wb2Ig?LqqV1)qD
zUN)-yYNLfobu2E-(Exap({RM6Gfr<ALvpHb?V}*7>G5Zqp&f~Nr6}>BJ?>skt9T2_
zHIpG;%*%gzfyLA8S&2WYzTbB~Do*s!Lpf9%YT9ziAZfhmoS(s?ck!5ET1Zg&N;O>F
z87BiIWp0Sp$hvCQwdIpR=DL<4%<Or|AOjQ%d0n4Z7%c#XML6~-sGumd`F_{7CM+Q6
z)|vi)K%3g)Qn0#Rbrlnv?`o*+x6HBxosJ|nNF-aVvd20hr?8U3{}B2m7Yp!h)u~6Y
z8;5@5$ozRXOwvxld*TXT!D;^=n^w3umuDaU1dpX_#BxhRg=zkz9&<N06<*G|<Ojf&
zFt=yc_;YhBnPs;?u!XCvm=ht|ngRBVpG9g+AcTvOODaA4m@pV*B^wc=z31EhA0k{1
z;7EK>f(G6Jah4mMmh9_fJhV1Fj-P?m-n*$t8ol=L>9XzH5rZZU?`KR2S=PA!o+miw
z1b52-k@z1g{9konix&P%+4Ec&U0+a<%%#ALzo|rG%7nG+y{mc8#r2@y!qQi~Z5ll`
z!y&%9QQC9Bh=q0R9~eaGBZF!|zyh`0U@EJ0Qf`d;%&en91{TU2)8q`?m|JW$=tzx5
z12=}-Dg!!XTo{+g)*jg-gUe!J@FamxiDf!^%@SZ^kh!R(t+xvqrqN-kad1}m`3YpO
zuF{L^O~a3LzDiN|oTzNeDT|H?9B0_^p<YF%en)U5H(M9tp(e_V1;l@pTvmW=1mCq<
z265A3){$1Ac-it4@X}m_ZNx!m{ekkNm8qHzh_Fa-K2p$090iV??++;vP}u;#JswK3
z$``zo-rZ`!gv&2GKdt=UKdY%CkwIcQ2b^=)3Ix$ryzi9O<=Dbh=pipSf4OPx60l}L
z=TmCFS&ivsQ`YFH1KJG;5aG3_SgYh^W7R<d$>zR6Q&h@RnH}PPKS`@WXysrkgW_mq
z#e+UX>b4Nmpi3Z0EJY6THrGi|Dr;RHv_4CLqR1VZZ^4r;LXV+MJU`H(p%reOG*yF)
z!CP^NuZ=>zL_#7NrqXll7yD+r)CQ0ouvGTFuX-V3NF&Z;U0vC8OhQ{JMHiG+SmzQ>
zx%2_-Nxqo9?GHd?&#CtP_D!q-z{negT?kC~MNgEO+5T}J+0}C68*Oq$)xB_Pp5QjK
zfU0jVHy8VR)!ZDcM;Q&hM$Kn1T+JTWwF)o)NpAjvkA)1!Qs^fY`qHqyD>ALWJ27dh
z^qm{!ih%24C!SScjpw+wVnt17Q6=Y$)~+s{@@;KZaDQ_iU-O$;GJPq#k^D58waIxI
zBc*A&<UVm~m3%s>GQEZm!#X-|d)uxrXPxj_ZG?umcDzKUt5H2nQo)O!ZVm;PWtXN1
z4)jvONRCGhuL>c%PVVpSB<SFIT5b9Cz*<iZNEakdep}%2R-?-(X5dpjqrfGxajM4c
zl|Shn>EzveOS_ACU#!EZXC02bXL+4Rz>PiK;&|lVBGlXeZqX}_APdlU-!8pHzvy+o
ze7@<vx$~xR5^z7So8LFgZgKl#Qu99rkucPwMiyx|&aT$DTP{@KuqU{3jarr-mZm{(
z6pr@ndG~ZiGYD+1Z=rEQf=j5RXm~~I89q7NrY}oJ=ikQ%EPy;I(Po4Yq{c$01&zD4
zTqhRKp>+@lOhAExwMfMKhMbo{c-6t)DQRs-C^N%22*UZJw{-v;ntR8p2-3CdO824_
z^2NLt8%~p`Ey>YE3`|H-p>0_$9+W0A^pUn1H=UE-?Im&9!<M>QXmqauru!sVKAne`
ztpggZN4+g=4IaOw9<P5$Z+g8lo4i>OPC6VdM#HJ&$w6uXhkWAxnSdyoGx6;tY%BW$
z+WL58w)Z-xRcPWB=3CCp`+cux{z>J3qmB191CR{=^QMT;%l%Nq=jC=R4oTd|%)eh`
zecXQczQ=&Y_gKgyg^360LxI%ex=nbZ$j~Z~j3?lWH8nB_{Kx;e46FccuW8JLU~oH0
zD+0)MTq#RzV0<c&o|7_J@iDuy4uaj~1BIlFQXp^6VjSjNZrvF_HW`t8sLVQE5DY~J
zS<NCR;mb=<T*c297h<=cA2e%0%{P;~B7g^>ygs=Rx3+gdwnRW^Epq`>IG;<eb@2}A
zwfI1P{BqcthG~@O2D@Rb>*oUgYxU=bp=3~W4QLw(_=;iz+V|6&uu9ac8hpEul6HAx
z8qi0`rlpS{7wvCqbbZk-tz;}mgayq1F6I+kjZh2$!L9qXlPDbpGfZlJ;0)VDY_mwX
z)H41GZ#$IhXczo_#xCg*IR%Nj)(NroVj5}CHZuLZ^l}fY*G`7%(ApX9)xNxXn>MW0
zLatqP`gKBb=G}+7K#b5@$c`%q?X^oUL|Y>6lop{)ul}AP`#F~R{?A^)kNNuC%0XTK
zvw!CsUTt(Co`M2{x7iWzSOh0Fs%#(#4~sVr%CAv(Ou4i=<RDEFev4J7AAMdpm_L7-
z>iE$(fzvpojSKPD7{CvP>BKJ9Z;Go*qXZv1-5DH1i1>FFJh8q9<#<l@HKwp$o&#=?
zZh8Ne4zf(-L5YwETpIV!%s18bUGc1zRGO+hs-2S@(+M_<mLQyQ^(u8_&hRK6uth2$
zFSZ%W0^D+4ZMcAENf(pR+h?Nc-uXWjawJH6>lltGHz@j4#VF^K$8p`i#3?iD0FAD<
z>X*OQ{9fJxYN-nOkDcSpVsh7i7UqrHn)!4jScCbHEe(}HkQTkf6b&X8TLttM9ibrh
zOHdu;=U_Dx^irUI4FTd%3$Owx=0psuC63O5v}Jysuos4;4_UKOD|n)J!Gu+16};9S
zDk^@v=NR!_$UF@-BCXVl?_K(@;JS&aJP^p<{}xinr~ku8W2-#8R}NQsf&r)y@mA0+
zA0nY8FH9CxQD#|1!R+qq{4=W@2MlE=$D1o=McvBEl(~Vas(`QtQu_3G9?3f>?lM(U
zMgE^(m0`BN&!zr$Y)bs`efQ_3<PTF3@Kg*h+LaFPIxqlfW{$B!`R}w9qD+TZa68mO
z22FH@Nr4z$w0z(b3wA-SKlD(d@jv|LFHqR2%X&{s#gGoeXSF<`Dyv0zZuEBrvEW1{
zO5~f*C%PJHpvns<nbsI{fS&3W+&(7RlVw4v%Dq<}y0UOCsc2d&t781mKs`He?FX*I
z?9M&~E7V~xRYc#hk_=*sN|pGL^v@(Y_-&~j+N~9~M%Lk%PB`IWlEBnh^X{r>n`s5S
z@L<0oho?#y?_HTyJLjU4b7q=lTX_qTbLo*46YbA*-q%j=&#-Zt+kH7qFa&%sD3hEa
z3QYp-6@><Y_J(4;Kx;FxMj*LfHZ84KE|VN0aMVaV*4*#DA7~iJFr`bhV$OhHcdH@l
zMQSMDIN6CGJcBu7b>FyRZDq}iVs>@utI#VN?Iwt|&GVZIL2&M;Aq*MK7A6C$bNXh{
z+!32>%gcWB`uohvi!bno!^dwQ?9Z+^ep62gyOV{KaGY}yyOV*GaBB}nZuwvG&Eb)A
z9K*22CsCK+vnY{WKr{vI0xVJs;VH`U;V=loY)PcPs47L>YVWV?^>;T5rsZ4I;Yc=u
znHBf8{uE~|sU!)#!p-uGfqOw({l%VgH`qT>&;4HLH}*afLUAUppqKX=i$7vMnE$J5
z9e;V-Sz~^TRI2FP4F&yy{(x}D$_%m?NA%7#RtGbV9IjtIhA7d66%7*b7P#IxlMNKA
z_mP56kc*W<_pw6ftZh3F6#5<Lz4GG(z(GUu?(Od%JT523(1<IKPg%TlEEY=|MEh44
zu9fh5PkuX0t{pwkr#nVNKcwQNTdbwxCsQBlWSk9;PhGe~XDDqYdha{k{pN3Ag4nw;
zZSg#uT%a&GJ7m|mH}hsS)<+w**KP2{9p5;)rZbPuf^jxcTw3Fc0KRSTq45D?x?@Z_
z6Jh~uYz?Fktl_7Ok#D2Gn%nl+XlT0<EUy_WI#OjKc^)ZaLFSVPpIAqWPS2lX#O9a3
zIw~o&V*O0oT)8QXUAVOhUA*VCEnr%;U4Aw%(`0q842PE5Q$bVakou>Bao)nn$tB02
zl9KzXl{XGIv%~ny)?)@-67=kfdl$FupfoXHYt#LXZC;9`fq6fWPvm!MywmOLYu=9d
zADwqz&-+lV0z2Vj6Z6xRGu*oSMPu4<-vO=18L%SKK|7;|p*CvKu$pexD7pnF8M0&O
z!$xq}EclM2w(#afh$U<f%EY!Xk>OB<jgwOjmN2oDIs6g|-Q=u80rs#eoP37ung-na
zSc48NR&%qyL!g5^(|i$s>!99qI>w-}f!D##0^_ks%W4EzW?jCrF&%nR_3<5Q11D;d
z3waKbuedk?fHHFv|A(k^jE<}c+jVSvV%xTDXJXr)IO$BR2`8A?wr$(CZ6~MS?_1}b
ze^q<!?*7qh)vjI7{ahDMlpS_g+zUEmgdoafqbUl7>glUgw;9x@TfS2M7LJ?#!Gg-v
z6~W84h05xKACOrhIVG6p-j4kk1^*}Sh!ERd?kSYH+k{gD-!M&n6$$UP$IKC#_g#Aq
zE#5*H(|hm@nKI2N<zX*{lf^PoshhpZu#VVT4ga?^I*i}!sWq5So)X8oTE;)1nIN=9
z95*Xqpt<u(Bfe`Pgi*7Y7<}$5H51j*u24~nFV+NIkGMg^-x#yVK1_utop+G<`E61&
zwFw@JRqo?Rpi#ASo}nS#s_d}K^Q=O#ciCbLnao1>@bBU&A+;1F3gfc?Wagr^2+XBs
zYUsT0xlSfyOl8}87Jr~(qarPA8EHvIehK%(wr!4azO-KVi=n`P@dByc_d#QS?nt4w
zI;WomFb9|~w=67%tcve+vJT)$6X9Ka054gQ1t0fN)n;$9t_M4<sq#(~?r%l;<r*S9
zpC%P8ZwqOGGqu>`B>3qgbQNb<NWr0FMSKy0#oIpr@N+{r%Sc9Gi`zmbiYhJ$R;Q^x
zv3Jc<4mb9r?)=BCoeU~E%Yq07%M9cn2AUTX9=kArTyFl@c3W)$AufMj%EHBQ6wR+c
z0ee6X+>J>o1Cq8(a}MxNvS=!Zp)kYqO%=qEoFSK_4^)Pf=)#AeNNFf+GQ~;|cO7aa
zNAMc_!6ypbbE>iaV>s=XzwJD`{`h$QN|V0TS7wC($4t;KNCWW+gV0Y&ZA@K=Qq3tO
zrXz+>;lm?iW=ihqM|-We7}QIkwaOwXavdu0KNG%3V9uOR!0Kfwx>wh@d9pB(eV0FO
zDCo-5q%o2~rrXo7Jt+S<N7@SU3T@9==Yn;###pppLabkxL;`I+>N;9eK>yxwkg|8r
zF{=&og2oCpA`W-cL~E}}A(rjZu3v%LQ}4W5ecxb~qqALzYq5Ev1?tmVk-ip<auzY8
zTAnI3*JO@3>M;6QebuSyfeK&jida(!mcpYrO4-y;?46{)^BNql0L!tP6+xMnQYZI^
zqY<^LC9cqxoa*501(QAa1TSJg&4`~OSE>)0pB9q3Q4~aPH!F#VTwiGW(TS8?B%Skh
zs|c4EX5ycsxJ}?`qa-5pC8UH&Cl5*MGciRk>^K|7!{3vElK9sCfP3_>n|4a(i7Vk+
zxJS9r)fCI1Qdla=l{_30Z(P4lVakO82z3ZX?o4B>j=BUi{xRk#P2p1g9H`&M{Ewwx
zV&kP+@C5L)l~0_GYaSw6s!G_s3^Ej{F#18Vwc7FCYmmt4O$Ed#nK3yh)_;LgJIxZg
zEBiT*f4UD1a)3pwUUYZb5!Mwqf&s*#vx5ZY2;LQFA;CB3`U7j;WZKFTu~*_T#9%V@
z(ZyBi&*m(~MX}H`+B%c_09pYIh10v4@+XpEqbeU@0@kWtrgTAsJ4d0=lsO4LX%JP}
zoQ*Ti9*Ijf=Ot(DXX^ewyc!<3SW-n$0bU~Kp@(WV_+m<)!uB$bMZo^_XKTPCIt!!d
zw(RiGE1!+L%lNu3LZM3cut!?{IiN5tJ+f($M>_~N9ElfNJ4JJT?&USIo#HCL<e#~v
z>FnNuo11>kdjBkXOfZ;Ar4+mQ0RS4~AjHpNz0xi`t@@YxE(SrqqyK)-Xojx%$0Or6
z3X{hcM~yCoqjGZtWE`69_HOvWui2d4pl3y<beymQPL;&KyXqGnW%k7=n&d~FE^ijy
zB_6kHO@}%6{(fL!nTeDAlqND>lB4v;txTs~RK)J+QC`7sTrSp<Lbf0G12^>98)<e*
zSE$nwf*aVKFDBBH6!95!5kTO9tIUBnu*3DOIi>r(#ZPPtlINS|Y0}CNl^9>AUSpLD
zMw*SO!wawURI=zOkZpx0^IBnArRpMsw0uXUzl%o6rXm#_LM%W9<peN~e`XTxFw@n_
z!XA7aqnwopWBO}L;rw^mrQMm)+51$zU&dud?1<O@Yb9O4FL>z5>t`tCNOI=>{K4;<
z3pSdu+9fa{I#~U&CiIl??KSfNwApg|<K-|;!q$Xu_ekrk$ENRNj8d6jLZP;?sD^Ni
z-Qo>F(TeSO)L+*YX+9w;>kX1RV)mx6Nv?L0*yPuS4sM_fWS~pBgV}|VMpT6->-H@j
zMEAo>rO292h{bULx88&DOAedl<rT`t-C%R|d=P)Bt?itX8;frORf<+d@ALfz2a}eM
zW-2dx98f3TnG#gwI$cqE8HFLd?fH~^jFtWg2s&??r3h?1bhF(K?UxwxgY6d<TR#~%
z@o=0A0S(X0CQIMT{#RTb=Ph$|ik~#H)f&{RrQJz$=)R6i=8bdf)Rr#Lh0AB_vZoB3
zya>s%aekOyga014gOYob32lCS3;8&L+v%pKgdpOG2!n;>JKq#D0;pYG&8|D29BTq|
zp(X4S_NIQ5Q!XxFWjP$N&KFm2+OvtVn;<BY6363kL`O2?1SjYG9taoClOQHxTfmT3
zfJ2mqMW8i`6c>(A&pQ5m-Fkjs;`OQYyW%N!+}0N3u&i$1daP`G);a(5+d8g<te<1M
zB8MUzQ%KjUNZty~M4mVldu)>Fq&-Kz(#-U44zlt~j*Feke_};^(Mv}GEu_MoQqNh`
zwJiKQ=Z7erdf@9tdQtIk^;XhKQuvH*@WLf@U<g4j(P(*oYOm~3uX<c{++(if*sYi7
zlSC7zh?4U9I4wI3wXS4b|GY8cL1LBKVN&=I`x>i#YHzY*x$QY2i%dOJ$g8`q2$ObS
zT0bYYjnB8glZ?oGwJfV7wJD6|KDsZ9>;|$YkjD*36K)*BY#Q4`XH>qJslWF5OVjH7
zw}F$lWP>0Sror}TJ+V%J?=))EQ2*|*i_&KO$f&x<dA<s0FuZI_O3iR&FB{Te!-akH
z5)hSo)`vUE({T@klk((Vp|CcRAF=h*P9{*KKW*$Sehj`K3O&BiFIjr0DKb~H*PxeU
z%)0@d)Tv{L1M6|lH^Mw+iXuhorCszDv{s(Rp0K1kBNR^ssnLiO?rEx^O(29>Fic%{
z=J&6$QS;BD&d(|C#P}@eC#Vc6j0R+SB^s^wPikmXZQp*rDZL=RzZ-+Qbwsa&;BmV0
zA+qeFFw&B*n=k5MN0V5*BMs$X6(l(Kf_bho%uZ~;u&yxyh+jk-J-qM`UWd@oijPe9
z0PPOQB?P{*>|@%*1b{o=6>25~1Il$cNEV(39u6W%!&;{>P+2SLl%FbDB)YX2k<W4^
zs}>TKR_O;0;5~n`*4Yqi3%I)u4}9DZQH&wpQ01nmWB{XjXf+0t2EdRectQaP_QeI1
zq$sF+#CA^Sl&{Z>B8+3kjcShM;_)+w)T8^Y1)e^^_m&y1o=~VT)NDzl-^*jeG42PG
z3lbak?U_hKF?PdcZ;YyY$g}77%N$P_P~Y3St>io2{<U%(OR2+!<4JA6kq~D;#?rnm
z@#w(mXIXJ~JyT$J>kB=o;`5hhHj;*FY^deZC<Cu|t(}jUs@I9|b(V88e$z2rBtFt8
zBR;c0v+qZH0GaTQW%;w#+@B?5Rx8`C#@~2^n=@3hk0QT7iufvL^`w2-;Uku>=lq74
zzIuf4ps52L@T>F0&sqDarM$GO?XX}%AkR8H6g8v1ciuI;3j_UGzN$jT%{$Rj4JV|m
z)sm78X#Q*y<iN#%l|9Ice2_wGXTRkx&=o1I(GGJ2`sioBZ0oXtI701oGJ|7Gb?=l?
zW&7*iv;#1(PNL5jC)uca7oE_2KdC;lyT9s(Rk2d>2RF#?pp59}HwvU`#;#0tN9>9F
zg?m3;97{)0CRl0}Y!C5!Lr~%m$)y#w?VfB^gG5j+ne3YiWVP*9uBg+(D-WCZ7c|ED
z+9A^FO8T84sbBu~cDPR3gSZ=6z5Zk=ruP^D8$V%bIpDjTf$ilr2UXHfn60Vkbk(AP
zrvo9j4m_IRd?mBC?Uw(CrVUBS)fR)&c9gA3vYExan#)fkG;3oVtpCX?#n7&!y^l4A
z7=?S{TTWNa*7I<0eaUYg^Nz=`hUoR`t(pI$E=F(fURspN$VWu(aAxe*QGt9nW$T+1
zc^0r^*~IQut;UDktk#w4=(?8VxpY4$f1a~=hdL(K@eq9d<(iFdw&b)Q|L)qvz6lo5
z;aOy=46ORBGb(|O8)Jy$COFbtl&nq6qa<x_LOk0tQ$(giKne5Bizz>pR)?R8tC|EC
zL80QI-;hv04?!qY64$p2>$IX`_?;DBrN9-63Th_MdP&HDzL(x&P`kq?Oh1-NEh<rm
zxAUNTe=`<IxMp|smZ4we6)JPSUVj;O>?V`*!p@IX`PyZ=GU+B?qN_&&4-q)AXY5qZ
za1La{1lMAC*DF~rRUAB)Wg_{%_iP*=sD#+OL35;$qPBvIo`%TB8&LS;IW5_;;2XKR
zD81#3`+L&Cu%@3>)O<`6hP=b1to&+3ep*jHykrFl|NG^O=Ff;6ZBp)-l(k(iP$Kg9
zdif{4oV-{_{CH99cjXfaZ}ta@2&<6z#suf7!zaqF`U(TrHW9-2IzMWn`5w1Vcvf4B
zVFg!?sl_u^^51Ce=ETOlyeNeQCKbAvHEU>mqe0&`o5;b<v*;-&3|&vl3UCP~dI)9T
z$a`Q)uSPd}HlJbGbDV9?sbC*(KMMQ~(sP}yL;dvSs-iq{5T#_=FyI?$IU{Ot^XPvs
z#9e<Hy}A^5=y`ixpHyRZ^?X&VZ$sg+y@|<$IB}mV^F2)>`LH;`Fh@W>KXEw|n3q<S
z$!f))=w1oD+ih~AXuvNqM3!qo_$iM<0`ZKNt0rS-+ObY!&0V{Q-}iiZ4Y{-p$EwuC
zq0Vy}tr+PGX5meBJfpdtiL#Pmy`YudzZgAB1liO_$8@up8ll4pkrBv9TDGM>;vwn&
zn#jzcL#~o9R;21j3b>@Tky^HD0jPck<3fpX(8_8|eyLlUgeM7W=0MgU|8TtY-u@0H
zj8p2G{vOH#7TS4_#5aO$1G!45(SN!>%u|}@A&F4un~Uj#*s9PFT~r(RuOb~T(yhX=
z2n!nK`#K5dGcXI%>Q~P+9PA&eNH33Hy7}ulI(Qa6mNzsud{7?Jt)IT6(<%mJ=9$#M
z;>U>U{$7#@-+Pb=yOZ@Pj`OH_rfV3$)Y6({qLa09dd)pML-tz|^53HxR!0GYe0x^L
z$uz;bKWCVMod=id&pyENei18d`aP^`n<5KI5S3U5w?_Imzv|wb<|WoQMjJBdkw_Mq
zHoW7m0$|o#0T9OYa~7ts80mVP4W#wCls>?ZD5+akZ2p=fNiJ&+1Uq{goOdiS5Wj5U
zr2R%2lU^P{a=t{;LS1}p@4JFs-=1(@R3@>~p*l~|Y;Fv8&f%w1DxnxmxGaz#SX3NC
z_?4oT6i*AJk?GCKdck}1(bQ`}roZQE{JMT$eg57gieitQ8{tWqPCIQPC^@CLZ&PFU
z%nFkUIR+FuBD0Osw|JQFGQ77D<FfsfE?N`~YqQEkDd=<U<+qvmSO4Egq=YF)x`ni6
zxlB~-58O0lJR+4WVhcL?LE|5Mfch`SpKG^&Ft7{p>3!0RoJ3GsYgQ2d8qW$fQuF_%
ze|Rz4uk#q>ZU#;^@L$@U6qNN#`~Q;vkZ(OO4wxAw4s$?!5~aN%PrIHvs)1(Duubr7
zNiHC~;qa7G7k$Co4VAt22a<J!-XBvEL5a1CunX$7xL{yh1m4kHjo0dr`5Lr6<)QX?
zpN)JSD9Uvk*6AWqdlPaKJ}&YdYBL~J&K%I^LU$31-MPnZkADQM)Dt(5(sJ72KcFd2
zzMZRtVmHS`(ZL({{NnH<S7F7EL`D{v*d-Z$bP`jPo?#<uES;AGIhG9N&%9CZ@Jy^H
z-f9N}Cn;6&x~(G!g*WEDxjg;oiPH)#pY$5>;O%<KTa?FS!p)Fo{*4_2A?3A+a!}kE
z{aQXrd(}mqmpx&y5==jZ&(Ag7YdU64eq{}w_yf#|10^uvSvUKOZF)G62<X17P9#90
zV{VmEfeEw+1*<Vy)WeU>N*)_}II)|zle3qgR%pO!V3aqP-L;ZlI{}fLT=E%9!N?^5
z2>nzI^szV4@lCUn<|1ZKp+=eU!~1~&K)4!fmOM0!B)yR<Agj1TC;`*Id#P9`_*$vx
zVMX?ZQ9o;#*`p$A+@s(u7c9x-fEP^jQj6oQwHCIoO1m`KzXpH88{!mHA^yhp2^Caf
z{b8+S`LdmsvqM5cT~q8XUOAoO$=kTb|M~$4D^>aucNC$VyML|Yd6W<vVV~>6e~eV1
z?fjH-_<SY`Zz$_x@*9^^{xQ)rLmz}cOqfZbtZVS6Vl2`YB2s}^TibwrCG9nM&<?_O
zy#0=N$yUOlENOGGiJ9C@;R}B4?yDqZ><)~icr+N3yDdXaE5);xdA$9sSiX$DA4=Mr
zhpW!4b3h{5TsYa8VV3V@s4{o1d&<r$?r-W*$C>rz4p?f0mA0G>1^*CbOTALq@anvG
zP+hdBM?W7QNkI{#yP=2KE2n`e!~1%`_xkpoP{<Hol{vV%?;m<<C`iZkH8~Aw9XF#{
zqe1KT#AkMFYx1CLOsdLQbg8f<i&;wSg}R_aASDx5lc-KS#xg8ES9B4n#tuhGbvew1
z1QtFh8Tu@vBgY<5PL;_c22a{X8DNozOIYlsA=JoHfg0GDtPSz1s})kf&=yTj45kj>
zLH0^2^_a?gqe4h4$ls&d#>5&_JH7I8U7hJS;TrIaD#YI9>+u5g2r*=6QJ~wp4r1{C
ziYRG;@@$|dgk|aoCSzZ<w`C>#fHNa=zf@0e-Q)GlNDx0fZMdat*$Q)nN*A~!<1NDG
zm)9`<pYnm>W7_(%zgB@<L%?(<r=@G}h=|K*V2b6Y_O&qkn@R{~uV~Lo?QE_CaS>42
z^@%$}rR^*;7NYY<F$3O&+&K&)T6sUvqZq3Ijt(%kEhbV&vJCjIXaL}J;!_SWMp0is
zkjFd%oTNyCh5+=lHPe8f%VW<+!g~F?&O}B#K@pRKCRc@|Iy73Dyw}i5j$59#K!S`*
zImu-xg;K#7kyu^?>7^{z$fnJJb*UbpJo&3NjdDTW`=fsrVzz9kfE3T@52>H3LOvI4
zj`3>Hva9Ox4tRM>N`q7+?kQlHQXbZg#tv)@EAC7_vGvN?)g4()nvAoGL)3M1-{y?)
z+v;&X;8KkYYc^vR_b#;(d<@0juc3WuVku(ji)9R@g^>B6!Rph?VD*obEwFf`^ZpO<
zb=Dd<6&hi#-pehIXhR)C5m<bJ(s_S}sZC=o5QU|7`xdQW$ZSs<EU`F8@kFRtKh=)5
zKD(VG=>gJ2F30JIzTe@AUb3+Bt#vFx`AD}Z!NFN;)ok29f;nbZ8RzjC!~a33Wlr#b
zWWfz{#Iak#C_{jTLW95nMq+5`1vLwfoJ8bTL~}6!fk*kII@QvXyMRF0G|M>Ba>`$(
z5;jQK>~p-tce8qxEBPp9Fgt)9vPK8tXvNtMX_)`oFm!WDaf&WDx59A%XkabmWv#sa
zSbmNvHZlnnscqzvn5?yv9Lua~EZ+%eU>GtKJRxS#>@N54GKy0a&$@ag6|nA=FBQOG
ziO&pKH4LxORRJRZlps$9N{l5zESh!+oSwCdI2UQ#%w_pl<B5$Jh3=~B)#swKzM53m
z$*s>PT1p@fntsD81}tGh{g$Y`&ulM$0OzA93qeEY@2AFM-vOS^hNnzmP59|^&G6$7
zS2q<JbDMIxpMte8<Qjj`uOFDzCdbg27Q?{bgrKn~r@KE#d@^eKnNOA@%xjN$axeQ{
zg^k}81k?`VRS*Cgp9GAKpagm+CF_V^ap^9j=914E-Ko@g>x`DVdS23>^qI`SJg_Pn
zm}K?t%stAd(Ds~TlAjoUVWI@VsYw~AqA`ET5pv>ulBB!9(#@W!o4D%Fp?%DB(Op9*
zSz3BeP&|h*oC>|5pWa+^znf+9CgnzKG}?5U@;3hJs#CV=F1vlEe8&%6D5bJ|i@lim
z8FVmB6Rs;`Kw+6uZP$=Oy6jFf1P44P*C=-7Jvy3W`7bn+aO5_zSYYbE^WJq7=kJ&5
z&S31rJ9JBiRRf|Q*%zo|D`m03ypW&C&VJstHw*P6-5r~MjaZCe7a)aaX0@b$V-<`r
z*#=K|8JDZ=+1sBh76f&4S7WF%Vcouy9}Z-6L2w8ktD=3Mb0nJKoFzAGMB|>Dn7xW1
z>Mf)tPBwbjHLmg8MeKJR_{MIYj)WD_%__LRW4A1}R=I?@W4gHa-ehNa)_Iz7E;0#y
zKmEn{_qLIE{p)oig1H9OEUwuA<a2+WIr(13yw7*nAPz}2)V(Z~iG|o5&H4a{ZOS-1
zo!L9=yE>TFZO~)>ex2R^><qZ-ju?yBie6Ix%NRh+6Y__pS=?-cHXVZ}1)+mmdfWPI
z-hnAvlXEc@XP8HnS31`rd<JF%No-;}C=Cv90xFRZ|2qAXCBvrWaScXP+G_nFU7@ka
z!kCe3yb;kM60^QkvL6P4>5`ULN(Y)E!{*`8Mn2%@)$QXwM=Y*fqraG0bX&0ub|h+n
z-^2F-(cbr~(EIiZdbZoH+B!3^G=O)G2*m$7yU`d}ar5;Wky_3F`(c(sLRP8MBw0|-
z+4@=st)4Ud*QC4YoCxYovi-}sGwmBzBQ-HcKOtMjP|80`_%9qSX*v@m#BSUp!KK||
z=RA%4#f_T%vHHpqaAD|F%6vQ}`pWpev5X19masG?t(3POi6lFxC%YFuW-2OMbaX7Q
z=j0<FToHjcSMNN+KBZ^(Y}Ton)25TU4hF537_!WSWT~T;`A(I8A2p;ruV9FzkcO&z
zG_>W!c7F(#?Gb*JbL-<WEJ9*}qXk-UZ4rGJ*A&?2y(YQVt*|u=ut1c@SA<>=;I8@q
z_Pccky_!wt)tyF8ej@?nP%(7exn{C(4ntY27z((Zxg7C%2C7cJQfgI5op<v6a&kv^
zYsoAB%G+D@HV=WO`ttU4y-lvq6Q<AF?pNb#ugOX-!J%hY1m2L=i!(iBv0^v@B+CBB
zo;d`N3ud#%=y<;<naqZ^9|-c(laQV@TcJO<02wt{1uX8ptoBGb#<gl*61ZyE^g~H%
zDYnc@B_jE2*km~t$EZPy+J&%Uj%H)3d(vsSYSo%23wFH@Q-Cy!9}!7w$`(yVTBf~%
z*0+AykKzS24;H`R#*_WsZga#!VT<huPHftC4!nXmIg<QD*4qDSO<-L(+0_`C_r@Y(
zO<+X}<BZB9B4U|w|LmFoN5E2tw@fP?y3+U5j4YnNH#~Og4Jw<IFV{r{=z~^Xoswh-
zbdaq*%vm)8`&!1*y^6aZBEI*?y1DKY*E~<GIL<{OK+`?>nKVTe{^)vB6g^5F&D|o5
zq*@r+A&w`%N3KH#8ysYUIG#UJsm)^a&fRwCF|sFO(y7k27`gEW<BPCqlSoVK1AJ~6
z@*1Lh@G4{~i7{i~C2iSDg5%uz_m6YWzzh&#1J=73yr>M(^jH54%gQ)~$%WD~s@CN)
zww{6rqRX5dY^5hqH*WhjL(vsWoAl)sJ@`$kEN?&Nen0}592qdeo`l8bk>m-!c~#@z
z9PMfi&IG@<xbdn<+|`h&hg%E$9w{!9>XfQke-0P!T++N-xQa$*p3QDgsd1d*^KR&!
z7@b)jU^OmTArVwjx~+VT2fU&0`vq$W+H~}c&HS7<%V|hZ|9JjP7sD|Q5W(3{g*%u5
z?G!QNN{aPLD@+QG$LBTDfi8(K)?hL3mqb__b%@as)(JO@#hs_}i5x+VDhj>NrP*x=
zooYUg?<wYZLPw1s<BI#Wy>rATcx<|jQX9C$%ztWxi6}qI(#54*mEI0q_4bZ&-E#Dx
zXooNzj(!fNBK^)@6V5fW)E!;8)nh3FK2qIY<4=Mi%A~iVG6<Icd#36{1@9P-;<`$<
zp42Ro!q}i%OY`KgRWstyy{n+QjZA?m_}d?LmWe=HK*QR+U8*c)RW;IXvJa|gr4d4L
zlymxPvM}+lr^JLNR=$h<%y8=1S8I3qFQan0kCV{~Aux0@m7t}n6OB24qzCAF-e7~I
zTcbKM`*VB2=BsuaFf^+@QM@A$pV9!8K83RYY1H(x3!$XTw)Grf;r)$~M3hG4Z1kE|
z-mBC<EO@a|n*Fh8=<n}IA4KH!df68WXx}euTJW<#P$hNeenVJJ?I3L;g>Z$%y*MIg
zF3iDgxL`Ym_(DQa*L9|G6{s_M>f@qYk#w$_?OaV6rDO6H)0JcLZ5JQ6(T^E{^+mW+
z<J7#-<uE)#3stI&r?|Ej9H=<yK7vAc#~xNUho^3zg2owOs#9Jq`p+nf*X-pA6)?3U
z^s1<q?C5tpm`9V=`~AW6G2r+m#R6|5*3plC)p(8-X~}D84g1`gC<E#<bEe{CY|svm
zmE0h<t7!O)Qc&dFE3k8wAQ@KA0DIPvSMcfP_d@vlr^(cX19<k&2hj@ZAHL`tAW<}!
z!fp|{$(eBE5EQ}J0QiPDwNV01oXrIoS*UGDt-$EAoO%4A$mq+D@e5)2n12v86XVIR
zdnD8bK<8aMW-&|r6)t#Dx~nZzx9>HF&x*)dq_$E;$U!w(kFX*7AZD~}LVYzNQqmM7
zQbuKKe>CjLPXnq)-+BvR@_hr>9v%)x)>iY`vYmnnW*uv(k3&`ecn7gBmBvY&!*bda
zhMxX{aL4^B<g`GCZJX37Z>D3%fD!w}ID_L}ppRHgaiY(C&tS<i+l*6v{5h=jtXs-!
zAMP@Y@lr*d-WTbm>f)X{lCtNk7jc0(GXidPr-a*P*|Zw*o-})6s3mOi>oFn+^im&|
zljD)`ff|M)EgPw)=CsTcbk)DZNMw|J9W}h-_cIv*GUI<2!5(jc8u(x`R8&{%rXAw4
zG1+JY|2-!={%mJ{C~nhF9AC(wvyZyWDZ?;rV19KA(oS?g(}1eUvw`|WH<`|tgzZUh
zv!(Ye^lUF)mtBjis<`=DDPXWV;OsnjU0zOs!C*HY_p!ad$E&t*z5nc_!5Q3<<h<1k
z9%(Tb9MmS%qbBb3U|b;m!e<3c#V3?u$BJqg>t~`Fz4k69xAPo@J+6Af#IKP3{a`wF
z*aikY`8<k$VN{hgTe137zp`a?U`PAMUgi=Ca7Ys&5>Z$Fl0-OD?DY@Cv7bql+#*hS
zZE_L@4)7V$SHa{wOF_D_>&QR5D`eYU1hkT8t$gDpL<F$?-8I4INNpD;C&I$$Dci3Y
zEug4+BQvgxLoT+nt9T)I7DXw~6nCw733lVhMNo3lHludoC1jKvEI=kIaQR`zrw2t6
zDwnYbjr})hGO>NU1>wysZY5t%Z2Uq1SG$YD6G8ra)$lbhuAQh1h|48R_3k_f9I_B6
z`h~#zn<%frPD@RZRv#zKz57K>e}^tbCGcG$oA-BF4`i?oq}uITI?}Zn>TfM-8fK6o
zZ~MOwvii*7$|z$^hM}J8knpH*CerX(_Sjt<!GzfK{M0b9kW|<bd7&&6LlF7G%S#>N
z8t>`m@;6Yf8yr)yiE>6~2`rSqDt9dyTVN3j<s8OSjg*TP&8t-!aocJ@a|UB6d0io~
zz<4sLXyrAoP)cR3T3!m~#3&dvb_t_bQ!xAP%x_#PxE><VV55$_7sXg+${RL!@(k6)
z=kOM0k?f&UE8&79bE*XVe9vZ{pcjYds<IwVIhb=P=ykjcQLo{Ybc|-pCQE;^`@BiH
z?H6hQk&0pJ*Tudkt^p@R0cO@f?$4I@sJS42of2mS|G%O&<U~89o;KnadzJEJywHU%
zQ6HoUzePf;r@p5s3YM0K3tk$~-`Gz_ToI@oo`~#!@P9#w-0(LRr$7X~y9@f^Q-lyI
z{zRyR6)jFvYo)|=>Kc+smo>0o#P+<_a>{LgF2@42ry9}rX)CZtl3ce*2fwuDWR4Ao
zx_o@;*KMzDxuPThgSY>3Qv@~rtM|g|HzT32TKN!MShuEs1+v@Pbd4g3Q_)7yP+!_l
zI1j867Tik;Av*vSF+Dql+<OS#;L-?!xWayq<n#AFP$ECYM<tO4_)b9u!KvVk&h^k;
z4U_sP{PG_lvPo#k!XBZhU5HJ}&iQXyc&mxX?2d+EGPGWBj5z|LUuwOgr}kO)hN1nV
zvuy13>fBu~ZiH+5WdR|~nG+V8MctGB9tnS<!T;!+(+U*w9d1UtF(8ecE;;xOVV4xh
z@mzG7FoXzWzK?i7@NGUJFv;<D@tv2g!2a(Vu<yN#HT=qDsh`YvmF`w+H+=ijd*=ev
z<kW=bb6TyzS=+pxe{LSpHv-kww_5>TTW#NUTk9?xztI+4N!qcffZe2ESk~QK&Xk|%
z9cCzb7}9aLRX@Fy8YvAm-%DMsiRzYD!O{w5c?!;ekx8<G;D6u+u`$axED?r9jEIa0
z)tC>H?sLqv4>7c`6y4dGx~ouh_|{zcA4A!J|Nk?T8+@~S$}vXklqskU3zR^${KM<~
zKh_?`|F4x+X<Q6}zn@5Rm#ukh@I|ZTM7e+J1PD=XHn{W*ISmbXo8SvDOY-cL?*yS-
z#9aWaWe5hd`CK!ni+U~ZmX~GV0My+XTNa_T?yB->X=8<9=1iS>#g=n7qgy;DMwPco
zAxbawP%18@31=$70P6Q>B+?AWfS=(Mzkbo-6qFihz^K+^h;Xw}XR4xTrySvyy6QPW
zCwS?ReG-{T;fmaz=%Fe5#Et};H4m_>>tu5`|8isW`bqF-FNeI2{!$}S>No-4xIlXY
z6aHf|{q^dC#ZCzYWP-2c`OuQ+v1>u0s|5PzQ<hYhNHde{Zs;+6w%ciLK``Tfve7y(
zNeuH~%(4bmTy1JSBHOk1r3%7&l*!lR5k`h)a#2_u!_)U7LIKAl+EB;ap2LlGC>y)2
zgJpZVN7MJN0Mq9-lLV#+D4Kx;a|;A*maWA}5DWNU+o-Vw^+3=PI3$N|9Z(sK{upuq
zi-=hVb1uY^H}w6|-VQnH4gh-Z;FpaiO$H`K5sQfYlcX`bISDJJECAck8FC{Wjxjow
zHb)nYIW<SLsD)Iyo56!ttavPGtsf1f?g*Fe7oPVX%XnP;t~X6X^(!fdiYZmI4O@_I
z%a(@%EafLFxDk|KxhCs2!47!*LI0{qf$hB8e#ZHQmo9pJ6Zcc(4zF*;68|Kqa-zK#
zzm9fe-Y!VE@$``vq)Tt_*C30&n0{bP{)}!C6;8tJI9{L6sf_3GDf4@AG~|BUFq*fg
z8>hp-B8*?0+Q`&=#SW8{fk>F2*NFdd-F(Fb3sEgg^a?GWS|N;$Y1U=Jz8<jQM*%0l
z?0Zk?>tec#YN0xXT<<gGj7XZF{2IA=<LF_vswsRuSKdpd@$cEP76RD%W0_V);hTG}
zc-!Uu_1=PdH)HB}--(cg6Dj~W4p#|Q?>0L&(9tG`DD~HO0;ecMM7EkTYDuuIZw-$^
zg9_6o)(<7+iZ6d%$nzghz{z8biLzpAh}U(#DhrQVK?#ku%@Y#KFC&+E)Lr5aoRFE0
zb=J6C1%4MAw>hbuOhY?2bsuMCnxoQaN&XZWW!anL;-|4;%Rhqp?z3w_ZIyBZ+sOX1
z9|}svftAHNc#UPlB2w#NoY1j23e(M_ua1~c8(u=LSBHP;M$+2@HYul7h_e!pkdxRQ
z6S`I)b|~lC5=ft*zmS^749FeMCt<z+wykYfpmzFLH#%VR)qVWY33zk#{c8ToWohh^
z;+0<Dl^oPzm<q^hTYN7;oAM?*UxK1kosap;1Bvs8xOl3Kqx@08SF-dnw}+bIm_Bup
zmmO1vl$+GJ)v?iC^V}om0`}NyQAgLZ(Luor<AS~xQ%I?B$ulE)%9%R{ZFLVo+ZcNi
za)6`Wi+4URV{6gqpzrn}XnS9lXr_6N_mOJj&s@^p=)0$}9wco{_|vygWjAx$&`(_(
z8##qYJ(y8p_{h6Ri%lcfLb~8H>RIUDiP8BFfnG}9?XS~SfagJS^0?gu;QAhjqO?d<
z^`l32K>iNPDqF3z_#UBIk?rNwZ`HL&ehLTpLOxszee)SUzke;HeldU$d@!;uQut`U
zB8KUXMuG|_m3I~h0neSfoi0pL6wcu-ni-&0Nyu_wpJo`fza2d2Oz2hwLW`<p%{yKd
zw7=18k-{+FY#JLpT7I&e8!GQR-*IB%rAab+l(V2})rwf@vDqnC{5&^wLXg!4Z-pBT
zIM^;;>JDQFlF18zoJ*LL35kIK)&ogTWRtiWiV~)>u91d}aC|W;gD)Mm&kYv}CQ6S#
z))OD9%amLb(37)mdL=Qj8A_zgIVSYI<(GPLm3cHZ;{d@IYpTdN9+~<>-<)N@pk($p
z0dOOguP$qon$K^$J+h}4_M{N&;$6M_Lyj!_s`Kdw7COFTTRS?zHOcggI}k6O{VFE{
zC>RY0Q}ixG>t=sf_&E;j=5O_V)^}>|K^7Bn!;w>RWlU6M!xmAnG8qiw*<P4ngn8k!
z#v<ls?ZQhlpcP1omm2bP#Fo|*OT6rfUel~8P5WG+P9v%~TGys`Y)5D`fY{uI6h&zf
zI;%y&n7l~v!Dx3MJ={`0mr1xJV$`mAY>C|Zb6XbO0jX)Qtk)_dYkSmQFLi5>fKDE5
zbH10C_IdGw3nka_k>jY5uog%^CckL4Pf)&~+^an(qK2BTEZ92t@E?to7Q97G8M_^f
z(x!8(?d-ze>D}`wil`fD($_nqR$!_4ZO}F2cCzsY(7{;=nNdEarRM&X`Tarn-T4gd
zm+1Xuzq)S~Pbvk%6TgHoUom`3z@U2Yf)MCIp)1;{srty1H+uU+hqECVTZR_ALn1Zd
zgWIW?kVfF(<-+Qa<apSGl?x8uzD9e{QVI@v{$9~$mVQQr%c0hV8tKM$TC?o%OoGQj
z^0PV;ahTJ4Dz~oZ59-tZ)eFDzP_~Fu4700|WGxBN8<>o-++s4|+;9m}Vi110ICW85
zWO)B{h2D%k;Sk)MTk|f~Sv!w&{d!vN_$r*nk8(wVaV@|a<1ThTsHZ}-HMdhHb4EH&
z?d6eraS@(ZM#nwihQ62HJu*b;QtofHbvR8%`~s!-o{U^@l0Xroa<YMY%Yj%Axhafv
zeVXWECf0d%n?WfErK1CTBTI8{z=UmF9CSuXPeWmbD_TB5^&M3~ES4?GUZsJn^i|ea
zOm0BX2>;Sm8b8ewQ(l}Az8&RA`OoO|KgoCW><5$3;E*8i<BFXs<=zN5)3u!i=lrLf
ztg^l%BV!~i>BjLhS!=D?IH#{jJhdR}cWM*Dn;O^7ulH==QZ=R&Mx$Y&iH!BH4-?#P
z;Rcl16lWsGsgQ;N&`uD}Y(!#Q;O#1t#_9$vf-ttjn8e1DGMh@It70R-X>q^8r67o;
z&yoJ5)|>SbjT1=hn@egl%5&1+!?h6s-UAUu{t31suyDJMX)UXdLcE^5V?QfN_R0CW
zfJToY)04Kq^3w)hiDEP<SIz_t(%72&)yCe)5O^NMG-VVIai3c;%2WQ}TE=m|S^Gc-
zCw{V5dg?@l=TaNSp7;pOF`DvfOBwoH+44DFnxiH#>P`;UKXzYEP(3}-Nu{;o0~n#`
zyrdr4S>7xffn2~^mrM2Y;bNRwKw`RFoU*JBaJ2{kcImqQUKW#hDf~V^_Mg?t4%`KK
z5zSLmVp+gx8~xA(ox~A7Jj5sQrb&u{EbS(gZXQD=Y{~)sor!NUF~-xEAFa(J)gt1j
zJR!JdW#-_esC0x+!u=vGNMC{i!emn;l}tu|Ul<6JWF3vk8f>u*EIGM76<ATSng+ts
znV!V58P8t4rw^yqunruFq}D8O>)Mt%hzqJUzXw{rKEumE_0wWo_Jcp{1+lYwle(hX
z?YAZ=b_%jq{ODs5<KD6c&F}Um`;xNVSgF4Y4!AR&{yqxBe4=Yiu;;h<c$DM5%LG}j
z8#na&fd0)0v24Fo@n@k{BzBnow{b;%;8QtdVi*);BlvyN0EKMIU!~0bT85etZjJ^r
z+~-EeX?a1gRQ$N0&a8bm9v`vzAGLc{>Z%KYU1v*h#dx6#)TC1CQd=4aM3R#(+`)&`
z{H(&?471ai{M;sPX!bm4-*OLN$>1|YVOhiE)0TxP)o=Z4%FsNMaM<um-ETmSE~&)&
zJ;^_>*AHK$yVust*x^UU`NR5cM?-y~OAo;;V7x8H-R?9FebeFQ3)$7m`TF&~Jz+>S
z@}E@RsUH%<)V51AB|2{(+e6<gHveN&4x{%jVN<s6o4u!@|JNmx%uSGA&Z-Ja@$rv8
zd--DUPsN7ss6t<ArauPf9%6Z9k5I$hN3iJAcqjDi5!9NDIJx3Wr9@MhnvBe45nP=6
z{IQ=TU-2$Q9f&4Xmary<<q;34T_v4e>7~-xBBflD+$B*n#Z>>T+i4G0bT{?%f1V2~
z<<Uu9T$KHlsbr0`YwO^a1J?WF=?}@*8B)CAc61?!%Ytb+m=WYW5j<B$x_XT%W1yLN
zU?TQU<R?}K>_W7bM>F^=(S?3`Ianlq%cg%U`>zjwqzIv`iUV6oX5a|D6|0SAB1s5i
z3V~Lj0J|<9`#Vpwqry?C$|`LZ=t|=|F5x3~0FM=7V)vPy;$H!6`<b;$=5PJzQqiC~
zbd@w;BTHqxq|t;_eC_q)v4d*i3}OIG>bi0#=j*V=_%h}9-tL_O>TL~X8F&ibs*|o^
zQO#jHp7Fn+KNmYH>IwCu0dIOPF|cnZKS96w)DDG^-1gvLucXC#s7m^NtttljBx>xy
zXXM76Dg+e5hpXkr{bkdNuKG$8`XJf<P~fVziL;q;1D^p4<un*Yu3~wpQAKulgWPkS
zI~9(rz@8IG=CF#blHOpNV8nr^w`0*}E?-kM7f~m@cmWP|$Y?>b5C+~Ezz!C3ZF0Nn
zDaZ#jU`d5fw%#RQzl38$IEu_0F&X>|<VqbQ+}VHIpjW+Bw+bzP6)r;-eG3i<Q2I<M
zA#OZSS3eF=WaV4h$yPOE_q0Aad{1?7#))YQK?D~R(JT<|?7uxeDs?}}ZGU5$qQ|m4
z#ELT?80-Bom3M-cjom5%kJ*NlxeW>45LK=yfi<w{t5p`ZoD;8YXfoO5;&)r$!mt?K
ze=lvO=ANg6hIM!JW_oPYXBo=-GXqiv6XNE&y+5vO*uG<+Wfnt(KVzaMsyzSp^$tS7
zBtfNYeWig+DTB4<r%>s7dk_wTvGJE&+sboP)rzX5yBVlnGRoLdNA|sk1dDhALgQDf
zknAccK`C(jbY#wKh1x+$h+9wI5?-N_Q5VR$&nvYzNdi#@9<FA@_3ndX*FZED719hJ
zC@{-u4a)Bg<^Q7RVVk}`iboWaB)Ryi=9UV`(;|w>zR$P_2mVog`ghIhr}J;J&dUK9
zg8QCSrXx^I7SLDMcIlO+!juYffZeMq6NL_X3Z%_gLShwkr`-ugVjX%sf+L_()4=3a
zj$2%wzC2jF=ZI6daTRS#I^ia}Wu@Tt@supP7BFSb|8_w7<hsOa1c_)Bd$HmD-F=tw
zJz)9Wd4keqG_Y1C=7q3?P#|eSarci+MUFFhB2oio<H9~t93cx080Zoy5HE+eKowgG
z$-xmohY-|n-RO~JNF=*29Xv|g@ee*lb&0vjNqS(=#7JDOYB9WGsZaE#fRK=CCB{W6
zkZqE31wl6k8amL>*#{8Pq+r}iAs1<!M<*kR@ns#5*qdt)N?H-+tOeX~){8jH9MCkQ
zmS|`Vxm<QI#~%<FJrBS8HU^73{zufFPO2tXOrMrE7oD5&eq7ZRz&J}>h>at|_9OK4
zvkx6Wx~3Qqq{vZ^o>IJ(-QsIYno8#LE#)^_jjQFT%rY0mUbgioOO+saPox~x=8=*v
zt6=L7WI2YYfQSpSDjAJa;0RG;Vg(O|m?ba5D6q*$okiJElG!cZTbKHpZ9&(O*r#wy
zxVXY^ApZ=}ZkkdCaN!$Y@2%Da9*6V6G$&c_ow11520fSBSeCY+n-sdRe%yIa74X~*
z7nt1l(YdB0{2lU*1J0gQ-s6#*Aai&P08ZU3fVp6Rx+5db$MAZU6omZsBxLaSuiB=r
z(Vy{Kx~OhnrVqTStZ*XUj*LHF;(E1KO>+yLj{v;^c4>K0-duohoO`WBpD4Ac=Oa0+
zFVlj6Xh~9;qpg^}0AFA{NDad{VWJbSH-KMU({MAhFQ8+gLibCQ<J)3a$Urucze8T=
zot%Td>qjQHC(~k-9nO|`*|p(XcL(lkoIch2cG~eJ$*xy@@w57~%hcY69+oALacIBt
zZ?H9;e#-Uv>x-+4?gONd*Lu~Um$d8$+QeJRn@G`XZXru3#)DopHwM0h^G$!5M1#H)
z&IxpjQEjcMnAkJ4Q$EWk8#{cGe_(aED!X=l$c8jfnPyu+qztj_11J@*c{T^$O^go}
zODa4DiQ#G!2|SWS=*PzvkA<d&tO^Is#*GZgc>!g#K7b|H6m6BQ@JT2j+DULK;MU2a
zI{t?TB`%ZTA1G&100^Q`B!bkrW47_hSfYBBB8!`!HeES2`F16hLhhvb;ug@ItXaU)
zPDq1FNIm3JWZ*t`5U85(QLQap&LrROodZ3IEsMk~=~5qrTdgWXKf#e5&i@5Cljvh<
zTqFQO>Q(-Y4kjG#+J)#C^BRoBvJB}-Z_eGeY}xY)mCF@lL=EUiA3hw@3}V@VC3CRR
z3jjWqiyS2ROIPI$kr(^8I<E_v6fAV^T^x!8g2H4w6m!=ZG&x(S(0<Wq`S`S-Sb=HV
zt>RGGz-X>YhlmQJd@9=yl40xGVL(E#peypgm=5aMMU)|wF<wTtuBgtXq;?x8w)}T-
z)g3ys95h?3ttXE)&teTJ*cBjY$x^C2C}-ANwgRADrSx#*(W9{>3ra(W2-oudGdNR+
z(AIR;Q5cjzzRHp(R##5FBTeV}&yT$UE5qX(3`~5}i-kdyb5MovN5jIT!|KAJ<8P+X
zNtbu**$1Gg5{k`!`Ys$Xl?8R)zQ<TqiN2t!d51%4UJG3zV6u|v+fm4rK7EVM1xbXJ
z(#uMOQM8>l{V8ZQ$OxvFH=U9U1u!^k<vkx??a7}$q^waN2xGl2EGRYKz8Tcf6>YWL
z1HR;JgQ;*H&Is#g-(cXIMw^~u1)%dd{}RWL6!XA8nrHkK|G}AwsP;fXm9by~&EZf1
ziW)y!1-?C`XaSEG#=WJ7y~Y367*lLRbTaR-@9s>Dwe8EPhfj?3invEVuYdqm5X@pC
zLJqY4#g)eB$RpC}hf=Y^Z9dr!=1f2S%@k<05=Bey94PqZy(N-z*h^0wjM0W;mxe}=
zVP;a_cHP^wLpP%iVT1I{Qt`O2^aM7KAdyQe84Bd}=bcd?f3@w>y%gX#3K=FxG9Ey~
z4;RuIHh1=JjV|W+&5eXaT(Vh<eCqMk2u$ySIpiPC2{#8rsXa+7<i614mPKs}vk?4T
zLK|@%D5s}$kOzG2WUYyQwq&U84j1%7!XkxCf_iutC1nd;Er~a>w<}8s$N&Y)V1n3o
zyxzdKx2<YSo7sencAkA}=M(}FwW})9NyXAdkm0deRP|F`-0!4-ZlSFJEUYf*;020M
z3%C=RFnxgC&a2nRh*EsSKJ_NF<Q^w&f>o8Gp}jk9G|6cUG#VO)sh}1?;yg<uVeo>|
zGwQ`?mvALti)Cz+VXu`BaLb>#H}5!0LvFnN9ux3jm?i4Edi<4#>7p2UqDYkUkcUbO
z7*4G`A#2$|H7Re4@$-rH%N7JwzYrh14>pt}+t_qcY|s;J9Q@Wky`+`nWc3ck8~x#9
z-Ar^k#s0T=q41Qeh*~Rh2KBISM6W1pig0}ufQdVxJ1y`U`*->u2r6FhAFG4#<7xia
zzQNHEx@m0S8*@EmJ0bj2RdLr-f46;7i8jq%@_m`P*eI4AvNSV@9EzEyCaH9LXK0rT
z8`u!tWfj#iW_^3__U#duIXsjZI+^qz(-<cMdo5kCZk!8uQ9%&*pa`cZDlBey;KtS*
zovv!Od+T4iN|hlQilq;14Hr1Q&VnXm%jVv?71poCe2;kQW@)fOhRR^wnK5SX3Bz_^
zjt~Wgtz5z3f)Epq4bVlIbD$U=0lRt$Jgv=<oh;peS!Zc&ph_b^SU8Z839Zi`?DG7N
zx>InB<6+iwOZK>Aro6Y@s!P_SIoe6iS$3UujnYBx_I1zOn=~Vc53so7==JIu4rgaR
zQMBv!>5>AeXNWiKaR*$Ncb?DHT-sh~S)DJOZ*Vm5=A#O3q9Qgh#a_7w{DD7tnrXQG
zS&4nzZhH{m9<PS#;Ee%eaUpgD|0keLXn=L5MQ4(-x`gO;Kj?8JyF>ejkmOPF{tJ`a
zzN6~bzrb4iWFhQdQe)Y!e0s3#*JN)oAAF(r{}wj4WxoA+{XLhAKS#F3a=<o5fl%50
z$D@z$Gp8YbBi^pj@BP5DxATu~7n&B!hoRxN0t5%3oW@__I>?U8ncgrc@fdln-MI{p
zqtT2Xh&F^YiaYS>exmcA*B$ybU~+}Gu&Qz&!bn;okjg|&SVUJJIrhd<%WiAwiB+*+
znx+@nTT%%aTVqk-e6o(AJA{G4<lwX|aLurtID#kBT-M3ZBTL+Xu~-ZrV0X##Wn3E1
z5~-NbVy3Nb60oTo^Oe|%(5}B6rAs*q=Z|;2qIn<lt<=JAQMhkR94dI3mBOsIsSmxD
zXv}iYJMJjI!ciS<Ln=vwZpb<}`Lg#IFCz5GXDPMS|Bg~O;zJM##UnR|_;4<q0J(<j
z;BFuaQVy%=B%UEuwq~*tB45jYqtT-~{jGew2n-0Xsb#e7|EdGBTd;jC=5C4j<tLY`
z9lCEm96yG(c{?eAnAmtc15#^mPHcGQmj34A1oaUMn4>&+UOE(VVHA3;PFMr=W{(y7
z87Qz-P|R9BJH$O4y1QPFUWN@}7!!$;geGPQcU=fiKE(>C*<%w(cUd=?il2)EAWF$#
zSZ@+$PL@~)bbEAbHFgy+r0-7)8VYvsPO(`&t*G0)Of+Xp%LD5nPVn3BAp5gl2^tCr
zotNr){63aBOD}?bf6i^eG{jn{mmuaItQ73P2P&&z;qwG2x{eMpTvh-2sh3_%v}raz
zg13%%@%S%MR1dN|x#gCdee?YtsA?jolwiv4ZEX#K)Ap`ZAweIj*`r#{K*ykoGWe3s
zKEv8Z5f1)KU4jr|1*4hIZ%+n-Ncb*|Fv}vr?h>^&V<MSv@DD_=Q1Hq6`#sF>PAS$L
zo8{C3d3^NuhNu;4U$s_1FB@B_;L`N&!41NyRQ5LFQ#Iv7X&)PbSIms1k%1o4bnH}A
zIq(fQ+2#)`GZSN&B{D0MA{lIyg=|aiH}8PkpGjTxv;%$fLP<$%LeXd}z8_fczP8-+
zG<3re)PC&t5Yr`RIv7W37?M_M^5j8lp@_d(Fm>A-P>*tLeFI7DW;iEc__%|sWO*O=
z$ORcsUz+65otJ4AnM3uxjtGeHsY3Mkq>IMmiN_Nqfwp-P>h@ax8M_;Y*ohsN)`ry`
z{g~eOwe4H2?&J2nPf^FvV9Cu`2|+ZdY6Z5VXsx6&-a1^pe{s>On4)8*P>yP2vf$w7
zw82_svOC=N=e(;s60K$tj`Mn^oWH2wYVsg;;RP=_DN^s_Ra8Zl*N^1Oea(`R{_NVJ
zx4R40B_-|rc&Lk}3HIlcl_l0HoA%dX)~&F9&GA^6i^RdsWGK*?Ge9X11DUoa0-W>6
zTl%V!;MlyQ%-ta$_k-GZMY~kiTHQyW`H3Ok?>%NN-Aw(4(y4`A7H>+lci>0nEeR38
zb+)~;Lv1F_giS}b{-UTuZ>*TK8#%tMKKyJaF^odJ&)@jGwPx}?GyG+bfz^23QphLV
zE=1!ZtvI8-9>_rr?kXzgpbH`e!wm`0wfuq?>Dne~fmkNif&dSs3=>nv2yXlgP6Q!_
zgRA<RN~ewvG4tm=k~$^c7c(<W_eO^cz<$8kVpz?NAf;4dp0U3GoQC%-!Nen#=O2{&
zOfaD#!246Mw25$o*nrWb^F{R9^yhk)Brw5Hm3GHSdN7_ES~g2~cO(~(JdZ=XSTd}-
z1_nalp;>0zjV#(hrHI+(;x7Lm03Si%zJ6j_g=@1uU_7(AZFZ;SZzS42l8c_{4o)jG
zN;)Cg^vZ~fnZ67wkv+>J*-IvC-}>T<AvGa?P5te!N*q{ag}uL{O^y<G@hjf+^yj`I
z;vCJgdYt1Gq@&e1sz(<*T8*Q6bV0D%lf)W)Ssgpj>S`Q+au+l<nBlS=)uRjUj+O0F
z#Q?t*=W$En%r&#E0bQR9fk&%$xe&NjY8z&y0Ap*ujHpMyNF(WI*&CJ6N^(?{6_399
zpt`CLLd(iYWqKh=7U5?VI~961r1{#Fhh*a4D2+hmhz^^{sUL<$b9;zKluS>D-H;zU
z7s)2qF<Uz@=M1kVXZRz(^}XNqoe^s2`_kG{1JrRS+vX^!2CUu0p#WgIUKt-)*r{ww
z(Xmdf42le>SheOS+tNa<4#<d%bj~o8%9difgCGuJD#2S?Tjy*`gM%H+YAswP+tLki
zhLSTxf>Xw@=2K@|8f5K&5-BP&zIA0w_s-V7S@MTsPBlrgOk$h(0pV`Y*#N#pBBx4{
zp@vI>rIn;Mu;lFCZ@zd1s@bo02<x~enBo||RBKkZr|Xc?gyaE}xmy&KkY1LvvmIZ~
z-T{bHoqg0h5aSJAw-k989`ZbJkrz1&dT<`lWB>B7!y`ReV!i`zWl3HN95R*t1pS!H
zj1mc_TwV)r1X#TScV9QW@j*xDp;J89Rj*?Xs%9$%gOgrv<T3@A@43tgK?{wwzjUYi
z6BCw~n8@C$k>y)k$e8Gt|N83P<6wvNg55d-cEFU)x}=k9KMCxx7UIi{ilCw>)g<IM
z1Vy>&R=pk=>TwsuR8J$Tf_iSNCAs#4xtk>OLZt@zn^rK_e$u?=PKYn_HS$)MQ<rhg
z$lBC}tkYB!o&Kb&t{d!7;+y*zxvXmNX2vnkV24vM=3?Me@?{1)+<Wes(&O8wU!op;
zB+z}PUrtokbKr6{fy?7x_*Xyi<<V{cFMVLBrcH@66KgdRcViE$Eqi0hkhL||#SqM%
zF_K`cKTxpWHjo3Ch;TL_g{~Z9Wxw!KZ%~zi2Ti=y-bkk7)H!h3qsLV&BZ#leD2HJ3
zZP@RkZLt_0(Hr%Y1D69VEWS0|d_)dyC{zV5Kx+}y$dsmz(9W@t)JXj6Z1GcKO-e3M
z0;%J$svBU3*g`@FOob8vMYU#5(IW4vO=5>VxIo)A^X)+o06M-T#OZ_~s|s=Q{n-Fu
z;VD)0-8I*lBg^fA#M+X4?QG<#|L&9h2Q}YO%hBzKa>T7@{ENZZMi0z+QTrEj5$+rP
zRyYqEcOIO6D_od!?4w}*PxdzdFYo8)W_PnmiIf{1h;tfvBD$)M!wcK4T~bP&H1$Ec
zd?T=86E8F0XjsA6i^VO4IV3!$z#hV;b0l}w1Pt2C3XdrSSowH9Kk0axa*@uDxM^3N
zpYM4-p4)N!l5cm~<U5=}8tLVizy`mj2R3&&A>%USCH9DqST`E;s`@i$PHzrvIqep;
z(c<iYT#W<r5wCyumwiKIPIVf)XHIQ4J2<Wvnh@Os*Pzfp+vOmQ4YEH^fYez>M=jAj
z*a@U!57H6Y1wmRkU$LMqfwh#8;#rUet_Z^TBZr3-fmUcwP5tD8*bUzZHgk{ph?*9R
zQ;TA<YuvBVuqc8H+GC~<LXbMhS43O7qAjuFN@MsC6y@dLy5qPEo_e*AzQw$vI3T{H
zHNC@CSuPF08A~ci!><x!d<De<?JiDDwWfeHOmc(S8Jgupk74_LBy;L#H_$B3js%KQ
zlBBce+p_`B2plDRBm71RhE0;y{n>)woRT|uBDLhGTTzU#7=O$&7Za=-eQb#A%r?C5
zMD#=`u3}eFT-7TZ;`7jR?3@K;msvo<uNZeJ=G5tFE(u(y%B7IkH(E3#IeL1FhCS-1
zIt^3Pqtlje4Ia~@PyWN7`_ZpY%31(jEus#QU57W4h`PmbMBTBu=b{Z9D0gh`xhMk{
z)D?&4o{O?}!5ynxa*ddLCt*tf<wRMV;I2$hICPTr3<R1&MjWbkbndw*Yg>`OzI0j-
z%{^CTZIT!<d}Q#sB*<Ly;=$+503n0=V=e+Cx8{qhvi3EX2rL<|VI+}tgvU>$omVEg
zUv{9(q9oX<d&s|he|S=u3Q7XZU!8qaS*!B1*zbHo45&JBhyf(Da*hD6S$Sv6!Ox+V
zhd!CA_Ntaee;J}btLleBRqH!&ZK`_G@<Xcn8-DCdf87WEwb#4`UGvlwI?}{db(wwQ
zLuBv|#>4KjPZa#@g7`~TCF*pbmgU6_L8@vO1S=hN0R&g@;Tl1z0v8M`0d_i7M6n`O
zwF?3?fO<Su+`_JCCM*Q0s$CFJ2nteRtqo2cf;7x72nH$FYzJXD%u`XRs$DSV*Q}HZ
zF$;>ScF7oE1CD&PCvk4^t6eh2%^+c^D$pe_tpY`XsD3=Lnx+T6Qe5+@p@)agxaRY}
z=#xSFZq-gJG)O7^)N2QBRV2WKRlZ2Px0Ekxy}6b)N$TwRgkskNNFX#ykzite3;>hM
z(<b41t9%(~lTOLki8+tU(Vr)rQ_1F??ws1wdR-cC+VVph?_d9(cYV%Be{|7!n%415
zf+cyPi({;m0WU3xjoJh}HJ-0npj9k9)X*KJL3P2SP-<#C7tBy<^Equ7k{Hx@F1TOe
zoQ!>eM6$R)1XDJX6FSL&M8S>BfzJ7SKE%GFjoV<^p-+`ml`<@ofOd}ouB57z0X`?7
zByjS>e$)FpRVib;T`O%T-bst)<NL_d?ANJjTj+Y^F>C;6lB{mea*qlIC0Ba^Yeacu
zqQ1RbW2^4gd4jtjol`A(7elV?)BP@|67JqFH3)n>mpXwT;tuHjyXO!}iu|sZAbd&8
z{wjVeq`eH`3;AfUDQ@NznQ%(Y6>VM6qL>k3(6HO@f3{r`=EsE08i*0`0Me~V&-qzN
z2Z5t?*Mr=p2mNfb@06W)hcV_o$@HW{QXu|F9D#{Y-HLSf^r+)HJ9b~;Iy=<En(Guc
zS^q|R5Ie%B!2`S{ny{{M2{z0nkxbOO8H|LvAaQn?q3x2mJZnW*0jbljnW}bY7z~6a
zDS3dm7Bi(a)1!X2SuIdlqhz=3eRnXX#y#4s*_F+21QbzQRn22a&JN%s)~X$vEP9gF
zJvv|<y<R79Pt`Y?_M)BFFB&#;LJpgrk}EG7{w=>~*z^~TAJdC=j<?HmW6g^O$?X1c
zGredyuzJzBeF8VirCzkBE_~DOfOeK>)8bKpWp;$d7G$y6XIkw^9-Ca4j*nV$fn+;;
zhHi6)=P8|Vb=GaF<0ZTg7YGOBgjffj-8?A1Tc3563kHGu{M=6G$Mt5S;ck1@RTM0p
zWZcsExgE#j2g{r5#aWk;lIzvkx<Y!cC94w4t=DI3=#u!s4`*w<Us3XeKz04H9p_(f
z&(_(6TX$#cs^kfQ`gm@q<M(Il%zM1voUN<xziz(2Y^URKz4`vHcW3Jgm9=hMSlLd;
zv%@nsl_j~jkos$tP3+wuc#@EHbL$TFZcsL{%{M-_NpS01cd%82(FD&;7v`pPmX?(_
za$9_}%5%Qu-m}k2%Uc<F;5~0jnO4kH5%jqUV{&D|A@CI|wuP8YH!xM9caDn=Eyyh%
z`Ya~!=}3oi%6g{5bN9|)#@TG71Gdt<ha;mP<S_rX^-;V~sWHc@&!0d4((~u{p2jS|
zg?=^mhRavFuo}Hk2%3C>$#;dm`;@yfELSdg=%?i&*3r%%R&UX?yFzJx5Bd7K0hToR
zb;~JVeRqXP`(N|hzU?2pFZw(cZ|8B5qkHL^!^h!x2v+kh#x!R>n;uk|%FtKDTw)DQ
zz~U--n+z<bm2klwQcvQHpu=7=B3Y2?un2<gR6NV=3R3}_Yoy(9L7=F?`VYf}ffGV|
znpdR4EP~s$=A#)OwJTCHx*!@tng8O7BmCNBt|Ib4(%hcUEpd^rc|ajpY7iJ<fzJ8*
zC$IRmk@w=+5x#A8L~*rdMx0~J1^Zoe<V1ZNN1ZS_D=7dm=d1bG>~9rsi@uh|Az;;(
z58-YVkIZ+uyTyg|pjQf7<w~gaw`PXz#|agy{2YODB|ou&c1Z*neu5I~xxK!F&6(lm
z-b*vXjlXd-c<O4vQ|q64*Wdc=sK;wwz)ibl2@tI~)_S0uG-VnbWrcVF(}>#4O-eM}
zKHAcm@(frJ^&rU!U^H->8%?R8+q-4AkYY3XQ;iZPk{l_^z&=i`o^LQhLwm16kfz<g
zH4VC^O%6auRq2Q~zbWN`fB@x0WtdFlLL`YA2|{DI@g>XLVC05vo>QE=N_p-VgugS=
zUT-kqxy~3>DNlgbz44Q6vRU$~47M8Nn$xClq?6^Jsp_hbynziX%sNs6L{TF~j+B7p
z4zsCbEE~45hr&iq=ai}rnCHM^8|P=0sY>Zw0acwp)-6>Xto~In*V*zB1rBo7FoLT<
z(N%;w9P=}9a}_YMJVo>J43+j@^1^3-{%AK$*D1c~=I6y99RpwKQ*Yznp;f+Z%gFi^
zjABq_%n>5AsI#^;CC<^2?OC55pV-cK!S!iq-l2)@O!>HE(Y*bM?S^l1NzBGzFAjaz
zWv^+cDk1HbD4%H#eB0wCkXos)2_USFYeHeepbEAN^|)O70EuH~T{}w*Iyz08Yb?~q
zHzmdF32?77SumEf%u%*U$K?cfo!+jK+n(CiOSuvHGWQ7Ezlg!w>iyit&o?|97H)@O
zY0(Rk8TJ@#V0H$$%u9O<W@qG0m^{=OPR9n=YcZmEdy4(S{Gy~uH9d;-WJ-aiWTj+b
zB~@9QgyH;P$=O<>(4V_ol?fU?wj^yWQ&^5Ynk9V5M)gj+MXhn11GcLP*#6?T{HDMC
zcsLjesC87HLy0kfmsSKAI<S4JO%CL()aw)#czcT!qR>VFrEEQ~At;3@6U3B36I>oJ
zGmBA12ucOd1-;mekkP`C5ES)ef&h}}Tq~d}dV+K?>@dWNRzTii46O#0v7)FbKQ&6?
zhBxNW!+edWMnZMv_&zJg$r_fT@e#Y3cky#$R;$%2J9+m$W@qpSwV`*(*PW%WbhHLs
zBqP1ikk)?Kvz_+?0S3?qdD8_elITDZU=Z9uV?BzyBO$Fz?$@}{beG`cSV)^Br5{Ld
z<QYKVb|Vp4*EbUGQhI*R*e@+>?m?8Ku#U85dVtSRfW=@*xOI5am+ey|E|_1Z&13<;
zHmV6Vvj4=#2vC3?03mKA_Kv$>lOH3jmLDT-rpE}VgX%Hj_6aD2czAg|=qY~6pPPZq
z_w02&5Ud;ki)v6YYT{6~IXlo4+%fF8LO8$^gXT{M$ZX)`^~rX4eMD4L2n9ews?0qE
zDz8zt)A2x5WP}0$AV6&7^EX~6AQ;)}B*FuMS|B8V7&!&ufgda{C&`x5luf`3F5aEk
zJJ<{O#|{c;{{&D1oWcx9wr>IpLUaeXlos6E-^@#O)yh?Bp;Ylp;dcxw;6?Te<R-69
zx69wne%U%!&vMLLy!*R<;yv&Dh%xA^=Phvag%T<!I&&U)kD@8+tjq}<tScbm-JAz5
zh*nq`@LJ^LWet4|&fhh9gc?CUPqw}=XlSJqlaJ~8WT0x!TcBXeBn-2*ifGMWCg*_*
zLoZ(;CRp=|Id4%2dO<aA<=FVv74#L)x=reo=CJx}S9#!=)VV^JZ1ufIjgdJJ4nEC3
z#j^4+R@GLW=MB{#mJ9w+$?)Q13>ayIt0Q2Y{DQV|L~?S<#}|{cG{yeKS<O1NMyb3I
zb*d);O_A#9fkM**4P;S=5pq<Mm{eUS*|BDNqR&b%GbDS!$eii{*b)^8T@x-xb!)L^
zdZqz$?N|)Jql(m6`<6)1?lGD5#1NK=f=Ih&daBQ`5(K#B#)lE4hc!Lc_>~qQsl;~&
z!<>LZ^YdT9T(`j1>YDJzdVtuJj>9(smFE)#0xmetJHG(a9&7kFY4V*sI`C=IV~wr!
zSYvDavBsMISo32%r15~oCp#sbuJSRC@Cz!lcHnP4@MC=p)(Ik%jM4*Eccl6*lTX9w
zvZhcOU3d|d(U<Ko`Yn!>%q&N}lm<tsxYKlgfoW!^K>&M!qr?H(%EO1Lm|)-~o#ga1
z(|3A4koYwDawNu0mxJrguu3{T6|8auR@&l7;RLPm{h|g0f$H~{?fCr_cN)JRoDsk@
z^YIc;#RcPl{QCh$TES`owFFExA1`56I-c8c{>BGXa7Xd71j8Fn7xn`4>Nw6j*b7Lj
zZ@%#{L9n{=xkJFK3&RIf{A)=wDq6Oj2x!*il1=uKm_IB=p*U-=v=8<R&;HpD`;3n)
zKA1MydoA8t?!gVRChVU`SEX0ZtQvC9;JlK^<S65bc=RSXnaBig(poA#7)yg#nvRfN
z6{;BG<J*eYKxCrSUwA?R187ezez<>Y&3r)+d@l_1^EGO3ykF#Ujq(o6+7%L+pcxeI
zF8$sWVv6?c`R?pin13h6A4Mj0D*d;dW&q)XRfNqY)sWr1WC79eQ(HhWQEVD=<XzL&
z@M?DQ(&G(B2i?59C+L=yidywVi2l$g;%o!xh}=B@PY*Q_j5%a>i_XAo!?Y9ft9#-^
zo2N`Hr>%*8H28KZDP20%*(H1FXJ4#2^a;HZTXmi)$@Gk$p$`q0C(bC?%bT++cEHcR
zLc5blcKC9wq*z#!1Vs_Z5&7ie-EO~;^d0$k-yx>MH-amSXIv4l{^Y*-O^O`wvmZL-
zYq=&6D(%~#7Q@eu@1fU;n63TgrSHhkz5&~?<ap7~Wka3)?9<+xa2(xjOE6V;+tPc}
z^Bi5q-x~;2eRr>BFS2zCrCBIB1(IsXnI!2R3NHj+AS_clK)km4fXa(#nv3YgRbI$-
zHy4T58rM%Z@RZb5;}kPrl$}C#^779iuW@p!q<bixA70=|UR={$L@%yN-CcKck$A0f
z{cJ9(q6l;g)m|*OlNVX1?)330&Rv~ggP)kxB^*PkFo$C(sk^d?rO>7n_2)ZS4BbNM
zAC8{vRqjcC-OqpC^2a}{c#_&L;GU#+4{QnvJ>ZLN>jHfh7sL=?&gElgho{tRmr>#s
zLCnMwvxvT2Q0dYvh9E7i3kI$I2As2vAU#PJw2_I>3Ft_rAnqyCvrjCnk=+HOsbr1b
zj%GzZH!c`WC2Q1-8bQ9EE*MQEm}smnXPjC*$s$?0O4duE?#N%eYS@Ujk}au`>K~|T
z*w~c55_EyI-$zPde)iN@vXj9q)!FF@xnybr0UWA5d%i!>1cG0o{gEU!Rn{!y1Xi7}
z_f}`;+wPJvPoRTAXwP2VqU}MGZAeU+Z)}SYEtT&P<j`~jlL6PxlD#1*o{*m%G&Sn&
z5A_mB2ewP1lU%3EZ9#@yH`Pq@FyNP~kFbce>XA7rDM{*`E>pW<+T^I7))85;FzJP5
zpO*+DMi`lBphtl(&`)Lm(3|ZNi81*^@uTyh;V8}ttIE`mROy<8Rh@8o<746|`Lllq
zTS)&EW7ursScDm23M*q*L!ffO$lv71oK%RXESgcVw<avlYShH#TU-%~SI_+3FM8oC
zqd!qoNp9{eu{52x<tSl%O7(*;6pazoKBQ_;<K$Vai;7nR11nRaANl<w{OVMu<;xtS
zSEnv@!3}5{5LwYF<RILfXs??XY)~#OEiz1vU|qbzm(bP(nb%t{Sr@Mm9c$eJRIzn^
zWwI(Q>O_@W&hY0bt<G&U+DMg_VOT@=K`S<bvZ>MrrU>Z~P3a2K{|>Dj|3<0f)a)}=
z)oD!);uA$=F-TRV3Q<N-rS}*p03ot+bvZ#_F@Nl@*s1DphN{lQ>>SL)XQ*%u=trp8
zQkAG|YadO_1B`4yKjvePvOSOq!Yds$rNay7U+~o10wVpwJ#0Vu^xJ|eLP#BMshBS@
zAVrij&GNtf)Sv&**G6xl^0GNp)mYky`{9ffq-O!2Py=PV;OsLBbwQ&GBZ1^zst=h;
zk*~80CIU$xVIM0p%5gyuOfokMKq7ivv{)X3G|NSBgJOy#aTgnFaS1`1Wf#P>O?E4i
zX%g<07|{@<S$08yB?+g6kA^b6R`f%V5l#_YuUIF5Af3BrMKQ}RiE9MKJ4)(kOOc#(
z{(ZzOhoqE{D7mxw*>Q4-LR}K25gk7X;(8=#Y3f~avH@4SZ7~t>kZ#A-%vQKsgG1_`
z91ImJT&fi%=j!&>|Avi?PrlFp#-8}(`}}Y0iBEdzHQS`Wu!cE7mDK>XcVL2+8CQq6
z8Le+A8>pz$$OZzN26oBFrop74r6M1|M<``e1?m<y4*_pA#qp8qE4+hW3o*^<QHwpW
z7iiW8j#{QIPaU0uBC5xaed{Ov_3>80S8j0D(Ro-oYB?GQRZ$BnTTr+xGLh-6jvR8-
zax@O2di3z-_?W4>Rq)uDsf10sePqlO(ocj@_}PyJSK^Sm<nib#IipznSae16<!geO
z=7FOt)o7udMc27z)}1R$9gSnv^|+xhOINze#FVRP{~Jnr=o6(<xaB(9|IV#~k23_S
zDqka$wB}Yp`tSwb-FMLG-p{RqonVnhY;S8E=T^b1X%+kpKk#FJ=>ua`z$nF`!B4>?
zo<`RAtJFn~v9ZyDxnLB|2H4ngl?kEG#cXW33K(Ux0XDW=V~VQT02><}48O)yG;7(|
zMgtof6EQO7gB#4Df6ZZx$~lc$70alF#4a~z{`Vqt=KIS<G=h2mMKW;+B~rb{4Ws{s
zft+ViSMX*jL*`J7UTK745}Bt>fm#({1EO&dzMLM)*wZ_rPHA~^tZ`H7bhdbxE@Iu(
zEuy70c2oVBvxbjA#_|98J#RZ7F9doQ8wWVly(en%Jiv-Bwt>mfIc7T=09PR!(u0cJ
z94iERU-JPJGj-*x9^epNY{XX_38_mL8!C*3q_OXbJZlcAOBWl8oBg(=98yOY+iD|t
z+1x=_7XpPCja5jP{QB#Rnp-uRy=I>WD+KDIQ(AmVgU|i8YmFV%YPKJ|wZ}Ww2C|5A
zA#g4Pz90bsD~C?7J#*IdDZ_`MD>QVTGaK=o=0NnxFF;|^DtslmF;L>;<2St!_@92%
z=e_&;qY$Wer-#pRvy9FiG%(f4VHN`2EQ4B<LoI<b@4%7P2xhZv<RB1S_eT*`W*Kp#
z<fUPrdSsh*rhBW5Z<rBtXir^dx}6jc4NGmidX?$Mq*H6IU5j9DuFYn-km8{g+2ZuD
z-x6;4?-QhWD`z@Mk~z~Iq<C-%YO~zSbUP^?XE8Oud6nrdq<Ek?xB1ZN?D_TtDIO?J
z+uta6N+VKoQaoh5Yi!bF_dzZ7b!58Dm&j}@p6S+;zJjE91OCKJ&+@^N>7D>&dI00d
z66Wjo|K#)jgES%(@c+#d1>qrE(7xKPMubP_SO?wZ2oDn^hFf74(C}Iw1Uv#O(o|4$
z*cPx5TOh~<xB>KtXrmCMVlRRKh|A<p<Rk%P5d1<}Q4k(+Y6fPgFN3L5HB7812oDoH
z>=&G+MT7^4&YBge*!|Sxgw|^W)}uc4WSu4XIaM!x+=S|u>D9R@3Bjw9(Q6w9N_bAu
z|IWEZXyjKuw`kAph=<(EEl$-j)0S@?aO#+!|BkQz@^2ffVgP|n$85MoDfcq%F>F(N
znJ{p-?W>`V@d^R3QPIoPw*TZRMifxs^0h1GD#i)DOgpF+=on5RZdc4zjMBM}ejRk?
z*X=}f9{tO3P%!i$srOd>kuuEb6iFRZ14(eX^tyEDJi6otluU4%bx=%u^17GFfeHZ6
zS#Pl|0=+KIqpu0Fdk&xBn3@A-r`BIcAiXZR2Mwiw(81a#e3Vt+QFVWI=oFUkzCy!W
zyJo&Y8=XJM?+*6;Rx;O_w!j10*+DbVO6FT+WP<#azzxt!=6i%}<Vw~=FM*63$ls{y
zWdhkWnX7o6ShZSn)U;5;t9A}oShvl>lo^R(S#*DAIFMY>iq%RtG<J*GYE6kQa+Qv;
zta#sglWaqs@ThAIed3j+T36piZu#{U9-eS`+T|X=J(AyK20Rk#p8}>pD{4jgNA{iy
z_y>Us_$S*@UQ&v{01lD?5Y?z4lYu~WylkiAalLs)BU$lIY2y}tfLKm{9<O$xH;I@j
zf8+0vxd$yXLiI_Gy?PVJikd>i_XMUCOlcmXP4eZh%Y50@k1RiAzW(CJuiuwiag}7$
zU1Xyi1*wbh9{}6DKqn*K=*+H5^W}mY6t2}z-E=l`)^?eT?1H=Xf?O@o5y;YOXdi-9
zk1n`hc9_&cfHBBjT0v^ZA_$`-okS2c%C1<E%CQK-*M|8bWVsUxmi%SpK~|bqpD7JN
zq%1V4;46;mF@4`tRiG#xRWy@(gD%ulx(-SNp+oef&iIuJ0wEKh+LdF^&?nPMUI=jS
z*#FL6@<ZVz+w(c12YO!e-1BpDJwMZKQLC!w3jEbz&OY(SzxcO&e4?ipf*()y8&gl>
zFatlm##T<^qZN1;wAnJ4-wb+K^~ghz(V7dENQjs+b%{ez%$+g~VK5n(j)Xo9;h0iX
zgrJx^6GWF0qB$7Q{c>p&KtoW>ov*lJs}BVi7*{XecnFHQGr_}h!ND?Y7d}XpArm|?
z60pNyNLS!ohaf8<?aw2rewPHhE+^2Vk9-AAw&vVYfp<yc$G}x$RDJtPO0?#ZhwT<A
zH?9e4&fc2hjQAJYVVV#n!QgL^l`X=!F5eyyQ|u%e1}YJZN~3q{lBZdEwZJ{brifL<
zv}VhzW;}-{_AgF}FoajX$Ux_Xhh+5fhUgOXq&uVXmt*apauf2n6CgcA;puD3Q1bKz
zmz{8Fy`CV17FB2<k!5{A2C}uW5O8m&%^H)|+PGkbMioO5f-5}vDVE}PiX}Gefl9}N
z*`R8oQg*O(Jm2;>UdT$)ro6cknkdR$pJ(&|<Ja9qXu|%f-6ddp_Gr&e!pqOU{Uxm^
zADJ;erRx+^#3yu}LTYL{a@sALorLSb1AN^3|HF@YT{sC^A9g3f%h;CXf_1^%7cHPf
zA$b@Nu!PBy;5h`TO?<_`11#4tn>E6KYQqH+@K2|IXJ8wh1Q$FyO^>R^1%WiAQ6-1=
zP6|=E%ugr51<Ua7&0-CcNlR(FU{fnR0F*vVDs(C4nzUc0!UOC;nTm5$a?t}VR4~1x
z6Z)#ow}M7E;D7CJUf}@(jW9H#uev>$KqQIU{)oQGPJ-Ihi&LA$JqQb2%^;@%)-|%n
zkc7=!5Sb~1@Uq9i&$3kW|E9>qA(TFvzSKVBFqyNDa0Nbszl2xiBiMSgkMQvN2-9xS
z>?2%{kMN@(^^x!T_JEe?&FK3G3)UbRt7@d24Rz%s9GhUCjS$Mi%7aWOAfx%bV)hY?
zULEeqz^L=eN5Cu?$;2Z^(VG&ReS~WmOb(_@sTKf&1NWo;GL?@2Voy>v!$&~Ouc>&~
zc#~~!vXXh~Sv@+>s)!92d04l!2u!V+*><i5s)%Z&tL*#~Gde{kE@s%&q6l6nK2~Q-
zB&W*gDy96$;ke{WB0BBaW~h&<QYi6i3WwYHwWSD=@Hn5asT9Ka=Ww`}4mfr6IIcHW
z3YiY5DuqI&nXX^9Q~X^|p%70o=0so<$%WJV;-+cpURHi;usCh`)({Z8@?XC9V}9yA
zK}@JzAzu75=8(jI1b`e<b3wAxFd#V%5h(4gg~!&g;-9Yw_8~M4;cZ3?Wz!o&kV?!2
zgRf`<iuUHzRANPN(P3a3;uqW;(<HGXm6!_#vC$ecfSMKgEEd5+Yy^7U_FFU1aY2Mm
zdxQn360X44)T}5<%q8)dk>eGTfF5f|WV@J;BzC1pZdXget^nnO>Sz6vOQ7SDz@xB^
zO0J}B@28Z<*Cm513B=0v7dlOaQtKo&Xt_;HGg56B8dSGiJVvOmw8$%^OI1xz1_DBx
zRoX?c%2~TfhCZoNndn{X-&3G;%tj*i4*d-v#wqFyXoZ@^7PA^F{`wwLg!UlRA(EdJ
z;}f&3r({P3B_GSF@$l^C9c&_3db&EbCwh)e9^Tkw+AV5L(p==cnj+`jx4!j<-y3FK
zlgS>NSZWz{LqRBFP!r||)5b*2t0{5<^aE?nBBugtvLIuVvZ7*Fff7RgvaMaxth-<g
zY69Z2F1Iy<nlO1AZa<5h3nT2eHDEENRN_TWOuP|rB8!}wmJC60IZe>ojHS*f+=BVb
z<RWL8D!9i4fc6@zB4_C+f}jB-<J?t|6SGE9)0J?rUoNhSoSP+Tmh#0{dvO5rWvW-|
zUkGj08osSo&0w6<%(`0Zfzl=Iz1qo|#906B;yk1-`0(^Fdsx&$m;hax?fS!D*X^yD
z?fS!O*Qed0*{)wt&hhP^_MyN3E21u`H=}3QS9>z*i(MDgYBn8`?kSdo0~*z?%gE|1
zcqzM%QXivmoEohP46gHv5*pd5u~(5fn3~f=5LI@4hyRJi?2tiiR?K#Nmpp}i>i}fC
zzQ>?BwX6f4LS@%Chm``S4q$-pc>oO8?QMVug$c-Vl^BBEIzE+Mw-!RWA6nwgzffh@
zZH^|PQ_8?xN#@&w?qg<A3CBA0zPdzUew~^MrvK3`q@%f7GvA;MdZX3xTA)w5`P~)6
zW499BD_S|;!JVw#$Sd+c)Q`9f_p*5)IvvI~F7Cik0h_B}8)MQ#-5ql1xkIUJ>$$eF
zI-I-B^vq4}Kyv{p+0a8qHNieotEUC~LSP)p1_t}5K$+0hfv%EtJfKz8ur--RmvgUt
z(<3{8rV%7J((y<SoYG|?lxJx<=_x762K-t`T%Mk6m}c>Mx!W00eS?T<RYfCy<Ls1N
zk5lsP@B1_V*58XlsKVR5hG1a#7;dyftEZMNZ5IN0aZ0S`28nNyMk9x?MT090Pj1c;
zt5*vGt-RP;Gk&Zn$p#ZdQ9|2&I3hr62q7po&jk1AAA)CqQ?Gn3LkNmf;wyUD5-Aj;
z`v^gCN?Z`bVg&=WUxJ*p`7+{^m>@9E%yXbJu-+V6L3K)85+xItv@E`#d(_-UAm0Zd
z#lFbnr~G`I#8<1<i~YGu{;$dP%Vyrs&zF^n<G9Lk_UyR~dRpB;eAY0f>X;T&icM4%
z(y*fR0t2L+_D=7Qw1;>^hxg3v)Dr2m<y#ZM66xFD|6f1*j#2ftT#zM+T5C+b0qH<r
zeMoC%^>$PlW%ah*EJ5D^)qx_B%`9+*V7XM&9DDfmnj&4I8^2LTS-oK)9bvsAU2!9r
z)tk0eP-|^CZanMilXMZ^UsWhdnka9!{KNh0BK3bIl+esjd`jt4tX@(<5^skJlEP?G
zL9$z)bf}=T_YqJ*_kZg1U;igYRnUSh=~O`&SzN!2jfaJ71w04{b_YpoT;yTx(QmCv
z4Q@`mVpc)BJvoV}2asSm)}jrU4s{_49>9NIDGV#;n6AQnW^zqH5QW6nUCb&7{t}W7
zqJn@-uU4F-f|9B^h6xj~tTV#-=<T9dvs*S*q20*X#-`GdlM1sEdS#T*qo$L7`R!l+
z>pmq?(IfCzw#c+#RXUA^Tmu27@ah2CGS(skoT${I*{7-Dp{;>9k~+y(EI8Tye$(rv
zVbte>#>~X7*PXQRW<V|7A{PumEl7`49mc7tlU(qyUo)?ceC&Q@c)1W{)aQa*d<PLk
z{=(*nhoIO$m)z_*yc}u{;8y^{=xHTM+CG<rCT2O9l0s2ZOA_7ak{dJ{>zaU$Y0R0{
z%y(zM0y~y|Aq*dH&rYw^*TlqnZ;@G<ZAoiZ_lJ!{dYyZVp<QxRajPb^81_73*`#Ls
zptPQzZgjLRI@D|{d(NF1C{A+uspZ*{Tx2fd@+i@7sKHGyDBqbf^E5uAqr;)@FmHaD
zwpx3BPYq$`O!3WSil^P8HVrVt(yj)U_IrNzkN=Jr1D2*Y!^_upYa&z3=@+eq&sGVg
z9I_C?(k;4WYrnEl+8!|HNd*_Z5%bw5fjA0PQLM<~Y}?VBeTJjsA1j|>4I~^b=VpQW
zH7sZDmd!rH(MgTjXE;8nv6_BybWmgV8LnbbV==EsKDgOagPN1a_AjSWUZ~B!k&wzk
zWA-<PRPz9g(<nD2o18K`lJ<<uju3L3UZ<U=+ItD)+4|1b_k&~F{TKh<TR;5eV?4#i
z1ED;{G=Z7qmDacL+ah>{QH3CQiWT<b44TS??t<ubA~G9f!5zB7niXk%U2r3GP837}
zvt5za*9A}DDVFw9;VA|yW&LGneSO8k&Na$Yyd~Eb>^XRf4Y+P=u!F1ODfZYNJjK#y
zmVZbAh5N}jNW)6RzCrAMoTnI!8sf+RH7}E|sx|Z7Ijq*K5(`gppDCCMx14>oB8@co
ze|HPR!>4bQ@6Q2cB>|dmQ9fc8pgMcLLAy06kNGR1psrZFv?l!{Kl^sw0WZhv1a7~5
zIHWbyC4s?LfIo=Bq?BHrogR`)7JwhvJtgUsB?U88Bv%V^t?@c{+xnLu%|XzYQLiQP
z54ydyKDd4gLib-4PqD66br{P(ojedsj{=g-K14Tj<RFGV4d*42XVh|Y%{;}Ol&PXW
zP`1Mr!Vgvf;`mBO_c5u5FVlT&kNc^4iK(UaY0I}UHB0Ls_3q#HBNN(`*2K^d&OE}!
z2*n_R<YH{W$KqoeVzp@dF)qf`ri2N<qVWt32yIV==eXK3?*kfAns76&R!ZxBYPFhx
z|AK0<T~X><CWv-tRiTFg(ndiJnV|6~6DAB$Z*z@`9CAq*Y=H^yF(Iivch#n3006ED
zD&=-fKBNA{0U#%L1V|=e4%^?nf-~>XpCuLvpaCgQ#!ob=YgI29m$GhLVVhFX<W83~
zr0evoL)c*1!%>TJm~9!_*8^VvJG65Ao9WXdk>=c{G`A_$Lj+%X;mPwC@4a;I`SZK7
z11_kH(B+$N9}kNQH2?6i&th_(9m@%ZajZ}zmYI9lx;wWiF?(?O#7o-3M|sNez2E%5
z{pueYjWiXG#z?c1`BT|VuVR_Q5**BoG+~U?#+b_iE1W|a^wzSZ2zMTRFm3FbBN<L2
zwnzq)$4w-Y0~rkSBd`VG$*#i@b;y(B7>F?%X1oOeb0s)x-Kh4%cHAF=p%f7}B;_A!
z>v3dvI>U|ROT9YT{=IeQaF+RU;dm_J^TsF;^;yD2h8MAfe^DqfKrmD>Sg4m#$!8zB
z*V+pxaMjeJ4N~cNJ}Kdnqf`h2q&Phpl&Yo{ZH7w6^SMaJ<9c&b3pg*p7o5MZxP9q(
zZpZQT^<qnZ9M6@$@iA$6@wed)w(wh)$t4g5dC2LR)M*a=oJpPDPI2Y*z|(F~8*|Nd
z%&Vzm{?f;N)nER_u{vf<0Um%f(Y|@X^C;^t?VAf`q>{m{ZC8VRvZ(~nn%h40F72DI
zxP=~){*)n(Ug|LyJWA0^h2?@r8G3Ua^Eg2-JkYWv8e%w>fV4wPYaNQOEpe-#yby8V
zC+#sAN=t)F#%SL{K$h0bcPB>sVwQ6aVVh*xH6V-}q1a*CY2DE@+hhZ-0=Y_>Z1@n(
zZ}<Z7Nfu#7u$=P#HV*>6!noBfy~nS<J=1GEejT(~3HzR2X_*m#uHE7U=;$}!oRHI_
zUH5k{f<Fl^pMCNLQJ`;m=Iu|u(_+N8zW8ED&BfHYnEJs{O#P_;?`OX3<zM-l*W7{+
z4qK)Em_wP&J7Y%{8>uA36$;6VLfjboiZWOoJ~kol0fk3h5t-&H&Q$obpL)x@u*AX}
zlmZ*UD#Tr^mHl_S2I#2~%poqW3=1*3?pD21(*^KTqkOA*W)$qObR9I)1#rPsqy#Hx
zBPfxMOVT!LIdh=cToqHn&<}_guM(`r?e`WJz}GB8j8KEb;`L=pQ0bDWBW~rJqx6X0
z<VG@QAaHW+#bZu`khHkrmv<J_gs(J5Kr^1)fVM3+41W?D@)gv%a7?ppS=RaneTLRo
zNIt_nNa!^7jh2X+?{3r%k);4P$BpFzCioc)PF)p*2Qlbpv~etJhyT}izVXXm3<azO
zDObQ=o-z&{)DAIKOy6<ag@{Rtt5zF}Ic{kEZ>fGRs2v`F9pD=tD>8HBr;geoW=gj;
zG%8>hOmp0nBOtFRH_@&UL#l0#+Y&Xw=G2lzGQl#(4W%{%YQ!~C0sE<o3S`$!`}1h3
z$$y_R#|_Yq_S;moLvTeBLyHvM64b@bRaR6;h1(gd^S$b-X7&6F?H3pdE>%Xhtkgex
zJ;x2@S4fgCatocEB}Wyos=ceLGOAKtzX~v|u66w{BDPukJ`CE|Uepow*vrID)xOh~
zAJV?R_}AX>W#1VYF!hvN`?}u*z#nHe5Pr9?QBk(bw66>93ziUWQ|n-5|0-b#mqu+D
zE9MGMU<n6mM%n!>Sdb0aW?COKyT99l{Ok78Z4j>Ao8Oe(-_q2#?Er2Q6%^N4xxWQZ
zhbW<T78@*K;OWp!&~s`d{(x&;@N^i4-Tso5`x|(=?ZF@`ewmlkl&bCm{3Z@)$vR=4
zhU(W5k2NR2imyB9)gq^*D{cd?rq_U+mVL;xv8SvYC!+N6o;%cmU}j2LN;PJ#>xef{
z)paT=by&EQ3PBG%83Sx?RUzusL7BMTTnHn_LRE+xp^_Inohn)K^F$>}#%%f%c!#|6
zDT##|-KB`)ZM{?V=bXm6xir?aTh!X1xsr7?m8=i{iZA|Me=4FVt%0~5_hLyIbjcxB
zOz7n1^IR*5l2?afNh6pmSyA#@uf+7_6{#5f)G_E1d`XN@vS3PkBc$09fC0!N#$wS#
zW<lEVvSJx@35HXRC2SX*l3dA(L6=LSMHotiAnmxX7)3NpU}+l`C5m#%sG==3;>j0T
zRkBJM4O2FaNk2|rRkBJQZG$jV^LI%y-<=qAxk6mr{6wOi{W=e2&?PXNTr;k7vTvXk
zIO<-yJ>VXsL{;k>=rJc$!1RFOtkx9!KSkz3AHkz1|2s!fkCO_n0^2;upvya&!%B6p
z^j@RxB^j<8Ds)Yau<VT*aX?z@#{Aa%e&rYaiog62Yv!X0H*4mCTG%i8lX%k|yd9_o
zB%9iP?yQ-QD#uDQ127=jph4YOV+=OzE&|42NH^wQ=_9%kQ5&)Gu0;d4Z~)-G(xQ;e
znz`T>K(#IWS=rQ7nmKR_Yo^(<X2zP?at3Z;1r%c?d1)P<RCT|(Np@xh8j3O<_Yl`2
zTyGC_c()ipVdl=Uo}^zR*6WS!0+aQPj_rC-CU2zwVDn3G%f_v03M5bkNF%2&%<UB1
z@x4x)^7DACchmeT*RQK@z4Hry`|ZFx>+CCMK(<L(9PP){G->ztAnN0*Yr8BP=7PW+
zYub!7#OhCmAiZc8EX?~`P*<tX8-mmYMR14ushoO&&e7)7ymKxXa~angN%QAx<UMsk
z%p7Dl54zFzTN%rc1$nn!FvcyS*-90b?ZUUFt}cQdfz!~yyDgr(<{HI|c1eJ@SqFh6
z)Vg}7v7~s>E?F3^P`5S4t|Uoa?UIMh3QeV4vs*~bI)5M0)h-FHLr(OiBq|*3b>`ax
zD@IOONUlK&+e+s9gHcmxKb3EEkZ#@T?9~nGR<vkb6W!A7n)wdlx8bNMBvDoy=_;<)
z%(rNNAj>OD<|N3j)*Q7I)c0eazV2^;Zt{=4$@UMq$<S{yJS?)UWWymvUcOSIl7LHA
z16HC|qQZO;T9>nHiF(8sk|)l(#jVFAN0zlNAGc7m3iXQP>3yG~wO7J>m5zrMsi5i*
zs4tk?>HLyWOvl3`ugDfxeBtx;b2}YRk1TD<&r_@heyt7(?v1e8EvK`(+KW5lf?v9Z
zGHv-G5C8lA;4gjeHavVygn918(qbS@SSTkw$c}8XjO}V_D4<~=8<KDx^vVu=PJG3v
zc%Y5Hh38N!xgZAVs-_#_C7@C&f~ZW3^9<u+EvRvg)JZOgdNizxfOAyOT~tHCJyv2w
z)HAGZA1m^q@fBhBfbx+Q*OGh5D^?I93?!m`ftG{mYRfVegb1ewbs;3dNdQB`cOXt)
z)lfF89VvIgov_8^ze;jcbE*QgYBSYn@t>2oY(<l17`dvU6Vf7$T1_XoQDCq;*!~v@
zlDUZT$QDtiy506}jp*kg1^R0aZ~2F>i6XSJ-n-jv9Qe0wj3;TayWTtzfdCoh^cEf<
z6ett~fGO%t+ZxccRG_|MVdjUR`Mx1Y1?qx@nI8jI!5Twj7=l!wE?AiPQ7<>b*bt-w
zErNxaA6QIHu!o=+XXpAyre3{iB-rayk7}mu)5YhRnLnc4R&602Q2A++vPpi9Y=>jh
z1J_7pHTii0<;%zOVM)iMrEr&`bGA5Eewq;H<l}2UjctOtqmnI-m7gYEh57i}PZPVE
zE~oa>U`x?;n6k98`xRm4pX<0cSI0FybfOxc>$q1_$Ni6f*R3D?i8LWXfRi3eINXzS
zuwWE`hJ`Pzp1<v~SfU6bYlDmc&>|yf*n_v`@Ak-shyxreiYYV%X9+q0vg*NR#T1(0
zQP`}QLK8d+n-x>&r#=Rom4MU)kHTg}=lSn*95*Za&eq&sjGH~l4r{bv)zfpe#To{z
zqO)%_Ot8S=R75JBfc)Q3IFa}B^N>vZ8zqhp>}s0+Y2uEX*fvFvHvf~_^d+SqxeMCp
zQ*2@{<1e!;lTGg>d-#}y5k-d}08ep#POAi%A?1FAb}4+2hAK>Ql(C$8ASHK#O<=W7
z&z;x<KcdtxojaMf{E+Lv`PCo)$A2brCt7lIedh%@<B7!2xB&cL{BPT3`pyLpJM;%o
z-vJaU4L*T)P}koDH%R0nsY;XyNTr(<sqb8HhmxQ0fsl)=6{Nm%L7>#h^96V8u<4Ol
zQ{TDZ9-NDkrG=QWW7;l!8S1+txW}|&NnZeD!f&06iPU#4So9sLj>f1MD~i5zNjx~l
zv<=8iuae0JxkwhO?d7W1qFz-;3)ePg5cLLmRMpW!w!HycV~g042fduVux&4Q#_N*4
zQNBO0Z<v&f%*|n?oSXTMi~C*HTrBvzQ<5d(TyY)M;HtHUItp~p1w~ZdnZYpjFQ&N9
zbLvNSogeKoP!UJPMD~aCE2ik}DKe+%_9lGMJb6-eZTP|N=?b}uyz(i!k=4r#)upbk
zjLCK9)p5OeMWULDyn1DuM&=?*IG1$0I4z;uk#*nacq_f*C_<#Y({twb@L!kSpSJvv
z-v7DZ{$Ks7*Nsmd0;z-ORM0WjudH@``MZ7SI9Z)~f4S`;G??;nL3ndaTvPA2!)ofO
zLxES^?5sLyYd}k9y}#Lka#pXA?7vy9=p-~hH7Cbv>+51?G+D7?*84jk0j2S3v(OQg
z7wP@2&VR!ju;_y?E4`1IO<HN)AAs0wF0#QZN?)>!JhCxtag~+c-=Kh_`jjkO?V1@C
zgY>(Rlc1AKE3IkGe0z|(<8Op-grW8Inv-?3D#`1`E2<g?W3Ow}qM7Z(sr?GRj{glU
zJoJfD$?G1#xy)T(SBO`9<70x7@n`l9wh)pyKvB?)^OT{Mx$==<pR`*(Vm@2@Hs`{w
zCKvYUzwo<1_g_V9sENt8EMzbqqOle>{4OdfRappwdr)D9AnfL`ve5R}{MD)~<dXq*
zh-Wk`jZ;f5%qvpI2DRq05THmlmEh0``(!RONiNJ*1dXN5iUt?7dL40UaV!1QS`6b*
zX>j9<l@3A8g}EdeEXDSsM_Wqu`P||o*nzi=Ba_&roq@~Jnv&kIHEq&>Ag2r}mn8Gu
zK}g8(87L?4ZK#isB&(`jDV<@|lKL`9GT$GYAAqxOwg7O|Wjig+*mWMAd#k*V{wrNP
z`1a~O6m=$s8ZOlV@nCT{j&xtb{}vx5TnhUq&m#`;iH2}|@)iAW>>2t*snmh#paK62
z@IaHY(-d5aBN14lbed9TGMGN%FvL|SbuglN0dZp-&$#rI?gs5pNXH{CJtYn#J}bw;
z#CuhBzY%<v#MKc;>d#Z+NM;-lm&p<8BR*p6E}tWuw0vtz*+KCgpYzGD|K)%OD9*rh
zgbpAIiY5T4sNOT!hnl7cVxX+Vil6}M5h_v9xM1mRLntEZBd<t3<AOoa1dLOQGol?R
zf`y`~b3PB-g`kplzy*V%X$fuId>PsS7YvG~4xv*cNIT$yiK1x$3&r=#CF%2MoQe`p
z3oEPeRYB2&ykq;lYkbvyh1PUIccJyLxlG9s`q_h`X{)2k^N%h$LYEARCPc|ZMug<3
zCZ<YK-4}79s4ms3k2Xcq94_900RYe<De!A(Z#%t6V^8*}3U~%b+#EP!+AV60*c=dE
zO+fhGAO3{@?mQd;MQnRO=zSe~G<i#D51k@n5_7)<9RU|a)W*^g0zza@+pZfr0xk$k
zgb{HNM8gP%vC+G-T`=2p1YFRP#w^gTtxg$&bOc-wJ?<!#G1@`*jYef5NJpRuu2-aB
zq@Xeu=2O!VD1uth+~67yGU+D^(h+b$>or19geb55))El9<N@|wM&2U0?YGjfSm=^_
zd~uOvuVUTIGHQy|;+h$5N5Iogi(L+b-Ibhc{M(6Tw2OiiF6jSVRz|&wy0gR#F4#k5
z&N~9+GVgsxN1RpxydHFfJ;$@*eR$^pO}j<2;hjFpV!62W!uc0G^|mu71ApNjHlKX@
zZ7~h%j7fY(;NSnc|M7o$EHbfrGg`wN_7p}yQ+RgQU?#KSE%p>0ja@644KKR>InosN
zbceYHM-1-{{Yqk?VfvS?U^cwy$i*3H%J+w!DbI%2AeJzb+XK=Cwaw3lcL#zT0if)*
zIvD;!!>eUoW+V49qcjUvhBv%}C58t!=avZEepm4ExqASL&ek)L2)szTe}HOszlSy7
zoiOG&r1niljd_^lh^y5i8shQ3h1P2IyNei*b@yrM&C6PBxA^{dXq^+S`aIq5G+d7G
zT|_=pQ}ZRCiOoxK#@VBPs#Txb?lf)r)*?}xe(GoZ?0@`$z<6ts(es&Bas;#+Gnc9R
z0G{Z<EBL;;AetPB?I7?FSVqwnwhL;P+SCPs_=6kI6~S$;N-_i)#<-xZNE8NiR<k0t
zX%U20Hn>KI$!=7Vu_Cpp3j(iB;&mcbynjCgsZCvQvq!9<Aice*sSr$fC~(&w9L={g
zEfs<l_N8zK5c;CpG)ZR6<9@Zn*ToNnVw7cFU2>A<6U{+2Qa-5OFm&MksZF4I6tthK
zB>$^BhVg&0&*#JN0Q-k$2NB%9qW>LIdhu_RN)?<rj=A%2qP*>Cy)KP6ZTTUM_XmII
z|9I)MB5|V&wHmLm6Yh5k`pw!TYrImJIe<mC)lZeib1uRCzJ>hOXun{r8kw_&>Cw;(
z)S>WkTO@kcc!k;u)oh_7&R-^Lyh8g2_0&V6XN?!Ee{kT`*K_S;EW@^WJD9D&3s7sk
zQ9Y(w;~3Ie)Pjl)E40uXN%T~@C|6AWw^>v+eV_jwl8Jw#R9}ff|CeM8IyZ7v7S*Pa
z0hKMv4v&6C)1i(`)U9PZ`8f@K`qcJM#al-egS2{RMM<qDZQZ=b%Yj~;bUYx&r;uAo
zfRVlq-_bOvLW=aKS3#y}nO+%su7ccL6=d2iY7N0$1-Y6k$glmGx4!ocW5%?E4`>l>
zkkmDFKEj7>*K?#RV_L!on7-rT0}?#y>~}`15QZv;4<HgF(tuF`8PzThA26<Ifhvf=
z!h4|f%9w&n(E^fwAHc9N1vI|3<5l<&#uR0c`fHCGQ<|J&smcejo#&?p1?6zJcKoYL
zAt1018FsEFdWc*<Y+`)MtCq`--OVRT^lfP_Y|OTFwxu!x>iij-HTlvDPoBScuZ4-9
zBg1S1D9@icEG`ILhL4>g=JwOl_j&30^LtO@my{pnS^Si0Zp+V~W#mWa&wXp`7SV>w
zeWAa_kGNaKW3EPg^=}am;Dz9#^kY8uxqIg?V>$l<_s*m0a7*@iRRSAnmsTvwaRVg_
zUgGq^#``|~=bw8%Y^mn-+QJ4rWH#cWXaK`kXT<BmMpQsn8?#fECA3tWZLyw#oSq;G
z*1cLlMilJUn3XJoyPeVI$BI>912c^kqkuEF6_8xmAbXP7QlcKz^2Lg|uyNSSwB`<o
z?6n1^A(#;b0rFm=$4$(52#PJW&D)3V7A6#S9F|{3sZ}MAj3_uPSK1^EB*mg$bJXgp
z;ky7)0MI}$zo0vZsu0-%Hs($bvJjSX_7C31JDicr3-WWbsBBJ=k&~U*kOF@P9a`Yq
z08`J9f*DdUrq-#EKj<y5X{8J7nUBV%>3StbW2?C>*7K3Gyr1*z>pt!$qX?!q<6_G@
zfV|5^>#)27oZJ~wP%JONfxS9-w!E05$+8--2WS`5+E!S#Y<UrKvabvfy(Ok^Hs&u|
z-VMgOprS{?z0h=I>z6IBg}W3)hgq{?w!C4>H>;k%QCr?(%u!WrFS3FZgylV*L|V<_
z1(vs%Kz>l0_A12kxuKm6??YMxo2J!!>Er9K`oH_%`H`Q0&seB&K%^nXe1;k=?5I+B
zJ_&%@sYU)&3U9xKYJks;@|ui?%vz^Xcx4j6Km?H$-X63?QYJ@kU)p~1ido^s$bfa%
zn}3iM9*S;;p;|9`NbE}Cm5Bi>r9{f7t`uIW`UB>?JBp!-i2+Msy&7#>VW?ta0BEwc
z={8J)AX9A<Pz-0{zkK*o;sg1hs@0N)1Ia_(pQ(n5zKys;g<h#roa+4HIL6hg|3w@#
zgQ#A`AgZ|<cXQRaX%=seW3C4p{h$BbpZ%h{F)~P*5FOg$JfraUk!vGiE2iu)owdiD
zOW+QkSQs?g1+5wf7{U@}wh^RRcfq}OF|&CI%n6Mk&AJN$q6cmYo_f~_Y$TtWX59rb
zX9hVr1U!c|i)i&V(ySN31+26PVnAmrNV8r9R~tq~Sg~^!Kih?GO|$NTz=4CCf~Xoq
zM%u+3f@0QPa*rtyCW#4a%|$9ng%P%v_zklkP&}-^j+k{{)0k>;nHWXRa4Y{pV%A*}
z-vU$JkhaAavKb!fI`i$Z0ijrPp<wT7&FcPax9pw5nqaMOZ=?c9>>IIXO#CkPa`&z?
z-=TfiAqUJg!7k4nZ*}(S7NP%4*2Eyu{x4tMBk+AmTR0l+WnfXPIXNSnJeg$_M%6Ef
zdT5f${)~Azo^!o|o-bW-D(Qtv@9q~=ru~Co(C$2CcxB)DE`u-Wj~%U<w#>7f`h#1T
z;5;pJY)fMS;)ffhu@Fkazz>neqN3Uz857judhyN&o#LK@fzlU-0F*@Fr(`<-N5aIL
zHs$9juyH^%q4iG3AK4o{k`}yl06A^>)>;!be*3Hb$nSi2P$ud^t)(dj1RR4>jEUD&
zYgKms+rjt^_CBR~uw7QGa>1}PKwGxTq|#)?(qKeStI4vZ!QPG3st(;&XdUx4R(cH3
zZYh%;&|1@8V^(9pmn&xlcp`;8D6g2cm{9`Zsave2^(YHgmIj@<9E?Ysw6<AD@%vPk
z2Hyb;xs>dd(tK2{DG#M-aFpDX(H9Z409PwXfzO#FPD;u|S_Lv&HX1xz8lyZ0{2z(E
zo>j>Ahlv6)@L0U}v)7ge%#P{`036e^veg~Jq;UL7xK2QM>W5?0DnuS|Av#rcW=)B%
zI`+aop;#iD12G`nmH*S#y;5ge&#B7Rvz$6YNslsvU>ncLIV0j8`oyd18-ff(o%xXX
zz$Zhnl9xRUfozB7Kgx#$_Qs?Elt>q?>%vB~mEnGunnq{J;8Zg)2gWxS7*D%Jt*M=1
zs#gP3{R2<F<4Zm;=B`9wj2N)CAGNzu{2CZ;W2FjnobBP&yDJeG%LvEX7H4C)DS`27
z(F@SByMna21;#5PVCxl=vf{{B4~*&H)Pj|}5`i&1rJf|AHTH8MBDKOMFi3*yshp7P
zu0&wGKqFv#_Ux`iV2tp*7i{O-QvzeSKr$_$I(v3k02Z>q7@=v;vB~~q1jfsSP0WmE
zL)jbMttx>re)ra)6n1w1l{O_XUf_vqzk79$JTL|enJ|UNHyU+;)N2^(wh7N>4vgo(
z_|+U3&mPb0@!Wgv8AAa-%3$Je`G&{;jrT@{Uz=V$L1Qg2h-!R95wJaN^D1qs3%)8E
zbC#UT!NweXDY|bLb-8lrwf6O6mTKKaqon}<*3sqq0F625ho#5kYl8ZkBRW`zAO(1R
zl~M|DNeqP|L<`@?{FwMYqqdwDJEg8uf;;`|aL25;0TqdJIt1l<braIKiJ`QD3Jvx9
z=<-|d658f2p`0Qq-(YBY`8P@&PhHG&piiTs7E0Tc$q*0NbJ$2-(08_{6S)ffx<Cb%
z)J44s#Kfg|Qf&D-lkxDfpG~_(b0*_@O8kHSTmQk|`ObhU>&<BG`s5jfM)<&H)-}o?
zwKYnwJJ7#t?bHDzS3=M*Mm2i{MigT51?(GwR+-0;2Szbaw#Nrh<~69%DY)E7?@tzF
zCc_2M#jkulD83n)y6r-7h?xu*+zHj2thg4_npb3$?1JF+0XSN0@ZLtK$BL54aLIxi
z#E34NF5!}+Hdt+-#nZ){4S(Rj@;|kXz50PJHH4KLvW_Z!Y6ZbB!T%1e9REfs7+*&o
z+g~JXW&#TiUh8f>jyk}rm%w5w0@+@M5p&(z0v3>8{<}Z?N#8!I$58synky2Ct$NDw
z31|`7Ai-Iyn!3&EDeEyHm55tGjc|!h!baiI-zjhYF($LD$Br_YWj%J-ui0lw&A72@
zxa*Qyk8ORF&t|zc+*Jr>J$96!tkz=}GnAdC$5gjiJfRy+|Dyb3Gc-E%hJ4cFUa1zw
zxENDnuC!()b_dzbM~8*y`jyx}`O;teb+=B!TejKzT)41yFvVN8MTT6rh_cdE;VlCN
zgW^OBm&s)%(4iR$W{nYD(4SFYP$lLyi-dwva<VL#OBR8GS)!WOtXP$mHW-!+B`?^>
zei<Z}l`!HAq>_RJ;l%9vDl5EYLBRkU**|-}JAr}$p0S5R$+ri@^M+U%DnRA35?C?~
zv4UT-z2g-MX0yT&Qhs-suLkjm1XI34#;H$K5df^!cYgp?PgSX!eY6A=qSxlfh6;~Q
zYE*_0FnZk%iL@<4+r;sWF>s^cf9J_yk6-Czu!jo?Gu2d>cDsbX`y0RJ^FIFjgSA{8
zx=Y9H2Q+=bmxRBIj<VK`t^D0GV|u;qW%#qdn;c`zm4VvG9(4A1cZl%Cjom|IYFA)*
zr`g{{)Q<K7DB=BKgBjBGiaBmSnli}#?$MM%<?kx<pXSbufg~=n%E#l<*yM-|2)I4k
z5Tnk=AIn1sG~Z4Ek`i*x)6)mIkvaaHh>#?!OCHZe<ok0KnFv*V!G<o%PxYp0_0-HK
zJE;`?zfhIwxS^bcp5`jijeZIKx4D#NlTycX6FYKa2G(0=J|>8tqAwD}Z%H!CbO6BR
zr;t-BdOnWlR7AKj2~97aMmiz4BNP9?Ga^9_iuXCd?U)f+k%L0DSKxNpj`NFykv0WC
zlnMjswMSt9zwSO22I@_Y$HZ_v%xlNXw%p#Dpm_;z_qcpS^IjSWZ!hG?@<WM?AN}#q
z_>}L9LaSN`SM2T$+XM$RJ{|h7?CfPGB)kr?&u(*eGU2U~z6d>6m^RV6&9#rYXPy<O
zMpn3W?d7&RARK+f)_wyD#L98hfsp=X_DE2e1?W&nt-b3EE#rtPN$~;+&#YJ#EaVuV
zWIT|@IkeYU#Q>nHB5ynq=F8r<sgUry{n{||3j_|$$*ULuBQP`*y+`i4CpmC;kUd(g
zrHMT5&Zuuqt>g+gJ|97tyRr-6o<E&MLTp&`4$Gnt8`}JQTVc?LeCbBjHk&-ham_n7
zQa=oh)YIJ9o-&=$Q!{$%g9APF<+on?#@9x)rZe505XvUJvwvEv6QDmq&65F641X81
zp;M-BZx@96&{l&WMKM1iC`P>q(k-IkM&ZzTMKS6whzyssI$=V+6-Hu3G3q9`*)DZ7
z$rdCow5)kWNgJ8q9_;)8MbV$oS;tvWjJgTpjHsC+xFIQwV#easV$@x5kGUv}tN_PC
zr*eI2HR>jbYgDAu?!dm@Mp6=cCJDYsz^}O`hz2z7jgzZU_p_s(jmzYOE8wX9fA-!6
z=(aQ~3!4fVM8c>cV8%egRT7N3b>#f+bB;k1m=XCIP3q3bBoxl&&UE+C&h$4o-R&@=
zNWcN9fYCzy4+)@U05L#Pl?ozGK|+XS7zjuO6EKE|gCKz-#Av`^o@f2MYrXH@-`V?o
z_k6c+H+!h&JNurs-@VWNSwGKu)>_q?qo!IzqH9`5T^BE@ZLhmX4VcGlhMTWtQ<opA
z1J(n$!4Bc8u0Nb*Xyy1fGt&-j4dgv$=G1us+DYaV+sCZY^XTlD#~$sGprmJ}CxlcC
zWL#N|EI$<Utp1bde*XK$Vjcs`+3W!xHr~K+mfLa~pxca4h$yw*|I01rLBA@ZUTn6o
zmA10^SLc{#zh%`26z*}2de!FgR58!#_$22&EP5P@Pmm?3%4yct)Aq1jOQXPinsuLv
zHOBez2cd|&DR!EyNJCjYOjJ}=XiYvL>qiynMLnt_hmfaGaq%fH$j|Xje9{$%;vGGO
ziMaz)q_`u>g7Lp|1F1Kl0RmKDM!e+3PKXz`6U0PsCvA#P<A~Eeq{eQ<E}i3^tEcWi
z_uxILi8;33U8b(qUrp(6j<)R+2Dd_LvQbhr>P(|F*U4U{PWDrN_XqYbMBJm@ygcqH
z4FyXJ`b#y!EuG~#SzcobI~XXQ)C7KL(7UX&JbG8rXy%@a)DcC{3rmMO<}&VaL4cm<
zv&1-|13#--k#Ua;qDSvh0}6CLY6WT7i=fY!MC5@E8}&JgVRuPPg42<e`z;a3Mv{iz
zC9P7G(achsDCOTRhTSDu(xRuiIU2#uzQU!f`$Bem_5s71Yn1l3N2faWH80tQx0qnH
zxz~`#^vl7HOJTL;({%HG8!?r-2b0@Kwlmsf=2|j}O5{jJ5x#xS+c1!*ni3(AROfBD
z9cGhOx0)gcPAZ(kly?%JFddNFX@BI2KqQI05%OT?SaIa7kP1rsql?rzO`(JW6Q`q>
zC%gcRnCos3R@q}cVq$I_R!v)eD6IOszj6O9cY{u*3$@zUnD_u{2D*zAb@I11O*UWK
z)GhnUnku<qDeMOUt*3^v_TBGL2PhcPfSS(Qw<~>qQQogGO&mIF-%6jiIw))3lK#e2
z++Hu@tbIGw=OdUDectc2%h$tyHrq1+l@p!X*OXcN;t8}dC0osmU8{Wooq^iRH8;z?
zPR(~`2Wx_Bi3%!oOK&fczzKeyxJGQ6h&8u6n{^f!6B;3Y(Q&y(-#j_GHodCOv${bW
z^37z;fnQYJA!%bEYhv7JYbEk6LS~bV{@A3xllB>L^wB_(T3mH$uoHAUX0_{f6E4a>
zKFo%q7?>~=DujU1KD<yk4Z0>0X7(XhBdYLi)G+G7rdo}5vZgBati%NZNJ_lX+N?x4
zOKVGXRcq~Y*aB;$`1e`s!)l$=K?yCAV5QRWq36$O<tIiltcG;vi&3E1yit!Od*_}!
ztMT^V^tr!w!aQTEq~JVbRX0J1qF!=F(#eu=I)yG+2xIVKdxMXum0a*-?KqW^3q~cy
zz)(l(q#}6vO7Bxc9mQw&V`B!?byFQjwVY~{p$;qh%{9(&c5y?g$tT_KT+Nmj98i~&
z{pvUwu5!8-d!E5~9%W2|x=>;Y$%gAY&odsF-#E`;^bv;h490i8^F=AZmeFEUFv``E
zWr+{}`u?B)i6~1{z_?}e?GI~IfRIv$rm@QJHDno)l_dVX#aEE@G_uNB76HIb(7KzE
zA+YLYjc815eFl9PK?o-s(+y>AQ(lqA)CCV9`$@43!kle;jx?q&cvJ_U^&;qt0tY{@
zD2abN#|~+Hsq8+i5M(uiRc6bZkfF-D-`GqL3tJL!A22s2%T`P>)yJ;bd?ips+mxmU
z?Wox;Ca!#Nb1Q$rdFB`Txz69~zvTp!{YyXNu3tFyE{yZl&U$h`9KxT%g2pGFO#Uyv
z8Tv%YM7i^nlmDG(Fus9g>vKRaF}2C^%6l4?SAwe><nr~Azpe1W-sX|zhd`&#{<44k
zzSjoikKzvi>#p&13kbt;1!gQX-VXk+7Qfk7rtw_xfK)z!1qkl3kG#<#$SdiByUiM7
zi-0SDrnA+m$PlE)D}tC_Brpv!1J?L41gY^{uv=qjfvmVgKA|}@HJ%HyuM!RopaLLG
zdydq2E@&0on6--;2b!XfLsR1wL5#H$J=OuLQPmiN5<a*jFn60Ji*fYru|?w*N${(r
zNyQEoH|@d2J9J6NDIa^sYR2k^PtuL5x&n9+HAB79|KNJ*gkqGh9$I#u^7apT{6Hu&
z{c=b(>LF&j(_*`p#?Tcm|ERb==JMtO7&f&$ryk{Y=uY-LkLa))<MPv%A5xM3>Hh!x
z17DiD2Mfi}ad{(YB{t}CjWOATtb&>-PNB0M3mX(8>!>WUpctDX=xk8b6W0_*&5HV=
zOZh~1WQ&P<MR5v!#X=hef}$u;oTE5}CKx17xSNXlhM+iwCb)y7g6L92Q6E~ILO--?
zXS5s?x>NquN_TQe6qaiSbKn0p>J*x!DL$g@I~yk$XQ^~2m&Ct|wLw8j!v?jisO<;}
z57M@Z%LBu2uBANpE{Uv|o#(bstrc9pNbX306|{Y0P?>$joNT^9pcETtwj`O8WWGbe
znMG}p)-Af^sM1%Z+vm5c$_>Q5=zsmf`UO^KyDqi$xic>ZSJ_?T0ov<4>)<@3{^YEK
zdvv^yH^|T0b9}BHn5$DBV|9w^)sb7&+R~Y&bs3h{pM3LY{DzMX`n9GeJY@4k#U8n6
ziAj*uxAeb#Wg(jjR{0tAM?#SPhzpitbu{(2*>oY8Gd`%J<V+=alt*Oi#fF9;&7H4^
z$wO#nB7%r=8bMk+7qoLk7Sl#0<?aOZM~Wau42tuB;l(ztJPu8N#0AlxM!O24_rDPe
zTyWHW4#!4nBuDepH?f!zFU2Ph#r$u46QBG${~O;7eWFx9iIaQ!;f2N(EOa0sC94Wq
zQJ*U^(eQ%mc2aQOEB=qVN!1QH&H>@4ic{c!t@fF})Dos-J7G7CL5HseK2=JcivdeR
z-TDS?mHAIBUdQ<*{?uvym3XV^bcAgcP?AJ(=R-;MoQ^2pUYs=B$H!+Mr`@92KHg4`
z+~4!+zw^7U!ak}$*~aS~dJ<^CBZ>-7#_KEO9EA0TnY3+0nB#S@<5)t;N-tz-n$S^_
zI2MhfZw`V`l>Q)IHx{$UZf|;H6%Jxzs@TU4I=4jtax`e^5k|s_rsn86$Lq)S(h4Y%
zM`@vg9Iqcylq5d}>|mJ^oL8*l^&KvdM7N+wpRC?Aa2TaThi!B4Nj6t4>;O>NnqJ+W
zoXb&@JQcEZW9omeGo}7L{|?E-zfmIPa0UWXF|^6>id{{1NP&rDM_a=Q`o&{4oNdD#
z7|ydA=HPsH$<ug3ayXNsp4sqD*XoxqADVQFX2U!E7Of^#cqx10>a9Qddp;+zC*)?d
zhWD`CaE=@a?5Eo%oa|kY4e!2~$$^#xmEqNqYcP{&Ip12pY<R765d<+!t~KS^@S=ab
zQLfRjp%u)A7fqkpHepMeMI$(B`_w)Sb&_|(M+-7!^uor-r)-j97bh*~0=udQkY(od
z4A35kdo_y=t~?|Y|Hf5Pr0IyP{{?Abxv1)1gguz;T|;?hV3-3n#C;Xxxo02w_@uzB
zEzFVpSU#RS9Lc_NJMAxpJhgqU7|#eCt2!OoPW$70Gvk?Y?>TX*_+x1~xjGSNiZMPv
z&b%QGJGs*HMyi@5t-t(jU-Ah*9BM{`trq_ZBJ)5?_{?}NEsc?xZ)QBa>5UoxDu~(v
zlc-gDIAm1Mz`%qjRw?2MT<t7k2thtMw#D9UXk;vc8%qU-V8*|8g`W(Lg9ZX2Sm9rV
zp1cNSqe0X%{uQ&WFnO~Tl;_D$65nfG2N*0yMDSyeYObO#RDoD(>8kE}R)qM$|5Ig@
z^GSnx#&p)11stJ?;*%fP|Hd~%pD1-&gUy8J^IZOuglBXMCU)k}-92nU-<RQ203yL(
zIA6ordgmf(Q#IVQ<y&Wz8t(gl-Ov4&_XmQZ)CJdY&ig@|afK0fXkFV9o~Yr9;C>In
zCOj^Xu8du3Um+Dh4d;TTsU!weSXYn`tVmhF?WN8Q%}#_LfR>&@99v>VYB*nU2Og@Z
zLU2N*7&;45!?_^bA}C*yvR0@pf#S-7)Nn2cP6S&YLvVexwlZ;OYB(1J<8}>Pf-4f~
zsaF&Y=aReQo(*WYB)Vj^k~F3+i9>V7AUgZP=WJPP6)6j|lG)0O{5Gwdi{CS<HC0&-
z`Fo;@^y`~V@1x$*{o&_vV@SEFz>w=F-&{E<%eKs6bj9g^hgOb%qts~y+PHMY?oa0q
zS|<!n+&NAdFowF=8f9nafc=kLY%NY_IFT16W!dyTe^c7&eaOSysG*9jcdi1RQxT6Z
z6*27=wMJ-8)n6u6|DLz~Yp?lZ!LC-1zzw<i2jn479CNkVVX`gQ)yECy&^O+F4pmMD
zKUJ#U=eZ08KRHw><(@->vvR0%*ld;I3?{hIu<dukHOQd~x{rVyKwG*(Q)jWdA(%sz
zli^eqs+^3cBpK_MeCH*k=%*rWU#b@U$=5`kj86;3MOTC;PE%?H%9^KwG(jL-GKxrh
zQQBnZK#gxCLv-6BtcO-gS}eSLTQT?emG09EvQ+qqt15MP$o~Q+YH^#np^Ctr0aT#_
zO9fOlxRpSe0Tf9?6^*KPci=Ll_geb;quq+fToYm-CPF-9JJ&;)usluH#N}J-#t`e@
z{?V`a&QA@^tOYc#nY}p9ct<*tOCtmO%1Bf$m`Vb5j7YAcqh@x&ROz=yTDdNHlbh$Y
zachJSRF4OYaZ>ddhvsec6%Pn=OmJo8EFma2*j5C0%1Qz;O|ktk0#KTuog>Tgc1HAy
z6(vdR=eXRE*bENP2DI+x$B1V3le7j_SaNx=Qkqy&N!@;yT?d4VYZ}y*ucQ);{4`fc
z$jcSlY;mdTODUS!kB$jsFh#tU>uoc;YgFGhDs*V{VW`quN;#`SekrPC5d3N{%ejZ;
z%e#l=RE27<%!s}oQm8-j^*{W<FAvOWn013*;K-*j`G+c*x@^Hpr!^}8&;|`xL~yi9
z257qoj#kOYia>crt7Hi8^BhO2WFkSi>+^U-q1ul@6444k*lrM-DwAnMp}OE<O~MZo
zl$^I+3#vjj$pc0|D(hz3_4zrH05VC8_C|pv*F;P7`rxC=PZiI2rHDF`T1YJz4^^W7
z7od-PdP2R+wt4SdIejaY)5*IOw@4pSc$ZRFeXe(T!xZI=KRrJDY1%Dn4a$r^T?T*p
zweS1QpZhx_Rjy#5M>z%!-aT2gpA$)CI@u2MN!s*BP9z;q6~r^j@k5`ihtG*5BxbHJ
zhi8=ID?Vy9e6ms3`TAoP&JCacZ46*K!ee#m-Ie#hckUe*xjQSuFqC&>)=N{}p!FV+
zp#a)aRgG)R72tzxCt6Bd4I(7Ze7pv{km``Me@kjTw;BZJTXn+)dUQ^Lh>lu18@V`+
zoxJ{aQaWM}A$$igdeAmQtXqdoltSVWJ$nL(tvBM0_ZX*Bo&9Ocx4N0=$&bJBW50JC
zJwex2`0%*qAcoWP%%QxL#5;~~s-e;~=*bh?CkZKU6r%%dIIe)WG`~8dCm0foYH%VQ
zTkwP$!5TfeM4zN)0>}}QKZF!;K&HCImn!rGVG|px5Q)M}-|9R^0|=_Xr0=fNs2V@K
z{LvE+A_ge@t@0CPmX)7idP#Y<wQJCJ=Wqg<KCX)O&ZXc>i@{L#q~KTD9z$&_PNQsx
zeuP7hl7qDW%XtFGRE2tT^yK${&%g5p<MY8^x<uj0{2w1(&uyJ#Nv4Ft-KaZ0uJ&3t
z6p6K*C_I^~qeAsVpH9`$UKh!esXC%3E_otVXA0FNPctg{vbf|4MkUsyy>rRK63I`o
zCEJ~J@ym73;vTsqr`*BnfOER(j9+eF-5FKV*P%Q~aa&pQP3D6e-t$7igH+i+?xx$}
zgwrM^>XGa0aEp6o$D)d}t`=}FbahYH&hx?VmX6y4dBk5%^T9v=fBudSd)ru^5wI_3
zv7n<U=GwGAWA>CWqJh}7CL~*zI(y0oC~R@>FfUu?AY|V==NYSnB>4?lFy|Rj+)A>#
zxPf4y)PgzBh<OG?yD7Ld21w-ksyyRytqDoUpY)W`wn|HI5#0Ctgi0Xhkpw#6A>@!e
zWAE5ip3%k=GIzOaa)Pa=jNGPV7!K&(RQtb>%y(zM?>x`A1ot#wqRC1)DcyltdDLCg
zoaMBRRkoTUe$siLv!i_8xP}%bk#{z531NWv`*)8Md4j|tgY<4PLT8$vdt+Q;+VVrV
z#E<;!NB!AP|A<$;3hb5OZTIj_?c9bYrC_h?YwEy_CLwJLz^Euuwmn4)P<fw2FyozN
zC=WRKy|$+r?>rtm_6Wd=V99CJ9V_zAl|ygYhZW$!ZUxw=IdsN5F+ywuZ406~#*Nk8
zKb3FiE8@4Z3V?!J8L}3P^f$ecZnO(xzB7C1fSA{Tl;0d$a0!>BhpCb%7f{<_Ux6MY
z+SVmeLc}B_$@pt2V9E!tiSrJhfZs1Y4?=QOhZgPl@cxxE<L+bRsA|6Zs5`PxnIGq0
z4xkTM#>sK~_)wt?9wq<h3siNKkgqE#?|TDiKZQ$IQ?`C=@n_9Q*{xWhLlWl+@u5a#
zS9^QYQ@uE#v7{uzQQB$)&eQEpkM*L|(7}{q>^2Qwl1$I_A{u!ww>E4lNu~#Tfp+5v
z3;70YbbeK}CjAKiMcp3F(B;X-6mxZo(wgbfUUcAc;uql^028SF?LatU%{}}u$*<$B
z>b3NRzaNrE5J+)!ye=~OxBl%?w&drD6|ESigZI%~C4o;|5?$a44PwnBbWkNZ{Ux|6
zFTquL3Gi=Tgm=I965z{$mjM6jF9AQEdI|7-^%8J9mlNYWa#aa8ulGjsE5^?ezzuz(
z1cJJ^Ui%i%^kEEA0;GiPn4-G1Sk4sHVGdEwk1$1DA#fN}R3l*A4g_o?lZMg(4-33?
z>3|TZ_LuFn|7L-wKla}&7kDD_{tW`R;da^|=bI6@&3Zw6Ai7s=Bw&aiEZebv!yVmp
z3ydpEr-PqU^%U7i5D?34alW~Vd$U_?tNaoKs^2f$as2IivCa9K%@Mtj^Z7%d-k;lP
z|LtOnAf&V_+pZSd>i2J(-!I!~|J`Df@BenQ*j5!t+a_Nn+p#|`SUw$nyxcLnr&r52
zU;nyo(vo-XVJnesxru9C(n(lqyHDvPF1CewEcvB-Cl6MknNL0Y%rh@O``ptQlws3D
zo_hM758k`Ly}|#jBK1@RpP49E7JTvf2fb-6T>9#1dJ}e>tEbTnq<4(#b}ge`xXv@&
zRR$TCL)MdwpL_P|-SPXdHEjU0?B>6=-is$HERr~4nz^#npH_a-wS1E$&K=i4e!%3r
zLcg~d_udtYyEiOXPI&0jau=Sp-4ne<)9wm)<?krF@|V5r?+SCB|K5ju$mjj`KyVaR
z^_ZgIuV~OEAi)v6F}0nT<F6W1xFAw!Y%mSQenjC5OnZiOsgPU{CAK6sLW6BNsJ9lR
z=5fK|{($Lct)S!3)G97$Q40GK5Xz}nr1Ew_^zDSdNB3ii6t1`*v0{ei5f+h!2*DLP
zsx*SMhQ1=uKy9~)W{Vbb#flPBxFpJE5kbk49Q+_A7-7cpEi5gTn8GDXKhh(HF0?;K
zVhWcm(?c=AMt)CR^GWVh!cr0@lm7LUQH<-pll_G?E!^+9827yFr(|)$6%dRjBjV{r
z7w#iUo5Q-}Vy8{)d!yQ1d-%gth?RG9md(WHz3@UvRc2_|F`%mVH#>G5T%MwNdFs>8
z`^As``2S&yD2}-UM?_U5iUYV1U1dx{ue>pOGivrZj0=JTeH_KXD}taeh#)#2s=A03
z#glVE=VhRrupvy>?HNxtd=zKcRy^78Q4nzxj80Yq4Id@i@D(w+2JjF_-I&F7k|@4g
z?>M>#k_Wiv&4pA%+}12V2jp`JPcuthD{uVhkU(gTHKBRyu2-Y_RN;?4r95fVezH+^
zYJ$@y3Y-!y>alYO>H(-8;DU_}T$j2I^}pbP&ARl0y7V5^BOmS+U_~ifOs_IjYx)*E
zyn1tjs$+QJb6iGL9YwRMdt4OeDv~nyy*zD|QXcmBODJXjd)fD7MD^f3?_Rhqse`TO
zuipOFcSN-H{Il48@V0kE$R!cRl#z^x>L%PGu|_k?{OYOub%=T*dYy8M&guL33wQ4P
z>+kyTv8>CfWD1ch13xh};6#pu7QG0bN-9tdxL~1V_Hzn#yCTG93{w!#po3eRo=M;|
zFzKx}Jx120VZx7EzyfBfIU+Wjj9&%I%D-pJc4MPgBd-q&@@nT$_w+&X0>EtV;N`@d
zvxrSf0ENBQ_*EIH)A<E@I}V4RP8tAmD3T{3_MDMgoPgL7XA(*Dqr<P%k>9x0AU4zh
zzvTamq&AX5dI1>;jhpSOaS~R!xax}3PX&<jwxh!(o{DSncsqyssQ{Y)NKeHHY#v6u
z9uzUNV<mJ6FdfN<3?@rJ8Sy3HUc`t2b+1A8t+07%<&XwlAeTVksbJ%9j5ZZE4}l6>
zm+d$pa|s!nM=As%Sk5gp*gST~=Z{nav}_!oxsnQ-AIVK1mr&(qkorJ!A|GGez_@<c
zAs=7x@%TA9gw4VDdwR8e^YyP2B;ViUd)Uex3T)z9m*^c!0jkeX{GMrq^baQwRyjNc
z+%2GOgza?uimO%x-6b27D+><!gq26B8#6Zl{NkB+J^%E(pME~z@NyC3YSS5=a#x1s
z%7R08g}cnye9D**OZsm=?n^)BZv>WvQWYS@-f>*FR$!W2K|d=0rTNGas1gMU;?whV
zLCg)tC=e*Jj!ZtmN<xqd*af=-3LFW5bQ?6`Yy_!kT(I<9!TWEGdt*gn^Dc<Ky&Q84
z+;7<#*CGVzc^1LVkwk9_IxrT3#FC1ja@=?5cc46v{Hy6*xggdvENO+Q^39JCY`#e1
z)PxiPWK0@~<|W0ua>>I19<m@rXzoP<LnTRD;F8uE1|d9(#58eYUlH%mcL!Muo1M&t
zr`4M0`rMVr9dHwUDs_E^co2mJ0S<&pVeVyi!(w-5L5OFpvbQn^UY9<EtDbo6u7><C
zkf+(px*)Yu$dh=xO47YD-NVQ^jj2ERVJ9k(Cfkx3PV(Us#WFq~ILS27$StBpekpEI
z@&xoFlyrH^tB0xYM{oyA)9c5)uRuoSQom$y(trFJS8sabhZZ<#zbCd^jJj-rM}<kr
zk|07)W^~UK3!`7TQ8&T;dShd`HXC$~t_8)Y`-*5oDU@3@)N!L;RurS|g54gL3h@gD
zeOZ%zJ;&8}m@gXp;ZSd9)#YZ49&SRXyNL;yMLzjI``_m4i^>eynQK9wd;3KmF3%<d
zDKL|CSHxxT8mgI+h^;D)oMxyh`5ec=L8vEZK*LrXIq@J;;49lH@M}%txjhNGdQb^+
zJ6-;@8tbLguQ_3K?BZnP(s<N)PkLG2z`E!|dW}Mut;-XTtT1IUa*L>*XX`S}0XQdF
z@jrd;$NZW5V=Zw`cDl*Qif)h6J+TGbgZ1-tps1d$uwSm&yBS6U!Ani)*6A^}8;8PZ
z4x-zx#JXhOdm@RF7msKbLFlg2p^sUxN><>I61JgXomjvuNTuV4?$+qJDJx>W!A<dN
z+9NSOYU*0tTL0BUsD~a@Jwz;}R>uE@RCD{6ewcNe#xB<8Pe~C~Yw@J7OGZ(VHW;y9
zP3|9s#TDu)w4rRR8q~M#cRbIjLOf+p?}$2O=vArH3E>)5@Wka?ZB20MZ+*)j`uATu
zMjSn`CoM6j19*?h<T2)!`LRx7+@W+u_)8e<B=xsJVyx#>WrnG7gJMPcvA!bE9?qmg
zwsXCLFI`hDE8-|9rpgChdHrpyNKNA_0yjhXGLifNDk?RN3+|Ukmi`V?Q8RJWlEI{+
zf|b;qV?`Xrgc-IL#gYKDdUVj-(_x1JUhv-`iO$LGC9jF2Yk*QJxtCJ7xaQL#Cu$K@
zWL|$}I#E@jdHTBHI*)oW_RA_Usn#c^L%S<R`wMta;ML_!c6!4_r??o|>HMI;Uc1{;
zk<*qRQjtIVPrvDVU;AMt)YpYtZhfJ6Vq(Pd6t^Bt0E~pcIv9R$rv_b0Q)*i*eMGG+
zmG0rto6wh4<Q`Obu=O!(40uDURI-W;r3;U+J;$sft<?h+8rEzSTBRbP&{R7wRiEV%
z6<I|F|9`obSr(zBfS@yv>p!~`0343cs{)0@^^r*1B})YW>JNKAr&f`r1Yo&Bn}phk
zNVM&1D*st@KCDA~^y>DkFb+)BE)p%3<fz(JJ!$}pZd`-*UqJm975&i0X~~*R5u}$u
zQaJw?er9~i-}7^PGxUiPr|35GfDBaCf*6tDCn;)79=2=;1S5D&+T@#Cc>DLz7^qa`
zy~G1Dt}Q8xg0k!D)1>fDs?+K;MISEK>_3$V{_mG7xzrTci1lpE+vDQ@^0>we(2p6>
ze+6U%?tIqY`l4?OyhfS6o((YGFU|8B5elk60P?F#Z(msy<$^j4l7c|_TS3~OBB)ph
zEdP=PCQKW|igXcN5V<1jw6tAGd(13I7r_NfeMQ%4v0N4uMPua^Q3Y~b_ewSdB=(Ap
z!*(kn&tZk=usKJ%2!3cIJ97HC(pB@J#YHHR-XQ^W1alGWtLeE7HG<0&z(nAq-|3_P
z{cN>!)o?2LhLBKW^`@A|OUcBc$IYzZKEY<w5|3ncdRd4T$ibU?PTpW8$$@l0q%o>2
z3j}cYQ{I8wVb>mc)+Nq2_fkN+<8_s`kq#N@__7_x7Y{OR5>ZWNR$7mqALZDCI-;j<
z3<OPEo;o<=Oay{n^RKMG>xafv7@Dncu<fvBk_%*SJvc`;Lj^-pTFq3eFsXPOS7E3S
z15gCd9GVHV_FC6v6;?XJfUJY0YAu*mSkZNd)zK<$U5zLQ+_nH>3!MoM6Ml<kXG1Wn
zup@@3XdT;@LlEmjS1JshjSr#~G4MosEQPWgjUM=_yZTZkWU8x~S+3vR1iHDDdYZD%
zwJK}?sF_vR<yBa!N=q*=%Fsl?Ic0bP5KW!s#{9`sZ=bpO-T%?&2F|VB+Z@EPUT&aN
z5=a1P9Bd7F(Q}_kxjNRuv83MihmG}8vo#FF@fFLoax@>ao&q5#9-6Iq0R0mXI}W|?
zq@Xt+TGX3&kHmN;A$FkC`APxtSW(oQued$v5ON^NQcIjy6w_;h-4P#%A7RC&BcwK6
z^B=Rva8d2{uwEK06o*#zW=kH?E?zoKuyH#fseEjuOPD0+ENDd`2j5$JwzQ@Y^i6WN
z->{qs%!$<+mA%cH`R-UT3>$1>LRTeumU0b6yGnlI3HFO><sK5=szDVSZZ145i=toM
zse2*0o2uaVmV$h^kM_l(REIwC7^fkbmT@wdx`@vbzUt{xm%4fa&4tsOE(N~1HUq){
zY;NF5>U(i(s&>-yL!RWj-v3({uMbtLJVg(NjkO3+oBTC;l1q>d#8tAdEEsk{{I$?k
zny%2N3PIkLA{b-);9NB;@&>rz5#cwGTQKOchvMb2B2}#mf*($I4s;0gfo}=oRJAUM
zZaT_I*zecoo5qS%wMB3ZEk-96^crb|nSTsDNf*Q%XZIvkd1paUwJuo@>wO1Z+x%=%
zwJzB$x8x48BZ79!sn*PVcZ^=8QU-s2t&IgMNvc|36PXDz{dtL6rvIp_RrM8J7O0j)
zUFjE10#fzgP#|9R3tiW0(fB4l=}~T@(Sn|8&3HF&79A}m?U>V#v$A~|Gu|gS#?+Di
z!i;F^A$7X{#_xaEe-lh&Ws<n(>Z~XWdf6~lA+b!K;tT77h&IV9K*!SUw%1ve>eL0T
z;s$T_60=CfiL$Stps7w>5Ikcx3xgmsX4(uZ1gTD45bcREfEj`yZmnB(8P%x^q5=w|
zm?+p`6mxaxQKeFpfxg~NT20>mB9gU4=!GJ4;%kv93dBBy`=ttC*lEkFmNRLN9-EXn
z$?lrRqn(2((!?f6PwIyYnHgpk(~Hh`#O$#hd9{0x-kcqKd;>nG-J(|e&e^fc4EX%W
zxBR&mKRYa#`i^ZtuwMdel7L{q&(AI=x}Jb?%*bJ_Lou>*S_K3^Yt?-Rve0_QIUv|0
z^`t8<50=X^{}!e$2Lv!jS}_VTv+zjrp>sfhZjajVZ79U30s=*x;FE(dsbF;+ItK)x
z#SogJ;6_@`=R;Qk!Cr}wklY=5mBdv*fSGzITH=~uXKRbJIJl%gY?E|FHt+{Zk5H#B
zYi$c)(dl2;Tpu<)$$Wb_;T-M&j=tVXdz$JO<@*B|N2xX-e2dRgNme%qjZGy<5bfd8
zFUofasaUYDG#C<Rq*^oIq64Boz4B``)M*2(e2+lX;`O{yFhoPIZi59xJ`%utp`*Eq
ze&66v>U?BQru|DVF{%G<gI3D%9(vJlVFZP9bLHQ8OZ3>Qb1J;|qf2|?I|}Ci!lQ{#
z`g4ZEBxh&(U!W$<tU$%5Q~pxg*ynVfv{j4zBZmW?L$g7H4d60qe`!^o56I^!ACTMO
zU7+1a)&7J1PtI!1F2fAd@zKj1>!;S};=TkX)wDlp(wTaBinD`FfX(MSJN7!A>+IZq
znDm|h&j){Il!9^j{N>5CB$b#a5l^Nisl!}wzg?0r0WC7XtdK{}a;zt_jRNqy;PuHy
z1Nc2_dq7iVQHn@bv{_pP_)QYirdU!0<qhs-osFFoqUpOIs!p^*(JpC(p9+q(Itb<S
zaENdoIwwA5?ZnUVO?;XnQ%fgUeE{j1^Ou*;U!JeR>_xjR6*g`8Hr5~o<2(N8C;Zh<
z3*cOXmg|w8ecRQq9t;&o&+gE)|EsJ1jJl3=8Rl{-9&YhcgY@jQTMMxxG%2ibnYu+G
z8txM2h6-C_I%p$E6=v&Wc-2Z%kC+GAn>Irg=7NDn!3m>Vnei?9U@jPF)EbL7f}+A)
zvWx&kLq()D>m|icE|T$c_To|JgNvW+lJGv!a|S<rhsUx#O(9qnN#OsYK#}dVT&jIF
z&S5L+N<F#;<5G>;c!^n)g~pOky2w<usnS5r?L&ZG&9Z6jp$w&-<=*p}$XyQY&(l5$
zf6eV;o`{jv_UPW0N}aa+kV^fkKk;*4`egw<=t8YZHDWHobFbg?cd=HfF>4RHw|%`c
zcn<amp$E<d1D~VOEg3v7M3UX^DE*?cYN^0;Z6QCbq_ax35qhGeB>>MWW|dl~DRAH<
z`p<${r82XpVeJm3hRu(uRI1fxL+@`7RtOmvvQnw&G=>WZ|B?BM-c&y3B5g;w#cLl(
zwgRQFQPVlKaol22RldsS%Rfk}mVHeU{xrcrQgXXyb$@`7m?hgvSy#1YzCk<ftIFSw
zf!OVu`3`9|nMMK?M7>_E`4;Vv(G`CjiID#F%=ZXYXFxG%aW@<;TVJI-G>+Zi$jsz<
zV%19WMxMJW@;-T5?L!P5(d~>=P`T^g(-|{iRqCm24p8V(Y+{=(?f`{t<ymhJVVTNV
zJltPD=Phj0utiGgTm;sj8K<xvumRrM2-{_dUWN_YzNi2?DxS5@$%;6?=?l7+KC0<}
z(snc-P-4NfKeq#?=(SlzFVJ&v2DC-3XV#(3YQ?i286hXYM4XOZp1>(&z})#xzP;*C
zo<;gEzUDXo%#Vjf(rCfKaK`{9PZlX0X0&+%p}1xo(<1qbQLiyDwWGo*HY)>~w*ax5
z6*Eo&Fr3Y;h#o`nHi8+aIGmaTBG0CQ3Ma;ZjH)Jm@lW-vDlhtZGdfglc(X*?s+7nO
zg(MV4eBue@|KgjWPo`*`H{w93|DF4KfRk9ksi^O$;8@uXy&{fE+LXDLMa{rDS;OZz
zyHDb@V1Lg2K6v}ni)n3=(8XCtKX{wyfo_WZS%h_ssCN)!MBo~5#1gc@!<_rQOz!u8
z|LM<J{&X}yR<qm&VCa>LtfG*Tbcl2Iva^fNLVviqN^@$tM%M&jnEF?Fxixz!0<Zx-
zRQB&N;n0VjgUjEnSh@G5+ZLvtD^J~=5x{BLyN_;LYxJaPR?N<QblX~cSwgH>1z<<C
zd=`ooNLymO^M$Meux<|mu7m*?($!wcYcQ?-#@O`f==tsd`{FEaqF<dfWQe1mXTQ~$
zM^%$<r!HM;hKI<YDtfc3Ox@Sad2tsWULe&O2HwoNoAK7X&kc~@zyJzuk5a%Ser$?g
zxScFHz1OrUKB^mb<KFuN%i&s=;NIJMBQ|9=`!Au{pLUC8vp@Y7ozuYbr@#EQpZev|
zGf7Lj-0WL{*IjEf#F{q@fD{85wO=$WE@;CM0IMvwHo`XqMLSrHm;tolhJlN$W<LZa
z$~8d{xi&DAI5coO#o$6vG>8kLry4vC%#GP}mdXl2iE{nWd;CuYmv9t_z=fbhxh4q4
z7X}-{N}^E|7;m+p#4x_%j-#9?xZFxMKCdWd-wuGX^fgIWQt;M0Niq8_2_zRCK>2e}
ziPBymG5bXllMci|K=RNMY4LlsSAd`AYO9oT@)g>OMC}%7k^m{RfDr1s_tRxukRSbU
zT%l%BE<0{{bCI;X%GQKOs4b`fruOO~(F`Y^BBQ&pPZs!Y)tWbRPsFMhKOvD8<O}me
zJX1EYSzcMryETRD5T7bX#Wt=7FI8X&>XdJ;kc5j9z5)sw2a->X%i^w!|Aotf7NKbT
z6@!{2n9dfwVJaziD|SF9h!KpG+8}H^tauGM!ew}@)GnNFh4BKyt!U`LWGgH}w&VO8
zXhQJ$Xe~ja+D&-q_U_D1$-Ce6&KK3J$#_r)5}tsr+S6{)?3CP&Q}Rh)^z$G5OVQ#)
zTV}aa;vE33@)8*gG|g5vjD3ahoodJhVSlWVBS^>eUUExAIweK$R8w3!B`z5K8IR0l
z)+^E}DT2$L<pmKHsjp#Q;T&o5To5!qO<#fxWV1p;kUGi*p}WBmq6dLA0<nnvW9XE)
zAo`kst^(Ab4uqx$e8o{CT5Q`@L;O<<)f9Xd+r!=X-+)>2uLiyrX|s)PgHb*2d#LHV
zV2PGT@&mL#ywA9R#XQ1!!aRs%9@X!P0JleKK_FR~H+7Y&9kO|Z*MlH89S|M8t4WLM
z7N!09%v9#7vgh!(&Vt%uz%bw<9UtZr>!*mm^#{0}j!$epZITX9%p)TD)F)YZ;kcUK
zr{o^4<r<aUX9M~65T9#2r}CPoE#E4Bk^;Z|Pk+zPy%3~8U8q%Bs0ksR0#6lUk5(6D
zrFGn}F%k2#8x)i@?|D{QXeo;d7Yc6I5=mu+kd@ZK;~wCN)<ww`f|3Gl3!tF&vpuf7
zjv@rJ(mH_QCy@tSd+YEYf>~+p!FmvK#tsAAH1G()th72**QnB3fo9YEYKf%$BmuQD
zID>jaDAQgaiKJX|w_4#!lfi<TAdOJs;POTn$sPLD$(p$6y`$&5V+2boS5}hLT38|}
zUlT+no%ef0Id6T#N7b+@MN`2^6{|`NCPz`gNtI6IZ|EV0IE8wUX}wPxg<sCcb@+8!
zKlY<UpFfz`8dPn0C@mt9)2foX4X;8dbA%%2=(d&~f=~eZD(gnkcA=YFdW6tP;`l6!
zIR`Bz09wUrx6pj{6{LMX{I~s@cmHB&U-jQz`#M-Lxos5Mh!Cei=!lm6E#Q@Ltdf-)
z>MxaXtU}@&>MxaXtdsqvDwO0@f2oXP9ZvO^$~e}^{!$f=b-KZnWb6&~u`c28SHYuh
z@w*DgLUV356A;8p>{ErJQTzIBJl$X_-<}f<rce%<@6S$}o(hJCcN`kMI(l`3Iudvw
ziDFgjQEn$TjS#MiH5_Z#=2U_D`2;0g1*X&RRDPF9+wxDO;n|09wL=}pClz{RVz@iq
zjz#%jU{X!@QPtZ?&k6>UX?P89kA1Wst72|xfKbe5(ExG2Im#eLRyqCccy-xMUN+zD
zv?*_JN~5J$A5J%}E(&Iax7GDFY11AffHl<zx7TUJ#UJv)KjW{x{o}tlvKg9Maw}ko
zCQ`lWl96~#ACj-SePzIb3mz0N2Z;g1YK`7QkZQ&SP05i(&S5b1iqtYLSj+_qbB--H
zfl9^&t?F6$s0MDtp=kwNFj(Z9Ek^&;hvuVD1mS;5bu@mAsn=MM&rlKEahwDF<=wU^
zc!ADj0O*odq)Ew@Wm00vip0RQheiq=n}pS8DOSMO#EVXb3Hj#h-f7l_Ip|G;A0*9Z
zESr&zo^KB#BmN>vV$^hd^n8E79I*jxxb<rH)0)){@<|oA2$tz`$+P|X(EQ=ktKvS(
z66h{xj*;fE^MAUCQ?bPk*gshvxl^&rNk8283fRMZ$R~=XdP~>+{&%(kZ@3K`;E&@i
z*#KL9L`l!gis=aX#R-+uZc%GTXF}y=2$i4z-XH(^_x{SM)2J9r5S+^BT}GS&y&IV9
zM`P!JQyDUaUIIPfkhabRk-1<C7T~{I;B(EPY3f|CjBf&BX(rjeYLXb8+eEknW)nk@
zzKE{~jF?kN3CXP_H*wuGDpXaGu>i}x;?z<44Y6W{2Oc)46{95bBABvQU&sm%#BTst
ziGv?ks4T7|CtB|NdE~ZO$A|ZGOLuIgnH1QnyCO8!(H3Aogs`di$5{pU>ryIgDzm^(
z$ZdGpHoic%_WazOPO~{?yWtWN2eZ4f=vHXj%G-dxsY2Ju&$GC1E(vlCi9LAyI(9p4
zZdiJv7dBy0C3|3NdZZT^Vv8RAvL-6>+BMTNy|AO>AwY+y`XRtCN+9<FPB2hothoc?
ztS(`C1k+Q!=sKW9@?fFZpm&}L=3c-7=L}t}iEGe4)(C*p_4GcpxU2$1<?EUAD~r-i
z3WGnbS+1Yww8#3Y|5#sDk2SW^V~wrx#~N$q$C{fX^cRTaG97NF#~KH%9&2tt%47Z3
z>v4&e`l3{pgiA<g>5NOXZHRzVoD<hSavP!*%K;PMj5b8)l=p3fn-lw^+>l^gBm*H(
zab;vXfC#AvjLpq<!56XM@0)IcKE-)CzPai8ZE(K(yaNgfE3OU%+8r_wvc>skz<;w}
zY%9PY0@ePq9Vfh9FSeO0v^g%e)$fNuy+60p{y5*9%-yaQTZ!M9AlfYNylyKPW+V(`
zJNCx~%ggEG<+#qhja;p=iEp>;>9fM(yF2`Lizd*v`TEywldijS4_ieTAP_fQn43Iq
z$=crARtKseo2Q<A=9%I89b8#d@RWeA2s)$3>wFry$*a>xow9nCV@~$-|MNfcsc#&`
zgQBQq3=dkeZJgpkEsnseXbv|>t;`w_VcHN+sNoJ?Ul+82#8?rT)E0`W;0Eo2Hvtbq
zOBa|c9(n{10{8_%f;VjNUY}!y2kr3=s~`%|8^H<>Lcd2iR5WW~;I?>KjRze!YN*jw
zz=mkpC4oy>;X&xjXw#z6v86rD3J-!eOC~ChzHW+Iux538;3JDkCw9|Aqw@Vh(=5gM
zJ8)L(-#*Q#!c!Fg3)$P^+>JE~%nq9F{786zUM|jIm{6m>;t<;3xP{Fo*FBB!v`iOa
zq9$vAG(e?XnJ&%NjKdcyYbMT5G9R)X+O%iBrAc2ppqQ(?Kecn(|52H%Ld6O52ZeoB
zmOy;aI32w_uKq!-Nf<C-eO%o`Liy`W>`RFIY^KdL_+UnOW`suolm5I{TH{ypFwwvG
zRUiHdUmYd0+Huo6M3j!a+7lO4HyAJ_Dn+MT>KqruU(P0xcF6|~A48Dl%LQo&C4Yrp
zGcsQ(cf_Uja=}tZfkrmQk%|@RT@=Cnh9(~8h$cu1o`fJZjSC*pGDi=+!^{;4utSg<
z+yx8Bf_4_t5GS7_y^A8aVFfDO5OmYDE*7z(co!~dFaSJPI2RhSCrNS+iX^Nx&XSVw
zf||1w@4_WPk+l|{sBBiiz}Gz6zN_uD3{JA~Vk-5^Cw;`9dK-14Uw6CPzsTBvt(qe|
zrD!D++he~rsebZns|c@k0%SXkV2{!zne=o(fljhbXT+EGZ<zz<Qo3-yIl`llQbl+p
zK7}};aXNZ=+@{~dCIAdU^S%gT=bLGJU?V=N#xzIdRZkLqTqr-?1DO!6p`Vxof0XW2
zXWF+P+*c-$>%MFz5x-I@My{TI@VpqGx@6=@t0tLti{?bkbWiG>64!s`)j#`5-#AuH
zV!c%X_>3fC65o2Hngs3mBoYVj7yXxNfSYF8;8{2Z8_wlf-#r|`k8T7LkOPp0R0B(!
zD9ywn-nyFPfKE>u3WIIl;>!uL#wlR*0AuDO+8?$cd_t_Fx!-ZUvAN`a=*fmM8N#L$
zYWl`ZWDZ&fV$Bm@r?nnSgNW-9WFQ`MISK4<Ajl*3Ss<ch9|dm>`zY2sFizoH`$Kq7
z>Do?otANGpAWO6yvfaa~R2J!4+c{x*D)WiUw|bwz<wyVCr~l0lL};Ps=|na=Yg}0`
z{lK(u>Vupd%E@D+Geg64c+VR_h8Di!4#j7}(>fsWs{Xxw1zfJuS*1{8iKfiWidknx
zorZ)r^*N?I8`5i5rg3D;`ewzfvp`F;Dvb^)xkj+kSx2z!s4mfezqewQJVvP;q8X~e
zy|>bfpIs%7(IuOW_o*;9HmW8`@_{XvlGvdfeZ3Jvaon6yjY;*E^q6VO=3lL;PLqXG
z7rqA=YWy)&=h0}_bl4$^ur5Cg9%iLn&UI*c`8P_OuK1Y4iwlMqfDF@%4#*H!Hzk3Z
zO~xX-8^j{^@Sm$s=Lu_%Z^GKNTh!X5#}zK}xUXc$@YTQYuJ8GMK?>8Zlnxns3)pBX
zyV=M<_@nnmG=jPdmY`*iSVJK(`wD`5-9vE2-rT?zF%?Wbg%G4&Zv?^LS$4g_GPLVO
z5G`+j+hN7+$^wE|k#^k$qw#I0q_F&BB<W*KWxE5$Jqr?e^l@mhBJH}bXl@Unr=>Rd
z%PWdqcS+24GjI`I+uNTlcHJeTM=pXo^=JIxqt;q&E7>#lsg!Eo_t{{=4|6#ubvvD=
zm_s+9jm`A^GLz<EVbb_9tH!rD9*8!*g|D;9eqjIGoItZlsZ+^5%i!AeTUaYd^f5<a
zfyTjpX(1_`2z>$;m>S0cZg{3ZcIru{=XtS5eXeAh32duFo7PMZG)P$zT;u3y+uyz-
zKrG8+wXop=ki;&%qo+q2^sT){%}7ogb21%0J<|(xd1P;<Egn@6xx`mZlIfvd?2$Ux
z9#Y$*6^4hT#!YsWb_cw~HuKwj^du>@cP4pQV?eLGQ3&(v-#+c32K&nM*Z2LQ#=kkn
zO?H?(e)u<gs0&A|_v|$fk?)ruYAj>)F#EPlx+~ka{ZP+@u!z5BLf9*e5aw#)moXvi
zvw!k`{O<3&V3{~NUwE0g;;dc@Z2p&3L6^F}+bI)Q=2#qBjFlH)Pc9P&8wxNq-6{?j
zBxfX66jNm@f@h^1@58Dy(pm_r|K*avrF6;7%E}#+<aK4@0%hfcOUl-E#Mwy$Bmniu
zf-4~`ttsw=9UaiN4mU&LV}DI?Crr|A57t~AY{E`jQ``w(6YhorWJuPxcU;{GliaUY
zY%|F+@uN+3^EF3}FFh<ZdG1rXp<N{!nfs9gkh$*MLxJ4C^S>%qd5*<zl<z7owllFC
zOD>x#{m88g9Za{sz|;7@w=Cxp!ONBivJ>QuIAQh(p9}Cz1<a={-+~ha%)k5xAN=7L
z#sKpYFQXrk42cR%wr&}REIa}bLdVNZFC~|7G>(3yhNIxpxHy3aXTW?9s*b?H?9$qz
z))_D_G*(2xy>4|GFz>c#ol6CSA`%%io@=8jz}(Y@XrS9W#|)U4v?26izbJ-W3J13%
zZ-{=tZEmvy%*#|TC}EkQ6_<Qe4XRQZ8WmHbi}ImXX<75Bl0#XvE@uHpQL!AWeZ59|
zsZ)s3fi762z-3p=XP};5YYK!?k{B<bjaC#Dn9kE}l3Jc=(;=3eo^yt`^k}=%fHj@)
z7;P8lo7+4g@;$4$hu{ej!sz9R6r%KGI-g=Q4KtmYu+#Y)7q4}mc8gleF~i!IsdfIZ
zf6ehzMrXPMG}GRPV4HTD;q{1At*>p}?O@Q5jwM>Xx7MX0m|(!W)_{a)H4?WCyp~Wv
z@><CVC9>E@*AfWG4k7gK!NUVxS4p^W=!5}sA(R=z`jXY#LdyvPylc&>00APrs*&Yp
zru!Pb1bJxWnERL}t#7$LC|Sj^1y!`>Fn*GgZK_(u(^V<OMk2?o#xr*nw14SYPq)PW
zcgVQ<cmDULGO-_5O6g<|9Q-c=h<T>_c@;Dgbth9Qp>>#2biKMs`&N5UZ!Lf@=)2ta
zK|)V!1{gqm*<bqRzx`AoLt6aej2o}li}_cemJrXswslmQe}w_WR1aB@D$G}`1`sQp
zVP6^J#sv$6v>TWbPZj2ZG5=~{TrgFb3nF(yIw4fp7OA)99I3)wFy>!@Bwts-sR}EC
zE0P0cML;giih>NeWX!)>qhCWSNo2?+WBwJ0M(vf9XS7H{6>Iq+AQx)de9h}p!7~49
zkKq}W<YWaZsz-HTfHS&1&%cs-XNAL}-Wixf)zOso1CKSf5S10d6l05X==<cz<N|e4
z4y#~H7&a*wlkKEI>5-&O@jG0hf}g{$?O6st=OvwgH5IS1(;d;~L+bXAeeaJv{r<pf
zbfN3j?MSCSpbSy<+`jr*-G*{pAq=eE{CeCV3Wqj8SEM`|ee-H%lGUv>;G>|DFtgUl
z>K4O+(4ddXafNJfvtm}aQ1Hl9QxKi4TS4MAuJAxwRGN3US`!ec6&^iCm$d7HCD&*?
z-bz;Lc7u{^9vm~rZ4iMi0((@cTO1teXT3rOaJ%GrN|dgfXw&jR)#%L#j$B0dA8R!-
z2yV-+O_PyFYB6#G(w+&9=PBTm^;1T0^hdBIINp@eXzPt=xEcC+389~9x2QEjGxT#A
z=;yC~;3MvRZ5VRJ;oOjyd;xm0!B(IE0hUNBa_uW)G`b*W_%R6$!2`+rb-6&5cmbOX
zK#i0%^lBe+;su!=Hf>>=1S9pt^u?iR$o<fz*T-(T6^>-ir&Ng-z}d+9OLC}p=$v>l
zPM0KydKF+f@pANbC>XXUBa0(R0;8J~FCF4VfJAUx@xRlFms0(J&gShU7enqpJgOfy
zTX7EJ=%a?bnCxP9of8H|SPGGDQu%CFJh#QG=wZWoYo18{FCe1$l)vZa_-5!6B@(pc
z2E9NGb0PGTQ3!3%^K7c0+_8GoZqaP2r+ZW96heR6kAC|zpBCNFlx*Rq+Nc*ul{lvj
z{bMy$w6DxmyWk!KC?UTA;j~CG1SLyjOsF*a1XYD%fkRNTG%k2Nkg$bWzaVO~hZeNN
zxmCewLsen3qGV}&#o)AUZ17YZTCy}Im^f`D95Iv*!HWD=C~as@-=1Sdek+qt4xrO%
z7#~Y&@qwRZp|q{F8EHPam}=V*86cyy4X&BVZ$W7T?4bBXI(oi6yP&kK#rdd?p6^eh
zv>}aFU8L!bf?D;a09>Q)S1_T?ody4kd{oQSm^-4$D;{|9n%fC4<%^v*<@HVQX?pK_
z9nn@dY=LI4%<NJ;xh}=DTQs{Ax8qX$j`w`+w|-@0P1V11m%;<gZi8ZZ#_Ak;NxKmN
zW=*@qEO~<N6NX+MJCtZqaJv=~cwVuJ{tNJcg2pxq&e4AX9ys)}<!9#TKkx+1vsbfj
zzZ3pZ75#hfP!7FRYZ-z$`iJjfcmnwJG}ZaXRMCF`3YcSaGWsuw0>BKJ3Yx);D*7*o
z0-7n9LldVdSyR7_@OP}A!Wvwb_B=B<6NtjL6CW~u(Ws-K{(+aB_4)7meM+%P$gcmI
z=_!ob)vd^6&?KBI&+59fmk3yJ-@yOEN0^6RvJXL~kBUE<d<1SMr(nQkD6OrLAxLjL
zd<0zGeRNHQLxz6skBuBUHN|Rg--rv++BY&<Uh{`O_5EKSeXlT)0YIqNn(G!rE$SR5
z8`0)jm5+U8;a(90xdOTw6uRZgTR+N*)b@P&iy(SmBYg=9-L?ZCgX%0+<n3}n(9+o;
z3x`I3n)cAVQ!a=$j>?_K+yv}VuSnfo1h;7Y%tHg|l@x0}G<9<k1ph=UW48zCX`KbB
zn_ZA9PcIMfW;F};)wE%cqHjVK8B?TG%jS6sPb$YwmFRF&NW(&NoerKvVCtpqKP<>R
zLmkx3q_T+TCzZwQd)gdodUd{1vWIj;YxL5VQ<c)R<%g8g*L>zb{)e9u4x@HPEJ{hL
zy;k7VcA$?@*Q|Heg~Hpis>5hoY-hoq5mZW?FfN)w*Knm&R>bgcRZ3ecg^v|QDVg9w
z9Y$43nt%#HQA#F=L=`mydgx$`5P_iF;Z~HA3vSn>b5L+^iI`YXl#&Z-!$1lmWz(!E
zO34I|pr!~n1q8lLD<}@5UmsJkP>5?%hU=3Q45~<Kn_~9iJsR;j&Qcskm&ET@{SHdC
zxq(TN7?evMa4{wI*5Q}c=Q-*Imb8p|cGuuVp;_x$G=0a<{^gk}Hx#?O%NPFP73zZY
z*h4iyBG>#su9p96zD`Tm%Ct==CI3&#eUdU2%qY1-GsTXcN79}H?qKo%=uY3LtCc;^
zx28<>wH{f1NSS{3yZ`n_r7nRAg?RLBzzFLO7+%8F+q2nc4Q*%0_$2b55#z~#{_e14
zdu^y^3?FDAa4J(j$Kr(|y1opckN)Irs)|JUaw}eFMzu4bkG|u2j>k2!@Xh79bwD2(
z4>nu`jbRN!Vte#_cQCV<t=Czezmv>={Gd@~s(Q={DRm?D6jaq%yP{c->Gx+u(bp=z
z$IG~LJ?eiEPtW@Ff|TVO>(gnFZO=KKy97SIE`if-QEQWCPTgfVb+7vRpZfW459CH6
zAh+ud$ChRWV;#$ZxpOQR;CFcLExw##+g%6xnFy}Hsg!8J4u)uoo>e*Y<c{U1X3S<(
z{p5_<jH;iUF`H3!b^FNDTW@*|7b;X8_&jKDl(h1u8=%$U+@m{M+Gt2{YD()^rLmT3
zo}U<S`b2Ot7gRn81(o(rjzkYVV>%V-nYMgu2nav_hR^)UFL*K7M!HZ>iEYqqO8j5U
zA5gH^j%e_+ZQ<R-ylTn#t&RrmhG14=M_>+kn~@qEXo{YdSWMI&;3TI+0V-ikErOGb
zo~Hy+;?P-%l^N7Xy4h625X?#p>>Z%a3{KGSvQ0K-C1$^xg5KaYR;-kmwRk7jcx#YZ
zk|f4xn{0%sP}p&aE7bg)Dm4fu7;Ax0XAZ=vmu_Tm;%gq!ic6y{kiuHaA!QpbSxWX{
zm5f7_&NJVi9WJMU`Iw)v>aCe?5Yh}<w2z*&fCKXlnj9LbX1;m4QPsA0nYqf*k}mCE
z`9S1?J+T3eiVTBLITYjP#$L$g6q(qM(uvUQ@sa;UoYV|zLZ#&CN===<PytnEwQWJA
zBuX)3e-euEC`X^0k<v<}@__85{ZZ=KRr?FtkU)5D2X2G`iq*794tqXlb~7$mUJjM6
zz&4zlWX-nH<cjo)BMm97xE|BwiaCaQd@+>iwGqqQn$9_fx=al9V?XeNcmKg?4CU?z
znMt+fsF_J&URNN@R3=8V-^IQa>$=(q+M1n(Y~G4-MMD~)CTGEH-HL79VFoenV5ah9
z_ajUz#u_WnI!tSJKTux`bIyQ=^QW61lXMe6NhJE{r=WJqN|s~A%KbnC2?Sa6Xwc`b
zy^wW7xH1=IgNZNI!6zH*V)IUXaFb}(_sYpY5B-Cucb>q8aBhh$_{!<^xgp%kxFOu_
z2VMX0Pyc^i$_VM(+6G-^>O4ZEUO<!GdgN`dFS5)*7qUCh4@^#=!b2H4p(wJ}3$EjG
z)5D^&)(fg5;)FK#$Xc&39fxWsv(_s_$Dz{6to3$BX4R=4k+E-M(n{+g^}iMd6RxOq
z(8)Ty(t1eVFzAAQ1QoWuKvmGyA%xMRueOMI&kANrc%acp%JGPz)PH?tv{i5w+5ScF
zGPhN@d|L&7o7=Vuh|BUAshf6Lu;oWw<sOJNM>BIY^Xzj^FZf`*vgby<|0}-Y^Isjb
zxohltnFk5@v30_;T@UE9JNEhwWV?<=YTCs9fMU?r3RiZ$G|Wa(M0@CL*P~%J*vRdQ
z*{+v{*@%yNo<g?ka8V8jQedvvT9ufMaJK8+5>bo__IpcIcKzg_-P*2SKWTR~n(0|<
z4OQwE|3%2-8U8&H(`2y_9Yz+_AGjpFt%oss`e=@1IHC6TT3e&GA-&)L6%i+b%PHM3
zJMoEbG+X|LcKP<>oUi!q&-&tD9dq=w1(3%%))46KZUfR3lS^pZX&qhcD@!i9pw)D(
zz(<1;5r`!OsSjNc1rIEN!it-f)D7fjZ`6k_xLdACy5pg@R#g=%QXjgYW$_Uh;9e<1
zHw38<U2wPGapV~f&AR)#*&Fqt3qo63XX4F4W*6jhq&_TyUdoQpM3OdHQ1qco?x0vj
zbAnT6rEK;UE~MzgB8gHqiC%VKkSJJ{B*|@eNwiPahzR}W(DJALJyo|(6sWHRt|H}H
z8G32We0z@QE{pR7c^flgv@bxC%=ZUV3zZ%S(9F65CCTar;o3-yhb}r|*{Z9Q?-1w}
zd^n_ao{<>Sn)wzTFpW@&(d$*Oxu7HuY)2q)=xi;&2t;?9%Q*U|eNpuum2_ba`ei68
zdy_6b*BTr(Qo9#Y!m1b?`|v{HORMnkTwxW)Y#I9ydxg0f`ouFkndWMT6+mf@WUY`E
zLN>G%x3GigIpt|gGj(}?q`l5bGij<Q9Up10s)H&7>RJ<SN1AIR9Z%E#2Q+HUX)*{@
z`^$FhFT)$sW>u^5`qynzBgs4Wu!XBxOi;54YY1I-eqoEf7;~if<W}TQyG3)}>vk&g
zf7f^YqkrQgqaUAEYLx;jt=LRepLhc`on<9m<{8-*hL>5@C;HCx3MFZJi(skx+-z(n
zYOF}h>w;1Bx!dkE{}X~Vye=43pBTZ^o+IsU5iC`oYY=Z>BXcn)&2AAaRiA4zB5Ofv
zN*9c(PqqQB1V^ndt&UiuQ|30lo2x!C$mq@+;BF!~N`wy}iIpXi?JzyP?m5z%JMYgY
zBvoBrdd?BfHxpJs00Ds{9Urbib$r=Q=l>*Cea<lI<AYI6#f<nbvvIr~<M<I@|G|&>
zyTM7-DhG!RtmXmj2qf!?g1O_y2p9I18Alf^BRPP(%ABGo$*RY{qQ?NKjPQmLZE9Q^
zD~h7CPH-TPV2%-M_0Z{{EeE4<^h2Xri_W@0&Dx<A6xC-d!XhFTW#Vy-jH?8YacCOH
zvLcM9GC0<o-c(l!D{Mv7WMe!LRs>+t6BO9MmplNeP)V?BXqfZ$5!k>bL0Tngm%~yI
zO>vubop;I9sBhbwQY)~5OYX6#gyV-5+eb7<r|(iE%j|W8@CvQiSA;jJ`_px-Xy%|;
z2LqDi;0;2CSZFTQZ_jrK33Civl<TQ&bt=hxi&$|kbe!XAX@E^SdcH?S$H95xUe-m8
zlN=Zg$Lr}(;j=V-`ZO%hy?5+ioYy)?hUIekKRx8RK(+1#+#mn|`zNRO*i71T_7C^H
ziB++0aoiGa;1ITFngOmNvduj%X58RWa03G4(0J!V0ru>Vn9@0EJl&LDd@AF#TQqw+
z({Ir^{eD08OTOb9K5Q&$ywk2DG-=4qO04T-kD3B|G8as9YP;P<p$;2_z(LsV4GM@A
zX-!@50N`KXdQ{-q1ep+|F)e~<O=W`gk7&w6khatX)iC1FJ50oB1!+o)Ap8j7V<Agn
zoe5$^T2dE`Da#lPsi~n5Y?8(&^3YY%_(URll65XtN<OHuHJf}@m+|X&gQmGLdMY`@
zg;jexY?!kF=jUe8a-vyoNGAS`k}$rl(GBCP4W$CZhJQAXM6RI*!tx1fU}89Jis$RK
zDZkwE#=q{jebr|_2y|3mWe))ToiDvWhlY@(G&`b|PWhjEL~E_?tW@GueynApFF38_
zHu$mL2Xtt%NS;;_eLx>^vWV!1^Az2HI;wP>Z9FGINUh^+>$$*j$MjeJe&E2N-sBS{
zQh?#Q&HsX~F_%_fu(TRFjvCR;wh(cEG!$+Ovc6ypphFShkyUqR0(pfog|9b<A5U&3
z(r)?4Et<oR+X+9u<d^=!XTC5NeoXFSa$KWLtkm_dIUY0DMbs5|fGMwUcT$BPd;E`J
z9_G^bSg<+#C=CD*BK7*B<nRMnpH5W92$fzh?Hqof9~$S;GfqLVNfXdi;fK!q0=X5)
zam%Z%!VeoaLP>>XGYz&1KTh^qscTU%j7>1%-D)S1T#ma0Y%MO6IFw4l?RQ(LT%ike
zTTugj^J0A(U|l0algY_=IkaDydxM`xzLH*<DGm}0-s2#SV*xemv3UU#oD7<!iB3jq
z!PtJ~PyN|@pYXw`e+Dx$b^q2aq?w@lL<R0Q%Z_--5hI2u$dHJUjth3n4YNbYV0C**
zb!9<`D0Me5FX=~75M$~!B#IR!mEwZVKHUR>X%8)#6c<F_N@4Nt!9rCP7%R$6G{GI(
zga}I&#ShlPHUuS);wyG2DPSQ0;y0_42ti4snBXDCM;)YDN&eNE>T*dP;e;hoJJ6n_
zrn+2Gxu2BmtdWf$d=&f<o%Jvnow{vo&NO&Yb(=y#CF1ZHr3prKjVA{9@gS%LfDjbT
zrO#vZ)H8q|mPy_y?6HS+#Ha3MU8X9$Y0D2Oyu<rG<2|nmXh#=v;+rjt`RALXu;}4|
z$!zufMpp_CoHNN?cO<MenlURp%&BGi7F<?%hstNOgF%H>3J(L|kvqnVk4j{9U_&q~
zJh0S25`c3E#b-^sLoh2m%kpAHR2R2Or&4&wJ<5}LXz=&zLyzj5@Rj=M;N&qGb=;K<
z|DIAbrgff7^kun#?_5aU3dSUAm#XvVCDaxiV~`tb$B!_hpjY2{o%FxTR5U(5$q{KC
zIq12ncTum&Hy@U?f77gqfcT+0zB~%)e7T+W$N6&JGP+PJ6%S3uD^j_3GfMl@M5g@*
z<{6~kN0KX7uw{1#Pz;H0{y1AE-JzHXIzo^a+x=V>G}GK3AI)vrEo#;H-23P<y^sF-
z>t6N${$iLy?O*SvFs3s|QWb`)I|`d953ryqbU`@iEU<-3zeZPeF@^ROEF5)N5!|9<
zhM2<r-iED(AWfkQVrtt_f`kqW8oyeb;A2H<w<74gFa%~)r=)flL7GAr#1tcQ=`q6G
z$l^ti`pyOS>osgDO(7<z8U4HnQn3|5Z+^H(C7%W|acJ@VT@qO$ZK#NLAVNvYCn?6l
zB}-EioP`W!tt9Eaxnu`|pPuI08>*zE=evW6u*973gI3$#co8u@z9xQ#rrSH#Lsl1a
z)C*919g#<|@A}0xZEp3;De33zH<Yyp9f+ZFWjW5(Fbiz*%2)MNjuU}MYp}%tXM-<J
zS{O`ipO`Ex%E(|r!$TM$iz9upUS$i7j)CB7r2YBmBo9OK9bus#xx1ox6mkCKyH#X;
zv`egy&+Rz=?I17qSkGR-lbe5;c8g{&;Fj_)-~6NB`Pv}6D^%Zd9m;Ih1E>dWf`N9X
zAp9k*vI1x**2BIsFQ5qS*RX<c7Y@M8)WU`!6@v>FLK$E<7`r;7LodJuyV7nRRcLD5
zV@2v(7evUerSD*M$+W(lub>y;f(*eW#70j=35c^`oqrMV5%JuzKWMhjzbubBl<UB*
zip{TS@-H&HmL>md^QYqHRQVTVi8weHM~9JDi=8B^yK`9j4B1t$Kc#p9{?jobk=KZM
zqMg*;N1kZ17m(uqrrW(*;!%P)jk1bfpMX*40rlf<!F2}^(d<L6EO#0I+qe$0>EHR^
zA({9$N}VR6&2GTu-GBrXq!$~Mdr8ln3l!KhKf4G|u8T137R@fg?YId4{SSZP-}~tR
z3bb<HT?DJ+XZIXJ;nGG&l7!KNM3aOrcmVAImLF|&(2-wR4fd6}2rdXx13S8C8y%%-
zhag=97c3QaV{LRmHAgWySH#lvPVzZYllY40wX75kHoeiBv^X?f1Q*0eVstVE3J->g
z46n>9(nTnO=*%J{iEfSG)f`$}1eYwpKHAe5>m!aWE`m$a+za8oTcgygU6avXkpy@n
zWc%HIgI($$E))%ygdFTWIVUJ$(<(PV`ppc#n&IdrQ;e*Bap}r-S=A@V(K_2dc$L}B
z!~dSqZ(@I3Z-I!GNJ7pN<j$MSAmx({6i~7QNC%|19abJ!w@b`Sw70nlC&M1=+3Y{L
zW`Ei(n$7<0nEf~ZitqnBKNZ{{y&0|9w^9VL<~;+**wgMI=#xO6#q1-)T^kn754hlN
z%ih-rJ>ee+q@GP`QUrH*dt@V3aM|nlMr6QOwAr>W(x4}`);yd21Ddy)HOByl#!P0j
zZ{wqA_Hh=CU~TpR@QQ!1Sqko+e@tceyTj4I24D%yBvg{AXBNVIuS!F|0Ilk`UwUWM
zL>G&A6}-q|k^3J!2v%EtMid^#GCmd4Y#-W~eYmfipMw95^@cuC>U2Cl^MlSy3y61^
zOtY|Bf)IH_evmz|=NjJ$xqn&%fAdF{Zw&_tk+1#Y|Lj};e#AOjn(Ru?3YG7c8QAD!
zDU;c9GX^R>7c7wpIOGD&WkI=;)-Gf6u<Qe7K|`T{l!=H#HIE(4lA?a->BF+ONDfj(
z9nda9V}n?cO3zmWU6T5iEj<wRh=vM5Dm@nj{K_Vz6x{avbV$o1``O3i7Ap}2UazcO
zX)LJ>8k58TY&6}FHGwQQ2NyWhkB$%rVI?KeGDFp4S~K4r>--8yw7OQ6>yl?FQYszQ
zkabsP)pG-!Cl&6gQ&(~RSH-45nJPQ1LiIdu+#0hbS<Z1zVL>tHTCuoBCeTfZbNms!
zRGee$jp)K26?5i6Jw_gsD!Gwc)S9cA2Xz@9)UW!<ulf_?BWN+?R9H*QfVSDioMVd$
zG=vashL0xSQ*4--fca~%<na4@snVPQjX4avz4U>OT%7Wte8tkF1NCKA+F)P7H%N&R
zoPxL1SbE>_5X>1+usO7)#u08uo6gM{(9%+aQ=?kJDgz3R7Q!257MJMQ2}7QLO_c$S
zArQ;uw$1!j8PGBX0u4g?b!FAv@!08j@S=|R<#h&hi&{Fm5omaZ!QXW^5z*u2vX{{k
zD@=N7e^Hg9F0&=jn63WpqYj4}@!(eJcE74aq9S?_@<F8rxmEJj%VGqrJ0SKq1Fa3K
zSvgdR^VG7Edn74j3?C~=Y92xRB4_bD^Wb?=-cLRK&Ij+sWS-gmn2zCHy#JOdvf2Ge
zNcgi??=BDNbI)GgkFTD;diz`7vABBLp5W)7#rA`@y(1*>-8ucY{@w3+?km6LBVP3?
zls!n*gZP3i;$RRa!hTdtw&8D%X$v&LSxjy8dn3eQci5siBw}<FLs=6n4^h<pu&rnp
z4iCvDTZACp4;M@Ylba^)e$yK>;sD;a&fnm;`jd#mmJKX%j>k26S+?jvMjWgFp6CD&
zlvXls0Q@v9*5sP3-J$~!Kc_+*;2VJ?t|*2~%B>{x-NB?8P~M46p!-05^ob6@eH3;k
z%YOf%QL73D@$i1`?uD&Qp+;pH2Ol5+@1R<-Dr>+0aHr*0BGUXn^)Bvvy0vVkf-S7?
zDOdaYsym})P8^UYe+t<}57zW}FOZGbdf;x$9=OfjqkC;PbAd`*=EgZ@0x)MQN%zeq
zJG@capcmE6n!-p=M0&y(=!n4Xw|HmxzP4+oM|^>CJvwC>)qMRYH=$|^JlNz-;Mu|a
zp;iSbH{8NVjP_w!03<PQRe1q%o?2Svl3O6T^5o(NwZBOC;r`o!bmDd4ni$>IUL{by
z<0>6+nUvXySvb8l^F2DChp_&lEq+sTm6R=R=UE2a9Do<rlGEOstNOjcX8Yb;RqqY9
z2HqR|tG_q=nBN<$nBN<2j=VPzu_^`i#Ic#)8?0ZwH{5=d_vWqcL_2!tf1Nd#i2EoU
zs7eFZ^1w!=0*16;AWYh!V{6vrb<2pCRB0gFkwe&QIMpTgM_*VxSb2YWp3(uio%Y`?
z5I?5<(Hgd@G}y@do%ZK;+Fu6Zr2V&xO;t;|k+(kW&+XVBG{d~P!F-&3I-M<kPE{JY
zk=Hnu+pZVeT&c0yFSb<>0s*{F)eiZ9+wEeD7qOs_+tp%Qp^y-$_vdz;|F&CfcX|KK
zVq5)w2-N%6zkiGK&G&!1TWqTVMG&a>?_IEbI{J8(l#*U8-+cY+wn<&yxrZ%0oKErN
zCa!ha#8TU1>d$cxi|vpIE^WK+ojh2TUV7@;XP%K*rMP+#tT0?DFHjNe2Q|ZTWx*Gp
ze{l7}voAjTuB-cUB*sD9g$|^A)D1ZNy<=Rr>oa-RXP(Bc&pmk0yTe_@R(Ps+;MwNy
zDnD2D^Pe=ZTluQmizh13aru$ldhuOX&%RB5k^HnPo>!493sr*hL*xfczAFSsr`(lc
zxpKlomzKNmtnCKrEt+;$xGR50*_FTSWq((gEB%undH+X$DRQNn)Ah)ukT2AY=F~*(
z36psZ)ayl1#~+YM0-sI10sE@qg_gnes7#RFD0xL{dS9{IBP^iL(rr8ziWRBSir{if
z2cCjj?T}Zb?s7p;S8!?+M1Lyv-(yAUDHq)Bh?mNWsHA9q49$THrU8db^MPW;iXg%$
zU49KvU}-axPqN~L9#LtkzZ?CH)mutyW?twHj+GH!js|ij$$WQkWt6|V>(I`$`9b1&
z`!B-5nSTX;2SqbA+h){PD-lXdPtn-H3P>rHB9@G9maigk&|R~0=B}CgUEZ_Uw#>=W
zy|RN=yJxj?_DHVT^`03@BCBDrm>;yN46V#7N{+%@LyA``938zp?n>OadzU@gVOwSh
z^MrFS1s}UOggNaN(G-8)3opctd`wC1<UQy|DE8v0R1Z_%_ek!8e$4xx^xqyIg!zI0
z_1Ay%RX74#(A)1Mv8Sy8&Vs%Mv>>^y0Zol}AV{4gwo(9*1sTb>AS@9P5jIuYTWP3$
z1&@J_fD0ZD7$Yp!c(+BlMtx{H0xrne!N~IN@DG4+8bLY&MR2>OeWxJYl}3<`KoQiz
z2|JwQQBcJEW9SIDAO;M;R>N$f^u(tLmX;IZ2)N`C_FXcQ=!n;AP9ctfOCk@<HQ}zH
z-5x=kIQXb3Rs-12H;Q2`W;P7=5L{Bg5;xITTOYVH)JiUFc6{Oi@_+G7e9GVRb9@t@
z)VkNr8%`MBnI(E&Ui5ZYqV^nLqbnmEe`&OO6{%ZzI9022-x8`@d|37s<66SeCiG@B
zUn1rzn14wO@3dP)%QYL`<((<F=$zc)Cx6PlkN>Rk<_$}2-wGm;BsenThKARPEFjMS
z+80I=8X1VWu&*ovFM=>Duq&i4=_tIsA`Pz#mY&$?eq;@oVnrHW7tAv<ntlT{b*Y2F
z#i0QocbYrQho<566+!+5;~KUPwHnsADh^G<>w>6DQT{V>4Hj32pcr14M23a~8rc*9
zO=O$vQ&X2VM^<K1QU(UpBqv7Tu_h-AQF4#A;q99F?i|*b3aUS-v&Ky6Jn0wtn%40V
zKON1hS_)cCUD`_%NuFoRL3=a@LA{5y(W33|!vQLESZMjA`wck<YQQ~M58<nwyA-$4
z^C?b`j>YM59O#JHB~%^MqthK0+W~=y_R+v8r9m`R7ij?5j_6hg3Pu_L!9RMn=KcBj
zWORVrX@4}B%4rmGqpCE@NW-Qz&<VVKB@Mv_QdJrO0`>8^9mj8nEep=?!8(dQ>2LcJ
z&ejgNngR6Jn*c^wFI8av3NpS9#Gl+ao5uR3uwJu+G5HqF4#sr;*f|cy8$b4`FZ^dm
zr*mvJY(Rt*CJZQ%`)rdd6Q*%Ejdy>RMz&z3=@VH@ttV1B7^kKPW(UKP2Bh=ujFsTJ
zrFJl)o6-@?r{>3G2g7>U1LuZ@R?bnZm>mp&6JTM$6WJ}-2Azjs<zN`)h=%*nH}NDV
z*^YO27@7s>1BM#~sP!eEY`Dd?(X6Ryt!tO!-|N6W3}TpIG9S!vzfgkpMleakPOEem
z(s~NR<x4!3{NK>Z@o$t&JRw|k{O>K9Gd#!kmyhk$FiY#^^d5s-BK4tewr|-iPgtII
z!e62hSfMp3%y>TYhF87tQK1es_-%nstAzmhYpHdTfx2lY9E+LJixrxKdUFqSPHRf+
zD~q>W(1w3QaE%!|qLV@}1D(1M0YA(&SG5p=RENIe9zl_mvjCHo3f6p%8R!IP3BnaI
zR@7Ed%Vog~bRucX!c^dw05ID_XP^@;b;u8+Ab6t9iWShg+pk#?50G_*_^_3vI`p4|
z(d`^OB9iN#B;zfY#BdPn>;qu7MtCPHPE}S0je<y~+xGWi)8#fPK1V?x0v8Sann6+#
z&bp4DL$}4JOUdY|55uAZs&l(oW#W<MCsV{B!DWg#G+`Mb0${?^F%fpiAwn;oiE<5m
zpL*JYRv`u$q4RbPeZ%btF?!`5=k~<K`R168A=^12mL$gGboBCgh{HBP2*A#dTI^XK
z(O<o&WvY6dw)~KK{NhhM{*w<x9!Q-PCxjH&<y4E%>@A*b=$Wic8YR8i9aN))gO$BS
zBL%~28tBuRy+z<;M41pB547n_ap~RSRt|OP%-&+Sl><#Wv$t5>N;LVf_UQ3rDsQp)
zm8c{H+qibSD>y3r%JmL|O&ZAxjw*g7`jx4r@uQEb$D*r5sbqp}(t+0RYmi;r(}cRv
z%gei*RpbR#Bv7FAqKi8TLX5kU^e*!z^(hjqGYXXGq_2=PlPRGQlh@j$xkCOj74jeU
z$v^qrCy&|nlPR3auICg^Yq!$t4!hndE%Si*s0KNd-(gP$q8(5{1Pxhk(BQMSZ<SpK
zQUhir9e`!8R6E=C9U6rq6~@GfPcv~ls3mIU&<H(r#es7FY}a>3pN9_TqL=8Q+v-ck
zJaiB|>YqK`1{Y(@59;Md7Dl&gU`PM0XM)>6L&b)B(#Ur#YOxOi??m7dpW2n<o1ssX
zKAnD<r=g#hK9Ul~6r1oBEj>3mM-hebvn|b~8M7@7=1Hp6h)Rv?3qPXlqt3<+^Bt|y
zg63RyEX<f!09W!u-|>I^z=JVc3gqkh=@{9TmPE<Wbd1`TqHQFT5QmW%`Q&tr%9fs*
zj*)HY<zr+-`6uRBWLx?ub1Z0b#1xeeYS)6*z%SGw4z;l^yM;Z|1%?7zU1r#>a-sZR
ztQVj1_X7tGLHR_9lqB)@%m1Q-^=wTqXiX8uCXfYTEUqpFx5}*NPG%P0XvnTPj3Yr&
z4+nfqr1zP<aN|_d9JgL3Zv9iAbbRoQ(ZO2ZmllsMv#rM13&<p+wHav++kWq`%GSE|
z22ZdT>Q#7j8CiAIrV5!jk!@`Og@?EXm90I+HOP2$K*9r5gF0@#5Ys?ypS4}kjiFRo
zHe>@<>%`Y0tqTvuplV?s55)kUE8_XWjAPoXD)86#F~RHua*1isX$L6Jii)S1qiTno
z=K__k%2Oflbh=Qm<=zQbF&$s1eJRh@_ED4V2p*ZIs}h6Y<DHYIlWavSr<W)4WHQ+@
zQ4CsR3fiO_(+No~8YTx@&b6HrmS@y5arqXnB*oxcKmAw!S0DV)(hf=s+gxXr8rvAQ
zLqJQ&j|a}SS%Nvh_`n5E)=E=nxu8`7bJz~sJ=H5xXSv|X;$rG77d%;8Or7O|CrgW|
zvs~~|DvMPwSns6bAm6?k;-O3@<?b%GP(D16uAfKLSAAGUl$az}lM<3<V4;L$ME&`I
zm_5AbDw^3ze|+tir`@7fS<g=TWt{Y%`JNwr-`54FZq!MK8{TAiG9Y$b?O7a)I*QGz
z#~jX1da;ZcGrw)~G1*Dqp(t2-xuH*-73Nyt4O^R?^pXGrIwj5hvNxZd^p2h87)W5`
zi*$#}g4szwqUW15UE5*8J<sv_0koKDD->h&veS+qagG&fB+^i8^ptEaWJMZ@G!%F!
zH5CNC_L2)mGBPTxm@GS@sbafkzB`zws{?j+tF;gr^A8fTl%4in^pMjYb|^~g-5&9V
zU6QrUdh~VI^wAzom$_FUf3NE~qF+Y#tRQF}i!@=1E^0MQwvlbW*D&e2XcJclF1PP7
zILv1r1MHk|q&c{*jU(n7Ccfc`(I_=d$w}aQoi_RQrX(7|p#9@ZqTPOQ@@JlZ?+<@s
z04i!Y+u-C-8aeIvC_Jr0i8?qz)gg5?8d^%ZL+)slgOkE^>(&T-niX?!vO9t@Og-3b
zm%RaAIXLN1M~XwECIg*VRbiSJ0HvOTlieDeYU(!hJ!;05jcS)B%ou_`iiqoy@(-a@
z@>c_2zr@-DhQPJQaRGH6pof4U8AE8ex{8X#4rp#vacl8?_3FuXde>Ua1fcq3#Y}L%
zxr-yo&{f+^;W8)H(QumyvZY@m7bl_(fE2lr;e=OPV2x?6EJdq=#tF*{tTAo*)>9^|
z@%CT%Eq{J|hVTXrlIa+62H+`JBUH>tuQ+S>Ojv^{!&(<*%~2+Gfu$o9*{qAQ=14Py
z5ezmfX3f!|0z<p7ZMHqZ3~PW61rLWxYrU7+_k3tRC3e+OCW4N-CTRVKLurYZ=aS?a
zsUAcJxL&t52DUDVk%(*#z=N|aqrO1m<rT^8TGxaov_9bJ=#^gIqAE|6Ylk@()taL^
zQ~jl?$Mwo`toGLnET{_Ag&In}>N;pQ6M9rWovlpEVIFq3FhjU?!9tn#AYpOMvN~-%
z6RkH7bxI8Q9QdPGjt=MLv6iC?W*z6x+6{yhsn&xoLDHu}4Ne-AuXEaz%i{_a8QAo;
zBLh3n5YA&b`ZOGxh*v~|8q-lD_*-kTW^m^+;Lf)__p|@<AC3k!+D+aA2J0;k`mXeJ
zK@ta`jRp+SuU?!$7V*9XZ#UbNFks*`O-)jSplAmZH2(kvlNjQpz)1*F5%{5DqcMny
zD<X7IYaW84LHy8|et|<Hi?`{dr%ImjK(p^FmT6tUZiI`R1tmPR75BTf_H)I!j6>Te
zpJu<ThzSLNw2)uJ6pU6-%)Uz^rm^u7D>zVMc;nXyxZ{%e4IE`aI#_>#sixojG}l`l
z0mj){y`$&5V>3!{i7?DlosM+$e0yy4833>~lgo5El4QO=`+bM5c|6Y*BDz*G-ypz1
zI&>5!pm#YX-RZv_s2klV-pZvwOL6p3_oR3O0E^;tT{^F>hxNKKKg2oF=T&~Hdvi&=
zNKXf&K+DNL++RPZAbcl^C;D~kwg5S5GRW#pWb55Te{J^~L`P?pR>FcmTK5{1l2)ai
zs8p|d>q`Hb>~7Rh&syB0<gTE5PZj<_pmtZdo&1wJ{*%JhK#s79O6&8pP4<kBSi4^Q
zH)oq3U$$x5Et(yYTWV;t|3`oE8^#=x1BT3)pRtx2+^`TzuW(aRJQtYeo*~N???w(u
z&x~bz2Y017Bt568a!7n056m3`_}bWB=aB4Mr>SyCbj1k{iSgDTd4#5Hj$Jz>=mnt;
z3H*$np=Y+quX)KKK@grv{d*KMbf0Z?L`yvydUO$L{YuF;`I_CDJ_Fng%yXBar1^#`
z_sZ6^Y#=0Ud}$?_Z_p0dhq^9+qT9<^J0x&s1pwM(61(Chc4Bu%9TGL@4PH`^4s{@!
z)Rpcsu^EL54>8umIfzdxJ;c5XnfRpALvzXHo2&hm0X+K`S{O$bnVk~0#mi2`tvV&Z
zP?8n^hJt1qXsqHacDO8<3N^bdufU2i(?%m+<?OQDj?3}~AHL=b|HW`wl=t8mN?}1L
zj*pOftjX^NbPXZMV2VuJT8}P^ubAeOpKkJ75D)Z{UA5gsXc2wIlih%+$XqbZCnu?u
zCf>e6c9t%S3+^$E-CFBy5!2U#R8}r{vO>Hf$m~y*h*t!e-D<@gGBIknH#m5v@zewv
zOcjE21IZ3vK`TiN#n1C(-FZcj>8>w4pX@ZKnH_0lMJp@jR?YPz`we=~$6V>=d6fa+
zVxF;cUfW;fxwFfmo~-9tY{pLF>ZkV_E`xwB?lMfsI!jX9UXBs-GlLq_&CkW>?@YTz
zvxhMK7M;_j_s9OgFa6&?HA>4`EjbK^^YuWl5L+4f`<%YF@!Svuf2(C-&_i%Wz;1&!
zD$0$*r)I^JZpA`?+*NQToys!9f$GFpEOUOl^}*o&I7e!dBDiDkNCfQY5F<3!ydpgW
z7eo_ZO<#1FiQJOW=pncuW)<tKaU9z69C7H1T!HC?i05%^aL4+TiA=5llEGG&kVLXa
zApl=<)J7M8zNMuWdt80sM(omS<D$0v(ZhH(-WM#QJ7JjTKE0Rk(|dk+ZV&9a#&?2k
zr#0}`Z)Ew_aF9{feca#t%Abg^NMlqFiyYWPf|2cBnK54X62UonSs}SCs`$uA?#a}0
z0SW=o9@-o<m7f0?Q~@YXcw8?*O0AL4jO6atJGR3GNQnvSs=;jy#7_ysVLxHcsb_kX
z$>@5;Dz$t-4|A17R=X!DrBaT%qE<oD)q7MB^wPi?$why4lp69hG1<O{&*r<c2iS@=
znOfdp?pkx6`S$ErsBn;91m)YJ-4&91>^j;*tcgOA_G*fg>(`w_;S3#K$|#u%^UZh2
zCa-8}xqXU+|LJS)FknvBM2GwS^{nm@T89ej55^d$k$?NB5mB}Oi0H5`;*;C1vTadw
z;9s#1d}W6oVm3>_z41v`1Wa5@gJ2gj@kymEXI*UE!@bk-024RDSNJaeiMyoSwObtj
zi>O3O`%)@bdSB(-wLG;^ecj7FBU@WhkA-ZObI0_CBKNCdP&(kbxo04+nQD!q1b`3u
zgyjKBC(P|Q{zfLnq~l|XI=&C89R#Y}wQR@!xL|oXX>O8o*Xsoo?)jF+UX2k`Fo${5
zV~C4~dDCuDYprK8-DSvhzv{<6@(=#L@OU)lc8|vq#BSYbXMaGWQY({D$qY3ne7Bwb
z^`<vwAQ<r?;~hZDhl3Os<`t>f{Lm+xk!2t_clJiTPK}%<zz8d2#5=7ye2!jSV+Mku
z%QVb89yxfuUXjYr&(Vf6z~=xK+X_}dFccUHND10nrZ@lW3JC6wYpX!Sgm(Hyl_YJ4
zAAP^wlIqXC;@jOxAQ;`+l<R*y^qbApK=2kEN4-X19EyKnhl8_MRZ61Didk;_A|$z6
z=wCb@KhJKt^!at<N9JGj2tJ1za?3_vjb^1)+?|Va{SMjt%DHpm4cI?DQ``=BKf+gt
zPyU_%jc<lNnUCS{vi-%a_u42pf9S}POfKwLWwP7m1f;)L<wbx_;a7%@R;!zAJRh`V
zqh<0+Iv|`JrYbNn=q<4JceJy1_EGrGr~Tnm?D7f2DLQAm8+{x+_@x|-KGQk;I`Nj{
zboBBB%q$*If|=7hu9@i=7B?XjonhvuA3QHc>dBrqYx-%#MV$SQ=`P|qF!Mk79iR4l
z@BVY8HId$o8~Y!C3#^?QNd2wVto@Gz!*BIJ7*uETn*EQHZbkM#5UX@Ds|X}I8_FIg
zGy5N9o)WPQMLj~W@;^3AeGB5kne_EJCf$Uvh-2Wzt3WQe5}asR??0^K`TIU-L(S!V
zFOT!h@tLb`;r#EAee=~UW-ptmuffCnS5;RdZd-2yU)lc-9U=aWQm2}e!mD9;>bjs?
z9Z_V6OTXW4BwdjokL;%{c3NHvNes}Xr&LKMKW%|YziY)@(A~W!nY`!)DjabedGrlD
zwRX+)7%#f+fKp7}`3(z0o1>@ac!63JgYN*L^(51Sya0lwL${7=0G;ic=}BJfwOcQ}
z*+bK#W7>0fRX=yw%=PTp%+36;dBNuntL5j8o9Vf`YMwi8Kgx6WR(ImudGo(>k;5AZ
zG(AF*192z3bHyjz2vU@M(v1Mg;nQxm3%pvf11N`lfx?M}Kn+yYPVA;zU?^Pdzu7D{
zl@kkrdVg+**SP^Omc91PZn3F;KLqOixt;dM`O<E&d~LD0^M=iSv8lZN4Q)em!eu+{
zPem7-O_7LRt>P2o+pY64cX#gL+b!}QLeJ!2#%)q?ckW@U2%`ltH(i*Ug8!A3w{ly2
zv+}2(diI%T>Xz<LJ^juH?_FR4{NE}(wIcY8d75K#SMHJy6{m7(X$|kkVaxbCy<=Rr
zYpHDII?r%d_`UDOio;&;vsd}MXRq$R`(5vRQGG1g&wo<mJaf15RkasS^!jG`OZ{o(
zCtX{ev(yq@`2myf3ccf^(t1}c?#i%SxkN*kmb+N*eqLC;MbqvIrTIJTvC-n=m;GH~
zp7KBZnIHJ?zB2loDTL}?v!{b1Pk8{^21A}xOgR%4dCC>gxJFQvp{3!X;$*GPPF|7T
zh9BCpaHy39MWU`g(EesFn39F`Wa@LQvtXVpT%pZZBPa^9O%<XE4~jSI6-6_eU}Oqc
zyRMh3!$VVkO=Jo;C=za#tSU}QrVt)c*UQyWS^5u;OyT-K^rX5uRmDk3<pQ`gqKhp;
zEH2+38|ez;wr-1hr}<-b6kxs>U1#ylfv&~pu|Yq&hXZXF$l~dO9u0_RZ~rt6d+Wsl
zuCE4gwVA$oUheTEeG?@f;t=U;2OtescONAl;CkMupP9V_VRouHF4^SVs4LO5<!NE^
z^3=^=_rk}0@z*BZEY6b8a|Uw^Z#%srL~O(#_M4@U%#UDx$ya!(MK_yZx5DUD5yYTT
zMfXEcUVax`VqlpF?vx^tR}|gsg1RE2%Oz%kLGxrmxl=BPND=f5o+Bn4H-e&@O%ThV
z)f${?Ht|vXA<j{BvkC6@sPdCk#p)o9&+?%~H@hGn7NNl(R!(k@6_rC`l9(jT-kg~H
zjI2(3lB%0s5_;AoS*=k2Zf#FslExUsn#^vrlKJj{=7Ho4kB-x$iOoMqb+fOz+5p3$
z<l$iB<kBz7_s1f2N`e$vtvOkXt7e=)!dJneW=EmwGdV-FD8I`8=_14Id-?7qo8LCK
zo;)wXBE<WM4V7KwG1@(B-b_{NJ8)~NdeZVks`{J0z563iB~>jZs^><pwj2y4I$Myl
z?DW=d^p1@pkziZH|H6x#1+$-a*rK_uDApCaP&R_ujYbI&p*S3R&n7JOipi+L&F+ww
zC#<pRRwv!)ZpFsK5JZc%*6Gb|G$vFkbs6n(di=EPM(?*^5c0<yw;SUlC-*eF(L1R`
z#<7tq?$hWhx}WysA&u@}g9Fl<*^S<<*P6@+<!#+w%F2yK6VJW;axe;vf$nQgR;%H4
zI#3x}vPnJn^<z(ss%jkS=^MUke98;*b9@t@bj6`gEUKcN)n;3UXvY3r_Y_Lb>GJhV
zk-34Kyx4&*-k^b;KZciTAZP2{nz~g-eq{L}b^Gf-@EiZtzY${!^*md3+o7yM)h*OS
z`$lJVn;Lhab62AruTi&{`P&E%t6LDB+L-(rb&Hw5&59Lj5$bk>YRy`KXLSo^jwYNy
zup+Ko*^aDku^@RtjPXfIt_3T0HEahb9Rn&}uX?|xMlH}7T{2UEOvc#NY$m~@!+Ny8
zr&70ecc8*SU#jt%QFTi-q$+A+L#(VDb;q8v%_6bBQ+aeJZ<{_W>(GPBdOAq99uBG8
zP^&|qc#K)qR*8t)?A3V>5Cy15Gl6*SBtiBZhgw~m0hwnHpF`mJkgxi}KYu@r`Y?e9
zE<^BgT81o*x)Cps)@0~JqqW;hVM?Y`(5SoMNnS9Gx(fm}W2p;C3jLWZH0mz6Umg`7
zIv_w$B+0%4B$sFpEYZAvQZS9WuXtimu$D{}!6oL6$T{w5i6x=E(WkT~rXWp?nkgD!
zFs&sS5Z7mtSrt>HHfv=zwr(^@yCg!{2&&1OZc|l$mpd%!F{gHM$_FoJG-O80sh+l_
z^W@?4`fdvxns6ZTyB!AtpkJl^u{BQ~e!67khm+Wa9bhSG&pw?_N0UHMvi%R<lQ;s;
zU%maU?+9|i^Uq@Y!Q0*u5|T8(qhh|qfK)%vKg_&fJm-q-%+PPPw3jK>`Holr;wS#j
z(T)sdP!38!HSY5E0PPe{>wCTH(A-!8$q=NawXM4`_hH>x<~;;yX<abJK5UfWnENNt
z(iXuo^<huD#~3zNq@{I1j8XHU4|@Y4UGRKsmrS403X5gQ=BBYS`Kuz|$Q0Y=!uAhd
zOzM$ioWT{!QeD{pHj6f!lsakS=0Q0XiB3}&fy)3DLF&HAc33n*DzT-wN!T|j4DlyT
z+E6<O4m>spqX^~vNYd6DQJ&;D<&5&3ii34RH&0uB2$uW4&;H-O=zj^lqE4y9a_*v*
zK5LjiE@)dgo~l<|usG&G<WCMu#B_2dtbrwMkrQp4-t55}j|l~PYxK|+bzujCV_tdi
zUe&%^F~LH;5`x)-=SV^Awt|-RiU@{a<-uc2qqy5U3{_Mm8G?L<Y|G}eG0IqP`bBum
zf)vRWP&V=Px5(I6KYO}Pqc#X_0-CgX+%-W*wNTqzt<ftgG?awUC8r@|&7!jDdd>e1
z$;7`k`fY%eGyCwbSRa0>j<a`YM1>CNxWDpW{{F-F1xj&U>cM7)u=92c!spb(9=S%%
zQJ@6kRO%skkUK5m$tmHSdPqFTe$$kkdN|oLC8r*MyI<cjC8r*O2RYC&C8r*O207F)
zC1-jI4U&DgYw#a<MwRJ3*)XNb^p@7w7&6=5oGSGYG{}{Xqm7F`UBjwg%;hLvj6zMN
zy73jqfyA9LaCFY}vR1k(3z5ujDyujr(>tdho_}!l!m}?vJEtG~4V%*s*N#UyC;jk8
zf9qd(@sD4Q!lhHxmBQ81qpsl;oI&AgSImaJkZ3_9?eVCxVLyPIAiX(Y275HKY}iX%
z5(FH*Q5D&+??8B!aOjBk-7U?m;uLh48V1LQWH0nYlL*V%D~(~drqd*Q^|=()FtDhE
zKxEllGrL`7JPB&Itt&}7dcHmT?Qt)BI1G!HV(c?q0JZ#8J@h~&gh~w*q^fI>b0zjK
zh6YV8h48q^|3<77pY$vqxsBP0>eRUuVlIWaG2!cv{<T+s+z$n^q=fgj6yjusgS`Gm
zp+|xJ^%V~C_PgMz3I~b0Ob|htwj|={_O@_Al(Pwfh04)}oc(wd-c#oJi*oiAPgOXG
za&|#n)N3moL^+$_=>i9dx?J-50*6U)kLsy@(Le+|4aZfrPYW=ay7M_Z_*(Rpt1<r@
zN-RG4cm6lNiBJBW|BY{kK2Zv=xyLoFq63;c!%7!~mF^)BM@OkB5XVGD)I90~iqfz2
zZ8rtkCK~R$kDxv`2F~s5MwTA}l|KE`|NfVLY4pw;R&SWU#bM~UD9bf`lDb4FU7fq#
z5<NUba0ga<BUq_7Ws9&b3zU^cFsrx17442&!|eT5SQV~m4&%sBy{)8X_B!>3N-*tV
z(xKvuAWRml)Egx6V_3wb<HxdKR&U_Qpluul_dxfW71j6jliY5>+ZW0Huc<4&(6a@X
z9BXcN{rW8dm0V@wdVnKK$&Iv0&ZnuMl1c7R@QgKgXm5<m-kxW^J!s5e=eg~Aw@14L
z+nNU*!i%GC+3=;ln%XVcByklv_YIQh8mzgJxRW|9!z5wR(34su_n76E*Bmths?W^;
zq!#h2(Ty)O1cUVrf&GhTKnLAn*WmtF590|3(dPC~?mAEH?&~Z1-yzEo|3;}~BivT_
z-?>H0qqb;iT8v;5dgJ^Asy*=|in?b99uv^Q<Cg9r*0Q2JLRD?@E0`cO?H0ARbS4O0
zh9LBRea>(C53W*@OH4vP+>)WFu=QwN)*7A4AJHCYbTf1kOSX;K;O$tlLz9DX=`xTt
z3ub==d;l0(xI*wpdNt5F+=4v>5(H`h+zbJF@`^d!GJ+88Hw&?|wbP+)_CO*nzeGQN
zHS|qUwNi15AnNkfA6e7j*DKck2v`Q{;_OlH*-A>1tJrx|;PB_%prV_`Nv&W6W@5VH
zi-^ip@Rrh2ZcP1e7|{6S-}&G8X6O^8PI8*yfvs|@D=j}vt-7?tKCyh-f^S53RfAad
zm8u;oB2Y7N9!}Wlj=I7W3L;V4^&hMwG~7)mEM4w%5{b`A+8^hedyCOj0&I=mWFrlF
z#bu4<^zt~b=^i%Mc&Bzkx?A0P05%)mYcm{|Z=$cNX2ds|4e#wVv3>K`b-&_YN<e@B
zrp}<V6a`B2y^jDQv^EnYhF5XcyIn~_4U8BU!)t=6kH8s)tx98y#K|>DsFm2X$eU;l
zO!+Y-356U~o3t5BLV*|9%T^61q0qOhy*$?>q1Fh8VR-XNR_v0JghC^Q{xG1-E{P=6
za*g7iX3czeA_;}_>`CU^laf#<+O5`{9EywC6tn(_9S77kIJ+O08*=|UWXARME|!EE
zp#IHCs8?(fYIYJHqm!U^V|Ef!tJUlKsZOb|I)|z9Q6KY{zvUaoorEJ$Tj}_-?sfdh
zW+-}gf~#5^7oD_IEVUK{5!>JPrWIxiD*A(?9UKph_GqnP%T7YEfX5Bc=E|-$P6E2U
z;m06=*(`g8Iy(u#55PNNDZpykYp|J}goCaKLAV|5Io3{sCP~<-0<DspldPPC4jnfT
z@k5eq)mAb)342Vq5uOYLPg77qq+x*pyI-KXp<OdO3Ei3(8%IaC6G8SXXC~jD-44YF
zB5AXOD#?6<qGRZ?>s?NbfZc;U?3MZBX_{E`He3|-6ecE&Rrt}z%bs*u%y)UARAb3M
zT%l2teaJP;v63Mdj_(e*EDeyLz(5Y<C37D@gr-KLt2dDYR(Z#09eMk!9V+Aiqi`?+
zs&oY>ef6=94-}*$9skj~y4NWKR2(NQptE>VMHYlHYVZcQ#w2?<Clsa!&6meDCf%YL
z*O-2b&T(1Z_f4<)7d|SHYX=hwL2!VTZ*$Y4v<wChg2QMlTWN>E6vap@TkY+^6h*&7
zZB8g8qE|mBpA!lviC&rD5KM@Hp0}Cc5UKkE$g^+-axSAzD3sJa8j+k#C?IoV*$gxX
zCi+sXnF$X2ExZQFdtlyTee}r&UM*pheo(_1g@?LrjbyLt6hzof`Q%uF|82gOO<is%
z->+wL!IJ96i02v@bfltN$WAPrw83mZWmA>S5jGh{yBf&H{KYv{$uML{H>3l~yu0iK
zv|a<Z1MjENujcz<qTM;^12`e8E*+m<%Q;Sn<Qw92^zuadL0ps@nCVH_cF#;tEh@ZO
z&YGRRf8g)E`LBF?&|9@S(P3Y&_qDDF3<VU|ysmIMW~Xj-tb7(^$lxocQtVA{(ikHK
z7ql1=8MNcdnvC05P2uzoogF}f!n3~R+$-vn5Ttta6?fo=Ng5Ti;4yTxuCS(hEP|*w
zkTmM?xRFpPuSoS+1oxcMfW++4<{)H2QI9U!?Jze>B~gQ3o#bRymUPQdY2O)2Oht#H
z6ID>d-LPHd`QIVc=im9?A({9$N}VPz%>>u;a<C_R9~r^*d?jX2@d);IV<k3i`PNUS
z5_|Jce$AVIEMO^JsISB}I<DZbM-_1O?$zBNH~|^&J#yYan+VY4*SS(+ie@mozZ29Y
z3uYy@2jN~o7nJf~>3T)_ctt;G+6*gpz@-|&ti%8^VFm-V9XXwCn~1E$;4uL@62Yxd
zWpY1>ti-_ZwT^Jh{-G-+W|h(GMz@q!tNCXOEag83-CYTuL2|Y0DQ|g3UGj(t+R~)r
zxIvjh^TUM^;F19LKz`wx`&DnEwHR-gEKnD2XwM>uU3W=9e3(2)01J<Zy8reSjEs<2
zT@v&l8)>~lU!V3o^Bvl4H<-x7^F-RNz2|VU;--T&O!_eIB^rQZ&A3GuFbb;5gI|-(
z$3zgzmAL;c#vzuv^0u>TQu0*7dM2U!yt>AP8qm7;+x`W}(m12)TxMrfI8NdHq97XH
zV)9<$eezKY2upy^YKO{uebnjDGM*rg&*{*W_q~<?E*+oS;jRK>g14OZhxc6#)>9BF
z?N1$$_HUeVxje=Dg)a?|Dk>vn(4N039IRKqMUSC+bAl&J!{M6nTpKf|;2vKJ&Tja~
zEo$xbOpv_{LH0}D|6TuN9e9?u%yM7H8fe`$hhuejhloRSO!gHF8u2U_v}t${+`~5!
z+Y^FRbuI{Fi=iCI1_8IRp&KDcU&jSYOZy!L549`O*KxtZ{MoHx^P5A{lDZ&DiCBHK
z#g9=EZ*H|m^;ZN@R<4m9rVqC(QqQ>{sJk%Uw75Xhl=)*{(cV)9x+JDoGnWZTOmS*|
zjrck)S-RSG>#cEW(wfAxTyl5B91pJ9ZIRome)y=prwvxy8CaHXuk_!CN?Y7;$IiKy
zMXY!h2{Er@(Ibr8_z3CZQ@e6}GxUklr$fOR&$=L<g``w^$>A^I>Y_YMz$&&0g!gfi
zhV%BMk64Hs2a3~{Zw(Lw#V^?X@t^wYvFd`DYlmt_1!)XlGv(<#`>6UJt#<UP@3Csf
zGOli*h;cX>u<Ms0P<i?}mSh0hUdRNE46}<bX_<}EyJM&<lFGBJSf;>r;3q(R<rS-B
zKum!{piF&SNs4P}2ZxzLk{>D_*%AxY;*x8p4L{9p%lZpSqVHj~=BV-%HHP`1tpXKA
zq+i~CGC+^hwb^<EMtWNKCKTf%DbIn7{;WJNs60hIvSMhiMYu1;fpDA<!o4E8gq!vm
zv0$x<nPZ&G#5iC5?7#I16OvMf=Q1g!*2STS>+sxde|p9so_kzvgy)}u&5Y+-b%P|O
zgpZg7GoHKKpk|M*K9f={u$a+8q?lB2hnAP8l2RQ4F$D`(n_eT~jOPaSie8hNiI2-u
z;kkjmVsJ-|y-qf%$%I}iDOJLdhX_2%#?^34GR06%k*Q7Z=}2TwO3g{Br{DSDy<+w1
zj^~UuzUYVE_=CSaVhw%y9H_BZ@h%b*(~?pr(=%C#oy^W;C00}p$h)gHm64TLQ8}0?
z)XVo|C3Z43!&t+A^~uakR$`|UGX!dU$rFj0^OP91glK``53WSiS?}Q}>fH~uA`i_H
zpDY%580u|Gw!}}t|HgVlpC~o!u`0&_w=L6*QU82af%6lUT%QvkbK>LK=bknjboKO6
zgD~sk;u+C9Bh6bF$Z(31Eh8aZ+4nit7hOI5;CXTGu@wWF?#OpOnyLQk(zQoF@i+h6
z3%~j`uX@#;*T0U?(KYsT-Lb1ZQ=lvBhG-VTusgRGN2CgnR3OO%_Dyi45X|-zu|<si
zYyIeKPeCi;aBduWVC-MEr-l1=K($UAxMX`8LqnEG=-?cK7@(a&{KxFe(2ylM;WvWW
zo|-8~Mtfk8U=<Xg(hBSf;A}&a1S^t98ANhCaO!bQZmCEfnE!5*NR}(>k{j2&A$b5>
z<k3-4)Py8R&{Vglj3Qa1u&O%xM7w|A5y6M|OTV!Ds8m+teE+I^%BdBxv?lSe@F?y-
zghN1s>-)NYfTg{Mr0-Pu2=}WP2hvrP!)=>$?l#~5UT5+Bd;T4giGQO6`~w3E@n&iF
zeE-XYSbEAAop#hg9~jikx35E{$}Dy}j4qJ+u@&0QRd)t!rssTtlp<M?SQG70>i`sz
z$#Gnu#*X!Uh^K+M^++}8NnZd*)-Hd0FfQ9Qr837Jy~P35ZIa?6?W^^JrPql|qH!vg
z!qLG8>Dpf;T}@mPr)OlUwa)d<0D<(AERyRj8YS{chvs53u#!wqy8m`af>=~P>2G=?
zcp|P6mx~>m49ZnHf-BX&k?W+27HDj(&11m;=~3O*)jiUrCC~H!XYXBLbxY6kFgFBb
zOp;184Mrj21XM&j+U37r5+x{^aq9%TM8^bDq53es3_Z1H&%vI$Mr{PWKx@jqs91yq
zT2e?LR1GFv3QZBAkN{2)O@m5vQE40$2Un3CK^s)m=Xo#R`+nbAdtLU~d(WBivNYcR
zI{&-Awf=Q^uh09usPI<jIqf~WEbrN6dC%}~_IBal%BTMK46^>7@nd?=u&=*o{AgUQ
z@}5C5zh~UcxrF9D!``?4p1tMD7wtZ=JJ9e2s;>Z=ggx3a;7P<g+wFx|I>+_{8>9~>
zpn-tm+<O`V?GD)y-tI4`;NyUs3y?$R1M;cL2jq5ug|^3wZHC%!cNg1=sR#n~{@hOc
z<9svJe|xytR$!;C6sQ8MB-^pSV3}fbhZZ`#J>}=@HW#~!xM(LOr&w;cyVzy0*Y<p|
z!vh{*F9=lUFWc#Whl^ciI@)b7c86+zzH90F?YN!xKV9sO`GofuyXyDvn%^(mX@8t=
z27B#}7rP4VwWCJN$LDt1pU+cl>f=?wUV62B^O>jjls2_>?>@FN*bAGu)}?TarS|Jo
z8M%+e_TWq_rIP!D2dlthZ+rOMbNw^p)Lku>stCG2`ZmkXTqRe0+CDZzmNE(#qHdrw
zuyc&7b}dy9Es0>)=Q#+q91>ft8y(-(F80*}R0q6oo~XozvFZz#FTD7|<-@na$zSPD
zW4Itc>B=Z_rRALNgw=8(%KCA&8BI*NEB$h1!M;n&T`b7qh1FX$?XFPTVjSI-x9DYm
zSD0!1tH1WgUi0i&rZGhw@XRU$UYAQUSY7)5vqzE~5{xqRjvFvHweC>zN1!xz^fDBz
zuDC4MBhBzbL~VisM-@cy;yK_+-pEs>hvy8iM(YbDhw&*iAzBa50gM98uTK6V@NeG3
zgDHuvzgR^^(Zhqtab^!samULC4F>{tz%SHwu|CA&^|;RyuDg8F1)jJj9+(}vCBVJO
z-_^oW|ArgQGcE(n%@C9aY$U~{c9?InB)P;Alzj(n^VRluN{Z{o=>$A-EGJ+6aJRy=
zcGV2tD^0w3Dq`TT_7}tv5^tgQ#JVOr8E7}p;R{q}+P|+^Xf@WjTjf`ZuTy-3I@LJf
z2Ti#}bLa#<?wj88-~Ef9ShA1`Mf9qkBYdqp3#jTnX6eG}WW;g>zs7h2$wCs^tQkIA
z19=zPT3-?1Yo#IDZ`VSJpI4-<b-}%G{}HE+Q3JGNS&-p}3m!C6iev_mb(+YDL(|r}
zpjk#Dzd13qUXixe1q09xtayv6rmc0s!+}SHKXBNdj4vn-Ew;8uf^-nqhmyNycsepe
z4Ch=D2^W|fgtL1f+11BLYgX`U^toY8N}_qL`8|?_^ffUjLfgV{cZ>lkt(k8RhFuUH
zPl)>N&^da(KW89|#23QEdh0$;Yvvnt#CS6O?MQ*P50T{0{5%i1Hj?i_lN~q}>N_O)
zGnWK86dxl2zDuK9eme5Ra3t8s^uQ~>0jX27rsU6jO$=VstS&(Jl9P)yM>9j=?OY9K
zS8vEXq({NdppK8{gxot)>5>ofY!DBW|5LI424`IuJ#6-28dOt-!60cLVz0(wYc_dS
zsXp8Fn_5ByZBa{E3dtv?C?6R<ptd6qLI#8?Eg>nudWW0`KpJV2(-L!g&=A@)i&^q8
z$Ri?EkWN@*|H#A0cFIHGd~;d~X&%;TA}u3rXX*T9JNB1MY}%A0nCCG#zX#eeG7(j-
zp|);rOX)heq6vdk8M7?<QkY$yV#!u~ta?VKkw$LO;u+lz(b7lX`{%y(HzNz7+>P!T
z6(+}*jzBuiG2d_1GqSUSuO*3EhOBQ!e=8<Hh~Wh;>J>mBeZ=Hct(lRXMJ##zZtbnG
zA8wN<K=XnkpO%r6q^MDsJgr!@Lh>1YPiIZZn)}6+o_6>VaC@3*tE1k5TGFv8rr|@>
zj7_q4REFG9xSY)&t*3D(JWgi)5jaTJBxg?+{^)sRkWz~dFF+|nix+@R_(b!)0DB)s
zObo5@;svbkO$oklJU=q+7A;=D?RWwI<XgY!m;PL|r)#pxy#PyHVe+>0U&E>2f<^@<
zDVG>%XNsVXgN5J-pI9kcoK|NW6KQL}xaV3#<`cst-ulq=0{qY>h<b1jKrGiH4j`RY
zPOtS=W@6+YlWP&6{sC$PNdoXZb{JuDE#iFQa7ae!tG$s^$B(IN5uP8}uXkNURlwv>
z1wI|OiZda-QS-Yil-6l;1Rze1j&YyjFQlXAyMtCuBkjT9s=5+_>`YZEA`OxJ^eq}&
ztD}#40b$=GM<6kDd=bfk@&&&Pft(L8zrg1)8a|}RKH5Lmi<r7YFTiu2?hW`hYAA~r
zaPwY3@Y!U<6Pn@0M<|$vdUI;pz+RoX4#R}yX~A4ujV#|9I~I-qw?F*LKl8N_uIYKU
zirSLI<8azR@N3ot7@<RyEU<on(6#u%tf*ljI4K>0!<GzYS1am4{As1Ahv27KQSUKH
zM-}xEP`c*OSy3NR_%>C!0{K4^uSr#+(Bzx=3nmf%8o>M-;zvtl+m7%_&p4)9iKb3J
zsw!1SS-?~@8jyAId6PcZ@lunQ)U`5t&arciplnv!(Ka&DuAlddr<MQfTRHxXk_oBW
z6C*97_U3WrO0^@!ND{b5j3iuWNjs+ZSg1n4l;+xTg1(Y0>NVBj9ThK^Eb6sQ%F_CN
zGp+C6{7XOmC*K%+%$m}6vs_AUIu8eFBFvC!i*xkQU9eD@f;?V&<fArM*O$S*iP^KT
zPq6r%igm7E?osZOY$A$|X7cP{mPPeLAGWBPaz)gS!OB$^C9C=}2%yE<vIefXL|<{#
z;L#)``_tEYUPJ~AP5!FLhv7*_n9FQAW#u>!r3WqC`62_vQ2<|8g*Ax{Q(+AHy_!7>
zYIkW~aD2Gv|5`p50@3(UH%iK6*Hnm;&XBx6pOj=z+DW#cpQ-TSnv{z|plql8alRQJ
z&giGYM@wxxAD>=a>`zxMw)En-bwd+bf+Qfa!mt~swj}cvYM6M93SN1teX}Qb#2@eE
z2PZ5q?*6pp``rC+{OjNGj;{%KU-?bix!N%X1I$;75e*t9$^RP*Q;fkFWxnz;7-P&=
zp2@&mZA;+9p`|+Ghc5FndML7}S8O*xG1l7K0>Gwq0L6-YP+ahESSfAb@o=(~PzdrN
zalw<Kb?Ei14DcF)`gqw%qV|pEC^+%QU3XT8;8x0-inE~P*4%wxb5#4eyDxuB@0$0~
z*k5$h==bXRi?YdDDYQ>gbA3q>UBw&ILiiF1le{25H;c;V6q(qM(u1&wR=26L%F0Q+
z*-E)ogCUUgnx~DLaahzwRkwvGl&p<v{X-ZA0>T1|fbyEvb`Ds>t<TkNoPB>1$qRT5
zXWw?8s|*)s|L$7ar`@8~pe)Y*%{cqN_iI1-SHC>w7U<1rjk0yzVnEbk-LZ_>C}WNi
zdE_CuTU&dozrZ$5)2-8PLk>j<ZZ_885rTB#Y>Ux7L4Yt$fU#<GY6w<F8SUgIcos_A
z%=B0pW$hWkieP-y)LN{VjWVDL#E3$Wi9pH0x!|Z>EEY%&B%4gbTs?Z={iXixR=>^m
zDeTZ>)8(smZ~_k=9lX}?;L`J8`Ft+%eLi?O{pKuUlM*RT@cMxNMI@HomJ1YqbXEka
zPMh{FX1C>{RMC+Cv^f=i(Jf19n-`_@gWK4+Es!MKwn(1I{VC57o~G(XLNYzS7nm5P
zsbMVM;a!z-Qdx7$bSu}~ZUjJ_A2$t3^if9>`VQo0@))ff3euU65qgL7V}#93daAH_
zgO3qbYab*2lRZXgQ|>-S{KsoPMguL$2*_%mNqnH}WXU@lPFBas0T#8wZc0Oaa*|{_
zf;6Br>-hzU!>W+A19s&Tl=gqz5u)PMg_B0dXAX9@iC|kOtwLkd^=rp5Y|(<9LtbKM
ztLbMDz`GR}T(;x)vjw|qX~s;3s-+nM_5R#W`=bRrV~5#dUj2UbXxIC5JMAx6-?)Bk
zF|R`Yk(O{=u)LfG_#U&fLmme&oA?&};_kEZTl9bX<}**D9~{!E2=3iyceo29z}IGR
z6}HW*ztukUa$NL@r_-on_o22}M*T%a7r~Kc<;knlN1L*GmSY`oefYfJxExIk=l~;l
z46D6C7yQC%^Qdh-WrL_82vUz$+smV8K1g^qTP5|+Dm?ojhYg0RNoEol5bfZMLuVf(
z+#mEI)E<M4^tMCq4;;dT*8-ezR#}V{vk!unQO!&q=_oa(D_K}HOKeco!;1$Vla8O1
zEi7h!m&CvhcnnrG<Jfg#0Ia5{EyBiuwX{C?sO3^$#NZOI%)~&8jVO;kr<+wt&m}P+
z4H3y^Gz}8sgoO%MPpc?9Y{CN1&xG1z9Xe_0myj+~*Y`C6#7l#eG$*;dJH5AP3I1;f
zDf#Jt;6MK4FB%X2SLB6;J?)8?Q}BekoteqwiVS$QVabN~G&T4y)(<wzc-_KOWy4#n
zA08)z^n_pz{=@k7%!9~=_f%{jrd!lja@6)QHnvzCmM?E93?@h36Jj<G>pK~7P)S8t
z-_44Xvu#>D##7AyMVR0BiIOuye-Nf2{Kez5-5Ss~ZcTPfF7SAPdW{8OOl@itGubhj
z5&EO_p*^{{pHil-oSpRaUf7d?$OS&0qEpj(rpK4OZgdARq-MjPRzK%e=#TP`Vos5u
zXx5zm5FxBi4-vN7hiFpZFAotU+lPq%6hVFWA;R%)^C4OS|L}Uy6I+cfUjqM+jllnv
z9NK@^H-E#QeCiVlR|NuOsADfL=oUEJO4VR{+G^NrUm=Z59qoePcp^(DYzcy4^)Nz^
zD%u58z8ORDL`8=nL;E6lL>^aGT%lOs2-3rFLDc1S=o98sNE0E_Jn~}*jG~9(f@e(B
zlXJu#dj$=LAUzBhEGS#_I@n;25M*dy1i@*bVfX=At>zrXg1RJ#KKEJ!DfT9o6btH-
zr56LpD9ffKNhbJQ@(f}L>6^fn!gPCDyCj+KjzKq=5(j5)E17Q(cpd0cGO+-o-%95D
zgJcfKEGUVbTSv0GLEDYeY#n#tA8FUjcL>)8<fUT2F(0y(%(v*Ig#&mb7`LJvU;f)?
z*zf2WQ6t<%MW}~o*?ZmaUQs8NXdE#W>Vrn>G2D>bmQnZWUbg`t)8m|?jFo^B9^3if
zWhU|)*vL@<H`*k;Y$w-%dE~SyETSbpf&vEEE#~J(>{*_hAF1-e{d5%!*j-JGEZ@fV
zObEaGyZ*@gCd@5aaV9GoL%_Dvwn$Jfm39V9K16DiO>bM%$k8G}wd8`(!&=h=kB>}2
z&uw(nN-j9a{YRx#1c$i)sFR9d8B>qnqMF9Of_b4za>1CC1daf;Y#~Ui;esc0_$j9}
z#=EqFVl`Y6a}TXE4i)zLLXK)V)hMamL-Z6Q#O?==FjZINemIfUrJ&O6!!Ub|3PF|G
zhme+kV0qF$yjxGDoR6PIIZ64Z@Bb)~^jJ@~$1;CuUTsy%@}bAH_FnWDHc@$<ugB~`
z9#J$M#Ong`x;v29v|H5Lf(7JtGa#?mz5ieSYadJnRv||5jt(O$06WT#orDO-khG#1
zIDwvy;o8cgFc-A8bYKKlm|M^YN}^16<HSLjD28kBxZ4$_z-odOFOK$m$Dt+9Yl24r
zrKQ^uR4zsy7lM-KEr&iSYu{<LH7>polsvBq9#$(Q20pLWHhn$>rNHWfjt)N|dTF_P
zG>_>gsdGz^Njx8=(K261&13pm9xz>u7YOl^M4y$Uz${GieBQBnQ`l~d0WnTf)u<nR
zv%*qDV64}|1rTe_v*v184RAzLsP^>g?oIvv<zv^qe%oW$+pZJAASKL<FuSC3CR;bE
zwivXTRQ~;K1G66&vm|XXftf*h7W{YV2O}3*VQyqQ8FIeOX;a?Wu<ODGINz?@6TaB>
z_q_1V7qtTT#MN(A>x|r@#jf8@{q{rWPyDv8PW4;48LeHnNx`t|pt@-dmsG%tjiJF1
z2LMbhLv~$zKWW!}Qg9ffY}XNRb5;@#jk%o62!<`H`R>lhTdE=4qGr|zR(8Eu%q`7a
zy<)cOz*jMrrx{#zDCe?WM_yO?Xwk>owXA~QbIZEo^^+x)YbO7i%B~}$OUH)?KS<59
zlFW9!j3GV2z%v(FNmh4feP-4YzCw@JrGObbPYk`{<T4z6bFvWzaeK5rX_5ydjD-pK
zwAo+=Y;*MNcpWypfmjosit#0<HS-<9jU=BmByqWFUcOQPBfN}U@vONc)GZmzm0sb9
zaP|D3iXl^KA9A8Vk1{vCpnKf{0dMPHD8@P4XL(QqliPxr+39tsAZ8)1Ne%@dTonix
z8PM9H;C6TrL@ie!WVjGj->sl@lLywKcKM2@<>c}dhXmx&NWU%)$qSbcB!7#mgM@9F
z7i2?fO1}Q(G@4+V&$u`wx8sofo4@$l|M^#gIzn$o>yV(W3Wk<m0dPJ_%Mi9Co4ix;
zGr;fOdM-K95cvZzN3@<xc1Vt!J=%}NFvH|E1~1z?jBW&qS_IdEJj-@Do4fN0^<WVM
zV64q?WQPRP({XBCaig8vdByCI;HsEI0z`NFtD8i_9`hB%)Sis;KQ3hDkbq5!{a0`v
z0MV=0oMe<s@?1WsncG|=A)uBP^tag#ht>D0WgLazqhgDFC;Nwfk~|mkzkP}Q_%}+W
z6u+xH{|gp|1<HN%gid#6d8d{tuV*z_s{FwZe)i9NVT53s=XQ<f6}HJ7b~ir~I?Y_`
zPI{)s^K%?z#iPb^K{jS;-4)0Yg?ZRlZK?7MHVaWtJyV&f@nXfHq0DsMTv8jaSjz1i
z%3P6+UOklAx<k{NS5xgtYSkr&x%P4;d6;XD7RlEvC?GJhUYBc6wQ9gjLVYIY4ON;J
zH@R};-%y5Wzb6M-5~pXn+S9hKqx~;*_0lT(SgoRUt0Ts{rPp4#NRi_%?TYv^Z!*9H
zv)634B6|-;5{OIfcDlcQ<80>BZqX7_O}|BRroBBpeDI5286>%gfFvV(J^@aN*ej!}
zlBRj;0KNnXYxb)x5}DL1_6Rl(254$ea4=Y?0>4(XVs<b9i&bht%#H7`=~h@MGW{xQ
zYO|L~V)-N`q;kUz7DA=$f-55R;E)^*snixH)RbEAI?+jUr~f{kB)9DI1W<?%*y$yv
zy7`zYNC%`g>u=08d9$YJ{KYI!*ZGTCzQV_Qc@AOyiZ^}B-~T|w{R*YNy0K;B6TQ8E
z;EKi;P*0jA3qb~!wnerfBT^!hl9;>@WL#MUy|LwRJfa_^Zrfno?}E|T0-SK&<8W1D
z3x10NisR7Cbe0vJJ|29Moh}`k<sw)bTXrkMGRKNymR&L$Tae#vPg2aXOGaZ0il1~I
zs&<wB#+Eez;;kg}(7t9gw!q|f8b<Q%iN+R`(mRs*{zPNTmK>qYc~&>5G`3(WO6RxJ
zaq{zw#+Ef0P1-f{EsDmL{Z0nZ##I`1UW$(~fz_i*I_SnLsIjFB97AETZs7!uYM6UU
zs4b#SibC%!;8kbPDu7@WS<)>JT1B5qO_ud?Zfscq;dciJH=T8bPvEm`m!!gU2XjtR
z;kEn!=%fEA+H#fC+p8AF@Qq>X;F4m|aNonTPy6VCh^iGhwg=U;)<Eqm1ne}AE(n4L
zz@fMzsQ$H18-lcsF34^ufg52=yVYq!kjBvk58(8cI_&`rRa#39LE6S5h_U3d;vP-J
zTKNq@nnoA238b7)4l4>H8uJQ9O`}@46xXMWdZuceK>9%|oBxY6czn{swKR=E(%3`M
zcwK@<59Yfj4}KalA7Iab6SKYd22xsA7EkNYflLBa4HE!j2Oy^_q_INTD*24$>5Lyk
zE}=4b9cVTmmp}p`PYufLI6SGjDqxW&!PD{iaHRclzMMr>elODCbCBNR)~4m^PEK5`
zyX5>|4Bp)tJku|W!AoHFhnMfUC}d<0FCWBLQ^?5X7`$(K;~)QmZyK8kYQ}^R+x@D|
zP}AUf?)SU}o|y=yzTu&{4$N`aXxo8c&+yP(29Du%ptsb}%`<q4l=efHdj5Hhp*0fY
zg<#I2pSC;2_JSBv1h2}XA5W*X0F!_l7AlA=n6v2UC$>K7weu##p{p!<G*YjTuV_xP
z&Z1+22J-DY*p)3tgx9%#=@u<NytGo|qHDmMj-Kxh=%9hbVp+hzPLY{M=|A5djOCz*
zLrIKSt<H0@trsIa5DJUArX^3lKpO+=8Z$blbccTEa`qa^al>gS=ac1{ubWLu4uhn?
zT$Cw$)yO7zvTzb-v~Ck8!wSRhr5zAR*YIF&huLI*QicaJY{qK~j(DVewyS$jkMK>B
zS}8jr#8X#Z$Vk7_M!bgb0tmO>SI6heoqsU5<NU=*Nt=9e3#l*)@AO&x>Ap{1sACk~
zaV-I*zOzdER0x%w?o9he2-P=z<fC8xOz38f4BJ%dd5uO+h7R}|osf0DtWMSmx|D*{
zYqem`)s^YWhn)^CjvScIYxcAnhG7zBiOzI1X|$=joT)pV!OlRy(*bL%I<Ykn2jxWF
zLC1iC)#kYABtCPV4)aNg1*M=4Ps`_+Q>m!_pk;@Gh4eTMU8PcC+c;Ypl8A`fUsI)0
zF(R8Ypdq<oLrHxlMK}8u0-F!9tz^Wby=x@%-2uvpC0kx1GVN!{$r`t4F!`WCP=HZ&
zds|AUy4`BrM#HI4ANPcOb9uX(R*zAW=L`f~OLOWxWi2gFN!jw1Ey|Yjtf9K+t5bXS
zNAz8%LONBQPFudsk5Qc-KJfcq^CN-5*M&OjbhT!W7<AhC*kQ}cFD!Pqi7q|dY?V44
z;%1}uvtNYR8Le)nC2hureFZI<)hQ-Rl8^verKgyZ)#?5Ka!(Zml})8olsv}|9c82*
zezdGkql(l=k49g_myAlve2OsDI)>X!E@Z9X=zXb%sBs|rZ&y2wWxt`J$=M0y=SDA<
zGs$v&GJPt{ftjw#d>cT`g*RucF2uZ0(pfBArcJ(~NrhdLH^-jgCr^ca_s2i;%vVNn
zT~jA*dfEtkF@YAIM4hPB;<{&at&IqR@Vi<;sxTKsJ2UvNBt1>dlT*Ly5xQW^v_*{F
zCX=bcT=1|tkqlBgFA-_d-LbFCBXmKVX{(uWdS#JyRu$%gU|a!*8P5^TLDY3wkSfdt
zkzxnsFuXTh9${n5g5nVtNzKYrl3Dk9N%06>^1MMspFf8hw>`Lcgf7WwKqZfB;aIGW
z&d1j!V@NNu3+=U(Xx%08i)>0S=63O7R%_D4xa1MZ5A`zF-c@a1;j&BY<&vP9)?ai$
zPNV)sqyA`7ut{~R9$Z_`($!vR)~8n}@+=aE@)jxGX>Y`i{j#3_SJ^{F0*NN&vgo7Z
z^Rm&W*yG<Qjx2y{bNdU$#Q%XL=zg18*J5}I%3BhYP85&G_D-XCasBEjzN#gR70+?L
zh|^0g09k=qP0mtkNLrn*Z_(<=0;IAO;P)t8Ao`Pe378htY%&3IosMRE59XSHrEstm
z4jw-Lc4-@5UtGTZ43`)k<opQJQdB}U%;V*W^ZR%H^xxS3k3pTL?@PxOS+9u7qbq_b
zm5pV}#?Hn!(-qmuNXcx%YFA{##1H*}HJDLqTbE58QZEdWAh_E#227(Vw{(S!ZNG*|
zZdPP8<$`+*!xGA6`c*@&2&^!;TExTH3y3WLnCyxqw*f_o=Fqh(ve~SK7#buZlAOv*
z)~*PKGYECb0n@Csa9}@xCZ6uH{~nAYWxpa)PG~ErZ_bUF_!1+jnVl4*_1o+IER!tD
zVT|@6m`=ks$ESAX_@?iZ*>rzl-M0HSY<g3zhx3F?f41p-7*nFVbpqHwJ*U3jBIuay
zM(C3=`?p*f5IjM$>8K3MAx;sGHQ98zJWf0Uo1R{B7;#)(q&M8AvkG#ZRFpmGSLj{G
zQgu>MixFRp_{WA3|M>6w;y3<WRG9RAX^l9L&@^YTr2C@~W@p<|8S!H64_m2WWWn@)
zBX3!Z_~G1!w%LeBJ^`@s+C<exJn{+WwX8UvPe6scjp<WdA=p0Yp@T;P(_1?l*@%NB
z+}wf#M>5ocl@UKPmewo*5-zPI6I*VY&u7e(P|0)0)u@a(N^c+&W99*^eC=sUlYUv#
z+YCt_Q%Cex{ddVDC=WDCumJ?3J<oi9NRY11#u^C!N^;bNP^%qDUYcC>6t2z^h^fw&
zTzBZ?db3EZ+wVs7%l6Zx>p5SW$E<ZDk~^_<=+DFF3veR26MS`ZiXb`ea3|`P{ALrL
z88c?HJM`^!nd@ClEp$y=zOT^r|9$W^pYx*;n(4GQFLc@Lwx<s0Ax3E$aDY7q+2Ga9
z1K=c$t`jIBxX0`v3IbBhcRUMHtCtm>2pYXwsE^lz;_lgs01y*_#UZ~tG&I+OQiL?Y
z({9CSz%0fo<5NB~@30?wkI6b(<p;Yp?L-!oN5g-Nj$$Nk0GZfEP|{2;h^P^HS_*c5
zjA(T~_IZ0IuOlQeL_^z<>?;Ob0%Nr`&-i!w-5|WOa$J&RXy$7oY-DIAxPj(0NA+sx
zyC6Psy&B(H2G#-Bs@O6Z!2ZQY;AIu7tM!moT@^`X6?<Ko*pI6v)K#lgm)PtLL~Y$z
zY<8c~7oKi;i1+sps-<@sx;1@!xHmz$G2HS8eXj0YBD1@T%uE-J+@jY0ER!j2W-`T(
zefWF6ClN+!McQq+V=OS5;iUqrpt8)UhiJm#`GA9C|1wx*5Q9P+?!S5vgF+kbg2NN~
zGFT-VnfoU6(T4k)w+3rbdpolkN_U#eNtVqqKZj|JPg7*n52)dJ9@6w!<%Qg2UKLlO
z_Y~rYuk9IACZJ*C=SMB}Adjf^PEK@!VW%nZ_he-G)@l%*_d8$pL$CVcXua&G$W5I6
z=rw`=p2=b*YUyzHqrRg#jG%y(D`|p*T)N`v_=<(I|G4e2$4k^=f`HJ0WPsQf;HNds
z6Ni?l#RXTOcMw59Qd&WYT3m30E)W&;3|y=zQHu!<()eoBVv>VAz8bZd<RFo+<f80c
z2${T^$yc6cmmH?@&9~<$@DpClRS%VKb$_m=@-=LWAHCclpkcszTt7tGkzv36aK_Wa
z3x5K@r+tb9rQmC#EC(<V&l8ebm$a`K1?GEn+HO&8gXP5IA(S2Q2+xY`sG(H@*YsFw
z6Rw;CpkE_+(|sq!bt>uz8!;00PeUZcD&kn1v=@49<-13QwJE>k(XpSpxHe0Jv7ZhA
zNM$O40Om58#M#lts_{$O0TlREAy31dYKIItL^27)5^4Xrg#G}i<OxZD)*yS4PgowF
zbbM}yS=i!ytB#!$XP&10A%Mg}>@VA~KQ35aPWyw3mBA*e_w%icJ^LeO;M!JZ+VXu?
z<}3c}-~ElZ&8T?nWVmuJWK<Oo^bjI92G{~}=53~&YOJKxfi18Gf90ylD^iQOU{D5N
z)?8a7$Oy{7J8rhpe3Ms9+0UoIhh&{4JE$Jfc6KmmCR!Ok^b!3-n)V0vO$)8yLstYb
zwNA_t2nlVDTdderJY<3@{FKdB2FK=;tY8ae;tF7om}DmzS@0C;kiEL%0iFV_qM%IC
zskh|2W0^VDMszBA9DP*Ns)91c0^P8(#ATExL@yQXb!ojdk9yN+p~K2v*~f0amQ6kE
zozNIGZhHnVE?qS@*i~cMGk;x}Jp)Ta03D$G57$t)w%%>2+iA=9soVeXfB%P{dV?*D
zYnU-DMW7-8C6T-SsBS$<w@DiPy^d73F8Fe2VKm|uq+oaO#hYI(>eheF=>(Xalp=N;
zDct7kBkI;A4~1cFd+2c1iMn;kGixuh=H_U`ul5xkTdLb4c>^tsqVfP6r)o?(ut|%k
zRG+#n`-MKuTDIrwbd)|QnI5XY8a#RB%Q{Emxe@!jDBI*cKN0ga?TNlEbvkYNK6Uy#
z|Ip|Dz26<)t1i@Lg)L}>^4shMl##VSeqjf-WLBq>JHiJWz3IKWu7F2I*a}-1kAnBj
z=a{p?AfjOELj*T%>v+xz4>o1fdo70^?8(kq;bFyFWrYV7FC$Jr`s4I@7wx5v;kwq4
zs;OpAA#RnJF4GT{(c|{1$xyfPsa-j~iBEd8e)Z@+ssCLHySGx<ogduWv;5?#$N%KF
zeZ~u~kM>B-5;&aEat4Tp*`kM-y>Ci8)uRi>I5w!LHa(kjl%<1UY@GY)%=xi6G(0Ix
z0ICy@IfZ-+<J`|kX-Xy&KD2f@*zbfJCmAM7c^*L`q$y9ZBPpOT1gjLXb%i4NbaUg}
z4ambaPXY~!<fwU@es_$7k*P0`g*4Yvz~)5~Z4Lsa<jDKBW*{60E_pg^70w8LM*-->
z(MJ;o)0DGn(4JvKst9v7R+MC`Lsjf1w6mT`ACys#arE)8$2|TuG&j#|xa)C&G~PU<
z(O<<D(s*4Aqpderl`lA)JH+9rVjj6gZM3l9aBc>N^NU~dt?&7Uh`5x@%Uuei_Im2D
z#fShY>46_nQKj}3j4NFV7erqmxd$fjBc2aLz6w90bn#`XJ|?hy#S<%&JTwND+c<+b
zG+hc8wDIF8JAxlkA+jOJh|2|mQPoKbsBPdJq&fwxk7nW-ak*dt|C1k46Y;SkT?!XO
zU6`$y;E`J&B)6Y`jJOmoY1LjzZiS4vl4Qi?lF=c7IkSWOhzEq2V*XL~wMNi5O-W$+
znjkt*QZeu&t~Jq?B<b<FB<Rdo!)1y8U<hWH9CZ}b@J8Q*ZVwa}#OA70t}M_1tEujI
z4J!On!GbzIf(LM(_wsE7bPGS?ylS&>bVTu1!3w2X%brlLCJ0hDz1PwOQNEpy)V1e&
z#Qa>lk~?kr*18cd^nd*N5B|Up1zt!O>S|`8L5J2GW3EL{lxpT4LfZW55Aw`r&5WRN
zBjr@Eby+)v>xi1U)&f$faOg)R2@Jt>2cdmV2;HD{pl~f94Z*CL5s;xbUIh88Y89R}
zGm>T?ITOJ(io~^GrI||#5a$S*M3!FS*iu@sO`w2;IYx9i*zX%j=E7X^xZl`-*%gY^
ztz@>Fd;D}MY(O)kF``*B-yYBhXm80GLt#3S`Ti6b>6u-I?V8zip0Jc)d(f6)tVZd#
z*LO&;Jp%m_*8S=#<y(ZVL6|Mt#4VV9+oR`uv`6V&`b%(h3v}b?LI+!}C!$wK^7+`w
z$lM?-o@|wpvAH6@sK(`E3SX2eG%Yoc66Pkj4H$1LxDD|<nF5#qrCD4$=uXSL{KP9&
zyaB?7lkh#V9VUYKAUuV^#dDm8584U?aN7S&L{R#{5U75zY{&5hP?R?1@)V1Ot3?cX
z=`Go&xBlLJY?W_8?Ne_~K%`2miM<_9o&oz?f9xCI_m9T-C~@K0GbVhLf)UMy5?(AX
zEF4$3idq{#2>>w*QbW4n;Yf4D>xzkKsv+$w1S?f-9G*2yA}MJxDos>W2v)_MsL`PN
zx)G#$^h1L|g-VaboD*y#AIw-W7julMoPua7Yy_zseMPeR!hoSRzK3OK1gqNk`E(*r
z@|L;RE){Ps=A73Xnh^f(gM=1wmXp<}I_A-UCu+5%2*Y(BBSkR>-vN{6(wF_Onr2FY
z{9m}_@hN}L&+$!s(!<x~8Ta1XzwqW4g#Uu@fBQS1{c_{H`gh;`?xJ<+v4752KKFUA
z9m}N*4tz+~0ZdURBCa)|(Yno*OQ;1O@vjBApPjPo5e`m<$PVG)WQgn!4o-&1?%?2H
zh{_uroD0F~wR?jgtz_N<9%kQMh@49qo(qvZ_Q#nEQFIJHsA6f5l=6>PcO=JtS0_Al
ziG5H(>4EwSCR{N87kV!~<?s19zUli!i9vY!LK}4pt;zE%*iWoa_$9yj7vKAvLEWI|
z*-dI#x*57sSaGsK%PKO`&ET4C1MI9K3(pS5z)R$qSFBWINjHO-w@r~|6<N~Fz$A7e
z;jAJf-3-nHg{Rxyfje5MNavc_uXd7wi0D=;*sk~@-MrcgZEyZDRZ=6;&8wBM2F0<f
zq((_MpJ8-bV<ULUa&%8OBdyR~GvA#^H={t^UQ4N9`<jt%2GqY!Rb1O6?bX0V!>Jy9
zMWmZk@V=y*Z*m;ZeN8vtnf6_Z&UaUIHqBGq_j?s%turUKY5Aq-d^1JoKmB9B{!^bE
zUI4PssK^$WuWS)R#$u|ZDfVWwRgmt2WQJT2eNf2bAcchDvn5mXD+o$v$OXa5C&?7l
zv!xn<#77a7%#aC^-$_avhzHQ3C3&18D48K&5%WhR%MDbSHzX86$qc#R?f?=h=5f&K
zthO)?Etw$~JRdkxmx4YIFa#wtWP&j%mxTY-IgZ-QVg~u4HI%wt<7~U;(*C8>&&n0^
ze^*5jHzA{EIyrlTw;9o6Nfc`~Cnb8d*E<?X0iDBy+Oz}GtySl&V(Y3Ma;quK2YR2<
z{vdrl=FGBIH`D%nVj`7{u4*voru|V&t~zI1T7B7${mH1CJ7<ZZsyb(-xRNvL46kE<
zaS+oc1LZ<N$5Aer#5dnK+S5Fuc{}yi#W>!bah!IG7UOt3BicXs`9J;xzZ7K?^;Fsr
z889qTt&(Kn(1`(a5Eki1^trWxT@I1aY>av`%q?N#Z7iKbWWyV={=GAEYu*&hZ4QwQ
zKTW}n*}M?UA+jnJX7V;HFW1%Y93lf3Kt3o4S_gNIe5)+t`Jk0|Xg3=X6o;-u<Sjcb
z@v~7=mRv(b-P6se8pD7%g;`ZIDn>9{Jhfb%G+!2pHJq11ck;<EdQ5AcwvDT&xwI?y
zndSI5N)Kb7mpNWHIL8Z3(<uoJAQP@G>UdH#Mp+n+%C(q~?1`UiX(!a3sro$+KeBvl
zC0Hl<3vc}K-}PU9(yLwtuEj{xU#;N)25J>orQsrFr}M6|Qs~uiKrUISIg~=J;lMR5
zYG$?RfKw|C2f|p<N9YT*F27i@(r`M_kg5<w83P&)Q3BGA)NpH*HyXjL;f%Ewf=56!
z8^KD$fwTytNuc4N--Qh*uFqTz_u!FNG#-E@qG+f`4=#uF9KLo<$B&P+#?WdcRbdax
z#8rr*%n>@tzK?kr4;~$&3=(qjsXan`)Ay;-d7bJn+H>MzU0sy+oZ|s`-S+yY*ZCsY
zn7}jK8dkj9rJ{8a%|TebwSzffdSQqy+<na5Z66Z}OZ?@BHRsqkN*=n1<Mc3JoB*{}
z<BF%ceMTiz@ihTXaI_i;-)nn~C?kFmd<7C3$a#W$rIAd}^9A_tl@T5UF#_qk_EUOU
zp3=+eDaF>%Q;M(sDdoqZrxf3pf?MBHiZk#YQhvOJr}Ql?)Yu<a7!MSna+u2r<!}?z
z92JS<BI;ZSqN=EOD~wPPb#HeUqC3lkd4X`HsCj$10OBX@u)f$<05}NL05WbzT)hRM
zRR+Lq_ZQm=00)73e{RSAyVb=OFH6a5Y>yYaD$IvKwZCkq{c*lI&9OaS>?#1<j(RWs
zVA+oSal!KGh$|Cm0=-&}hkNGfT~dwr?qdrNXYq8fiECXpvD7Y8KoTIgBda<I+mTxl
zPYI{ytMmJ`SvfLqd-&XQlH<L6`?K$oET$)UadqI%lozN7J~vUWEZCiMrc29cG=Ai9
zI5O>C=NMP*`W&aK`L54#*XN&o?|ZO_og20uyyt~?zNnGt^A9iccMmV~cihi^QqOMX
zt7<QvsAOK^h%a2e@Zt-X58o=MB|okFq$_hYs|)!d@&hK{6?%?S?n=L0IpMxb%Ux`C
z9q)-wubXyPD9zttx04&|m;YU%SN@A{{`_CwL{eUjee0Ejl$0E5&<{No_vFja*#rtv
z1a-D-*mF(Fn==tGClt~<Zb$8vOJ6%+PBwifub3Pe=mT$aI-)b7aXPYB9%bhvW}G*I
z$&Eou1VATop#e;21(O#8A~r|8Z;0E>{>~&{Tb$f9RaP8T^?VzOAo(VHxQ$PnzvAcS
z>$I@DD%nxd^SC}MP#n$NYJZV?D1q=sWq)N!%Rf$99;t*BrHD7UP6n1qd(_E_0j-qr
zRpxPWtiL4fr)Pv=%HMVQrEhshvB~dxh|Oo;`i`*KVrQmIoS;p*W;$l6Xx~gl`_sPj
z4}R(gf|*6(`=z2SgR)W4*0`CS37{$!>tHy4uV|Yf2BMRbPMRV>BSkY3f>P1;6}=-0
zP=1?T6oOLGcEJ-SuE~n%w`iFKX*_K=)X>@MjDP^y0<UQ68aPK9PZvCI!K^1M9@c0)
zsLzqc(*;qV&}_jGBZr219S&&MkYvFTT+~)0FNc<tmM@9UHy)csa<nis$CfmWOJbtm
zPRAT=*XQ;urQqw5=Z*AkV9oX3+WPD({BTLrxFo1>K}ah{C%<|9%Oy?Yk^m+n=OU78
zYt~C^=KE7hAV&<!Z7-5E#rm3u)o$ZQcUVMRrF@6>D?CQhje;K0&h^Z<=!EW3!#kiH
zQvIT9xh?8Gc&^Y!F(Lb+p3If4bv=HH?lHI1Tlea#jp&&<XSZ!V-=C2TCni4W9yR2^
zb1_}th86f6nj@e^%{=E~n$TLi?IM;(MIWPy@(z6aQ!FlHWg`~vG~*%pQ{^JY2HQVo
zGu{U00QNu$zY7Pw<RVBOUO^ThP@g}y)A=K=%A0a|N_Y>~2Grm4y%&3RBAV<4doA9}
zOX$5!yG3+#a?{P?z1)oV@_kSL^>_T}8028E-#>@`x!=Eo`_2V9w8ztw0dlZ|Hz8)n
zvH~!<b?6U|h;|gU0ii^{D)b+0Gs>a=U`tRA{RcXNYE*t?9gtQ~3m!kFF335#3P2o2
z@a~e6tgTq1Vk`MUty0)m-5%?`EbDLy|4(-<<&B;aTwlH*3}k%r75#5~)Axx|-OeH;
zi^aEDp$fi04#u1ZTFbDd;@zgrDmN~mf;-FvOh`05Mik5R<!AiZTmQuQH3d|l#BZLy
zbOOW!+Nj|YBNPLrBg26pKvYbz3zjhz7^7-~FYGI*Ch@_PwT!9@p&UZBBjO9{u)HF5
zn6DVzm;g3YKV(6v5ZQ_a_k3C(Y%q5mnmVkkxWh15QJ?1D=M|~LTo9q5b7NvoMRRCz
z$o$ZS8*_u$p%D}v=1ZQ>J5sg4*V`asX(h#N^EEdsMj=r0U_w?Ft@t_ejQX0T{0+Pq
zg0}h!Nd#6Tw;-^Td@SHy^_r73s3<uA43vl6w^~Gl{ku18x*p?S-^DA&kAZ8*%jTs$
z`HH1cK@OGaXH}RjA3OqsrLPileV-_ma9yrk{VxOE^lV=k(KeW5w|kp|l5SmkxGxU7
z4R5k$8rvsK!dKhz;sDxHgv6BG*fjaHW_r9Yjy?<lOmUJ9$d9+6417%>>hVUwJwRnZ
z5Q&v!dcZG0uXDy@i-!@f783_qQuD6**aF`H;DoFRk_e(UD#`SKUtns1vgcraSLapG
zYN)MAK$nEsXc-hNX0@j1XFod9Ig+;E7&8Xsm1K2~(08CpU_(x%Ml#<c&|>I4g4U3x
zhFEj@O9SXbdTFqgUK(t9sQ=)~mj*)q((vOoVHW<l`(Jq}u%5p({MdPEfW`2ah976X
zG((V!c0`tpyvk9#XybrffI<d=YzmVBA*k{KBb+m+b5r$}FdG~=c&5_<wGf^T$cHO@
z0YVI_l0WM1Rc8YPsxV!))BZBUD;*ygi7L%70(n4MpgNrf0ilS7{BxSD0dN9<D5T>9
z@=#%11Z9xYB(fdnzuOU55c>ns09-*jAOx!4FWYJV!^JK`K6bz*RKI^G20gC7Y^VJN
zqLGfjzt~lsAv;loY5&dz%hzusk5@^P0KkEh_f0@9k}m+r1yVexz*t}t=#hY2oGy0f
zlw!G$#r8Spa)0n(m77;k4y6|Vn2?LftJ9S%My;HNLmc8PvK)QLw|?D6e&qE*;GtuI
z+=sLwK~LF0O`VCRKBRpG`^opr1&a^4N6^{|@&R)}kfmezBH!Ti*07whBHu(8#1u9_
z^YQAVz%4oV82F{odlv*up6vmgOLp!Ic;mC=f<V%!4|%|>M)kSk(0p!Ou%Ji}n_Xvq
z86P+o+@CS}LJqw{)m#ixtSCODOP*j4rK%1zu296~Un5z3mo)xa{2X)~s5wk)5>Zqn
zK_Vw>?(u`v945)??w}kY$%p-(#RR?-E;-2@ihrff*c2i;w^aPwxMsRYUyi#GOo!~t
zZC@>Ib13ydN9__&lL;2|SoIQLHH+|cyJ0!ppAwe0O>)xomQ<;Ff1%1cU2W)!t5U>c
zU2P4%5uV^kPQ4C`6%QG@7hWIG_34B=1b4OVM8+Lmd})8=^efvTDfwi3niRdDbHfzq
z<#A<A!dS5B%};ULqZ?XpT8g{UsQvYS3CpJ~Ptly7rAqx9U-%z<|Idd?)kK%8R7-n7
zONj!6311;h#=DsFd%XNE2oN*X6?`SkeAJSbr_O3rQNWK78c+}96{%8v#r*-89ja7v
zleXyWj4B%JkD^NT714PF+By1XfKj(UhAPzsF?kH>Q7CEXEP-Z6>rtIr#28ADFjElG
zu0~h!tE<!^3=K*0@H`@H=&)|`Meu_kR^a+n$u571gyk-|M>jOC(2ixN4lhZ@uY;m{
z&tfZokmo|udD7zfng}S_<&5`k3yPQK_GJ9}Q=nnd*bcu4EnWFWj;d+ZcU>mx(0GqL
zV6ne_VN0VCD{W2nX#d~?hrL@X4syA7dOOqFv6oK@X5bRXQPqs^D472Xk-krqOwm2=
z0{-{roI-6IlBK~osXmRWLsz$0a%!h4P7$zC)^#_mYrzGY;}q6Ut2kwBcCmC!CtZ!%
z#g(5vQVx}_hoq}(Kbw~4Xs)xcQKy$1$gA`%k;>KP1ij00q`xn>rPl3r99h0kt-tOM
zee_$#!J=gvz!+GxOathlXUckGkaa1mb-+TP|EPp939@Zw$!Z;NR?=qDf+Ig!=@-Sj
zxXMyWPpT|*kJXB(=5ac~H`nAOz@n}Gr>E>IVlX9*84kVPfu(wuYAY(_?!ltv(2ow;
zlXMMc%4-IuB6OK)F!wHdG#H*mT)>j}SiqtSSX5dOq7k9?V*Anmr6a@I0Q`ZgS%CWY
zEiD<=2T=AM?98~j`$AEmB}LK$1C{=Y1uSY2XBF6VZxM}^^=Ifu_{VXJh8kq}ou`P<
zNfS6+1L_XnA~b<axJBy~W1>fY@2~vp@BGA4s?^}hV<HQ+P@!C-TNI%VGC4p=<oraP
zW;&rf0$&<}m<^!OfvsVs%CaKdcg-Gc0dZ_r%xR`bTmi9Jr$*{DQzWjC%F%Ev4qc_0
zP;roQ;eNXjV(dt^sPXi3JndMUV1^4P+h%%lnh66H7?mI;1oopgaaE<6j+h6ik{j@R
zH$S^dGjY73bV?sKULB5~BQcSmCVmErSB!~_CNlpXiHVBjfwU4LsSVwgWSs@vC{7k_
zFyanWlGXj$?kI^k3(ie@ktCr|j=tG){sqpn=h+bN)+IqZWe`yG%(PFD#04&C2w~<H
z*A|W1SF9ga_vip-E;-NR*2=UYDTd3}gdDov<riVq<{n9q;gUcnlMtOpUt!c#{aQ%1
z%_Y&7jf*2s2!<_HlB1Dh@o|!SrH;+o;=OL*sNeSu?UrvI?L0y(^)IKNyu?>LV*XE8
zyUAcu&%#oH<p(}Gb|}2UACpfM9ZUft!G#Q3IAsb#O<`pxN|RV9?EsW&)$xj8sVcw7
zcEn+iJ@TPx@dYTA37}|^mX_8O);2A!sIDQ5jPqwXuSy|~l;4_+pkAJm0>ma>*gH!B
zUfHx6Et^+&fG%!Vx2LssK)u*RXT%E(;gD3$Ocu>))CJ{wGbq>p;&1=m@BDC}T-8o=
z-7cAGMQ;iqjmm1YZr6cExW5dT?K<Ei=sYnS+&XgEtd~^h9vwBUAafRO*<KdaJtXQ^
zT8ZQ7HW#vTyY_&PL6S}iP-T_mwG4H!THOzBVb!{3LoBjtA|JmJr_0}7MkLqYmYF1D
z{3fgqhw~ubdD`TwTEG(bfq%Oe*OtASBbvR_Vlp8Q{1}FVvF4}$r*HbmGf{ujK8v<7
z5w6U{Ax!HXy>FoR&@iuMHS654SktyJt_ZKc2(F;b@J{CrMk+lQJR|jqmmg5%6I`A~
zkV>xzu0Yx=?tIU*CdQgBh|KQ}=ZHo=#B*BFv#)TDbS#UYf)*jjyVo3=af}P%9C>I6
z?)DO4=N}`nW|3Thze^-BrmtPHYD`4fs<T*+J9LFM2bWm0tcfT~?8gx!W3^UhUoqZd
zbu=W`I<@r%20KwxCY{)@52%9ci*?F=vUAbEu6TRCPDkl$EhxIwK4e&5UUhKg%erIF
z6GE0?&gyi5Gdu}!2797!OPx+zo|-rN;Z&#J_4>d0|9oSpQ!VAV4_OL3lgDM3y4S8t
zG}v4B>KkrNb?S%CO<IF+hA5Dsr>d<|WjI63FImQA`(>u$&9^6rWUfChJE_4bcrww3
zk356)2(By@pz6pOey#4{X(rp6QM;R>fon_9O(kW7!ZI&=9>PJgy~&&9o147ZkEMCp
zKmN^s`!D^`P|eyL;;PwNML=)yWHf+j#UeUi=;``7PHzA^z#XSc40c%|jfjp8^>lqj
z0L_pAr{Eg&5pXuMAU)k8h-sv{BIq)-vJmGeo~}z`#wvSvr2))p5J{3SAeTg|7C_B%
z@O8JTjKP^p0ux385TsJqXnku=Q#@Ulv<ekbdncfH^ADPpG6<cS`&cZu-v<V}Lcz9b
zaE@A4+5TjKe8;Ypa-xq6z8gxl?-Rv`p<s)j`y}|e_B`K~pF3^&K0o&(ANq+u@LQwG
zR6$nkrac>g)s6@UiGgYJx<U}`vh9m42^j5r^WF;N7dFbOoD~)Q+_Iu(1F#}Wi>;!{
z$`oNZ+TvK=K|M#)ka3P;E&b5wOjJPx=Cw+xl_@L;bf_xRjy}uE^rS0N>#mTI$Vv+S
zBbiS8*8tUvbK{a|meo>aoTQ`;iX>oYs>w0jy0d0hrh!*m!927_ukH@iGSVBkMBpB8
zFHvp?MNwYnFW@}4-TSE1aO`T9A~EJ*3y*oek>a%YFG7b3A<Izuo1P}qe1{DDNAqxO
z+EH&_>8s|Q=wDx{{>={LoP{ntH`7rJfqj_Syf~2XXod_a<TuqqI{-7`laAsiQLt6<
zbF6>jaWTDZvn4XNRqvcM7pCHE(`<-ZGBC_>{;XEdDLxCrAv|uqJW;$wH%acFn~>lY
zps&3RPo5$8vXA_!fAEjTW;IxU7F$x+DEee1s~Q4NPk>?`v&!f-?r&&T1Bz>k@?cAW
zW=HK{ut78gerOv3i$@hINmH{Sm=hj*uwx3g2c2^rZv6!Derj+80}Vkr^wkp>%n-OF
zfUWHN7G)^@cWzq|L*SCYEi-RU@GyD!@+BWthN?pzJX&dnH+;*gog2ghX$Ty)YCf69
z?esNKJS!iEm6VGWRajBw+qb2oyQM*BRc>=S&1T~dyE^p0%;(T{+Qr2%0`d{zHh_r`
z_ak3iNT!CQk>L(0M>I0rA?5Hc_81YUHXewv_K_Cb>F2rMZrSaKhXBYwt+Lox{6*=Z
zz5p3BA8I^Uz+|;;P6F@pHE(2O!xy|s^kP|acj9Z0^W1DYkF~(NToSVkQDGsUK0BDI
zD?jbAMrVKfSc428M|ag@jqk=EYpm&yH9t;xtRd!)H9y84@>mZL6<q7F9-<~_tTn(z
zBi)Lvc}2_3Eb}Vd2eo;v+B-)W06WwO=ufX&j>f1Fq(vx>PillY*e>y2!o2JBhqRmw
zdr0n4hbf`qG5{3pZl^i4)Cid7Q2qWfY6P5b#<r0fp>prQb%4Mndc<VAx?s85uFbH;
zJ<_XHHt{WZ7nFQpfA2oN1+9W_g18{rZx9!NbiswuP{mCb=B9*^W#yd&i@sTTVTBK%
z0J<G`MN<E1!~y+sWx*~iU6(F>2i(7mO{hR%SM6Hb4!Em;&IbDphJfx@_aevuiGB?H
zG6~|{4_|!v!sP?GTY5W7rvdK?H(nu=ApYC0|C(2SRrvgS8ARup5#7S%SMS+AVpx^>
z9`=<de`GfbuGzs$%*fX0AVW~z+FB6MZUQdE_J^Rn&n5_L2|1()k_G>DBPhuq6T~1(
zk~on^+vhmPijrFJ6}3MB>IJz4b&o<&z{oBL;wEXZrbE^KYDxZ>Ajp=OCBlkZ@5GK3
zHTmO`h@MQ+og<eVb$PPuZhg*=%J?g|%*pQ&ciw&OZN_#yh%zERra~fi@lql`UcuGW
zxZ1Kg#f_OF6KhjCWwhOM_rLUarRbm+)k(RSNJIjvc|^q5w`+WHbk4iOZYSu&GkVTR
z4#v`v79DI&YwXAKc>>I*T{At&7e{ak;E{*qk#0BRhqPvTlrNA-BTkC#3}>T$Ns{SV
zzSv{pio$@9$<%-^Nv4PS0{y8d{bNle>uiQ#l1xuCNX~@w9A*>%Cym>aWO|$tlWcHt
z;Fm+vViK2}{*c1&^=AC?koGv|<|BLg#Wy_{2ZuU8q*%ZoQht0A9?~IyykRd<Hj?B|
zDq&o>SL(2b1B$q6UJHEd&(BSlJ+415^eXB6;UB|6OuZJ82#+5OhrMzj`Bo?QvT5B|
zrnBAPbT$s2MX=xwxQtu#acm?wP#@crBR%dStPVH=g+e5zVTL>4_cdzp&BY&2-KFBk
z7pJ>B(5-w`^`tKT_{WYv{#Ty+++TPhCbKDe(fx4;|DMnpDOEgl#+r9xUztDdg80kE
z6}m><DKzwATySs-4V@SlwB#Z&+{43V24}|5g()iz4S2~I?!oykbYOhN;qflCIW9Ro
z-i7AKB_C&OihAPi4!Q5%ApgYuRY~*Mv(p^Tagyt6UQrL~c0qo6j2dR`@ai5OkELtn
zN$6U!2YGJQacLQS`{mmn+znI5v|H3dc$SvYn`s&S`|p0$x6c}k(!t!PV&PMRn0s1Y
ztAafRdI=qd&cP@xIG>i+1p(~TbQH)!gq1bdi&OTTL(RbC!3rF>5Zd}*Dio&SsTlv(
zmOgX!djRuZvlj-#)>%=i`5Yw^=D(&umOy}ESS|bN-lQahm_b^|@<FXq;5l`>uK4M{
zl^nuV83YyUH=^<#D}Fq<Z74TZW24K74cPH!7fN<9^Ke6q4`&&S0z}R%RzgYtMM^<)
zd>)#<@&==P+F$ya_y5Ewl+$tXCnWk>1Y!mYVr&Dss)_mBc3_f{19d#*wFaZK;B?7=
z+KMj=T>McxWKlBh!>~(=+Aok|eNdbQ^S074CD3Pva^46o9?9%{dyvr8#PbQ!V0*(W
zC@1K=L7RkhGElw(h6yO=bgisPu?cTfrG|FyXh#*eL)EOO*@w5+Q<+GYJrMXT6s$K$
z!HQ|uQuxp}3M8wzx@oonp{XFznmz6Mi3MYR^t1l8pa1Ql%GEjY7AN<jN?~@4O#6f}
zx_Gh4k&4=qRH@wEs>!qmsjss;8eq)b5$tR=ZZ5l{^aQ1uf4@Qx0R%Jkb#_M&hn=<r
z?a`&GN;1wdyQ64&BX^s!RI5G<!3@R(*9cu^l4+Bftv+<+j-IwB&bWdq-vYMU{F(}k
zSttk4jwC-PT-;F=sVb*~Sxc4M48xp&RwGs|{LuZ?eyAR~&udj>*WZ$qJy(8i+|_2&
zyjNF<?zQ?~jP+YGK{uZX@(K?0V+t#wABEk-Ycy3Ark}Nnz!ncS=Vpl}EYGyX#O2!z
z2b=N#!Vmo~n>T$z;n4)&2g>#(FD_d$DS#utkemiuMBic+q~4@wYg<f*6G_g==UY==
zA;`<<f@dlJb4AeIYb8DeB`@xW2D84X8XlRd2D~Bq)p$JHQ47U6(wB3<YwCFg^Gn-&
zUDmw`89lc;3+(TD`}f}c<3&aqo-e9448bWxSs41ZVtz)Wcm)ep^hYJ1>&6{5gD1O!
zHEI-?_UEIKg2c9864OX4CVF+5)Bb!A(*B1F*o5G%#t@N;h+bQw((bgDu{%v-Y{{i5
z0qcqLNai~^_9V`ItC!sG9p-*jS&ZDGRx>WS-<!$(e)Pky{*><xx<K_NTW9;cMS7Fz
z5TF4unydDBbM7|{q~Q?AS}+-Dkl>xxFd9e;tkDb62xez{aLjggwg)FuXJ@;lbP-QA
zgQ>Lz);wwe%MLna3$RKvZ2itQaByT5W`hbxedk|2>KM}=sXe)g>S(rZ{M=^+)#iHR
zMz?JP4zZq4HCuAZ%5gMGr*v-DD7J=9Ems0eDS9!Piq<s2w9PV2ZAwB0T;&5wjGYcx
zTO*`j5p*ZpCPP>9{sJUS`*S<284ztS#c6*;?f5<rV%lOE`^$FhFP1-TO6JV1BRpW{
z-2CahkFB*MoB&$FwcOB;!z7M3!y@0C;IlsAF7fyNvp?~(V^9&GQqkB*2llM3?wQX{
zTw<v$AjhktZ6OFehWXC=6?|uLiA@mXeL5`{zH^7oPF!LWJg+cLmoYW2xeVaVho;hU
zY{h<eViOzyr>g@}1>!rGL-PSFf(666!g$0+ke*TzRE#&Kt5MH2f)!K*$X00pMLkM}
zgy(aV41q6+oCCY@0rEywO?9<>MeJ||6*)2Z5XqBJwNz{Jk@Yo8r4BG2!y~6P^WDLi
zY{L%kcQRix)|{kVMJcJyyCN}5Wu+Q#tYoHAH^yTg`Z7LE(X)CQ{qaYegTUM0YEIFF
zN%M5P@>bO?vkNoZ)HP=p+T%Uq=2GuwHom)Zb9vm-xAn0)FJ{^;S|X8Wzx3@FJ_x3C
z1NH)i=FE%viI4w-&;QD+BhMvvu;jVSvSKa<c~l7N+#A0^*o|y92CaWK>c`EILr!3;
z(G%WU)@;-V-Gpq^2i=5h)Pofmzr`|V#rkKXemKBA5WBit?X@2(eswnLu%={IkbbN#
zi*AMg+@rHiBsZ|Ijh|2%b#}ff(=d><t&bvZx}6I+CuGVTYN&|mI&0>;gNB>|=Of>q
zVdo>?pVOf8k#Eqj^O5h+VUO@suM+&J_A2FD1X4F#9C3Yi*8dl`NZ3@$ReDT^My-ED
zi@JUF#Fpd$d2<n4i)1&BYYE>YNm_NKxJHkBy62^1gF^UrCw~q(JKeZaiG3o>S~`!U
z(<pVa4|g7EW0uF2Y?VRQXMW^p&-&u*yyt~?zNqGMpaJG38ICvY7A?-s?KnGM@!g;D
z3-67zt9F^XvtvD{pkCTCI{;?~nF;j|>?<qk6+tI7g?nU3+7P5QbwSXGvZov?f(=hC
zbO_Rzx?p%5Fu-lkEN!U^o`RhfjUr+pV?~<MBB($xxF(of(5@)89&Nb;X%@J;#ggt1
zzy~uY;ww%H_}xAh+hzUXVX(aCHpkjGVg>Vp{M;-mn^R<BKT4-WYEN{(s!MLD*bP?<
z5zOa2Bw~H!ts@Po@VQ7s%67^-w$#-CHq0sU0jz{NUf5?QC#r&|urwueCEMxvIA0R5
z!A*Yi#rEDCK>xI^h<C)*%KO)8SV?T9<pio&%pLq*BxMt>K)&`?Q1vb5;tK59oofmw
z<Y=jz{nZ#*zE$JI75x66{=w&eE?`#ugQDQ3BY_DY0HYN<V<qX)muXF^2OxM_HRFvm
ziRyx=_9+7?ve6PG<N=UWK`v-ic06=<0wYzB3u2U&QZIuq*}@L{3Tl!n$OSQaN}C6f
zcI})aRgep!A%PiB%;zlpqp>0%C>KOsh%3tENr|HJkCA7sNFMRbs^rQL#j&KsTP}G%
zpo>AEThP3U6i$*%q83TeE(iqzMoI}?L3Q+ecMd0Dwq(uYUJ7`XBrQZ)6H6iD;ndBO
zq^>K;e1FhE=o3dlhzLDhN#+~G@|cF$pcP?GIwWUlbPZW7(t%djZNrEFKc?F@ucg6|
zsa5c}=Sl_z6+?_;A2O$DtGQLAzg#BYk<!{e1UFjf_P$T1?_JN^U)*%b3#^dMZ4@Z$
zN`ndzE_}rV3XO?kP}2D7@`uKk?NE`5rV5QqEm&C$0beDJE8A&*$(N@6WvpaAzPJ<V
z_}otW<9t!tDjJ_u5p$^8x&{P3Noaf_ewZKN*y}Q)c01YJsak#7@_kzUcf9lbx5gdI
zHN5|6j-?19qjOV^rIA3x4LmC_HM~1zGIf6uEf^<FJDrfBtK2}<>MjVSPVlTamR6N>
zf6*wd&9OYM!F{8GUh#6lS!#&ysJ{COUzPV*-}4#$%eq6NS=1$`sh+D;M^;gNGVyPw
zXk4-MD4NBw9Mr4gXoi|v980?7GWh*VUj2KXe_c41{g5JnZ|LQ~674*|bofF|rfhsv
zaQe>x-isaxL3%E>1z*iMl6zqJbX;5z!Sr7A02BgP^D|thCR0AH&Mf1zos?wwwICf!
zU$L+*gR{yU%Q#0mmM$2q%gBJYE7Gxa!NR&s!^*Haw+_g&=8_nCg3cy7Y^#lg^p#|S
zg#gdww9DX=(@IC2r6lrw&7fW0>@D%&l9M!L(uh`br@Bf1I?G2hP3gW=QkkL7;*(0>
z3A|~*OKYNRl-NhmN{w4bJk*@HSlz(zlIZ2lffseWMEFIsyhO<Z#PR7RR><QKzWg@L
zAh{^_(s}^?xSAe_6Yrllkx~G6*nF4Hp77gp>835;=hD6ZpX`40<3F(!MzwFtA)403
zg_#;B)}J^kM3I-#zo`1u1r1P%;Q$!dp_;|MGPIKmo)`gYu5c$<&b*@BA8YYEmnkKC
z9S52P#kn^@6w`nof~rE?D#hC@D7iuxT<sWELvXW_0jyb2a)mDFB;w%F9vu0Ei?WgS
zPWXZyLD8q>XG5K;<Z9oQl!Q?E-G=f4(9AL!aU~VM=%;zwVSW>T&uWdyQ`F{3l7YG(
z-P~imdN>Ph8B3Cq%C$AEh>2VtEU1RxNwT^>hXZpsSQFq~+PmuL`34=ZlC*N1Wg2TE
zneWhPyX6p8tcmO1K1C98`7c868%!d8J6dqN*ONBSB{9Q>c9|`>JDf&7`l!`Wt#AEh
zx{)l8{6k6*Bums=5>@$}EU<rh&f@vL@@SZ#_@rlI&1WTUcy#DgUDFv^Uv5Yr?WmXD
zmm9*D_%4pt@6+Rv_2j`)9eq4rMopE3SyGmEeIY^Y4V#xxw-CnrG2af^>oC_2EiEf|
z*RnG07PYqguCRPOE@{_==iOj^MF)(~uybGXuG_xC$6odo;`9Re!dB*YBo})>iQ|;=
z_8g$tbI*V0Km7Tz__7S^Im3+8Kt;219bbY9dn*-=zTTD`UzU0VU|Ygukyp&|rA_dn
zz4eAjkDi+N5;a(Hb1=Hh3Kt=m<I6!xr5s;kLY-9vfIuf+DF=g9d<m?ZmJZfHmN$Y`
zd>MT;Jyc0jxUh2u{OhcP+2N2_$7uYVHonwh@8H_7++LETKjdo;kR=(_hf89v9idwA
zvCyrfP_49PzCY+w<@is8^_znX^^6-i+0RgaAV64Qk{@;J5%MLCv;P++JMGuk(5{DZ
z$dzr`;Nr@+unld4SGgN(Y<CUd0k3bP9MQJb2zESW_th6n*?lRoMxcnucowaZ0=i;<
z1irHZ%?{JFOj1cF3<0>L>4do*c|HtML%k&(AI;`f0UQGL{&hJ67c4KQm&ZK{R(DX`
zNCoKha-*?5eT%l9dUHZ)f#nE)v*x-N6PBm#n7Djv8d;CN{~ho9>6A898`}wU*Br^n
zF!#jno*W}w73QMBqz!s<993$)fW%0yD@RB2EYv|9(Kr}J)*L!VQPUZmc0i44XvCsx
z{bO>NdswZs2L|QS!C{;z!t08#dWfa!6{|2eGdNjI*1Q^T>ak7mrufLv&E8l{lBD#Z
z*%bnD5~)Oxs@(tq+#bCOb1?x_gTnQ(NkU9k!AW)YgnA`>npOu^{RtIpABO9-rfpri
zGx@5&ChXeZ|29^j*`!n&1!1Z;SAv(mo_UpMAuk9`u5C}Ddav2nWADOk>C<V;_vzDb
z`@g>Nv)&jGLoF%0K6SW<!S?{NAtAK9vi6lh3|$b_1u9-ZV)jTlHG<TqE@;qx&icUA
zk4BLC)CG^b^<K0eoM35c2vmr=$u4-<ZI23GK>|q?uYJ|vd+2w{K$1Op{?z+*!BMTk
z*R88j^hMw3H${XW{J);J*1sz9jm#Zt4|mkMjvIMM!i#|)58jdF1*xqFFQ{b}LAe5+
zc7hFxcCX|sH>cHAbBRS-HxnuU3Irl<Rr1=pZWdsX>G#5mn^Rt4=#(@E8)*uL<e8_X
z6zosheQe=cmg)qqBq}lU(?GV~ZRwS1%lGM(k9)@_f68~frj#Qz$a1~n(b!~qHpXDk
z0>WS<3GWET6gTKA!pDe$vGzbkd`G?Ff`f!_^wV50aZuoDKvU&I=kVKVD=4vU)}3HE
zdFX&PTLyc-CV+4>{AOjjTY|2_Z}ZdoYtVK0J;XLwh2Mj8b3zeRE|Hi=36+`euP>3*
z?24ogO~-knOPfkMF6OB2RfWirruNAPC^b{QT&~r}{_iE1U(ZhJAMoWnRbe;go~95Q
zJ654Lw=MY2I{qp>KSd@?1ErI{=bGF9(ko9-c^ck}Opf;X+pVBvddx5Om}f7R8zrUT
z@wsU911Nz781&NB_YiBQ2OWd5R_HTeQRlF07*8OX5=|HT(-y3PT=NK>P(2sT_}tTJ
zhX;oV9Ax6!j2$W$<;A@~q=x(wBr!o)=Gf&dm*nW}Qe2=V(3r!)KHFX;DM=T}%?|TK
z_@o1t+>uOqK>zKK#4NQIkRsUwUvs}ZBNN5*1cpN$m$*lgCvZt4Il!75fHc~xlyngW
zq=Bhc&U1(QZ6!JFJ;Puzd(W;MR3@(m<a*yT4koj}XVQ!^Uo5|8*fGCnIFaf-<MwU8
zXG7D=nqgo%tT#D3ot8RGlg&*DNX+mt4(|x#ajTq=Y==LAiLiL^!aLcba#V5KbjI&e
z6Quo*^)y?K3#+`NEuWy|9m#guALpCBqb<h&R^HK8k`dwE$#(27!;WKfhhfAyMTQ|+
zyQ(LpGt3J!wsKF(7Snw3D#Z2Ekz8?n*-i(PA-Qq=FotVa<>X|<Zu<SQo%TOn>~d2I
z#_H~>-@j{qzig-dW%_VB{_$d0VF!0o=1%8d`;)j})$Od@%lmq@JWhS)={>)8J#rsg
zm^4?sO>E*?mrXpW``o|D#rC-<d0(GpU%fe4mGryuiNcdtrx#l6U^xvhHpN`yEXR!E
z*Zt%Ne&dHD0@jX4Z?d!gM?x|%Xp)s$XjWCI_LW7zE(n|*VH5~#kD4e9A{zBnT`>Cp
zfe&d{<eTe)Cq&Yc1%nH0K2sc;Cfo&qd}N`BZT+iGTR-1z7sNnL8=ncgr%rOL$cNYk
zkw|2r2rKRmh{EZvg&?0!7ewz3=@A574M)8e6i?M9Pq2sD<$-cl-77!MGIdAVUyB~N
zb-UC6)dt4Ri#maQ<iO#W#iw@V_$EH-(FVDBat=@0^+I#Nr5=f^+x|N|^OdU8tX8NR
z*$!0&FYdAW?;aaxi1W>+4!+{7@{hzZ>F0=HE!qpQ%e9Ek>=6znQ&q{cf~mN9Dh1Y0
zElic<@6yQf6wS+1gMHSIeapY}t{^(opxt9_3*n!N9z7)SH0rdkOoJ7{(xZn6uocWX
zyl88~<heSL_62XE2J;ocd}v*5dyQkS%Hd(kj`EQo)(4c^85zepQiJ)5rz56Wi<U(g
z*&do2%mvSAPg8ZgMh&4^ks8bek88XQvLdi|>V?OlMT5CybjclbAW=Tarbn-I$!*|{
z=btmG>!?LkOL)AS$`_(YC{aGR($QTqCQU?CgT1^87YiBsJaDz7WRlX8@?|JJp*z2`
z1ml7;yE~kjDW;i*W5Jo-3^nqzzx6-7|344neZ3j2@!boQUFu?JJE|;!0utP~92M-v
zc6RR(vaevJv++ef53S@}5gqrfy~@TH?d}+7kH{TShV=7fbH(t%4{gbK$*dW{X&gEm
zU-%E`4(Fi(rfO?<+4v%6K+=)15F!(DbmT*4<9k5hf)ydy({f)KUofR==mMqzg9OA$
zR>l`?{K|#~VgT!nN|FR5_zy>#5Fs6ojs|&yE+k3%jxLEAD9CtH^2DlS{evXY?UJS6
z>af|#Fv9%PB}MC!ma5}#2a|NWW_5$mlwn5)Zljf~?hud_kR;&%W5?z^^DRP8KJu-+
z^4p!HQ>*i=?$H)>wtA1SYPII5Go$W@ClpsPl4YPhKlv@5NhBdz_(dHj$9*9GtG>|O
zkWTmNhsEjd=o^V8XM5%U@r)8bi)ShPFF;xi-fbZy>ts*s?3ZNv!`bPD-1|%1Lhf$}
zfp5!?nBAA4x&JqR>nqo<59G6+XKRse9?~&rKe9yv?gG^dwI7m8%Q`13JnPwqa@v4p
zzfw6hWeboY3@|CUl0mI`#jNfIVJBJJ4Z=<;i)2Jp)S&!Xjjm-u$~ArZIV2NpB(p^V
z;8<JW;01K;Otwhq#3E%2G(8SpU!qa1Os$l(ti_kA;~oQ^tP5Pb|F%zw7QJg90(U3>
zz?LWbkeOIwrBVG0i(Dt17WQiiA0tE9@U`3yO74kOssH!ye&c`rWua0v6WG=Wkf0@9
zt<(qv*qXEDd}%!g9`wZ9lVF6iAg{X%7J6bZ_IC2`xkeE5#0Vhjth%D)e8oafd|bgV
zh7QVy&NYHy9X=iQT4%R45QtEv`ij8;2n29@XsT2f4Ax;NtyZwA5dfEGtiyYx<(iWe
zC*RkM$q3+#YKth61ul|hG{PD{op#N9cg#GYb$kt+bSs%}PYfNnel0`wR^<3}du<pO
ztF6mFIv=5KWS`jn#T!7gW30>d%pwTo7@yp6_P_B>eDd%7Z+sJ<^q`Zg8*Q;diVvx-
z+oJY5)djTjqcR$WU<g!&Y}u}y#hUACE{lPr(xMzg=e;UKk8xcIn_=1?^@ysFKhj*%
z7Hjz07Mvk_ZP02mKhb9|%5Ax`)0Xe6Zv0n2`|CfjiRy;dwB4Px3b!<ADL~ND_W>+x
z8u^as2@xbA(juxcyh`3636Z^kXGO$@k4OnY>Rvx|P|q9)y2+Tfx{(izrb_+|{sT}<
z)q=c<zT!!~hdYbhO@rQPW>0M~4x4`Od9yd7);LG%USF{!Ls0LP)InUHNp7OP(V?Z}
zU01c!r=nar@NcLE`INuv`8qA!*AK7f;lX(bU!qrj%F1yvlpX@o-G`m2hAb+!IcXU&
zF9|Nv@gWiVRj;-9O!W>K(18~hMcPmctnya)oK_FYcBnNXiYv6X^q}Pv<`a>R&+XWs
zYBl3)*kv|Ht%_le<@EA+{o%=)J+)LP?yfpvDrm&6w2FVJPTWj&;){Rl5C5;<5P^%{
zjMjKAF#H9E?|#FmJD^rSa{0P#0ZYo~7i%9@x<G<Q68XAhh62|>;Qo~U6#G9#W{!`r
zgf2H9x}?7L<P@VuB7u#ARwtmUp6o{UfBwF2{gt<dX4Vc)*UZ+>3DA}l9EsHhJr_cT
z+;+~Sg$o8>+u9p1?JKJryI}COfe=@Vh#|<Z#s$GVNuVYh+0hZw9GY2r7euxOj9myq
zPU}Ob?ObA0Yy7qraSCcvLjEyKDfx;<Yl*N1Ly$D39_J`dtgQ&xsS=rhTuvG{@`{pD
z@+AvH$Qk@%?ULfTx+L;Q$e`hOpFs)GULbX9?da&3Ato5V2ZOA%${MFBXdGV?Q=ham
zAE_tnc6G_g`ZlT2gap%oXp_pKW78?qI!_=T%uAx(R@6>-wWR1DBSnvREWPYduE<Fp
zq9Xt)rfVgU?5Q4c_OD$Nowj@%OAsRadw>6De*d>e5=paBZ2~_){;0p{Oc_gC8AR5$
zn9?@V%bxjyIYUf@D0CxB0%R4h)9qCPzqAb?iPRpN+O`}T;C?MK^o${<wsk>tSaRM4
z+c-wX1|lzoj2J=wz<@O8NNwvY9x%6Dn#zvg-PATu`wEw*O5kIV197}Eu-F>ak|b-Q
zz9xqEkj;^j912`tpelhMjRW&1{Lb=ORoFP%bt5O+=|Za3&3Sgws#Cnno08E}7|gLJ
zN=NM&NVz3(Tyt(nv_X{nIvoHD({RqQqvEi;yBzzHuDZK)l_{nX3)Y&LC0%th>8gKg
z`;8y>n_;H=(^co85)OkR%Vl6z?-o^am_QI{bt*G7(=Hf80Z>qBo64yfT@Yn8LSIqs
zTWzg|ZC}9z(o7dYFjnBu6kH9~dXDf51i*u^t;3zbpvYIm*a987bVewsW$8FH&9n=G
zNV)XABR*lw7=mJ^U2?D>B|(u(4pyYZOc%+al9U8RF8R1MsT9bhfGGXz)bRmk&2Nsi
zSr@#UD%Ap9npb=u1TI-rWKU=(D!QQ{$(A26F`Xc3@dED73z&9`7BAp-#@@dB%PueX
zks9p>Fe3_Fi*W}+7V~dwG%dY=0=v}-6=;ANojJKfwh`_EXHK^L74!mp#lrE-nUgJ$
zw*oNl0CkoXdnQCOSoakV2&pB9icXnM&us=UA68`2LC*02)|mDj=>_<TAX(-ZGeV+~
zzilp$cmXbH^DeohPsgyY7}jS1Gu$cS^3eWr+=~m6Vb<aWlr@nNg``Zp#Q4X2bFP>0
zRFm4k;vy6`Q{O2ti8m1cHzoL%BR%SC_OH#lZOveysm~*UPb}G)JN~7^e~H%<rcbIJ
zk)RM-ri3=3JD)ZsosqyN{Mw)Dn;HdV>&>;}6Dn6UEPlEp%eOX&$<7CV{2%|(PmfVR
zYRtT}WTp-8Fb5_=+nrIiQ9!JHWyww#!~j>!^ktc2b&{#DfgNiQb5lpFVXmUGeUT|o
zt6FjB97SQGxecTR>$3L7g<vWU0w@Q?DVph~Mypv069Jr!*vY@TilWfG$X`QAF#AE*
zh2*HNqe2q3P(G;oA*+^s!a$m$=RhsznlAXYK!0a_aiL)3lP^`uJmAx6IwzF7&X0s|
zV~Huca*9m7V$ynE>hZsBZR`#YbHe4A^8(Z7Xl?L>!i2R5%p7xq)d*y8D#=Mt`Ch@+
zrIf(M2^BlU<<bo+QFPo@NT!@X#WQD(k@1iUR=513?Ut_`o)kRSn&eNC>3O~YMpc_F
z@$P|^qB+l$7QlIO0JA)OT~4@)V-J}v7A<h}?FODxUh}%osj$Dv(*rWL^qgYLgYS4w
zg9k1iiFi&)_V&mU>)q#6x!?Rqck`SMalb9?n&cBp^@Dlsnxw9)sN_IJhc_*(eTNDU
zTyy(`jV0M$MQ8*vI^YMwJ&^722e#m@%iL|`(yO@JAW-km?byF1s{=LOuKN8#&X$hf
zkhOtO4KH5!bL6fYCcC5G9mmH7%bRt(>wUdi;q8D*ucQO--N#lQIE77IYlqR?$5LR`
zi*^An23o!J4}%A*oS8zpR;K?1DH78eMbj6-E<0N#H+gk>K~q-Ga`cp5^*1lR_p@JJ
zSc-MfvzLW!>@)lxJec&9P@@ztBoCt&x70>Q7aZjgqzr0@d~~#WO1>iMsN^QVim(V;
z^$bCAUCW9hh#Q1{EVTn6D381gf~pM_SFDJs6Pg_kL0T3+G>W|Jf53|1WKwS>1mz{L
z6|D^lWns)dQezc@0>W`YjC0VkFpO6FtHo3DV<U6TC>Kj&!lS0yV@U<!*qUbyW-`gm
zS-UinBp+{I^R!)iQ5wy3V%3slb$9SOiTy)&1)N3Nza&Z9U)Ef0*vN<T1aEEU==uJ@
zp8zb2lIZ=bk3MQs)$5u8q*U|g4&XIw(z-}Lf>O_@FZ69Jwrt+4^S8_hLf6=h&j<=N
zUa8W47CNe4e+NRFP9wQnlGAsWoHjKza*Nu;z*3^VnG*Hy`1l7OTIMV(1QsE?xC{=6
z_2_z;vs?kSweim`(s`M){FZ<JIi?HL-MA8Hr(dIWU0s%pwzGe^xr%+Cf1}60={d_O
z$-j_3EpwJjq)Z3u#TPCgz7;cdFW-)^iL-g{)sEVW?|Sy~-49=U_`>A_`2sWtaM?uK
zKVl|tiGwT8t$$yc+^hS_Jm0eK^UuEbJy`kKcZt!&RvzNZ?>us^znU_{7e7nIe2I5G
zy!_I)Jp0yn#35w3@VyBxE1eu~8@b|#dkSLlhi<1>|J9%IxgUIQ(C4dj+NMwrYh<4#
zJpm5;I!;!41`oYW5DBW)5wm0JAiavwcPmzWnD78)W+RwGbbtdexso9|5b^Dya|-2%
z<{ZVrV=(1V3dMN5up$^W+jGn*6tFk222VkhysC2?%?^~B52hT&XQjH2thsZ-<XF71
zWopJex8A7$ai)rz)4R#4yN2m$@L64;#)7OAGDF*YZ$O0y!`M<zSQA8%;%zbQpg%xu
zBXY=6&Pgd**^ZPdDl?#GNXG-hy-F!d=_c>bhb8U*m>l_}YslkZNdHic1CioQK0cFf
z>G&kMNt;X<Cl(l~P^4B$`C7AUPB-Z};0b#6aZ&>lmRB{dXE#%gGmHCsKJdN2Eun_B
zOUUcp)-!~rL!SwZw~?=R?iG|gp*iR_MjiDD)VsClY-=Fg5fx;DX(liLL(Ph!f;=uN
zt(5z{(b~s~qJm5iDi0LBNY0+tYt)qMbCfKuA9{n#jtH)HU<#}Sb4YexWB3&XQN=f4
zQ5;&bxaAx-R_|QxjcYLkHH&MK2TwJl-Ypbj`9kVT>OTj?c&VYD2-$AVQYyH12#kXR
zc7WO(-Iv2NfzPKECvf4-*{p4PXFAV(d#v8ci^-XQ`5KLCUsal`9(u&k#kt-&)^w>F
z)?*n~I$dL%dVVfd-a~=wmoGIDPOB&velB^r!xBj=Wm3hBp^z8Xt8>@p=d!1KL_Jbb
z=kXwSmGxT!ktGnZSn=Uy1akMDe|VW<#p<gmvEq7Cthip$3wZul{`q!2);ol{B7rq9
zA4f$EXIKxt00ZPWq6{7=x@lTW5zUMX?)L|H30(06K75#&ydo8a3z}a6K{V2~D^g{+
z;2u+9u_B#WomrDtOei6QKBTgQaR>ZOC>pXLy#QbF1fqTfA`rx{Z?S&#0$lI_=(8Sr
z?Uhga>fU46Of_S-O%!LwGEHW^^cEcX>hkb7SY3}>+Q0V}qPQC+in|ZL5bT^Oa;d;9
z0Hc&|4^uKk61mtjyx7k7yztH!H4P*QKF`2C0ZF`Rw`fV?-A)qkxBl`!`^ncu$Bp`$
z-3WRiBdWs4FL+aqpcgVCHM4W#;*`nk%TPun9G}e08&>$yp+U6M=9{^ni)N2wFgQ>1
zT6%JoOWW-Yw%y(*-OxT^PA8-cH6y8srnz=~!t#$%SYj;lX`lDLzx?`yE0yzX_2~i3
zX#h@YqEUPKB9)ahlBi4oSP8)+$AdL0D5tz8XCzPO6Us1L5t!BX&{akf^!_-sB+(>t
z$jQDcBdJqEA&7)ydyY9H2^s^$Dz>6FPsXpV_34hW2v*$etsOH2tBmAvEsdLyJmdE?
z*Qd%zqPlQKAVhMvoiJ<XpHpQdkt606x?;_IcWl5K)&$c7<GO0ie0wkj&1m2NFs(C^
z)>C3X@F~z$XwTIVgJ9~5G#ZCdc_qC%cdUyl&y2Q^#XMi>e^u-zaHOix`-W=iF)-XE
ze$M65g1C;db|r0p6V9STtSv;@xl$z0XUgX_?HyE4RVhlQPo>gKJB>;P3`c1R%-Wl(
zy+N86^ZtCEB7ul}BIt$E{s?+1CUHqP=KYyxNc$sWi&Q`<rQIm96dVcZy%$<e(2jd8
z={|!&v<0Kkfn3OXdWdFE{#;eQ;7;!lcRI=1E+N|OglM1ndB?9BPj6yy4$L)^k(g%<
zTa+_`ReE!XL#aw{E@LnryzSW+pEa~%UrA66*lnjbX?XQWn029+(bJpBJU;Fmj2`QW
z7a9rTVoa7f7$f6_#NiBMtX^f5|55^Te-FlVNpBV`;VT%UxTH5P-}V5-z?Y^p_;bJg
z^j*Ie=}o;E9**0s!Tin@M@ut~Qv}SFwo~UtBO=(MO4b<JY&*}0T!GSo;0`>&jbJVf
zq8Q0=k5x&M_SR;*Dh(ch43cwPgJGu;%%#E87S!<!UqMnXD`pbFsx*jUR6yQPkS9y$
z-7W~wPPsG~Q?fC=Pr*DPDB*aykm!?DN&?K{YMl_#jzyBZ?NaGnZv~T;FS#gAmn<Uz
z&lr;3Uh_=x@YESo2qkT>U+o2SnSW5Gcz6mK3r#TW*E=9T>z|$}9?%rGHR^=T$>uPo
z-=1#}rjj$nN9}gQTbl3WXyC5aeMUoQ*!_z5NY`<&(Pa+h)o<{xdT~f9;(>h};&MN2
z#Fi%r{BH#6eV-`R&9vs=y@6SBO4T4tQY(bXU%}W2ywiaT)qeIA?b8B>y=JhCtWWWG
zW>5E%TxQxI$$$!1x{>@^IzG44@o~P{-(oVL8Uv=`ciNxtee90_yxNqMTDTvWb?DK$
zxF6{iM=YPdogTW|t1vfopPGf8wtO3QG7I}-uY2y-pZ%oh?(fgS9)RMOEG%ezhH#;g
zg*|UUgU0I^`N*8+OIcXtIvYWOj%gr`bfsruk4ov9SCps31QRaw*a1DJYz$JRjtj+z
zjrPzv3tMoZ7-7)?xmH=&(^)B)Fx^9pT|UP;3%dt7u4GKtK-xDyyUN1CJ}52}(+EXL
zR%`Ng^gL+bLV?@qWOj2F*60e5W?OeN+6o1?i<uhm4yN|wKGv;z;ByjGDvYi%=_bkQ
z2JL{=;cq{Jq_@uOj;ejCz)-VbbE-17H4~Jo_6L2ifBUMadr&(SiU54<DsSJRtLn)L
z<-K(eoC=Sp>4!&@*{96?>rT(ygGa}*9*1?u&VCa3KK{{+f@gF)kQ*e+(?fr;Kd(70
z9g_}@N)}N)PU)$?IAESS08@}Wa6m!*3M<}TACe2qGPd>-yi)ChrvaczVnQ*PAGju}
zIW1;e6U8P8kQNCjU|bHn&O4`8$s~=`iIN-Rwu}c)lgB2B7YXkL)`ZjAnbM<kXkBu9
z<R}W{m3N9$%U4Mg%6^{5Ex1PLjh=M;awVA_d(c$vIAa3m32&z@Bjq&l1%~OcjzsVq
z;BU>9-&FT#vjyXatcmH*tz=S77hudLS{`c>G1o{cAyK)WXH;gmCOAUc^8}YrQ8*U>
z$e|u4=cxlb;<wLykwIvcU*v`=OP+iDn{~hPiTD5PtMVd)`^sNre!SvER)(y2hkO-(
zk#VdRN6vrBuU%*7N9~J@J^V$+@wzWE|1qy<FY*AB7TAX5LG!L35;Ij@JX^7>;q8EV
z3CvI7UXe<wa*rT@+ga_9{UI<ff%z%z4`!*#pM*fYKexlZ0+SP%pVI!Pc}z~EU&?Jq
zAd1>m?x~PBrSq5VIKFT_#pZ5D`ldLY-Qi+am5O%aaKz=<;e0a_)(&(}6%!T&s^2f$
zalk#!H`kVS$BR9#Pq^0*sP>obwEyN}pQ*ogXOQw$`-}aL6W-VE`5xz+@Be;%v9D@t
z5U7t|*Vb^s^6k{etGLH{wS4oLr}xRPyLTU3csNU`3!AvsWfM#7Gl=0n7Tf1Z-1i3$
zR=LB4Agi?CdK;@Y>$*w2R|Fl(cZJ;K)#*o1Sv||q*Z!yP`fvWH|M%mHudPmdn_{sX
zLVxBYmnQ}jBD^OS6g&lP=c^MUImKcF@?b2;cM4;XQw_9fWkuL~!~=M#Kw(U)5`vQ~
zfGXa)X;c0Ul%Aqtb;D?!z?0~DpMOII%cuNR&(}996Nl!JQcUhoga5sE|8$Wx2!eo0
zfj0URX&m%%hK53$WA(mkemvfH*$#aH*S(%fjR&tX5bE2f{p%O}vD-XxzFFTRK$ta^
zo7yyfja(a7FZTt9FuznjEg&X9s_F!)R6tEE;7WrpwZ90V1u7naESi_64tVvK{F!h6
zvgp9*Zw1FBOHH#LSDOw=p6G7lfU_x(B*|L|{wMRbiC#9rledDSGf}`ySy0TM2?9&Q
zRt>1|?Y`5vEq<*F;?yOJ2dL7C{$gHH{0kG@V}>SHgcaD}EE_>Fq$Y@fgN%PTH2hyx
zKi2YYX;#~Ue+)1a)ejiuKx$hbTH|e7(y&Zea;J3l`6M;oc1c7?l8^=YpY^i%!ADh^
zYOB7oXHiJ5&^qc!z6d|Ic(G4z{?T=u|3!BP+QJzLxr560e^~#>T*|Zq^k3zmYV4X6
z79Xfk5$p@k4k{H`rC&$-!kMqE6czwEv%pI@-|V0gyi_Udk^HG7<I~TPH?^odXlrCw
z=j%LsUPqKtN9Qf@pgV*IskRxpMKm$lZroLaDvwJ_9ihxI(wy>^R~D{Yh339OkHhDS
zAEAfKzS7}X-1L{M#QEQU&)0tThl7SiXA`*p?PEF2WMCA^VQYz3ABvzfEJbkY%oI!+
zK|%#~4J*RNFryYL()Rj_V9wRaX_)wFh~p5X?R7y6X+h>12Jo=mbcU-?Rl4AQf8w|n
z2=3^y*N3L<b-}?=DD=o&aCj05<64&-o`k}Ll1t(OU3(G=Ev(v5D++o>shHmC12<xq
zT=o;otY+G%2W&%|CByZPPb$#I1*>P|*dyC|oYqRu^Y&nm7UTOQ7+-sqL+4fc(j$V7
z@tqoQOk2J+91J)<@6Z4FPyGim=tLK4mEJNa>J>dG>cOKbIAPXZb=QN-^2t*#MLW(L
zq~eOz|Av-~Pj*aCvX{-&j*f#?T9ey1p*P(X@xO>D#R>QK3RPxiwBW=e;8yI6eML$7
z=Pqf%CnR^seo63|B$GM6C<!{wO4IsSl1%peB9(AAs1GxsO_Ir=qn@+ogj3F6!))_R
zy#-VpP16P%!-KmMB)A3l;0Z3lAwbZ@-4;oZ;O?%A1_|y22rdf?fdGrU?BZ^B$@~5H
z-gElQnVy-Qotdt#dg`g}uKqlXAKl<Y`pZ4KA11d3L*@`}GRxTK8*X?r26WFO3Kc2A
zv(Up2S*ohYcMg?|bnc?58yXtQ!e5KZ#8ks$4DlpnY#o4H?*aB)l-WZuwBB36`iPV}
z1QAs(Lx4BJ3Ov_hzlz1~ONWwFvoD_+`_Q`d<Pilt+&hZiAAh|6reVjDxhwWdvf}dV
zLhLEk>!eiSY~E+HuY;UlJ;$FEnS8Hxh8d=$^*IiP>M4{)FTc!WkM~HtiCf7pclI>9
zypgJrEHg?YRY2{_GkvD<+|8dXM)`bUZg;{)EFmjy!Z0XddSOC9jCt>umhrr#`%y_|
z68VI>zS90NmRR>aQ>Iz1F5^9kmUit&|7pAO6PgjT-g91?zP-Rr<vyR}gV33`eu$)s
z>Xoc4&w}*7Qi#Zip=FlZrJUfUNUd_bW4sg!dh4mbNG+<n8I@eF9&XSZUP!LP_7T^&
zY((*KxT)*P)|dpd;eHdeyCH6C{uL>$O}u)VR$qgXC>}eQIB2*CEKY=&h)7If{(Q~I
zL(=02-3mKSia&%NYb<pL31v5sWT|z9w6KTZMWBYR!CXP3i{w}5=h-3bqU!;$>XhkP
z#e!v`@6i!v9ZlD~7ldNS-<uGF0N=Ex@HGwgM7pacur0Q3&{U|0tWXy5;RW=~Qf%;*
z<FpG%+7&haK<oQ6$@A_sWg3df@c2DENwwA3m=`f#=2MD&y5DpMoSwy#a%Hl`k&a=>
zvN_dXzjJk{H0&)_Ar0wcJ#$EY&5IXFCrw-8jR;s)hbEB5+&BkoX!Nzd0KB<Nkmzc=
zyGBfAD3(mQyB>>jzn(kvUk<ovw8n+3*wQ5bo{1OU%<2)s8npZyu10hWU`?ZS_^LD<
z>_jqQ*+<|+_jZY*h#s@GTZJhd`hkA!qwDyGldl(<h;t5RTvu|L`dsf9xkjj5_H>CC
z0x=>?oAIQ3C)H#uQHxC$3>=?@$g(XykT`Ss4xK)?9Zn$kn3*Qlpm?1sN->EKLJ1AD
zXV^6%7<##Pn*<HoZ+1nDjeL#rekhvT;|e>2$PQR)bl`KHhdgh#M+Pk{7Tw;28B>to
zzk(o`T*t>A3|*!;hqxDL(Z6=><r%T693qg0-Y4V-Yw<D`w=*WZOc|isZ?O#MIjNV%
zPjyr#;<<FZ>8rk7n??Hc!9KUbpe}ea{$uS7f9)!U(W_V#NGRQBz2|c;8F14&gma|V
z)EL!rEJ$3~b^Ba*t|kb%BU<biM~^9dK9hV}oe1@_(r}I0rY-Jq1nB%&Yr)gVhm|1H
z%uuE?)=RBX;DW#XX(0TuE>!L$G&Ih2I-unxdwLx7IQz%VeY8;j9VVS)U?F|9t~}^4
zaHmp!gl|VSIQJ`E@vAhMs-g4470lx^9a4GJPd@|gbh@9fNX7pu%&0t?OF#vqY}Dc3
z+6I`<8_%Onq1m1)m>-ynz+z9wpwjQj#{#h%j+8r#0c;^$bnL5&V%@uh+#vuo<If7;
zgXEVVG^rmX22Kn6owzJjg{odw@uCx3$Cpg`C5y2i0poH#bs=?PV*u4%zY$hTAeo?F
z5R*l>ovP|WHZt<>mGU;nSQ?k4xg4tQ5PIg}dmBbXN@G;DZF!XTu;Co6R$MLrdZkIZ
zw}0Y(JEs(|Mq&qPi|>M8hRyY>G7KHSUi;u_`SaG+iB-uYQ&V0roM6ry!xVLmiyvu|
zz7JJDn?+TX-|q}3#TX*wz+#9Up2HFF%Lyo`l7hEM4{3Jb-tJR+vh2of$cGK@w3k|A
zP5vfy?&^OmC()?Cc*8Tc*W<cKw1{!<aq&ji{?@SYN+4&_q*`ttJ5OBf3z+=jrSP+M
z?rluS?ZTgql7<RiAslxk%of!+!tXBwJ^sWeu;x~6e5L74r=&@7Vnsn^_%r-10ah<D
zF7UE3{(ZJqH|$1w?%Q*mXXi%j!|@W5!-x#FOS5~9%yWM-@dH_AmPAX_$?Mq5<{7kZ
zkFJ}DeNS15_wM)(A2YxYf4&NvjT1Zdh&yXE?X(Q8@VH@DYHJfVRIgA?WVD*>E=#-^
zD(X~0)o5s0c|IzYFeT=2@xopFR!29!GX{f99Ti&{9JI%%T~zDZeF~52e`_AzE&XO_
zne8cgdA|AwxhQRF1O|025_XfUc@`HMC?=^yj4-Ixny)<Ht46LMzov=KL!=~cF}5F^
zWn)*7N{v_5@TE+B#fKl3AJ*`~s>p?UPG>4~pj5J>pg#lhLd@^flpxWNx}u$`aM`CV
z<g#n5pmulpdRd%Qtp8(0CqZ!hg~DE-YbQ462AbWw+7kDw&1{pu3<iibRy*x>je)Sp
zfW2KNm0hXI{@&kE{3X8&#heeFhGp45j<Muvq-34yxcPYkRQU{S4D4*o$Iv)=djypA
zzMFuKlODd4VQZBjRt@j36N=plte3I>k;eBKp885h?cS`mU&Mjm+|A02!D|<>#@R7?
z5QQ-RBvh|j*S4Kf@IZFGk~^k0nf|7abHX2J`I)d){jD>fZLOddeFM#hkHOQhjBEJU
zu0izAYK7<6WYHm|*7}&`3&_vipOxON|H+T@huLS`Q)X4&-#O+IogLmCx?7wHOwUid
zHi*r;l_`y3%+cfH;3ip?Qs%nARw*uaB)sR(<R5msjvF{-U?0<y+b_&T6;Y2NqtPid
z;fEC%ZyTH71SU7J93Nf_)-xxWg9%J*G>L;BI(^W&8p<$`7YzP8!e-~_r}>p3OS^zx
zxM2LEG2wV$GT;-oNROjatpL|s$y^J`$zuNlsf!trSAx!*n3&IVimoGE-%@`HmAwcR
z{%}6HQQls`{#el_@{ThG&kpc?ly#sr!emJ2tjjNg`ypB*@==!|Jm<sXB-M2bnd1-?
zYP5xzieck-gk<i?L(^t_)g-aIEiP#3t-4Qn!j+}$4)wees@rVPh#v=Q6lE@crZ=zM
zq~x8PJJEitZwmPNrLld*Hd~O$HdILCb-o~xV#TMQUo5<JSlw^IA9NZdzB@<3h<^Ox
z`Xl$3l659UAi7WA)6T82oyLNGiP|q<zu~uIGM^R2y1Yct2lWJ#k}<MGIkL9gLo6Sx
zp9BFyYqd8!g$Ul?6K*w<eQ5LDSjY@njB^7w`q7BX!_lO{M&)?dgO(I{McnqV5rdtB
zi2k+ti`12gyFL7Nyxn`Bi%5G&XJcy}WHbPMZC}&aW=Tl8AO$4D{4V%xL%!Nx$znDc
zgp7MmwizYIwSZW$19!|t)-At5n{~l`1v}}OoZygOly;n3e%h3~iP!a9$g(Y_o({K!
zH)s&dA2?UF5OGG}VKl;0R8CYxt<&ybyD@$PUZ)4quIrqHwHLiN_8D5JGvXBUES34_
zQGfCbk*rC9pvB|{ot2l9SK`A2qrY1el_Vg1yG4lf=xw%Drv%bQz`R|sT(z)Ir(`Im
zBh5^33GMEZ!n@@+`-8#s96Elh^7pZLUB)w_Imd_8h1LlvSt>(Y?*wJ2re9$J<V<S=
zDto|77feckD^+WP-B>Uq8(2x$)IpwuU<L1&1?1s<)2L)2s-CgF3Ww^)$``hQEMvZc
zKRV<hrE3N0m8d%Y<&S=G<^1uBZ~}C(!a(YV6!v+@&P(Eo(|0HHQl0A$KZ)mucGJ<n
zdJ{#VR9Mkt9hg5%do@+GC$>zVc`B|;h5pug)e+M_>GztS*NX#H4at>4l=pQN$)q4J
zEE^+by3c<KhFw(d(*1JC+L}b@yHqbsHy-OF?pc`*N>q%R0NbnGxMmuKO#1G=2*G-?
z8=NGWAVn(AJT$eT#JsOOXeNteO)u%N7$()Ns}%KlJWsQ^^`tTkzUDQ=3vdY8bONVP
zGWe|b@=PZxEvm_i`W)#nICHO5ue-Kv=caqXvR}8ffLKt9Fg&!&xni6+VQcR?Njd}E
z^be(mm+{llj4MkOY}qs(`;;t-Xh*arZIVeMO~*1{%K!o0&X$Vo4>q;aP?ciB?M#%H
z6MX6GfUteCk=;#a#psLnvz$UPY#rhTUsF-B4>`zbv)3NboShaAUzQc~`4fA!(TjHQ
z^+DH7wLi@ZVfIar=ZviUICOVCZoNd({c+vh*w&*A^^}55br&2~sHD{u7+;QFtMz{p
zBH1i_&2XWG@$=<E<Fp3033}nfD62-mO~kKsrdkRpm)tcaqS{LH%!|UJms^~XD`Wa!
zy*~sO`9s*Ec1GxFKF`WVjTjSX*14%xyi>4#N7WdQeO#F97`4<0^O~!bF!hyn?7F{`
zQ}8DkL#oG%RMEr9CH4ecUU~j5`HUGu2<lwR+uHd`^G>l3f{6g+1k?$UgnGx$t>=r0
z%@T3Ys=oTINcyWl+LlG@NBUoKc+@%x*I4--3Evps@EY*?AXfiPO04!4E=2iEMdu^|
zap}t_Yz&IfQkMOSV=$G!kSO&_&b|WR<)UkRmU{NmRumH!kbh1_*mZ^%g_sw#%aG>C
zcfg%%%a`)*bTK*oDaq*xhs9PVGf%*7?seJW?TIQ=T^O&y+GMU3qo(i;G#d@YU@I^_
zMviNy*atvmbo2=_NnTIibFcw5SMih+zG;Th%egk<zg1;cUJ>XHD%UBpKa(zOz+J+m
zDB-#KYtWq)v*dX3yHNuNuwmJk74uycWsM9q=b62|;;L3U=}Xk6w=MiWBqHpB_FBR>
z?emjh(}>J7!E-R~VP62Y-0zy*uVrT0feD<TMkw$dMWjMjSYZ9}a7p3zf~#SnvxfhT
zLPx62*xmyLmYej)v`DlinD@mJjawlZSQXLzrDw!?jHeTqz)h&mobvjsM3S`}S?fOk
z7R3M9Dc`ze6f~2$xg89yQ!$Dwe25n2IaTY~a~chq*!`?RMlN~5utmJ5SD%P3R8n)?
zkuml*(1UZ}v1b8*Tvij0n<~sF)%cuQ7!jC!QP-w5$WFk?9GC(_6`Cv4m924g{S%gU
zx<TUcWqhrw?|SW;4Oi4<dB<HzLf6Vh?iI(v?j0KQN5q1Bw1Fww9|J`GvV>RY(ONer
z-~sckuExUF50z1!L3)sx%zX@H-JJfp^Zmh-N=mC&_aR+(Db;tYHIifzZJ4#cD1sEz
zE2NF^rg+T%YN}*$2YPgW3A$*@9_<+p{N%zxVrXQ01PtG+t!4}KkmRic;G?qGP@==K
zz6HygCdDREzR=g2|4GZ2RUP(vQ|`q&JT|>3dac2uCbn~)pFj4nBJ2o(w%ey#rKzJ+
zY~uVo)+4W%Ehon%nP(aCALYWk4-lD)yGpqd(zsJU^EOl;7j&;_Ntdda(ewIxtSQTI
zRBXB7p4o}#=yth@I+WZS^r)J5Sw`3QTyN2m;XSL<h^+VCwc8^jt0Fv!IW1%Y+R1;m
zXGu@}Vu%~dx=C@TdmZMn^d*A@KJMTgD$-8NWKq0Wpnc1sK0PL*e)xF#Z~*6&%WO!X
zXhw3~ijiuG9yd#$AsD69mv-$ofh^ho$Oi-PZ6X?bilWQlB(G$N-@YnK^_9g~F)yg#
z8xEmiPs2byJ-ddQYUd-lcE1Rmql;7TlF%-Sga>wuMC<Kk)L=^5uoAzX2W)8bS?vZE
z@~cPdQKL52Mz(S<VZ&y|9=|t4s3QE+pMT}3pet0(zUN-j<c8@D0qRP)wj>UvWL<WZ
zWL<4$OL@;&yV^AOT1`(cn(@gIbp7F5xndU-`slQEWc^>}IJR8|F9DZrhi3SwMDF!N
zx-V4QL~~JHAWc&p4#4l#H6OSY^L}P)cEAB6O+LAwFAsfSmxto)?GDjchE{q%-ut{b
z>czuE-Miy&P?uap$2r!1Ct;6F&q(wlEbMlvn8xMvo3CNNUSRQ&(9_TYs`fS%leH!+
zpNYorCm+86PJh1(5;V>MGQXEGxnD5XNWMm+p+)zF9ag=QkVSd<`R^F6mS@Q`TmkY-
zr{r-SD}TgYO?~Z=Rg;763tq__JQvs^CwMPY^Q}HrQ@(vZ<GG9>HTjS_`Fo4X;R`de
zu)i-It=-@+WDvvHu2;fV5&0j(g~K!w*W_MkzX3ecMKVuN8+G@3861zALH%WJ-^;-A
z3t|qO<=VONd8ewk&RISnYt^#nl$8H<^bqM$x<FZyu+YoVWOL_dbhh1wLP9}9oWDs>
zS!5yC-UMWRcoOxPw43z2Skj=X#_G7fp&`;=^ieJ-sFD1OiHSUN7g*+f9?NPutOf<t
zWT*l)`0Etky!W~4PTw#Gh7kI1qH~R_j|MBQoy2#blF}blR<J83xP3NsF)q%_k5E@s
z(MVn!Dqr^T!}{eEx|w)8^3ZhcQyZ0|P2HQza#dJ|M#Yn9LJ>V+objt}8DsezjUKnw
z%DW0;P=4yElb!1kso(o^BiZ3?^%-+!uJ7S23co>+m_R4dO}EnXYDUml<}hovuGeNn
z8snEZNs%-yxx0xVw9+gcmaKl%Pr|3uE}sEhn#rdMBqs%hP#i}x9Io;B{#h_?e)<ar
z_4f(PF6mRcQPqkmfjEIQFIxr@@CsWtOfr<d@TRhH>l^1Nm7j75r7=~MMmUOU7Hjhz
zEwxh0+Anru{`Ewgr!xHkn6tLb5uf?3shV;ATlU4N$`DV|XJkdbBbe=9{)oS>391?I
z6;QG;794iIlMasUUTx(i87i73cPczY6D%$qV<Z?HH-{C%hd-!%%mK}knQGiz5XOlD
z&(Z_7FYn{SBF-os2wl~E(v0WcxLAGKsD7Y{7b&DAhB{(Vs7CwZ?~MeZJ?1lWCV!#I
zI7xu##qRc;&h(Dw5T2TbWr?wFnL2p91>ad=JJqSix|bR$ymCqYUKsaS@~%n7iK<({
z_vGd6G9tYZJ_s3OyGGib**krJR)&N5a7q*N1At~I@4G*~($w8(jM#6*xYG^(Je4+C
z#W+`yD3aWO<5lXLK<hk6=HxsCZ^J|itvLD*2yUk+nbzG%U7O))74)gmtwG8=Buve1
zXZqZ7lgV>GNQuHK)LHF?Ts~iDl^wIqRv<iNmDt>wW5CM5=e;FvpziV4;#|u<J4H;i
zuWU?SZ_+kKShX7oMAMyKn`G;y0A5wlpez>Im4GPaqe00<QfJH2qD%|seQTc3RF*-Z
zA7QdZ8@QFFu#7*8lBy{i0?wdUud)Kv2sfVTf2{o?1-#t8xcKm97>wVYrd%&QtLYan
z*YWb_F^PIvqxgK<@0Gn&B4i`0&kY=LmQA~+H@nkXS1S6Z3%Y}5^v}@sujb!XXE=IQ
z5ju+qq4`!%6@N<Uv5I@nj;0p(+2Oa$u7O+sOeX%bXRoTS7h0Q%BY{7U4BFQvz0qII
ze9Ribe$1{8IMn>+I_XiT5^zDL{+!)q*&LsY>oAov%TDUD2g&^n5lZZiDPE?vu^v_f
z>citf2ijkq^%;rIWU23F!*RniqGB1E1^nUk(!=4%_M|a-F@rev^iywmLgp=<nG(GJ
zTC&s?#6^$t^r`OzRG<5b+b)Br1ah{$vzLKJkNaY?=bcvPLi^YLv!2SA^>Fu8Pq2sa
zJ)>%#Norms@LlT&OLgQ2vNgtsRRiXPZ-Ze|a-QdZv!k_B)QgGxcgD5dzk2pDr@dGW
zaFLKJA>MFnaX1H8?@+M_Js#Z?W`8ExrWXITX0RPgZE`#|RT$Y>wc_tX?8#c5;O*wq
z?U;%(H|*%*xm$!e89@$#H;q`oA73MC%MAUbT{6=WR~HHtFEPw@uwk~EBov<#n0(yG
zCz*T0l<Q1@pLr5c?O!pQdG*Qvs1ov4=GqrA_^1Ew(o$%%>&M!?or>Gha#fZp_4~aQ
z<OKy_%O<ey^JdY4VWl8kXWsX|t7$3A$F5+#UaPQ{_?3*i6{l7j#gI*Q{52!Bv9~E_
zVZWFemA-Io=?;ek@@7F2A4#89Azo!TJ!kySQZeV~V0xY_S(b#9!RG9JYs1ePYpvW#
zsl%%QJ*B2m4wZ!%We!G$)N2a4OdrFe;8{P~J7jbO>^@aB$E){}7}23#h8r1T#u^Ce
z+{k?VXfERyWp=QaV1?8#VdVHx0V4WE##^e?*SU=1oCgQT@XOtDfE8%)J4oP5hv7Q%
zho;enw!qEX+Rx?8oR4gc46K)QF1B2H`U@2attuBO^f!)<m>SoHif#8-0mgujn%9Q%
z;BHaxit8VZyy8h?c-}TuKU@Xh&m<ATwNs2o&;6^F_(ZQ2lkAAo`<X{5TeSkniI#2|
z%igDaB7}2k@lAb#tt(n&OzDG-x4(D6qn4Gspni5u_kUUnwOg*q*&Z%tsXxCvK8(5J
z%sFn$I6dL<_q3u`w*9%gUYp-_|Hr;920}WJJm&5ORstxnkgz%gobTw1C%Rw$5vi)x
z+H?#c3{`PZtypVJ$h`CxcnRhDYvgd=M#An4DDEMBq}F+PwROF2^K4l>K$h?QHMA^^
z!Kd!mWA{bsn1sCNIrgP@UHzTn;^T(j!sCTs#^b_6`4YzO*79SGrcwNM!%A|)Kj1%M
zi;vn>Rr3R>!+!=Smaei113V6lDYWc#mIQvQb`$dVvg>#rtezP5E&552612?o9agnl
z9c~8}IE^IB>Wn+Q+gmSbE6Zf%<&c<)^VL1BxG#(-@EJY_Fz2=qrEC2dQ)P=NfleK7
z63e6aqqoeD$qZxD2sihyCU>?<Rml<KrRpr|y|=jkR60=mt;fB+pC`C4RcG)IzeclR
z$DY;A4D&F);lTKC>kp?->QMw$ht_@ZYpRUDH>c*cuxW-9&3>wI@&PVN22yNUN25AM
z^%v!APQE=n?#gT31Ux))xPcy9AQB<Hthy`uS&uL*Y8F+)$7&kIz=h0RoxMN}?=_BZ
z`xeIREjIxvevj2Gw~t0z{0R%Wuj7*CYaT0xA8gBO1<3gv4vxM!4xamaAoYu?Gd-q4
zX+5G_hE)lm2Ft>uh+b|>Olmc_(`c~4$J$hRSk;jlONCT8`eLxz$ZB>|fk>$8Of@s~
zeC08?<ITIZ9VpDc?V(~b2zVUcdZ80;lo?-Dm8RP1(^j>}^_-c6Fa7J}Jqe&{i)53b
zQb9s)+t<XctB-v;_-Cl75A!Ly|Ci)YDN24jLEHjgF2j3PZ3bkrYn}&cBC-LWb$pt}
z-#xXxo%@`AkqIv;1PZSm1y=5t`zIbB`f=_~Rb2ql0^iFoj*~ST>?8xA-W@Nym>^2m
zSel#c)}!FfEsI&LDv29|i9W(H3Bc$f)t(2rCr2<yK<k>_+s;92J`ldQb=(SDeMdre
zLm2fyRBx-K>t8l$v^PD^9&ov^9OyxLyjfxNeSca~z{*!H0OJPx7B=$bWnlAEhlEWN
zCwcUS+okqn-eRZS>-f_Eh{N)`C?LDME6%Ow&|{6wT18s7HnS|pW3Yz2Q}Vllhq|oW
z$B*A|H4Qf(J(#OCfE=FFH;kDJ$xY^lTBp=YkkWPr_1%j$ws+-;Zo`fvz_vLD)m~T?
zQQL6^1wlkLfdTDfSF88+@-k-EM&St1w<hMikUh3#_#?Q1C3TceHL!+<H4xvG^}CI;
z5H!0@OSGKjut-L3w!5HWL==u+xhr=ZUW-as*k-|TyPrWhX;)-&mY4&4{0-}3HACSw
zU?*Xv@4#|#G)l;6o75IszWE652&m1@3>NC=aKR{AdsC2m<};{ub;7&1L|68^RD|uq
z*3hQk*=$>@YJDFIL&&Jl=GwauXjWvfc`CPP`ljU8#gh+dUH;na%iXG0){7W7r1Xj{
zDbCPnim^6px>)vOsq3BiMl{-uofB+U)c`tqoxiBgk8H~spZ$6$gj#!w>u*b%(qZCV
zQiY7y0{r#qZP&}7&ir4&BW<;v3TtliSWDKQXA0YZJlb~+@{E^pG2?Y*<^#+X$ai(4
z?%MJ1tjY>uVV<<2D&U3@==2iI_2X+d4^G)n9^~Mrk(%(Wz&w##Nnq}-kZ1aWMbgY*
z&+c0OiIvZiktGV1QQL?C4q=CZ>g(&sqJ`+;xv}%w_+>a4uU-#DXfbN=y4)YgxR_S)
zYd3n=Vo}(`gS-OI;D${d1WxLux>XWTc3S4>vi@`G=9fPvSScN}fKv65hT_)h+X@K|
z>OjitSE2J+`5H9M66Y@^AFdg{t?1spj|=emw$fQHMKjp-$oP$`{KO_4cU8fY<q~Vg
zk0U^4hR?ydlZ{=U1qyTni4qn+3pnBZw%@fMVGzOmm32D#<;)j!wWX@2E2(==ZloQk
z9@zy^z@g7`MNyxC>f7f_%OhPKU9(&LOUrG@zX;J^2=DWMySzL(Tsvfik$J$iw>~2u
zi;v%Dq4SiFx1Mo|S4Aeya+>Tw8-7II-bwinsF`MJJR121q^ejL-Q^T#1C!j#CMf5*
zKivVZn=UVnhFh<cms{P~_BB>7J<HB+&zF~$J=ymU7P=I-YdHwv9Uf)%W95$=4@=CW
z!~^FM9uWOq&6dV1u+fd%@jHv=>f6-C2zS0Ec&^H<Y*U_tg;C>a1nGr4^-LcFvu9>6
zgURfI_p-!YE&9|?=@`L-nh3`^!m&;BbDQVpQK6J)s*5-Vn8wKxMnu(G*KWD0A`>mL
zRR+t$0Vm6c4)Y^~l7j&d_KT;z12PyEbVFiz9gemeFmvNM_1PhV>G9*`d=sGBhpNLt
zh!Pcyyy@m|^l0VtScyn)jDV3#<SA3fJBiEi4t1eyvG!%i(!xuLv!$gDpOmT9d6aWF
z!v@*OBY)zf&O`3qHF@Tl#su2s&dS>ko8<M$1<lN}awC<{HrR5oR1v!{XCBTmAx5eO
zYTujSHS%>=NbE!1`rA#nBN(Oxthp?7_R?uEsq^{|WGORseRqeC_-yG#{fdE)SCEVF
z-8Br<(e%RKw+X3(+{cR!J5&R*@>Wy9e+tk6?DiT)6MHow`E|J~0<wmEX=|=<dp7<3
zQ^wj=p`nv5N5!r8JVpuzw(t*!S0l_=AVgun_<ZwSK~bgof|W(tnF&$YKi9zZag$Pv
zW_H3E^LYF65v9IpYRH|IiJf}!l=S~D)AjC)IV-API-#tn;#GgfOR;oshVfm#S?)CD
zH8u#BYB=a<u-|Vca23}P(#g;(4G0cBc|Wvj!Pp@Oe(gbWD<-Dt%%tahS1RbswiX*<
z@R=m}b?ng^kF>t9P?aN{zP_`rzB4+vcRyXdoA{3!HzvVgHHwt+*(!qy;vTxryS!xp
zpwAT0M_Ox;qn4Tyld|M1!cK{brO1k<7;1c0uBeD2Kb9;1RAp5GQTXmXLLtZ7v;4)N
z!ut`jDTqQZWcZ~bYqU(M=!f8c6^4NZIdMIOp@>_B{#b?L&vp_l4<~;p74?P7TwPre
zg9)!k?5zQP>8RsQg6w{OSew+st|EpSNT%{vaHO1dg@K0&KJ{+(hhaV)aj1l$AVMoz
z3Vsj~JEddWv0l9!iy&L2*Gu}A3eHMdMXOW_XCC%!^ry!KZBRq46o$YHtvY|qGOBhu
z1v+ngVWss4P*`gw1nwr7R4ka3G96i60_pjf$Sy}13L)6u`PrS+_(|V?+Z%D^2PZ_!
z4JLVJo`}iEUTrP%7efe2Ag@9p1-5Vf!IN}?4G*Y@cKaT>qNe|Dv+&y}>F(e*%FG8q
z_tvFn9%PM<F-aW&DBPtit#4jEw>Yc!ij{NZ`q`(t)EO17?Cg_)_}$gwFOTAS#O%-i
zyZ0H7(1ycdXJlch@7tslbc8rb$j5%h8i=b9l*jJQulMS(Mb-pNva(-R#6uF4`C%VG
zn^WVk_aF-qb{>#yLlt5d#Gd0DuQ1a1_vZf<zs{{+UFrb6#D>`t2m#_vLm>$%aGw17
znwVRTC;5C5QKPvlRv&$r^7Vh`5tl%ueJ=7LCyqAfW$_Tm5OG5RB+!GnsQ}WmYt>Xx
zBD>D`w9m*{OKR!y*EiGj?J3+ZmuGY%Fft&o1S#kO8l|9!RaS?TjQG+|C3nbsxDVb|
z%6qNE#2(P&N1T*`?e^~1)LMEBwE_m-05(XLQ_!EKvai|ylRhuqQiq%ZMy@X?4XT_q
z`8VmUAfsm>T?uG^Q7l?$j2e}|%4_)9bJdaCKezFTZPptztagLM6bhOY3X%Pf<}W3k
zJUfDg{`YEg7E5FlsDUFn?O6(%SwhNAOza|$804r0A~RL}?`hC~ZE)D$Da&JBug=(u
zxD4u$Nq3$&xiH?5oFt=2cS5qDXxPcVn`@_~8*VP#PDO#zLlIN>GmCRQjA{61%t9Mh
zxnLXcQJA>jPce4Nb}w6Com(Ulj9G^F@nkz)vxnK%Z!D_-(bcjFXCtYrMvhQD$s755
z>F_90v?)?ztlGdbUi6w!Pi|a{e?1N5R)}IX-pFV3w7!0=rhbhfNn<?=58f&%&BFe9
zVroV_f=-lRJMPih1)F`l+7GY0j8_le`OIgtkD7$)IEVcH*AXfbq7|*YvYHz!{h(}r
zXT;-IUO&mt#<$-y;@xN#)#`u<9#Moa-wl}^oj36r+?wNK+x8y~!v9u~BJ|4l&?6er
z6J%$e@A5u7ah8U+OwmVbR7^s`E?*fO0&HpYE|U@rUUuKa5?8E@fX^C{+taYfI{rjR
zF`kk)7ECBeTW@}wP@pSLM&YjH4c4aWKhx%^Dzc&<(VlOAOGuHEFUUT*PG?X9nsVgm
zFi|`-o=Ag}Ld8dGo7S@WF|gjOg-pRqa5{l3pNGX_JI)1Ktxkq6yV8N^<8M@*nyosI
zd<|+sW;=P4=M0>iOV*sgb};NwvEHBH$4q#cAQYhm8LEy0F^}I?gceN|@~f(mk<%zh
z0}!PfH^|t6Rw<XtYRc<L52vH5J{A+=o6_?Nd<))+W8_UL4v3T~%?+0+6*SW~|B46M
zrs5P`ZxksgkG;)lW84qU-i7b_JRV4S>X5;!M4c|3FbA+bvG+v$o7*5xo}1qwCq^F4
z**Qcoet?iX_S1hH7ZxluEruqhA2nFsCQ<YhxHmT3hx_h(8@Qs5<XDrtphm_3-ECWe
z!UuJ;fR;RCy<b|k?_4KJvQ|L>BIm^8M-ZN-*VZi?_mOr1QqHYyD>YgEZ`o2k-ItL@
zkT9WEkVQw&WhBqoRuNLJ;E*OEe~0Bi&Ua3iR{%t>GS6(cNK9VI!L;Sq3n#{czgI+2
zEN(0TC4UDcqok-13HrlTefO_gB;N3{Rf^WQCHad6rCeAANAyA>*5yM~mo;>KpmtzL
z4iMS)P~EQF&PkOHof2)#j!ks5UrN(@HGe{iha3Yt#Q$=?LJ^(a3oZZjO#^UJEGYEm
zoCP|CFKoCii!ba|2cIF(2cTApMlr8iDWOT2DOmR>9lhT<E7dvVU_gj@uu5&qmiSOs
zv}pcrR|4k#gNgKq+CI+4ZQ{zSPHr;=XH?u}|G5r=p5;?b3aKlg|4@3{w51ZLcYoHD
z{}Tl_NH8=G2xt8sx6s(~=Q~a}sb(NuF=Si6awtt<p-+{&m_S~M8S64~FQ+q6&*geF
zn+-VZ(R{b8a7j&y8=Nxv{-M%fGY4`4gj;tz3-~%IxW1+B;|^xR0$HT`dXOfkV6oNa
zuc>9Mkw_(=RTGngJndSGnV+-?5yiU@l*QI8*WIJc-kALV8H%3s_023r`B+}X(*HC0
zo4lEl7V<_Kb*{lR{<h?vr&b(}A3>mj$EAdvl$?ZyqBcf9gRY?i%H@{gu{45`HVhSz
z@?Dj<7`yj<|CkaWkOzpNqM8YNNWqB1g15zWxFR~W48l@byuVQK^c3_AltD&VmQVVL
z)t7lCvYE#1sooi`<tNfam&**;#_d@NA=ven7g)JF(C<RBfDM98NtK~CP-VI%MDxO~
zH>-fxCF0~4PocpLxG<s6!|~y_voL06XYZSLBpxHNcj~KYH+>f~9UYgf>8OPjON}jV
zT!md@2vM3Cewn}S2)2>UuOnq__&*IW#C@BR70*2Gl#ouwhRf+!k;cuCs0ar20?8Wd
z)2PHtoxt}3+?P=z)YkBHPB9=BUn+EBqA|ACV|JssrB<cUFKO}m!%x5Z(-rpJoQNqg
zB&(e3rduTyjP2ILwGAn8d^l;7(=yWKCsM%{-L<P0Kl(d2Fh9bcd=&7Sf$~6C%AWJN
zfZL50x7@%7V`7n@oQazHlL@g9YU!L4vq8-aI5R@xq5C7dAiR>b`g;0L{m^z1c6A0|
zmVr+hamSsn2!8AKbYoPRl67kdLRdGD<o}cSe;UrTMA6P=mGhh+bmBpS)A3AhHOHOv
z6sjqX15}yJ=KNbAtS>swTge+jM1@Mpo~^}JzMS)ktvm$rxulg3e}1QQM_JwXn5M+Q
z$|tl^5_{-Cqx?hOt{IQI)0MDw(qW%9D)BhcKePd}H{jX~b>FMcYbj(kNLIRzkVaMR
z{ct^(Oz!wQi8{b(PmV}4&^3!7i#b3g{-oD$(UW@<W87K8>30$qQdpUJU@xv_1YI#f
z$!+xB{IZ6BhYE0pt+<N9CS^QC&LRX7#f<1JQoUlyDDW~~GX*b`-5<wa6PP73G>Y!b
zb7?mjjm1s52|HaYi8XUTQ<ROi!w00Hx%w8eC%G!hfZe-aoRzf&!|Pb=i?z##(C|SN
z-}WpK-1HTM^@?MjABwgZ%mHll3+f6BP2Y3r3L7tNQ0fbpZ(gN~Wx`G62R^C}s48B1
zq6dP4Y^~BYcqc!#)b>Q~-c8##TGA>w`V7RxBo~81P!Q8^ZQA+RvyEz+e=^YKn*uh!
zfqJ(<vt8Gi4$(sg%=^Z7rB0V6_T71z>v%oFm*>G){-Pu30X;cYImn1NLs%lzN?xd@
z_e#!xCQ3cBI5GW%^#>!86;>QRvi@2jVM<tn17(NI23j_|_^<x5JLAy55Tz%zg`*EZ
z=qsM$;D5qC#UYSlNXU$p@2>SKe?8tYb7HP|KZ!_KS)V9;Wjr)OhUV$tS_eGIb!k61
zTup0qLfo)dzfE*EMI%;7S}I5#GdZDKn1B8D#(JB2hYAqt90Eom28REda&sCpagDV*
z@pZ)p?Nar;%%8$EVkcQ#e`v~3o64WS(f6itOD-{*aMMU8mGrtr^T<m)yy6s@P$!dO
z#ST^}cC<wiJ|@5PEc%}ro{SJub*Uo3qPoYlnv3WaLkR0+LaRp}K9$Stc;Ct%Kv-fX
zorKV9)lWhfDF=eM|IQSr1OSdJ-T-O|g`5EpPd!HnvrEaw-nDD9nllp!=sCcx|HuZE
zQ7%3h@8$&79Of{DV2NLsF^0s{Mz`_+nUpVasBX^65`7?IYy1Q50?HW5xlet-;nvp~
zuT#$%uS$?N8x2d@3cSeuJGj&QE>6nY(P^Zs1&rKu=Cj?V+W?|-tnX$w6dtxA4jO1=
zA=TA8RrnUt&EC~$Z=_;&k}XiX*||mmeYMS79FC!l<?!>C<Fy0X4-#lWCDfBI?iKvP
ziwJJbI5MX|e3sU4uG+TJzd}T{eMQO>(B~q7h0?ScMI&~+&HqV%X+M*npN_=Y+CZPf
z*0*`=K4Hm7=bc>Ows3%qry`b~8B*%)Ty2ScrkJ-$5urcR_<7O_uX(^_x|)6`0zZ$V
zZXl5K8TX};nm)~48tyA{L4Slk{tBsOq>xDVaFQ?}wK5hLDw1>UvhMiegqgE2|3&}F
zaib9p<X&e7_5=BUmg_$`cfCib3bUfYnk;`rSLFLXYuR_U7S@&auF(Q>+7uc4-8i1~
zNWWWpp-?kAHi}L@=@ddZ3dvJ+$G&ytXi#v&s!IYd5OXnHot8i#&$K!^$Dc38%;8}~
z|CwDJVW>w0p>^>k^<<zFD`tK&ij+=^8%ahC|FWm5qD<~#hfaLh#>*B|!}e5Vl2fRb
zg%f~=mycZ(M;I?7gNVvT-x|>i++qWw8YnFveYps-){`iVr?$7V)Raib?{!;exRzbY
z2#reo;7uUO);=BC$@9PR_5d8L2S0HX#Q=u#CvS6($G#ykd;Zlia+ebzPZ00h+J{%i
zP$bz&ce+cX53eG4a8Z}uCtBhB*w^kwoXKF_=D}r(k;xNGI!R^2?9ms4`EmfaUyI~w
zlBH-<=?Y)F14VKpC7kYR>LCc#{tF^`Us9>oEs=}x1gf0GFI7Tmi>Uy5!o>xgk2B#x
zz=yq{K3;_<Wsa}{#XxlIMa};-yZOQ)$13Dkb)-6lluji_e`MKJhe(8GW{4Q9lo{t=
z-_rj7ZO4l{{a8aT%oL9CxHnUCt-!wUz9dGs8guOr%JQ+TuI%(LKyT_#-dq3wwma7P
z9SaI*l?a41g;b(Ti%RULroVHPDqs(Y8|`2~bl9nq5ELm^iU0J})0Q%(ZR<HYteS!l
zVPZa-AN9Gub$eG><te@e5T<C$1woH8AJdAHizg7Ga5{C-m_&Z52VmCur>}%>&x`v;
zJ%$Q`{MYLl7mj=~Ew;h!)^!KG_rt+4R8?B~J0|yCeEC2o%uBTl_#06-?EKv+Da11r
z15q*ar-=913MP)fb_A460D|(DU8+)+{<@KF%Sq0T2FElR?zW&XT5<f5GiWtZUbJ^Q
z(=xMrDK5AXY?;ONz4B4Z?pJJ<!DwwPx&6qj*KcJEggaz<58^k4o_&+cvM4DDfd1vj
zKpGIt7aTylR#G9^Y!e(w0sj`vUk-7m)!#4ulHzB%PAsTGpIcp*RCi#q&Pb%eJegR?
z%28rl8$#fyWA|qBG0P62)e)$*{_FsGE2sOotfd4}Uw`xqGQ`aIx6$cgl_oQJdr_iZ
z&s((Q0sW!W_vTBTo0CZp1fJgImZ3~C)pzS|NvrnMt|2-5SF1V4C^6KCTmCcH90w*L
zmk+_}&h#$=deYp0e?83B<^{aTk8*&;@-X}T^rFdo`<9&W+56EM9`!@^1@*8zBLf5Q
z)j(<l54rb1>f8WN=A>BSTO9#`OM<L@((X5jaLHb=bDq_lhhxy1lWG8`$lswM?Jt}L
z&MIcDFcW-;6Vwl=<0rPx%nr2+%f2qw@imWp-{-7GrR*%oma00Rw;_h#SH@f2F4)@W
zfX~7nAZ-8fiF0CB0l~bolZgkUOB_yLCBH@ZE(lyo9#Fc-^RcPZjUM5IZ}~?^0|22N
zFg14l#~rcqCx!|C7rfBU35+cS?*9l%?+6K9eZ$)$?TtgFz#|$Qx_S(o<X5?2pvYfQ
ztL@TD@9-__bF265OzjZMuiGj4B1YJPVMpSEm;WT_JI$9mjXCI82d2bJN&ie_kccXW
z_o}ipD$LkR(c$_FA2$q*^4w@a<;AI^K;xAAzn-yk_BD1vV`{E{VScyu$@tK?-B=Kh
z<PgGR(H-Ci@Z=u9+D(pepA=4!0_QehogHT}BpJNm@QL3g0Vaop8M#>M)(vYUCmbua
z4QCS0tNNqPg!P7CTYY3gV8&F*;xs@%k~<kKCQXClodpWQ)uvS_ZatJzVtzWp4O$L4
z|J1pzpAz=ZHvs)`dHBtRD`wiM)*92JsqepjY>{OcT(a$f`O#VX02OOwlP<O^$@SwU
zpEhy6;NlbZ_x&P+@XG@Lo7xCYP)R0Gy4d=cu!lI|LEDm~k__ULBTBb0G21#VFGa(K
z6vCzW$No8OKJ<<yE|K!K%Y`#7?Hu;`I84m;^~X%0VsA=%$=G@{oEzS)AomHfKQ&0+
zh7(+mbB_1qoZWwgSL2CwIt#X4qB57Tx#0<l6vG2UCPA9QlaM)aGhyc}1g)TgB9z75
zy7Bdk-_{~T5h)ah&|%l!_dPDv*sMN+*?VQ>g{q=>7&p(}vGG@1Bn^awIDn<NJr-f$
zv)aK4LvVa5iWmqQjj{1(UEtoLD-IG(N{@iS#Y*5Cqq%&Px~BiM?P?oh<|FNEY|8l{
zo_8PwAj=1VMb7l}g+=#h+m!IoRTwa0sFV4C{(K83ITO1h#JcI~9yaL~j{i0O!Q|qP
zQ6|Th(y0GgB>90Pjyr7%DcgAdMc0O@52`x{2vSCQs>|0zszmA^=<a@QFc+6db=-j|
z^aSGaiyei3ZU~a1W98pFzw^3keI8Zz<9HFIYTx6mXunBCv5~>+B*x6UDD6e#<^m;d
zWY4be0yqB8X9?n|t}iU!S%9b5BQ$J;^we&o4zXg%vAh>!{Ab!h#FEA#;WSYqZl<)T
ztwI#xG}N0;sJOZ96E{Rx706FqvuHy)-_Iy!iE_VhMzdo`^0aCc?-&f)R$r`}H(u66
zr=b!c4z+)KZC9n(Ps+-+St9meAO7BXTnwp1!$H&}P4DCg7W#*6(~&$Kh&=Ga{z;!v
zVqmjX@^0nqN>EB{<vj7I(uxubH&Bgr8ydky&3>)B(u0XhJF-;vj;1pR+wHc7fra@w
z=g-XCC!bw9jGo0WFV7225v4?;J)w_rcZm@h;W8jj^+LQmtMr7g0oUOOYDwujuorS|
z3r+_GZSin6Byl3hRKaAI$&uou`pZ9jZO`$bHwubx3j_}U_#RJfYpS$-?5n7FJs`tx
ziXCF9gn^xug-onV3t<cAr-_gr^1m2ur%vvAH&s{fOVeMrGmLN7ljH6`0M+~-er_%~
z`6c$Bv)CIw(-H{Z-G0{R2R0d1*0W9tYiypvpI4OGjd!d!qgCh^Gihoe3<a`ay{V1<
zZlv-Cs@h~%+DuLNL8d!M-hj|>0(MBA2}H-b{6z7tkGjefP72P@kwes;7$6?oyYv>T
ztK7Cwh#28^6v9Pju)6>;Gqh~ZXS7(IZBjNKX4oHn)jD8OR>Z(&XXj;SzpJmGK-i86
zu~^aHScLcX*PomaT)O_v(ihwxz?y^t)>%2wE*ri+ASw|dcL@1~jOLs)jpqC(m6H33
z5-Yqc<!uYqSzL_r=hrboK>vOG#+)b)L;W962~XlM1+;8q=O9e@wR)8y_W$JlKOFY7
z4b7msD`p4XjbN9pD82fOdB~z1senew9`Jk1Uww+Q^0y(NH5B227R@E|Un*{6HsZPd
z*N65vlznqmH#Kt5U<`qG6Vdh<+{*yt1*y^Xd3zzckDP*k6=-`fKC`v-Qi}SU4N=0+
zB8?hXqv#FPs+sY>tr=#Zj?1jToaBGrR^f?2hr|%H0_vC5fnl+h(PJ|Vb<eqY)PfQU
z#Bw)!b4z4l^b4H^(a5x4kc#fyHi;GmRYK?(-3x&%V`+F){Yeu}V3VYEM58@b^?gd1
z1$Y*2LL>55+*DhF!3P&(&kP(xz+&31{H)M}OIqAfiOE_WmVbU(_Wf0)MRm;(a|-H{
z`RGk6-y4%d?HE>;a#dBG8Y&&J$Kb47E*IkaM<~7xT#d<{Q@l#=2)3lfp+aBu%Fy!A
z{_rYLbIPAq7sj@XFHEinF6O?zr`Dwm{m-rl-do`dUr)j$rTznDJ6G|y5uhQ;k_DJ?
z-y1i2L0-!CD^u>PN{K=@+7B5HmY&K9m{hjippS;YmOp(1kwocRfyU$n;lC=Tm1bCt
z)QFnwtUZ-ay0c*{NeCE=z^;Q<UjPZ6JDri?@5?2)>B9DikSz4shh=I8j}gFD0#CBt
zLtQNe-2TH_>)m0uja?*gj)!d0$3UfUZOc6pFpU#xMn>4^BFIL3h6-cr_7v#z^X%ni
z8Siu$J4pWw$MSM=G;kh2K?+qG`lvGxYP(9Kx^*T436`h_3dEUU1a91H`<q@wf%RNo
zA}l@v2H=m`692Ub^twrV;L``o8fTfU;*JSdk}!tIc`;#|-PD`erQ~+{D08czkEIbI
z7+$@f8K>RS+OFb%s|J=^K8zU)LMob)uw{n9p2ABk28A(ZY`Dx%;wpt9ffs*5^MBY=
zh&btogBnGSx+(nf_xptuuy)jP+r|nN<^5lNH}FyCylncEDb_aa*_%-5FuU~~?--He
zUj^PdYltupoXhw=+Q>1Ae}uhQx_kAB=s6VY;eZs=fpbjY%ujr7vlUlq6CZd)e9wd!
z@J}mTDiKkdxsI$HS@PKu;!$~5>j`JgPbxs4XwA?$U>*4=WL2aig3L1i3D>~q2LIUR
z6N8KuQ&6!OZksX+GIjJXu&P9-Dn>5x)P+xI+TLZ4?U)$}^vGk^vrb)Wwas_HSd4qW
zf)a&^b-_hHE~7_uCyE72oS!UwG}iOXZH57kTK-q7BkMmfC8yn~ZKdO2hV^eI8j%K<
z=Fy+0U^%$!$fSj_7-LAL*=SenJy*J0_+3dtCAnDAREwaogG1M945z*Kv|eKe_!T!(
z7pm#|^o?RS%j5H=nH$MGMWjL@YkJ^nWzZ&hluz<%zF?2EbFjQ1Ja66ud^g=uiJi5k
zrq6<iW3hKm^w2%tTFH8a^*pEn3ZA{~ktSaF=yaBQ*|Zv^1b8d8D(PkJ*y+I7tygl`
zxVj<tU*vE%4j<+VsfLFUCyy^xRIeWgt6U2InMv%nrJl#c`A@xgWhUC!3Ho`46H-65
zJBrk8_P5h136?$o_VHh<Skm^m?Kk8!pOsKV7Qcj+51qb*sqqOP+OQtSmh^d)l5yJF
z%Im;?KrgHdat4Sliw&?J<F|vl#j&fphh&eoBxY7N5R7)oiW|5WYV}2qXnCa*gQxbr
zcp{?3{D`4b(FA?>4f_inzlUUN%GRwe#FvhH#qN9HS7c2C`kQGNY?OwrziK@vDw@9)
z{Nu0dA%<LM&Uc1fsF;eZ*ld&?!t)MVEp&qW!sUXlUstZwD>!#f*|Hs7!u5oKY`lsn
z%Svo)9e#>+ZWrdeR1*=dSt&~s2pcm2pSj_MZgaGa=0Dj*^Wl=#t`Jb+v>!2|_EfTS
z53jf{v8>vJUzj^SMNRXQGA<pC*s|>!r{Q1_aJ11`AE2x6Z2|xR0IMaSkSD?9?%3{6
zf!(+Ga0n*-5cL1ld~EOWtbSgk>^ipOFAJ-Kz&JX39kcvG^h4eu5`Su?OE}uV?qjnJ
zo5yV@#2x!r;2Yd5Zg#;A)f?Vk$c<4Hpd0bWrn`AlrZd%iE#}g;#6?dJ$8OZJ0di3q
zsiD(JEdqtVZs8~JPEldZ24H-5Is<vI<?+@vA-p8@$VB_@xI?#WN(`o_uf=Zkrx6YD
zh3c7|TvB6mr^|0W&%6XgPF0P4s_7VsBg|a#1%pc+td`Cq!e?R`(odc3|BERPCS^O<
z=?OnHj;4Hn0vc10?Oe;0nCgxlnSM8#f4JgA=S)#KMY+x_+zpJSjhD#bESN+@wT3{@
znRByb>Q?L>Z}|i1<Ot=xWK;$0yN^&E#eN~={jC%tymhS<9O_TpBQ1gd6yU?47K3K|
z{axLZqx9tWpkaYRwlDvtD}oQQszhe32Qg6En=w7<66GHNiRAx9&DF@l)x4V*=>sDF
zg|Qs!V%(=rN;)E32TvVWyeeu+4_QCk=MYor^%}Rjz$tS&oA{~_AbNa2Br8SyVAEFc
z@<O%yUH6;d06eO@JI@6nw3+Lksx{_u874vVh}J)~L&_HyKXS5w|4_5y!0A%m%hJ62
zJMRA?cr|W_b?<?bkllDX+Zk_0$H&~YVADZx3M$=y9OhJ$aRdH$-;<0+*MCAhDoLEJ
z0sRE?&nA>$2;|9nRdP$!^*5zh5BNxLD2`JmE^5=<fT18#Ih%oj4BE}<k-j9zSg${F
z%_4eYv~h%eHrYj}&j$c~Z|Wsf215P5@S&|%9b&S2sQBiG=Ggbi&%vzpj^iN&`d)$?
zubp{AI;V~`t@DL8P_cu@u_<itb?+$s`<UySdZ|@K9VA*+1v{j7spOA$Z5vH#2PHb^
z5Ty41M^gN^PnmV3GUT5ham$T*Ie3|EGJvPI@KW*e*?6qTWYrqO{*tx*V<8>o6PFW=
zTHU&=@P!3hic2BIG@c}3ro8{0qOUHJ>Q^A$6WtR>UzThpZ)Ip^|48@*sl#dfHV9Y;
zRN!7P{kJdrgb=Y)1+*UO2w5-Xg@@40POIp|!~v|DKq-W8++9|WoUO1djstC?NJ<e9
zvS5u#9MT(@-9{)H6^>UWkRl*k|B#wE{(6z6IU@AU0z0rs5nI<QL{5ji+7@IMOllmq
z4;!BPkm{f?yAbi>7sX?H4>}*jNf`qhL`v1udxVq0&3+dJ$p2T-?QB{!J{uT%@5D5>
z+@l=h^F^TFjn|^mUzYY{V2G!cn5V!vrh!!5E27tQ_mh*p&)NpLpvui07Xjkcu@q4x
z#+s0%vpS)OnK@7K;CG+_<wjC<VZaVfOyYl-eO1D04o&)M4pZ~L(HW+@zH_=Fri0_x
z@_Z^Jq9CNUO3fc7nd3Enher@&_7WL0s-J#`dlz02@+yYo(H&PqO)#J8wNDu<am*Bx
zb3JsKoxiBO)cys_N91N3k5Ci%wPUIT8Hod>0ToG%)AXXXMC5b4?>bjswyov=M+kT)
z`YdpX79{6}{5KNiCHM#Yr;^u_=)Pmdxo*>0nZ=!oqHP!X*dCEfGU&-t^;?ewDWv>;
z6?n@XarB`pqpO&qXHmSW??^2xT+InFv}5aFEqJ{k_lH*L8X`Dtx@&S!BHOeZgq1sl
zxMcOsKP6T&oO01aCsF(V5cL&MQEhL$*97GPA|*(ONO$Lef{1i?NeB#G(s89my1N7%
z5NQ~42mxtn=^PsAZg_j(|K3|`?p&CKXU#h2?EQV;uTFi%>uwRKRYlP4Ks^8+zf`%Z
zUgtkpmQlo36n3a(Dj;FcC}-C}ASc=xOEj9{Dd-rC=IT@@PMHcCEu2s+t)-E3-~&Z%
z@1<w!ckx*p>q(21zuf(bTC7SyIyl5_iXfPBOQorWV_~RL=Jl=}Oc>b!sJh%O;;ySn
zA^P;=5p+*drQ<~)CrWC`c+1^%W4=_vHH9qC{s(t3olp2uX}W#cOr^gml(56Df~QT}
z?f4BEwUi3(Z^@A%M8YC7>+~q(%4J?XcZ_a%xtxtdlRynv1&ap5<r8mxI#lZZL{?pX
zT23DjXw;Naet~X&ItXm-g0S5>wG_q&6>s@&yD&#<{1+ZOUOJ?V=m{v^NvB<00JkGK
zoJ9Lj?jHr{ZpSh&_3x{iOtqJ9yVI+r{Y~Cc=D+R0`K!2B@&dVC9SwW1i;|mU$9uOf
zU)tqxvu?PzOI`#|x!4;I2r*-#8D)5Da|FvGB4eSQsEmU3HFUR;Tr`$Q<S4kJGH6S*
z!L-nNFUGqfto5SGnprs3)D;ugSlgU6(LV!=_6D8qlXdwE35wxJklJ|r&fYa={zOSC
zV*Yc$>M&M4m4e8VAo|JraPprFVH5A+0`ge6{$TP)&q9HKx>!B=mLfBArBEB!aM^g~
zD?bbIv9-#tb5(>`u90JfC#t6=BacU(=Lz_*rakVpT7_sw<6Qr!zH@k`HWH!)8b(@B
zv)_@~h|9ZwS|=fMAXwpN0dt{`V`J7+!#Q6%z$bF$Jb=;cCsA9)<-%)tNOD{JYLf@c
z*n*9zTJsRwWH|7pV#?$Daq$_V<Gnd(-OS3=b^cijd(J}PvQ=-V+>2WkQGx4Ne4G8z
zIzNyDg%A0vK%ZBZ!Xlg5dTaZDYz3`-Sr+w=wI)(su)Z@pRGBs%_&j6{Ecbp=-cO(g
z)~|yasH@bjAFzXaK#-f;`I#a%{s7rU;nE$?YAX&(oB}@Jm;G$G;Y*@=?%e;=(-4t2
zz!+FG%i5T4)nkl>1CJ`x;)aFtNj0p5;qb$)O<6eLnx}_z2AH<jWZ@5t$pMr7eCv=D
zQYe;*UJC~Fv7(I{Z2Jgk1vNMbAdVxYuH(<Q_L80fmS|A*Aw7$Sivd=j`7b4+^xK7J
z-(u=)$yINY{f39s!EdRmf*0OnGnp(c<6P6g6Gy~0*~`ngP(>TN(Mx{7G@T}7(GX<a
zyvXJ`8;P#mDye}7wT`f=>h1Z+^-n9kXR%woY4zJD0*mg`HGWqTE?YYdcO;Gj8eM+0
z5%E57^3!x(gy$f>UUlYhx8i}hU?`b|v1TRl5st$C@nuV%N=QS%xs^czIIuK+YP$2}
zyUK0K3@&5t7A_*R&c{`|)-{-=XXs7MbcixpdJey^bsVIh5%+%9KkSm*;mkR^+2NIG
z`HJTMo_6_B89@L4|L)PO+-rV`(e*vpLn)XG<BU#NE=O~vuzEwjnC?G1C&9xUPS!-<
zj6Lg`56U;J0FJ0YQD{uQRoc6wj*y#q6XAS^O-Zt<c54pB@qDWf>g?%Wd{5e@sx_ga
zf{JhZBzE&16ME^2nW!AIaIeJq(aZO^WlM55ZN6Uc6j2ByLJs%>#yi&|-7Y4Kq7$0X
zzMSsc0s+HMTRVjzazLxlxX(oP<`7#XY2%&g6rHr#Z0QvCy~ph|hM*z$Vnu9L?re{0
zpGtdnMM<1?xhaeZ(=?cGMo&|M%X_hfds?3Y<Oej}vlhP+)zS5Ub8Xh>pdWyws-Vl{
zd<`9t9<?C1jBr&Zp0q(<KQih39ao*{?EKw>FT@n6Z@nX*cp)|gn{+q&AfOrok{=jy
zV6W<5z;&7hQp(bs_Uq%`X~pV8$lNu|mD?=InI{Ke<+$FoHI%~hDxi>ah>+YGnx1}8
zU&y&H^e(o}xR^g6Qs^jA_hYxMW=1^D#FS;kPe!ybDygh=%hqu1K>1h?@XF|yQ)So!
z3|>A<E*dD@N<snPEa;L3vt@LBOnGrtLl890euPiyrUzf+w!bKGLKJ~NzZnqYufDab
z%C}u)?<%5Eo~!S7a5ay5BkE_mXVT#<Eqn+^SV<4QM8d14C``iKC)cFVEp?Qpyd9<z
zO4G)EGRJgnA;-2QG5mfm91P>T%>cE?My+p~qAQ#B?!)MsDWAX$+aUJYgZACq9#B!*
z$&_W%|Is>kQ5$V2@z5F-u`?=?Snj#yadRvX9swPKb7c1an-nmldq<{coolBQ5SRB`
zGfjYqXfNoxG_22ja}7x>o=)e~upgW?zW7l=3t9OZ&CER!thP*o;1NMCd@C$fZYYf+
zQ@$oO_0L9fh4M%K@-DT1^dq|sJnHVz5lgEKHMb-_B~9*~ak}V70097Cc?UMlG<6gV
zhJq9NosO)l=6MGsGPcx}hjw>GC$NR#rH<L4=>rG;-wq8>6sNO4*~L4iZyGMh*25`S
z&d>R##Cq53tXZB6O>B?TN|c4qKI>DsPt9A(DslQHpw(Z`?v?N22LJ(l$fe*lJa#cA
zge_m(>)7$laaIw|s0x}U&`9Na*+iv+m{*<*>&f{uo&nI(S9e>ZF!!o@5z}Lf33INX
z1B?Q=>hJr=@KR2BYc{=@Sjtg@k;tGICokzqs~`Ul(qk?}lY#)!d)>K9+ru(>Hfan%
z$}1(u>S^<o<)wvO5103g_ja)sa7o7tM-bPi@%6EQNE6RQ>oe|UqDeLJzQo}glOCBD
z{E(J>H>sl}V~tNkQGK|~Bq{^YD(z27>`Ql$vN<=sFga^&$B&R^cQ$RQ*jvEKq1knk
zE~4IBF<9KZ6(zD3BN0*cbw+K5sEAl)oKI`F`IMA$9KRO`GaQ<z-v05(jC*;$tUd+U
zqVLX7D+T_Q(SrIvHtU$ZiBD5G3$If)1K*w3IS?B&K1ub<p9{_F!KqN`{@&z#tSx&(
za7gF%7b>_rjK0bl^d1U%<jC&mk#nJHj-El+3W~w-T(Iey2)UU<w`#6ZoC!5kEDiC<
zFy(2w-;#<lYP)rK>na4w=p?Xq277zeL-5}?bwBo4Q-$70Uk>Ob#aAzI+HukKxl6q7
zd)3!!hOPSfXODUl_qNzD#6`=en}b_zogO6MMyH6D4laE=WnWj0Rrtg|UH%(IFrP<m
zg}1@C6@DM~1jrCtM6Or6Y78cp8f;R}fh<ckmBD~wy_MNOG-h3(X)S$E&rV^KXWBwO
zTF9q56=1q54RZ*SC75^qMtb_7FC^j9oXv-+B`Y0L2^j0&57HhN+9`(LSkjp-Sn4(2
zfc@=2?Md6gY3}48{p|WT?C(G()rJ7dD3#+ZOyVY)a^f29U?6}rYRW{+wYM3J4}R}a
zVN*u4HAz63>#Am^!}ie8S41rWssKgJ?Qi_y6oz|eA~FjnQwDq2&7||U@;)Z(n&!s4
ztv_<M$U}o|CW9*P-L-iXSo}Wwcv~dJ*IVSq@6sAuI9re$J4u$hn$RU4sg#z|a<@ZK
zhA%h%nuibZ2~mU(iE-gQ#%&g`=I#Dle+f^W-;r%45$RDV&+i!MvXnNEkk74oNLOu~
zn0i^S=lSTm(w<3M&}JfsFyQ;1NAi?_t7Cv1wO`;YLEqM+8v!XY<hwJuSR)o_i2=xj
z8L>mv9+BoZC%RRrut7#2z5`l+t))Ay$&0boOY5zsr#g-k<BwXT+9w~0?;JNwDnr$_
za4_K#+Zkrt!@pv-%U`<Pa8}%#VXZrn^8TQSmi+}r1-IF#9K=Q?4XDjYDrhY!XWzzh
zzGda}B%bk6XV$^~o}C@e==o>$1S{2|r{ALaw(m8ewglJaR}YutMrH-H-HYQQ{K0{O
zxpIZI$avZ#WCh}4@-^*fZ!St3WP_PWcP}t4nej$e9{{1O;2iv*zUi%|@GI+|>W{T+
zHR_Ktx#M%B9UW+dQ*$wUQeSdA2KR%XuxaSL`sg8HpbKcV&7a8r?%(h1Apb{=?~duT
zHK@{3_a^TvCW~R=1~eSLOVNGMXJwr7k2FwreeORE*U%1$vFp+vp;EPX51cD`dtf;b
zNS7!yYT0s6Ba$-8YfI4kC0@?957bM&xyVxIrK}k@UyoYNug6;?1}D`#y3&@1{|YE5
zqIn2>6y|X#sgdC2Z%A=q;)vOwx%Bb%qExJ{H4F87`htB84i~~av1X&shHM4B>1l+#
zteH-O>Eyq+<z;TCkER*2yeQw-An04T+0vNF6=t$l6$O`mIxnY--AL^<YB)r^RY^Zp
zW%n0sPtQbRFnxjhg``)gr4PP;D@_fMTB>G<jP2|%N}@@=-QcPX`(3BOq1n>M0*yOK
z+tpnvVB}04_sEXGlBx3fZR$U+9<%wKYnyv=CR3)YruC>lHOxXiJnFixnqLv=QyFK3
z(K4+QtSENW(xM)$H=W;unx@`#mvoR+Kt9alj|D1?IRk5XTQ;u>E&FN@k(}y@RK!jZ
zFBXoA23dM(7t367=sC3S9*g8F+lZ>pX!L-#lj^i{;^=yJ?xOc7fKW0^nQSJBug;wW
zLU(1<@3SiKzrHj3awAf>ZYMOv8WW#PKnzUnoBJEDS$sZa=O<A+GyzVPM1BqF9KoFG
zVa#K5CH^|7^26>Y^~V&I&bTcvrsk7I{SOc((q8-A8o5`3E;4@JMVFW(=bc&7Yy+I%
zd^Q{M3bh*{4*0YqukC2nn;2p|Y3XG4^ir8S*DDp1y@_Lqt_ORcTDtD=IadgJZV|{7
z*ymmrJdnO>@*+xilVf|@x^l>^5TZb7nNGB&X_~FX?wl3&ZWyO;#<*Zz;|!|qg!%NQ
zNu|}p`ihuKe<^$jzl%m6<W4wPB20z6L*I4bDyWbF-LONpUd!(lxq>#VmrpIeELl|r
zwQ}_R=XRtn`-=M=^%Cl?><NdKGKFk>?DAb%*C)N>QW<)`?T*+m7{l$3W(m5@i1)+I
zTf1lKTJQza@O1><)dlJ7?d`lV$H{DN=^X6XQV+-b_p}N-Q`)iY6=)mMgeRq&Do)8f
z)&Ymg#0?j+E9E)G=}u?SdrUEN<f@cFH2o)uBdyQz(1J|NC3QSWO!c@<V7l6%BQgBy
z5GfZ=00<xXW8sDaH%DgvsUcC@5ul&%TWp)J)3Of-T^XcYvS>2FBY0pd#zHf}*++Cz
z^^v@5J|vFFyVR{TZ_k2!QZ>&eBIv`0N~Oi`nc6>$FVN_>*~<dch@fxT>ySI}nk$83
zj+|Red~d8mbUjb}b);y?u!x$$KT5BUtEB$gTpDXr7_0{xgi<JFh>RpslQ@@cDyW`@
zafeb2WO;3hxbL;mPX;O9wz){l_x@*k&mafWJg&(N?SXH<Unpvq1m@4Zd#UP8&oVit
zW+x6^`>I!Pms1nr!6V$$&2YMtwka<=VH1)SC}Do1oK+$XVux<KMq<XjlUJfxj9pAO
zlt|GRAen!{;u`e!8TnP5T=x*AX`DAdNU4P6XDC=!(4T#M4B97{<s;OJvW9E*AHrnt
zqv6YoqowH4*D6g^2p`@Ah)Y1&f>ex4z4N2=oR*ejj?Dc8R>VDtTR`3f5NtR7$xWBC
zV5k#IpuPK43`2*8)~S`4sy;E;#clX+^B^S#x3MhEWZj2PhMm!ug<SeOBl`RN)FBh~
z(4n!NRMXb9Si>sKd->+`3D3DaR{YMaI!Th|zc92?#dy=w5%1~g{_Qe*nR9@Aq5_5S
zZOBX84Sn2yrZP~FQutY||9kGVRGyn&VlKi-pV*Rt)Qa6vhmmFbx;(AQtf!$8(m1Dc
z?8@8qq5^}_=#^-h6Xl5AWvMfYuTG?_+wEpe(_YFl$~9m~?^7O)Z2Jygh!mU>g~=Ql
zhJ~}8l?2jxnV#Qk8kg!h!r0p)*20!?Lc!Eqvbgc1cG&SA^^hd(IKHF3`7MXIufn+U
zvCh0NweSVYZi$137)cuAXvv}(Pt`;9CPx?TdrWW!#Ezo*jZVcJ{WG9Zr%%?cNY~P^
z8GARtd!VK6#~$<O165nnwHh;CkL0kJPSq;*xvd{_y2+1msD7NAt2vE9XD0^_w)lMJ
z22}yTzzhHeoQnh&xrL&h90%wRej{!BTC+k7tEMN78plAX$IRa*)yg3ssMBaHGqhT$
zkzdM9_~1j+lkEyA`wDII-ui&$NNR2v832JVbu_i1I0693wVIppmBiVZ&2!1;@xbGH
z_ID<>J>rM5O6`X-4?QxjEUTR--7^DQk~-q6JCS2$E_IUGeDrTG7B`P_QszVPngpmP
zPVgrsaSal+bZwuc2EDhVeR-<$>gA;@_>WZ^SQ_9lyF*s^FHhThN61y|d+Q&-l=m>E
zvLUJOx{!N3<26#eg3XUj%JI#{KAWBa2iOC^al{yDvQ8bHM(6$n*Y1kJZp2!X4##cx
z;q0fm$Xxywal1fRDziBBED7wDv@qeQJJKODe|KnBW}1TNCU|6TH7{yE0xh!;h;brb
zg)at&`o<ZWjfr%+JCU1HzbG3N|8<*TLUz``XJ26U@o$L6tLR^Dm@N~ak*PH(ez1n~
z0%XcX2}<lyyg{K@_a^dRmYEk{Y6)$hEan2mB}-$CtKSQKhbT8~#4^Y54mVrweQ}&h
z3`;+k^vV`oc$7*kOkk-Rb@aiS_wNIt3&mss3D>!#PMN-hx`-lvVXlL%>|$j`J&TTR
zit7pKOh1)tYk;laD4U#e7FPJZ!oeHMZKrR&ycKNExyVzZo+|L(u6mpC_@}ISweN+{
zWK9B1^F=0ZvSSC<J8s6OC|XNxvx~pZ_>V-q9L3w5#txW;YSq#BXYGooi{2fMv?J?}
z5jt(as6Z$wdtSUji%%w+5LiwwiVrkb(r1<!mQB%4mQ`k9PYpGXo${$3v(|Sz+YHXO
z5%Rp{<0p%k(dbPQ@1|ke)=oi|2Ddl_8y~#y6|}-&WSLm|MxF>Pb7&SZ%RJ{$U4Dej
zi+$zMZ9Uz^D<7Tb&?p(Qam+)TV1Qp}Zwskus5V&Q)l+2HhVN4~KrMQFZ=EE`GkNkb
z_@X<GDBDmigB>8Q;6Y?x2b-()ByTYz#bg^5`-8}BF#sB5!{$^c9(>j-?%39ExA<bz
zbaUjamfkYbtnZ@{JeEGsE{zdscj>O}(s0>plt~37+!9rA94=CI$=6N<YM!F6N+PDh
zbicFl<vL-|+=1+xPXJ|HFLH{A+pTV!f~H%2AxxzFxz}1M_Qva5U5TL1C}7tc{+=XZ
z-cD}idVdoNP)gu5QxxX(Pp5uOym*BhrXSXS*oRP4FI(rT1Z^Xb_~8iLYB!`EJMe(i
za^}GQuxL+1D>wlVC?ECKpAfK+twLy)K5p9?r5H60nrTdqb?aDbGiy>fA2a3E{Lt5!
z<338Vwl-$dZL}>drQ<i2w(B@B7$2J~85`?1_-p*!!?69Y)rOmN2<21>$;#D0o-Owh
zSTE}69dOud^wk*0Ng7v~$uSL+0QC*N!r5vDu_XLz4`ZO?@L&pm`;Gsp5~o+P+JEmF
z;ly0GpG=8XJID8QL_>ND+d_W>m0EuHm?k?-JDJJ(R#Oko%Ah6y6e)#EO=MJBFMr)t
z2zee+;f#G6#b@2MQHaJPO&%{~!J+)Ces_Rm?e`4mz)>0*lfDd_`~7R+6EsMkY*0uz
z+K^`|vv6TsQLsawKJ;@&`sQ*)s>GTe5qX>1W}=srbgw95l8Qr<%u;M0ZmGuyt>>em
zxqm|0T@8gixLKwhaFJxhqDyvx?ISp_Cu_NUnnSMFpFGgQDa3(cePR1s@3oQEa#7!*
zLGY>~q%lRc%WOXNpyy{{;b$f<tg47hgjY4}v9kb9lchR9@>-0com{KD+kST^qQHvB
zMzK3k7%t$BiOZapnxr*u@u#I;@i&zMdglFV;w1|I<+U?hpZ_#{G_xA_YUbDAanrt6
zdIcO>>86r$PNySkB{Sr-;%D8*;)(h(Pw>YBJb+zO+W>ocwRC%K{-3g4Poe)p@5A8K
zfM&ha>FroVvQw+1#uEX3-RQQ7&Y0m*w3;Qu&Cn&O5YGD4(9XW+siFJCKOUj9f`{)t
zLf@gKdL_Cg+Z?!dTiY<$JWVt8Dv$6SH*b;;a`=M2cK~di?cxg??lRsxwWC@u2|$06
zisE2L>~yT89V7+-dnuZ;_{+0|Mch~1c1VOtFF;%S_g|q3xf$=acM+=>J`;J?o(V|u
z=3nZ=^KX}9)7-WBqD=g-pO39lp{LR~+JLYfZWh<YDFZu3Ig|eeqy5Oj?{y&74lB2d
z`5lAj4iH_f(NhA^cm&zzYw<-5gX#5k0<ft9mn1!T*$g{=VV13RJKA~oed+B5tgVQL
zirRaVdFrwx1>?<UtxV|IENTAEb)`<%ZooRZ1n6PWIH3&XM0fJ#tL*}x=a3s4CgbK&
z_lB5l<@HALC(eJvr-xYS-r>{oF8WGAUl}dEd8wXkiHkCONkkf?>GplmIU%mD5Ex)-
zH2D81ykJ(!qv8{qQ%`AYw<Qp_;U7blI8~QCb7<k4n5^D55LW|suu;V!A#au~+za+T
zL5f=%c14Fk?#}*t@fJ`s_U=3(i^pLTo-NAE8A3*60w*zA@OVvQ7Bv2AeM4T^X|g)Y
zZO1sqichd{Q#v1&w?*Xb{in)0=}S2s?d0VhbO|G&m?846t)KiMDZg8_gWg*u<%66Z
zFABDz`#z&xUuOm%M=gENlQ%W8)ACnS?dua&vJTE>Dvt!ZQDpV^S5_;wXqUIVE7Sp?
zBo|Da_(jySBvd*?r?H`s1`NGmCteY8@ad*%w2H|&K)eP3Ha$ONGpxJNleS^~vtJ$p
zpp|H|uI16i6V}UenYK~z%}<mkvtAV~wMs~(_IEIJ`2iP~WAF}pE@oJ2maw7&r=!8T
zJ&H-o-k|eD=`{M2wHbpOw9+M>f$~cx?POd1u78NC;dJ&!9Q3Bz-D;z5-W6B5icW}E
zc)W3>@8|Z<+_7pHZOs?>54dWIMf(`v4A!$TbZPkK<N(cju0pC&+>71%D(%zYTky*{
zAb+i$d%|`t8OXih>whzL;n!V@IWzeZ_@CQ~!kF^&?@P)q`Ko-eXgG?3T$HkeEnHGm
z(B1{C%zc<FDtf}%B9+za6LDKCx+ld`Ic+AkhNjNC9vg<De+_QAj287cdLPKY`r_xd
z0bJyT$hNx<DR^Xvk`o=_tv5h>-N2cSP8v3rMuwIzevZCo>ccck>8Swr&ClJ1kA*;_
zde+P}9Akr-O{ffktRYQN(B%E0p;Z0hukfFAGVJS-*|xR!0MWJAo<Pn#Jz(*wr)kWt
zp2=d3prWHtJ9C$DRj{w889Na~dJ%fDH<I>YdT@~Utk3>>I<R1-<Mo>UMx2h=;lg`_
ziywuS7otTMInEW+vzlsTK^<%cT5yE!l-kN7!0YvJODCo-2E{kyUB^06J8O#;Fs>XI
zrs*7dd~koKT?{_jCWH~XOdG-ofxyC7CFhZ)rYw?)Ya~bDNvfl56W&lK78gs-P@yjt
z$xtw8kNJ%R3#WL4u!vOT)r`(Ak(-cxyPoCBX*jpBHn4b|1cz)ucfL;Apg*}0(Z_9(
zMPPh&sojUD`mH6s0(&t2@b@C;5#r(XMKxLF{4L1<xohi`7i(2Txz9N@20Ksyg?}P8
zoBZFCtLmy+KKenEBuH)~<<7|Ct%vB40Gy;jk=ZdDJ5I23B-Nw+`!INmPDbhUoYSr#
z!_B77AFI5-@1_84dRb*1{>jR7v$oRl+byx?RHRaNSH)hlw=s~^1yah98wIVa<mta1
z;Up;2KBl}-s#~wQEm^7)co{k|mx!yMbigO6f_|;&ns62E%&hzv!2KslubEziv5dtD
zj)F?7K%4Ku;Te}F&!!=>nW!o!7xe0`NvGtgfW*aP_N?Fq1fu(<16z*ck-lHP6863L
zZy(ZA$vjVdS{B8ozVLczKNYc2{v{p%Q{E&D70&|#1)dx@@*7|692agnMkD>+x7E$b
z7Wj%kTEo9QQ+kB5K0nBPN?>M02sjoqu}4wB-~W{#B5CK|%c>QnnR}s~N{uPshX>Rx
zyuzmZ#4iSf`~o$+hL_#sx$W;EFX7x~iD;xu1uB}MxR-=tE;{(l-at)R(g3OY&Mhnn
zU8YBU9-J@6C|vx(;@0Cu3|Z+pW8x<b@SApd^T=@DrQoaJBcYL<vZb#*)<VpJ3{}zf
zx_0zbqCF@@v62IZZUOHFqE-}mk3Tt{4PLHIn=BOXQ%aS7k~-sg?j-YP7vdH1q4&{!
z*a|&PUnlIsJV@|rbyMoMKyZsAI8)JXE=0)TVZloL2Olovdp*Bb|JvymQICmz97d((
zR{fRKB^R<0RYa^K7d@z}2>kTAJupafCv3aj4wKiypte|XX9RoXUxu@lQ(6Ri1K~IJ
z9NKlPXSvX|#p)r+1{0gz4vB=y{YH=Pb7Wz4rtkA#iF7Utp1CE5lQLIaxk%-I|4#WN
zc}N#+M+UNRSU(!h%j_4jcBC7f6jXhQ7wD^EUL0mW%*ydE)<&R->zq(!D;Xe?mB|2}
z!4rKD$5FZaOhL5m?2P`#8xY4*UHc{PBMYvfECWE`$v6nPMVomkvhN*HcHejh_>KOu
zt@$K`UAFQv?0Iup$B5lhO*<LklxT0#jr!~BqSa(YVO**$v{gn^uwj!Ec|_t9>z06B
zPxb1&D9)OLDU9kZ_;*musE5RLZJjXZ*PoFLXa_^J$DaQLnTtv$upk3*7Pibei$(Ks
zpHG<hm)Wd$_L{87)sIYSeFjNd<@+aA^%ElsY(C%WD@Zkx<MAmpSv9Xd6Vk#la9Ali
z@k!%VDCk+nR*p|qwVyOJ#KsV!@Auv8X1duDm5HxMpJ&|aJYOigUiG&*SMgL|+!fOP
zzh0-U9AP^?bYW0j^_!{Wqq}@s^4bn_(`76yq2Xiv`<Tvr=-uj`86C&u1ltw&!olhL
zE1~d+7OJ<OD1Usxa4sQDu^Z*`S^6PuU!5{<SG72Iys^QU<h=YymN}TZls^1d^z21W
zn%>7;*6RO)B<x=iwHkIFG_|Q0#*sr1q+DcugS*WlY1Jyx!yZWLzM|M$(|Qii`mbM4
zWlyuH+ab4G`%uq9G<H6rnNd{WFwkezx|3hBdkH-z*Sk`8d3+;KYWtHLp0O~a+TLfc
zaO*s`ia54GRTFz^G5<pV9O*cjF|gVR4ynD)Wxo(oVuK+KAL!xI>6f-Ndc@!#G-#k{
zj+xdPPI<$C!Ng`qzndJY(7FaCJ|^1w=pq#J;812;wVLRj`VVqkw_nNYCXK%<l{ZZm
zRti*w>NZ}<pg!xWEQh|avyiv@wo`yo0DrgbiVBoP1v{1yrE*l{pX;PgcbGY>z2Y~L
z{`gu}!ZuYkb=rz!q)3FR_fg4Qi3ypAX%MZ=8b#=j&UDq2RhORM5XpMW_7_pNw&wP_
ziO_f)4bQRIc=cOrbDRR<Znk>f8wQ?)&{?03<>;m|CFcbNG@}FjZ>C+u-Z`F`KtKju
zrjy$&v_EHJo&g!Nt7E5{c4|C9?P(=1%i~^16YbC2)r7YD=k}xD0b7W0rwITOoc=Xm
z6{`Uf*0Y~T_kXYBD5J1_W{ynCTF=KFJ)0C^#;MYxcKiY6!=;NlEm3pc9~`y+IOo4h
zC3<jrTA7{k7_7BaPC3>iH@`QK718j-$Dl0$=A6fEK8BNc;|#g~&hx|h+Zmcr-ab-V
z#yh9s60-G<N_fDnjLw?LHLlf?UE0>Q;J7Jap?N<VXns)+J0uszmS?lB>3#FG7}gRL
zT#@QdN8#AulX6ARy_R&mJJtPBv+cc_j`z>O)1=K{cyAy%vj;$e7yzWRB{W@@TS@#G
zU8}%rAD>*b*QTtHvc!n56$)+2Bxuxdto#EpFj(4HV_*^4r%}=7-eOeIp4!z?(I(Tk
zmBs>wl!HiW*B~mo&AlW-WPojhIeakKk(7?#M7oZO?#r}U{4)ozX--k+=OA`?`QB!>
ziQR^<xbBKScZfbTQx%8K^Yq(sm#pKEflyxFDw3$dTVoJLZ|P>p+#0^syKiV=)?AWW
zgL)7p;gxs7@atF9y(G&1Ft&q0&=|nZ)1b!{XcF^Yre92>Ro6zE3ld2Jj61guV%xo;
zr*T5VGL=PjE74>NIKD~?kzRjhaH4Mg8T&r`-tr6n8AJTH?yi&a1_{GJmrh;#>hknE
zZ&t0|%H-Q)w%e`}n!0kt$=evgI+|u-lT5NeQ%SOHmyAq~i1^j+RGDMHmN@&@jx-nC
z(jZQ!w|*f0-EN1$Q`RtGj8U%pocNPG@lZI=)$`jz&<ZCyqG8E*=<+YbXu+=X<GKZN
zm@s_ll2$uR!qvu+=}7ur`Uf5&r4$PFjkq+CHIkxV<t@wCziVs<jYrO9-*!GAzW!Nb
z>$F{TWF+%vw0_Tj6AQi5p%KmHhBH0cw|i)Sia0jsg89Yfr%o=_<gV9n@+A|$!NH1@
zv4M~76Kun-3^O5XPGh@5T*A>3qem!4$y>MXycd~hGxf!vU7!#{i|2*8kn3mTy2)_-
zU>sg_n#xLqg^1gIKA&>7wlMm%FBIdot{01i9Ib=0=Cm)tg6Z@N5A3hm`JW|p_2O7V
zhP8*_*v#&Udz=Mv(C4nDyQrz9N@P6ur#Qw$-CskZ6?c103ZEC)zr7fHoiD!UGD?uU
zobD`_Bv7-;Kkhv0+wGwvyK<`uIYU|w^lTyL(yz9XfwM5~&Q{X(>IsKD0Or9+8AK;+
zyI?Z05tDq#*(>Rzhj_ACqOogblU;{rW`f#pA?3g;DoUnJ*Q-sY<zEQT3RrFVIO`bk
z9*i0wR7b|IXk>^T#Dm8YH9F-Sa{p#M{sDDnO>U*NuHu0|I1Di@l`7XMU{dSR33ulp
zXP&8hmb>jy{k`-FDJoN#EH@y7gGCtVCD9VEX6pKnZQN}L1G#K|;i2LjslF6TSo0}Q
z$XRUY+QDotu>N#yrJ3j?N~3#be|2w6a?#ZjV79rrYY+Z5N9!a8Cwy6Bv^IQ5ENW&(
zy^Ay$o0bMJD-#Zt@8@eqttWZF{78#8Wpn!XYoIRa@^*S9AuLta4v5U{`Ytx|oOz#$
zq)kHTTR9d%p%2#{EiI2SnV6|!M?-K-y>Ca|g7o&n1KECz(`<WQdoklZG}a)%3LK@D
z@n74tBo5k%I%mc^3nQ%X#08`J`r6uT@f$eYcn0%30yu`y)0yC!r_M*3g((**JjPyo
zv=MWxNb@6&M(uL=dw<Zvfkw`!)0c{5xGS*EWMRR&L*?c??fFxp@P=J3Fmd4mi;uwl
zr8}0nm=gdX1LHWkyU+I)@0E51t$eCFGlGvFN!no+^82d;B`W&N-oTW@hi`&<i~e9d
zh}VP{b@~S~bu^aGcxX>6JB-JJ^>XyrvQ@ux%zA^PkCAfqCnP(5G}(ie*vDvHs}*=I
z#B)kopO4`2S}fp1@XKSNl}TGke_UYF&vIixLK7e}r&R!Ic`7tMq&eRIVE9=sM27Ct
zrW_eI&MH)i;#Nl2l%Ip+xESNpZ5QX|ll?bwVB#{s0kFA0jV-VqN_;3>xf(j^eiCt%
z-6m=*bTPn&x@wKQ$MhX;TWp+A$Cc^!4-I#fhkxLcfi@4It`xnb)?xS8NW0)A{ufvc
z5;#3DAid=lc<|B>ieSV`9zW+iPSRx;9=#^f7MvdTqGe8ta0Hn+!*#=zW;J#LE3GD|
z8p75reREBDw+}U|vA9-cPkOY<1z2*qnRA5|wZ|M@ccusK{>ceg`gZ-oM|Hhjqg-hP
zYkFULodOTry`AU~UH1%)#tjR|2bXbupds$?Bu#$|v1<(q2v%e5>=cWDQ6S-4+OV8N
zWB_);gxUn7EsVXipekUKdWC${_P0IT)6|#;O(>gTYpZ8lvkPXl@#cdZ&P^P`LT8^p
zS~qnR39xAGi#-;7cf4+_0gbr|Hw}Fp{!A@3eiCu?lJ##4t;cn*gxA=?f3_sq1FUj|
zMC{v<I&>!mD39*yf6yY{RO(-Wk{7+t<QfI7sEoPEs<RI%g1yiFK4+v#KP=s}x}Tk_
zZfw@#cx)bqVP%sl3K=cSM+X%dhCUW;Q(9``|G{mn>iQM2`aOPxen50aqwd!nC0cIo
ztmRQ<tLs2^dGYkQWV!Lm*dUAUyq&2Akim=a`DH;HzkU4F*Kb01s2MU;=Q*cSvAPvJ
zH2olV$&|wSuyOZ|S&!@81@jmDW%={ZB+FeMPSC8bj?qyp@&Dj&Q)-Q>-b<qXJqSHh
z<6(W{5#Os*WEenpIx(sf<8af_!H1BI>@u)&v;TdN8I3DiV_r3SSqoCGKF^gn(E|I#
zpCv{QMuFN(!R<%V7Q;y*p74;k^foq}>12-ND{D(8NDooEp=8LeElexSyT5T=`f(JQ
zwv(kSj{P)g6vp6gmChQJ*G!fplQ@-w5me`gNZ>#xUED&NuPscOH4iqi1Fe~p^6ai-
z+n*!K5lZIO^%LkC2MCxsm62A<zC%Ym%(mZH_KV1w+<2JH?RdN3jE1~2d6d*N-n)?M
z()@gO%#haVx)5TYc{9=yZEDqxL^?C;TVj$D6!YA#ss+r~9t)XhmEY0Xl)mMA(Ib7!
zlZjoR!>+5)i75xpRIO!bXJ5IMfpDh{mqJK{XWLP0xglLVvqLy>9IFG)bHdTp!P1^h
zdiC25;<|+10nll=t&YJj%`RE#SsEt6(Z)w}$1Sm&(!w*+)whlJ5o%|#>RM2Jpw~~-
zA*+ob`;d@-7mep)fKZ@N5HmO+{=aM>OD{L@-7bC=@;e02@A$8_88a)HR#n^J@w&T;
zVQkk(;e}gAJM&HctAMt6lI?}p{?38#&P!Sc=5oV?!Td$N5^t4B5>XAM!Z+k@swnpF
z&*zq8p>Z17P{tSZUg8`2Uu*4&FR3!LPcF*yKZ=FB_?(j`%}eJ1Q#Ey5$Ijvmx$Uw#
ztn%v9b&cf~4h>J5!gDQxXe-W4`d4_#;^Ck<cMb8Dppr_l3=#XEA^jv8*r8|hlq+HV
z`M(q2o^<89qfTdu3bUVM+x8xs-UPIOI2e#{@dt4mtKzCZy7mX*fmKC><Y1J~AR-gX
zz1Ggwe0xn1?`<HcdlGF8TVzrwO~yoXi2b=$?rpsaLt#%Rag>rpKu$(&m+cT>78!Z)
zv^G~N&EibUX1yQ+)v!iUBqY}!#SR;i^nse67ZB>>5!LmllIy$@Ki+PJ>W&$`A(meN
z0%aP0Wt+Mog2_UxMXn@=2P<=|uJ?AISEcRGnG4PIEgww{<=FdDFEPr)odkZ`P`*mo
z&@U%?++<dz#g<YcnRHktwIw?0K5mkNjnL;+)3-Hx7fbHyTM;gwt7|101*}a#f)JDN
zfP*?1R*O*&h&9j~WpaD<r!4%TZ;ybyLUV1I2=gx<KLUc53qvqQzem0rUm(dZmo_Uj
zBv;vQz-jlTa_>OxATE~tHnCl=<Kgo==q$^N+)n2V-tk{NxXakIH%CPrM9&W|9rS-A
zB>wl7a%#GWvF3tI?55ZQz=muJ%{W=wl-7K@I>jD#t&|}6DHdJcHqr9m>1UbX2Yvv_
zjM{mTYchmW0=pCQ&N7Yzj}eV${$@~1dxMgM&pMw8L8vBdss;mI8D86uNODcoWCKSy
zT1w@Q<DP2a#a)giG#QDOECOzamkpxPYx|vBBd}l1z3@eSdBn6XP9=Y*{P3-KKMpXl
z7i12%**<<bT+;e$z@{+7RQ<2B<_F^K!*P{P=Q!N7n2^eewz6YGr2p@kV8`>fc9>BL
z6F(XAF0&zMPUB17t0{*E8YJV#N!F%JHz)!jPW|{}sVt>pg%AJB9Eg(>oOvuY_?%9Q
zQsxH8jLzp?_`c^)ayR&CjOA!)TFCbV%a#8ixt`MGlQo#_4a!c$)o`CqmR{}xL~G|;
z#5ytuQQf!DZQUnzlTk^qxtQ+z=13j{sC3M9X112IG<DH#+d+w}w@#w!_j^6Yz$Zn(
zF6+TY_dJ$}(!JQaW|=QdJuKY?J!H((q(gSUa`(EUyIK(Q2YL}OS%98*aOd|yfM8`Q
zF)lst(cnXIz;o3PSZ|;t3(K6IcB`kqd*`=BuT9s-LeVehZ+b*PVcI7+RPf0`q`>vH
z2Gmpm-SWnbjxo0Qyw<R9JFuYWA6_4V`}ZEz54m@&Ae}1I#cxxXc7HxEfO$?Zm)(Al
z**|RMnNgoQwr{*EV$=9Nw*NFzDrapqm~d0TPy-!Q@<j0LLjhEf--g^$`DV6%d|8aW
zY&Xl-)74N0p=rv5wxTFbgRFy-{cSDWcpmA$#9Y2I|LBTpNdT!U;b$}MHNP_SO@m$-
zxK}=k0+C}lU(k_b1TX2=O$a}p9))<D9)&)?s{HfxGw@;qq?K;k>?PhJH9DiwYDENp
zoNIQ_OXD8Ln<wA0$wYJQ>C(7hT+t<!3z9E8U_##X@a54UhOg&?R(l%Gzws#SE7E=h
zT#A+&hp)D+ThpYcfdT$QJI*#o$lR_pthyt8%@}_a<x7QJF-H0o^25l0{?%K0H&KH3
z7f8v>$@jn!a3PG)`t|Z&+=x#)xg$L=VazN!Kl+L|Xw7Hwpk0{8XXGJqC?mEl6N{c(
z&We0Bb67ARy;+T25MlfYH*M%%U#UnqYKf8;HKl<r6Qs&Ev<^-Ex%^HeDM5<b%>2UW
zwspFY-$^}n0WqgI!B|C_U%(yY!cJ!yJ5Ijix_goSn?ag7mmU|EO1N3@MNoJC1hmgR
zRFbZdDuYWHQ-7`RjxEu*Ce_yq&2<`LObl{V=QX<6lNaT4xanRS#Zhz966f_NMgc+E
zyj%mrI7-|e6XkO9K7nP-7u;`b<BtJa+`AK5M1??j5;wPO?_|zObQg{fKh5Qh&o5hA
z)sCi44l5s#+C3uHUjOF#947t<6^;XYTE=96@PJ$?E7+U56x%SaP0q%<pNe9PF}(>E
z2V8fKiB##IZaOjxmWKcvA|%_Q(1*;#yQ3nyBT9ZT@;mwit*86;xOfet^mB59u&aTF
z6|4P%v}gX)<Z^v@K(Gb!MD>}%#r(>Q+6c(i$pTC<h$S=ECh-f{Y3hdt4#=9;1OU?9
zu4^utIk0uPLC-C;%`fyg4EJO_T<kj9IObx6i`jF}aFQ^g)W(^=W#u*a;-?!D8k~Wb
z*>V7I4Hh5R4JhB_@pHPUKdQ{1SM{A!6mxwuU@UUJ_$4bUeQt*g{FT=J$|k3}_qZ~W
zyMP~N(u5M&B_Fbmd4cSbEBZlZ0}Q`i#i9uO|Cn9bO|n#zgOpt(>07*3#ww6j`x;`j
z((owyI1Fi=^*F77^jS_0&tm0a7VkfQC-dFJ%>3lHZRq|n7?}wdqZ4yXW&*40*{V4+
zeJsYf-ffN$((J0@;NQmVocZ4jgOvngk}`j_=do~Wx{=kWM`<{2mHc_L<{z0Jr(vO`
zO=8<1O!DzliXvbCTkxS=q3rEyMg=_x=qQgbS;_Wkka{A*JMoxvD5|^Q1=og?KcUIP
z^%^lbOr_}t+)f&<5d;-9q}Exv7)_|R{pl|Hk+wel0Ddm=4ISv%8J@va$)cz5SUm@z
zw_W#PG?p`T&3$bZq~oD1gvsNYVA{^iM1a5)^`@N3?{!eXB<J!sE+m9$D?&f_GgIJ`
zq6x~O#(=>CBzyP`$sYTJ_k7TTe{gc^dm9h0XQr%anapv?{@6)<oB9H1-2hado4oz{
zTNQUH)!OyKrp(XE<l06Bcx-5r@}D<SS@du*a)wv|<xabfCodm8vJH3pK~L~VGN~&+
zHdKlZkMLuT><W*{+pN)x&5EnWSwUnva@%8T<;);s-F?>Ow0^y2`%^J=yZ&g#as}7m
zoS69i?cHns+s(O++M@a|N+5L|3x@_^??Jty&AqPv5QWkQ(eq^Opo~Tbh+D05PM}se
zdtF_nv0!~6nlT|Wju9dQj=he+9qzec$7mhnvhg@<c7~ZSRXlNYhWRX~rh-q#;W(B=
zSQ5P>bSzf!4fXjCUL2W%YTc9=#OoiBMyln(b=wkbTz(#zVZNw0BiZZ`hJW;-u+HyV
zWOrFfmril{UdZUDWiY9)>8g^H-!&UQ0nI8nB1J-C(DB7MNh4K1e%H}Gjah5`!Fk~r
zS;*KTPCW60b6Zn5>X|x|dR)^|tJhNXQLCDcTA(q;7^>#$ES-dF`anBQUx18H3AN@r
z5>novk3fZvdRLycrc4)jg9}0gVlZ`-rqY8Zcq?L#nttbR9LZiS{VGlzyVOUV4ok32
ze6zRrpiNUd$SPE9FYYp7(A%sPnOpokNN>W}L#6A=?#~oXr3?KRC2fqUL5d>Nb%V*p
zm$6MuDw($80ZG0mqpR<$nNRB%_Ul0&T)D3>wn`!bB$;4bc$ABv>f0WFslSI}`%VCR
zay6snuqbe}VIk-t{T%3((1vUv76^T()#s+ej>pssEuHINSW6=w_hn2Rp3=uqBm=^1
zdKz!|%z&;U&B`dGc5ldtE%((ZTZ!I;`RaHpYa!T`ylYxA5#M`=X=Y<pQpKz57+_Sw
z=UEx`+!Tj0)+{&Ugh_A}xorxyRz*M>5b@mfZYQS$l>*VWp9Y6hk3n!LNQRPN+7b+v
z#!_#z*Nlse$Dy1|O^?SW{ji^YQHtuxLwsAJ;oX*chr{GHuLzQ5Q~CV|v%<`@BK$pj
zx_Va8a(M7^*TzfF$p{*dxt%dA)*7&4T2~ikHiO=w;k8VJ(}i*hox~v}i*foZeZTtz
z(=L)S%dzHtaV#~=ifEBf^*<^7NScHPO~)+S_F7-Li9a;Aq`ur9EDzLfj3G=v)N`lT
zn#~77x1D9ryws<jBNLTPzP1>Xk0e_0C_to*Q=51t<#w?gIN!h&d+Nf)S4y(wlTq^V
zGjIU`gE@Kq3S@8iLzhV)NL2v2=FH@j4!&|*gJ#Pj*1$>%Eb+0?2X@6N+f2!JUakgH
zl@`Q?%?9dPK1GP@!%cH2dgMgi-LY(s7@&T>al+wF-}B%rFpiAp*XyHx@H7rAkup;g
zz@iC(6MLwFKdJP0eIR|K&GZ0uo{N%Bo0G^+wlf(7NLC3_x74>nC^0fd{)_MZdsv##
zYYem_;EvD>cf515(tr)p&e1+#O+ga&TFrk(R$T=F4ay9{5aIr!Wa`XID}AilGNttY
zNyLp6e&JB~Vskmsdk+vmbj|l<=rW4S<d;!EhUoSW`Wt_aW}2pZAj&xD9A8uHEXw?G
z%?T-Jx^@EpYYnWz0t!!nuau8mG<j>8Sc(9K1h9Iz#z=`*;Nnl2;G8L7mjdUqsmNtg
zS@ir0?sw}hhOV{4Qs6DtjWu1&VCMuU?kTpWq1@1*nu6*k!;0%Q4U$7Ri$r|0qUEB7
z&Uqn{IIMbFU#Q>!`BYJxy;1=ZDCxAle&h;6>xjtqhzP7d?;(S+@`0-Ytt+a;GV(I{
zu^{R77Ojj?)jsqKuCg=J)#rf+wAhwbzCMMEj#<;SqM%aqcFVCo3EsJ!Psq}jGlYXO
z+$U{>9^^ta7YUkx;-@#2)5%w^Xt4ZPAUQq$ac}BN{<wJT(oVH%^ZvLTv}O?0<@JUX
zt+fga@upTw@9|RJ#T>bZV~jT~5IqY?rTgQSAxZ~AHjc%IdPL)1d#h*Sn<6#y2i#2z
zL;aS)?$Ydz_v45#!5RXH-EPN9ftmN!()y9z*BgnHcD0%<QXyTIM7hn&0Ug%QSKYyS
z@1Z0!B6}W4@0oCkt-L%YKmPo%fpk{Yg|d$Mg9<DZfe2Ldrp9Sax(CO@@KzPPejxKp
zTdVx-#v}5Ex<To^-S1niO7@xH+EIj4IFg0A`R*B~f8~}$XNM|nYJ?b9>z|8&%%uPN
zo2-w=BA<QI-AIG&_{;a5kJ-@C3{^4clM>%}zw|{sa381fKy6?EdAK0~jw9&ZA{px{
zr8<#W16UXnEVCs;tTTa3ml{SE_TrXUv6ZLa%(0xtLZ_4Jhtwd}tmF{|)>ScC4HX+a
zK>i}o8U-46t`rWB6@{Kswe%aQu*%4+7cCuM0&;uaR{|(aoA6Y+A~wi%*X>MgQRuj3
zndQQqaaJdXz9O$5h^~-88>=AtzgMwoo<V=FKhhZt7OsqUCd{FdY2tERAHf5rXUZm}
z+-4Ovouko)b=3)hPqI)qpJP4n3)vnpnar!p3+OHl7k@<8@DLD;pmBO>$tLU@@ptSn
zVk3sbxxwHTt_OLKCHt4-G{=*t-nStTU%Kn=Z)dEBY+qC#@bsAceQ3INuuu1UP-^xk
zj?lx3bPkzZB%+i_ZFf_q(5C`zFigc8VV3{WW_ENDMsuNw)`4hFke74FNRqQwIb#5(
z0}o_GSbBfh#F-}-9E)QF%N#6j5Z}`NsY_^Mbt!f=nWoY>{=zKs&>=XPjo$fpc+Hr0
zVl2{_NcG%BuA3phR+~o~y$~Whx0hmB4f?{-%Vf5jVP<raBgQZkxLQ&6%Wr}9r0(32
zM8+8Sx<C7E&`R0$j*{0LbsV|y)AEhi;J}cUjj9AZ7lr4CM@sbzL-pSgb~|^R8$=1w
zS}e|`G~anmYv-lP)G7Mjx6Gh=Mi>|i(0s>B?|NInk6#K-a~b7kzvNRdt+q^DP>B|{
zE4!5X-8`N<{7>0_;oRPby>yRElrJ^_t#zj#uP?H}Xc%R@j}>mGPA1Cexld~;NUYFM
zBB`XX<Bvm!JbGJKH)`}Bq$CBir!x$Y9)OWYo9b>w>nthp1>7J!_fl`?VhCn6$x2eE
zmm4icN2hBUlXBAe*SS+4Y5}q-YVeY|I8iI1vgYzO0Ckmg^AHM}w*+$c<f49&rkp5!
z*#`;UWBu_t3eGd>AOPHm@hO>D?0JWJiOGz@6e`H(s&X{~=wQ&)Q+jDL(Z8qh1G+#_
zM3(zNQkG^RX9S`1E1Pz5q#lg!x^~qDo`-Ixofp}4q1c+Ukn?}b>;*;U44>!5p@Tx1
z$s<IDi=b^THFUG)&`hxXW_mPC?O5lxb;;C!A87S;{6at``lI>LpmKa~l|aYHP^Ze|
zI91rmXt+emG;G?>{Ylo8y<mn<^zifoQ*Y@@fArUY!52DhHq55I@cHO|hRT9RUVwXQ
zMBt98$>|7AJGZ*MHsB9vCMt8)Y|3mSgtPXBG}YBoiON5xS*5CzBHM1XtAUbLC>mVo
zE8igp2Q!@6D1+&8{)`vgl@o+$(>p9RBG|*VY5Tg&!?osS7Zw{t?He(SyXg+|QqJ!d
zk9mFmuz$Xh_X>{M>bmC=@s8j7Rb@%k0u0H7?V<sc1Lt|=ao-lQ`@Vmqfr2=eCZHS$
zk}G(X=9Iq@_igE(mfodX`0&7j!9y)_J*cTMfc<9qlf~bM?H7ipW6EU$eb@>vb{XHo
zg<$RclZoQ&m10VBF_=eTZa76Br0Hlf`+#lI78irU?0oa|H+Dg;IH%Q@9(YCEKTjoQ
z8k{_Qt>!ZFlfo9Xatl$q+V*YV=j^Ji<Ob4T2#<LY8*v}cewZBgA~rto%YuAv7!Q1g
z%~=e2L)nfivm7H@YDJd%=WFOP?-RwRdPglml_Clvq%}e?_Ex-XpqFl1<#1N$%^+gL
z=`Q?~Resr5*%Qn6!)#lo)tBqt12d!i6-d~W`IQJ+vr&@(3qh*1&>~+75iQ;2!06MI
zx%XDVLzjJ4=5g^C9UnhhiGc1~pVBbDawh<*Kr!*b%ES+rsUE$50td68HiaQI2!lTW
zu-^|MZHhA(vrqI~E}M|GLi0gGLv>m2cn7?QM_2Tf#O)aiElWlEcqdCVGe86*h`1@7
zBrF&lR%6RGncT90arw2=YKJJHCGBXu7Hvg&sfup0R+DsQ)hD<f97FI$8D~+OFOj39
z3x$1<%pplq#DcEi<pM|d%q`@ymKrv}ffwktOfar<V;-)Z!tj}2f2ifgkXmQ9maM~R
zF|w5!Pm9&2DZ9eQkIo$O{}MAm!;@QGED(z9Tx@;rn3r(5+eho9fM$=lOlk=gOyA~S
z4+L>+3SMZUed)iSUqLy@MK$*Cw;=!}**a?0DUHnK(|XjKTt*m2lxBaZF6$rc$jSz0
z6dw^M8e_rwUl5iqpoj$niFZ58$|O^Z{ifx{1oXx2(@$3OGD4(fk9u%Jp}+u4-IVEn
zQh{IiK9YM=?_JbS$K$%ScM^IRJq8=7I!*Ix<lfKe7C%cZJ>mMT?Y7hc`v2X_j)Qcn
z+GQKDjo%Sg`yLxLhZpb%jk}T``Mk}<bt~oOSjgA3Z7@TS$K~ZeJH3hm_;Qjue_n1f
z+wFA3@b>(xUth48^sLoT0MdB38=gX8f)Uir$6Dcxi&o!rJ@0lS{oQlOl@#`1g<Hks
zKV&<dfvuU*d=YGtL$h^l3-nxs$&Kn0(-0ZhC*pBNX3uI^g`Lu^UeT7LPu*}_p<Nq`
z7~S1v!kH##C`B2^zL^Ur%{s%6#X{+v>dr?zxSpG3M<9?@x0ezOFiI5vQlWpz>?ii&
z3V!Z><?R6yU?-5Vig_!e(YBPO*kw-JM3rxDjLMHM{e?yzWM-esmt%9@nqeG@eN3)@
zSf6+Aej0U+P;yW1O?F~THxN2<@K|NNX(y(QCEFF`j-Am^`Av>_=WcO4vnPYRTz4F2
zwIuhNasG0^as5Jl%PNhp)hWc;kpFDnfhnh5W~<UV=EDM0K_bON>cZ@T>-!S?-tRi;
z=$k+Il2>D6Ts8z_{R0dL_st$R_ChQ_zkPB{Vo3gRe=>xQejaNYP^hmm>Wp(^vmb1^
z{mc3fj@?CSH-(b$8@!`B1bt4UkI}F^1D(%?4c0%SZwGi+2b&Hzr^Btfu?i#AZ8#v4
ztM7zjo?h?w$)_^Y_u4Di(f6?BVC2gX4*~J+2xHFm+DRt&wV}VJovX57Nnrgd$&rpy
z1$OPph*qJ17Upb*(eWHXy9t%%vK?)Fh%?jpJQqIM)>d({ua|xq6&?9#3CaJB<G^JI
zUTeC<)^Q93&!GUY5LDr6)KpVy+MQSt3VaM`VBK?)RUKz=6qgCI=!#0ZKow=Lqxg<T
zM*osjT7ZW&DeAs7FZ-=7H@>;`TR>gzs=m_dNbq=qU0xDfe=2@x@Y@@hHJJ0jz_kXY
zs~x4{m&bLO4X_s+>q)Z(TE(Tu^ehD4fBK`lgZFp3`s~lv=7l|h;dD!Q;92TScBr4Q
z{!1Jm?T^PhzUI->Eh^~1D7%A`rh)gr+=$yF-WJ?6nP%@CCC8;69KMz{COn43q?fdR
zJc_k%SWB8&MQI6o?@Q#zzcT<FEVO!_BtM?knpmd-G3CPElIcM-JXmf}k}ySJCXYwP
zc^VPD=F)^7Fs_6WQGBi0CU0_Om~%nm5m1b)UefUO4W1SpfsXuB5rRtNRygOBLfRVX
z@#l|G$Blqj`z#{BkwhL<x&lNUF0atDh_~whq3J5Xnq1rPImbeg77(eUNS6ZAp`f6o
zNRN{4Zbm3lf`F3J0**;{j*w<_NRI9t-Sxj8od3FZ0UHcu``-6`p8L7O&mis{2kc<Y
ze0b7g&|%VmcaEh?C1MI3t&IHJuO@R0JK5p(tzlI55PJuSXgPbYVB3gy;1T)x$)$6e
zzToJl|D@}?hij;%+YI7R#g-E3TGVaTDreG>Ir=r;3x)KP%3n|sN+5rB{EK;Lab!Qm
zPYMC&=OUU=M%^aSds6X&MCMAiY>2>pvdA2hs5eiy{?A<mJ#|oM_2{$vpPx`o19+50
zEHc_@UvS^cIgt8Z5PVFt>ES_O%X*=KYxnq|4D0cbp@k@=wGcY=J-v4}vJwtkUa>Ae
zW<@c?p9)u!f$Qor^6Bs-wKATQU3)wvj8l6Y55FdV_~SR@k`CsE;1z4v28$;60QE|A
z!O`=qO4|^QaXkh6ilgYX?D1Dzf2MJ|Ehz)&g!|ucON%0r<znn6ifLYp<4a~oiMj3_
z7KIR_R3g3VJclglNThbHYf~9ANvY@l&Na-zFz<w|{tE5_!AL!K+&~j{_~&E^(`;x+
zOkfdGm0YSlY_yHc@>`GA2@V0V-S(;XnyH&$P6qpMq~s%28kVD*2RE6r>XgP(^zK$<
z00ZfoU!Q1IG7dVoIk}4*UE4#9mp2^(Kre3!+#n8rk`C$*OcJP7`67z^kQxeMn=!OG
zNYKkeE+6iD-|PFHiSmC1Vr58s(M5AMLTu+XUQyNyS<M0E2rbquk-|r#vu&wk%1_F+
zf%Sw-xc;bpeL|=7(L{`NyXdlY1VXxY75#Fo=tT5x*pHKWD+-!#Q;?25-7>zJ^?TqR
zN?icBih-^ISI4Z_CrwS*^5b~=CD&NGeL-Qllfuk-m9#pmIExbB)LITNO0q)E%r&mh
z@n8ah`Vg=YCEvJ3US{<Q!3@S!AVmmp@P}>)M?uO)j;Ukqx8i<-jw%}MbL1Q2p-Ci(
zqGQ-KrY^TIfcDljv}wwyMBg(he`EAH$zfu#i7-iL@Q*Mc2Zv56*QdPFo$v?~gg+Ux
zucNT`X>h0~kQ|i@-JKclHZEtz?^dLeZm+#)v-a>0=;oYlMl${NAXIa|O!|AD$5(0F
z$Er;g(L_`h6io%uig6Yc(;vgn>T`9NUh41RqCmcn%E~WS`_`sXZ`vG>f%JLk6qzpe
zSl$8;D4&dh2OMA=!t}m_nGUy8fJ5?|mTBY9JOa5Uc5y)lg-Wh9{qd@|l^#6J<dM2I
zokA#8vGD$Wc(K`iKSD_UUPE`bJu4=_t_J-8Z~-nisag^d$|YW#5sd)zc3^FF+B)Su
z``p%f;cIHQ)dA&N#mQ^a(AoNH?aX4hdG*&^l)M0Q<43W_f@jhFeyj?cklQ8l1t$Ls
zQTp5sd+*uUZm|nA+<1|O$|<}z<~Er$)&U~zloG_L;M&VA?||{Oml1Qjpk>2a9D|}K
z16h-qKwYf5>OHuN-dRmGTLDhj!#A5ZSv(0lj?gSJ%Y9P*PYRg28XxhGSByK9;!+NM
zS8nDRayg)*EkrAD@QiDlR-$^8M<Yped0oUT<_;1*0>0Y#4HMp60XI4pc4CkT1-<!^
zWZJXmWVo5acFDJ0)DlL3B<mqO&DoCW#i*XD`|EJp>kA$&3#Bed9?jo(Aay)=8tbe2
z2I+4-ml;w%Fa$>ShD@-1LaG1nuscJZDln>-tGANo`@ExJsqX-x7rKc*E<**3sFUo{
zexy`CCMuu%kmhal|L?|Ou_FJFTfvTr_|=XIq^2?_iu#qrg@>QrkkI3~zhcVt!VYlM
z--@d)`q8KRPUhjQwW<YAi1m2x+@u|5)m)AiS@$>S8|>{E^ijGEi0spyd@wzp>h)&&
zg_;JnT)hq{xMOL~;+^Q+@DuC8<fz&iYnKuJES_;S*QpxChR*EMC?2<_W(~x2O&eg_
zPo7#PQjKq|M}2W?qS*1_X6V037Gc46%|E&^F(RWgJK)vew)v_aktfP;FFz1DVIach
zW2~tW469YhodG7Xu2P4;^@WsaJ&uzHixXz@6J{W@DtfCUj>j}j0$q0|p8q89v7JM=
zTm9X;j%?}}408DU;o)(lxJ>Xt->2#7zeQZezjy0+4U!So#fLW2GIfa&Cq!piZkVyG
zFSzkG((h2=xe(j{kxTf9CZQzj#CxCSlgShB?PyyC%J2#f3)7j#kMPsj94L?~yy&j{
zU0T>5TRW^;+Gl(4)|FpC0J~HzKrXL2Fd07rGn1G14UondS=+Uy)M$zpw*Cu5R3uc<
za-yz-I{2?S1(7yr^qb1Pp}}k1qD2Ap05}k6dib(uXACLR`4wQCf)ZTqPGt@@TeRLI
z{FD=Gw(9bL@SW_HlIWsv9x-7YK?0mKf*Qut!uX1l?xE+V0CYQJ3sek|j?Jb;j)$M&
zte>$Q1v}nOE}T-0v$X|MfBJVgOC<r63TX(^CcjG~j>7)B4i12Gm9?eg^DO?xSL~d{
ztU6aeU@%#fHGH%{pk)IP2=I>qkCgMgEISPAIQ+;I$UeK4t|J+Zf@RIn_3Ul@(JXg9
z`1i9C6gBu94cZLrz4*WBW<>1Il-U-;OSjp#=pibDMAB2MJm8e5Vdq{ywqY5U>1o<=
zt;$X~mKO~i&xzxKseB*dqlzEhN>nXH5tF&icEYaPCmR%L%X*p@ZDyu|Tm2gVtQ82o
zO3E{7apaK+F!6}9=dq10Y9zan%#NJ*A&)1cU)(uS>$I<9#He4(1H$Rw6#Z`zdIIww
z=j)fBtvxUntnt&{02DGM8kQVQ2O$|svC5B$D49!Fe3$GFZkZ!z%3=N_*@-SP!Y!5L
z(z$;gY5l1uBb?4M2qwn1r3QbWA$&xfFtK$Wq+Ri$wI<1?Eb>|%coX#m0P;UOLUo|6
z^}N;OktC_jzg|l#r#=Q!46f)c11U+n#$PjUrM>#dL^N=|@WHc8=1Jno)22BvBeQ&4
z;TSf_s0Bg>;rwEFR3lC8?FpyTK*dX>7qNrjwz3#i@C656-zKgY3+l(<!&61QB5HhQ
z0g(Atp=$nm9F0a|&>>5z0ibscAUtj*C8LURd&{~)HIR<z*Jv3bOy@U%pyzd`<dXMj
zzVQ~p`>b7-Yyf^Hpwjn4&9#JOAC$~c)n5;I=i{CtXYk4I1%-?Z4ih%&e4v$=t;kN>
zQcM?`0l;!Q%2Iq96pHM`)@s5;Sb*_IIzI`fwq;~NJb!D1C?H?<iVA6FpJ7G!O40gO
z5Gc3-<(WmeQ#Hy?Y^i53GMdNCR61A?t4igA6F<UXQ=!(JY9@qv7`WS4SDLAmkOaK*
z7IZ0sSBjZe=Io>HLPs;}L7TepnXM4rJ<I;IFRTVh$X6yjHhR+<i<ROa98LH}0J3SF
z(=#%HzV=4&Oe;|k9T8*5Kk!O+0n3B07T2KK`Js~id@OH+Eb7#m;!2sw#EvQo>wJ=S
zYXx&x339>PEVi8ps_D=`7j|a3%D6S)W#=a0gn%mbu|6U;&@Ui@K1D!-IPSB$DU-nH
z{6aXpI+zUXl~a2uR~>uCvmwyh6P<T}$yxCF+8^g~MRR0ngF#uD5~kNk0h6(AFT~#>
zCm|#r<9+_s34mvysF|J?={xXVpSmj}r8!Uxeh^b+wVVxUU?bn@k(aRu&}a9iU2C8d
zwtat#BN15SUcV&(wBP>tMe)?<AC1A8W`kk&?y}OgVP>A}WUjHZdi=CO`LdYlU#B0W
z^xvEGpPsf6x&hWZIwj3=H)ukuH+bhtTmNQN$0eh<@8#d`Lv;?jjDH@<&ss`mLgaEn
zn%;Qb(JwqGH=oYeQ4r_3A+3<$>y}i`$yAJ~Ci1b4>ve?+9kTDpm+^__A)=RrdBXXc
z-ft6Qh9KPaHtjgDIfT^it&w}>Irr_!4_04*G5<p~Tj2eZ!aHZ2C??o{HD!n@G?cf?
zUnvaEbk1j?9UDmBa@)>{ngy2(xb=A&t1JEGP5O(!zF|`Mwux$4QO8JoR!(gagv2Y4
zA}Q6wf`7V^V1&^CB8Hw&fNQn$_}5Ms6wwN<S0?t+3umD=T9Luk9u~RT{(<$JmRF~W
zTX*8GX!Sp9|CG^?Z~YBd55t8vuWR%%7*!UVkpcPOS1ubX+IA5HFJ+NJ-g@hg!a1CB
z5Lu9OE>m&O{q1@QDquc$=`XRAd{fi&x2Huf1I*Ude<uIsp#tq{A&sYN$L*%k2J+(^
zxXa95)Z8#_&gysQ`Tsg@R;DrUZiOa`Lxb~M;wC2h9*qnV6GiAC2~$t`SgeDQ1&S+r
z++KXyBx3fAv!pC3;$V=c0PS~0S35uboqkVHgJ$^EL&PNmcz0nn`!Tk5H2SXY;s7sB
z^?5U>=hK#3)Z4o251jv>$~Rph1#457u-AN-Ky(|L*vCy|FFne&uvAv#hNv?S9Z#EH
zw_Eq#T+Cf`?pLCPz2VO+D5Ks}g;Jn!5{0Kt%cXPxtME!A+V2vB6$H62DQCvqq?H~*
z0T;=3K`M9$8+yPzH>*cHZ2`gmF1L>`weM_bi`wi$&C=`_kA)6IV+)PUf-QbA{81$N
zx$O-|wpjK~>-RqIh&Zv$mlqZOB@#s_JP+!o^TuiA`e-MsF^#NW|GE<Wa(=)B-}NEm
zRiE^Xqb^cT_*4R_n2B6|yvR_`DvnzgO%>)uKv?jHn!IA&JwCb#Su7Gg8#;5}1zZ@Z
zjG`snO!J91eLid>(BB11MMI;lEoDTS1R8+$uAO%B*W4^feKPB_AW9;k{ZsoiD%xvo
z;-*Ctpm{jtd#$r+t~9Mn-A(J`x?;Jx2A^4Gkc)YyvhUryOb6bUGN)}s=m;9seqs?*
zhfBAMoz<oLjl`7>-sOWWK${{<PDjkm)W6HDf%D>Q%RLv<>qz8n{Mc}zV1p!dN=dc{
zg5QK6EYxM3jpb6_+PkSpFvax)4|tU-)C%RA_t!7wrIgktd5jE~=3K3hdNK28Dk)On
z9eOCO05^A%V_~myufLff(*m9bPV}wXiQ-BRXX|m+W#}%rX@vNdUL#pQ?@9bm@DD58
zskPElIETG$R<Z5w4_`!*##5-#=wVcW>!u_>5CQPHcTN;<W|e?vTUcohjQ5r16zY^|
z5VZZ5l<a+y%gnBI+VuASOYXi-yC9B<)L>N-v~`2qiLP7q<LvQ?(1aUegJsBpsAj@k
z;RF{PF@M|nI>KC@Me2G9dT;pGb-d&GTxDDL^aE)(E~bL&^3!sgeNF*(yj;6Z&R$NA
z_83yI1w&W-<t2D^AwFL+MW2*8z*cCVsZoia?1U~g%r_Y>AF5TM!xxi!T@P{}54KD%
z%UHEAP%MHB*(blJ|BU_6wG^)%e5YWG15zCO4uppP);cKsMg3dlYSX&Q7VU1Ab`pvJ
zDI~z4?&;a`S?Qm4W5)*)2OZauQ1*x{<)kv(UTI*v`ih%0ZcWXfBpcbnkhSm+GqMmw
zFu$MNEy;EgLK)dRlXWp=#eQ`Hjhd!fc^O_<i+u<5ZLmGESk24vD%hE51?Nsd@0gMq
za@``H)=i~*;0Dl8M@$nXx|wV_m-YZ#O+8nfPP3!uEe&QBS9kwtcB6QGPCk=>*@F-l
zS1hc|Bl2v~XjS&U_ldJa*X#dw<x(yM8}9}|lIGx0*c$-CKsPC5$#@TT)T?G(9P)7&
z1QPjBlSGTe+x;h^MR;;o;D~L9vWz2oJAb&6tf|%e-0q^a@1^O{+4jaN3%gbi%JkpT
z5$9Leo`M<?TnOwmWxuUujz-k&#3&uAc`o*K@6(v=omsWwLL0M*YgN&2<DVlN4M-)k
zjH^iErC>oIf=bmic?!TGh_GN3Ts_O)ey&t9%ijCrcwbn;xiO}5+3ZEUOAz^I8f!)2
z?zbbnZEs<J+_+^L>kzr@kI6n<UDR6?Ch7qGLHRv9!i`Jb<hHQZXx|ZClT{$`(lI`5
z-6~r4*#x$A79bQC0k1Zk&u}f0G}1EuNzT84d$DBnS=}r*4pb1#_zJku)#ffPpVER*
zsGx>^u(EF^)KZ)L{MMr!*CX2~4vcQfw4SxQ=nZ7^Hz<KE>Nr1we(-&7Dp%z%G=VrC
zC)Lcc_Rj4XYi#I~(ws+7wLl{u?JKFTLi1P^0o?=6x+nbw2`-1z8RfJ|K$m|_bL1a(
z!EDS6dPbRPzgjZ!ji(ueFw;ALRxGHopL08L&q3_Ve^>p|QmD2Jjg4YL+DDfvgt&wi
za`J)#Pgw4jTvst=h(<`_dI1$e!1p#mz>^e#1`@A3>^uQa!2EcNZyYFBxP_a5D|`d)
z&^n`uct7opUq_Jxx9Y2{^N*jGujpaK2)Zk(3H77l5{SkUX-|Ti;!7pc&C`d2e;Yiu
z7(1oK*ZVjXNCe6#9;E95y>Nx=!7IDQz?s)<%eQjl`7p1Pz1#esG<{Pi28umW9m32u
z9ktT+kFTD3&qp*dn?qx>kB|kc!Sv-PkU-pZ;gz=Q1bPg^L`i^04Da#Cz_GFTGzNU+
z;RLm1xdxZWHe4Q+y(BnTR64Hp8syV~+`b)77pcUu__<u6%o6l6HdN40G-s5y_@y7R
zPtLSkB*K_heKO(ji>_rJ^Y|XcYCH+=WMjHp)Wpq?a0Wgb1F7b1i|Eq#cR=f%0aSmZ
z)dsUVqL}Krx_c8Fb^2qdvFf?M5573NoC<R>aC+O0k$B0I&CL_27uJ``BF6jGV1<4v
z!D$WzMbfg5_?xRw{|RWYAIU;2HiJ2U1u1DwLOHX6;6#BM9smU{r?B+Y8zrgYqUN+&
zM}UX{>~>{prL%v16^qwYd|@X~2G&QWm-3hr47SKC<V4+NG4h=|`{#ORNJcT6+0bw#
z@9D>Ix&6M-Ri2Sg$flNLGpopk#M)xsHt5<{O6Sy`6_q8cuK^M;vn}GG@~{ZMXe<gi
z&Ed6lQw-i9@Df+(m-K!M6RrnxfZon5D-K#ul-tvovL}w$8DuSVxi;;kPn+GG86?eQ
zIU>P~7Yvj@Zpe`)gZQN|AW36+mg!KDl;*UQRw766U$<Qai!ll<m1=tSM6o4XUn%!$
zAo}H*QnE-}tCh>)Cy77cb#fn}=4J0lK5nM@@h(B&m2K;sdml{xji#495Ba|U8V0xC
z2Bn-|-`0-C*?~<iG~s`@{mY+}CGBARBuoX(T*o{_9=1|FG)glN+(k1OEsc92_?u>6
zXR*(VmdcwWhkvn;vqqKe`2;*&{R3cXTvoVS)?T5Tt%dsF+n_Cpa!!~9p%S=MV%=DX
zk|wWEY4JQy{v_z6+`H6tAWK>rSE$A~tMo9Dz-j<kM9bN^!ZwYwRs~|5bv4%laPbwC
zKyW+rmYCLx{}9#vCq#@s+(;bxjSE}Ko#O1HFG@>hAEy94;Vn!spP!j1%*~7mV7I_m
z<t@y)68_<qbh~OdQ$v4M0&x;IQh=PTF5j!O;yv{Gx_wm&@23_6k<0%gnXbs~x=#6K
z#ky&CZ<z33Y===>5s-Npi?NIt#<_HLkqFkDTMHqmt4<R-&!UVU-J=2T%|pk^9;g%p
z-bc5@Z;41J{|1iIt1H1+6!x=}ok32ctGG$GuvJ`F=<)wU8>mI6L2go*!)eFFmH*Ke
ziGguX;#n33l${qV&aN_IaAwZ(tyC}i82>FbO-*W%mD-p@ZY8Mxt87ughA`qJCXY6C
z#WF)VuQ)k;R8K;3IywXLCmK|x2*j!|S!wV%e1+=HX0&P7OzKET&t-_L#_U69XP(#5
zup&yRTz%V*iV_uifQv5|<LS)fvGTlK$8F<}yDCGN5Y-5)K<_l+BSGw8_(9LTgU*mO
z(!s=@@c!1*!j=8#Z!=VkHx~IvW;|H`+?XY}-?~P6yNlx6RI85OSx(N|7}?6-cbtIQ
z;U3k~h%SNS*T#9pvtQ}5BDZ(0F>1Dl?|$XBO!zW%nnfK&c0gk=Ygpc0HFGnC=SN-U
z8_+CJ4%$AbXjmS^WvMYNNu&+|49j~aZIgAL3>NYsz#YF15RE`<N@jz#{SxtU>OLdX
z*_xzgZ1XSsNjbl8!SpCS=zk3wMG&C)kVhZp_BHU*8ef=OB+W6b-PV0sW)BMBeZ>lM
zcU-0+9{G3+B)^Q9$OuJ5aE?r>YM@!Mabyh!ZlA(A7hZyaVL{dRYcjXgsub^HZ9Ff^
zROGJ%VF>8iu_7a|*npyOpi{b9OGipr)cfVNw+H4RKMop~uqCpUXTEkUQDC0^`oUz`
zaB;X0%I$-09TW8gmsLRL8oRLaw!8f87R-iao&|#xAclf53mFrl8EsfUJJ=*7ddNp<
z4{?ZqGx7pI^C=ah-{l=X_io<3vwEG!{6%F$=>mzTMH-J_7OR8(j>2nM#=Iedn{1T!
z|6FMk51TdP6_@h6gL&tmaD)Ky3)M(?c-O^-y~6G*rK}}nqUs)pK>4kbQa(Ax98oSg
z$YeU;^HfxFhe)A~H?JIP=gWVE?^PBm`1UNukS`*Jgvwhcy{ti?s~aEce(HZJo`i2W
zVq+3V8Bk`iMvRd46pysYh44)fAthmVM+7U1;l&XXY=JLC$2QrSbng{Z11aGjwFjS2
zeSZ>$hW<=aZk@y(!|9H`-^j7FC69BV@?DtX;AvqVv8m%nB%|%5Z4Eh_w&>D0%}K?!
zi(4{F`NFAIN1eCv#8P5Ie2w4ZcK#-d8+mPNOqW72EUsk6SBbRLU^_?}3Ar9Hzx(RJ
z=`Yvu*&_td;Gak=Sog}+YP`SvL&YZ@6Du?a4GkRlE+?|4wY6#pm{AT!v(-1<tZ6)H
z+5B0|DfVRTW#Wu2U(@oAQRz0m5ViIvY;>-MVL$^!?!%AW?yQPy4{4B})p}=FuF2wh
z?daL|hkmuD_eZd@U;%ZOuDW-&>ReQ(pV=Q2sm^EniXiCo5{*bUO<QV!hwmkkb8aUr
zXWTn~Ea$z(NAiTv;<j@i*V6MjqR5i>2AaPpHVMSsOW+F0wwV7k7bbvw2X?+od#Wdx
z&eu;GUI!eYLjSAvaZ7d0rExiGkmmEB49#@o^<FNj76GS==HksKlpC0K|F64$;&^?T
zIxX3NM-hVa>x`6&`RbDnZ<~&n_hb(T_Kp7&=14wzNAvj6<%Y4upddR-SU6blqHdZZ
zNMh+uSkjxj$`Kda{$CxHjc<v9ej*hbGu-KY|G`MYyZLJ;J8z)R?D$Qh8oFbv+{AE|
zILzvmTzbVsALk8-ob_!rsTbKpEm==?mfdmX%26F)nOcs-J3!3$Q78o?vwZ#MBX32w
z+kkvwt@7VnzwM<P>;al><RtGE7TvBYsv1AedM{x9UQ1ZeC%kpM+QT!ks%F3KkHRER
zRx?szB}(vBW<ne}7ZRXB`XbVnse;bFm4o~MshOD^)B2+E7s#Urc?SsvmvxJCyP~_<
zMfhYVM%(@oLXE5&+I?f<xuywl0+<O2?%LFYGJJzCAea}do^GeI5{<(xnlopP9hzGf
z)j4=6L%N50m5$&g5iP|fc-tHwD*6?UhrS1(z)}Z(KXv!+2p$*rkX7ZjilnJ0Wix@_
zHs|-XW9u8L<+088a{2XN+O_`lZZE9xU?BgztqqJMDPQLc9d)C+N{5R4`a|{Zs3puM
z0Z+ow-CPn433LtWw6SgIt?+?tsCO&K!m8}+I$(i}*MaML!dqOiOY`;Z=V-JpL6iqf
z!;Wc$Go3+XEd6%d=vFW$x~7hl<3f*?!6*Cd^Li(6qL2k*@6cL1p2hGJK>#v9f95Um
z7MDL9Zt<_|?sx_lJz`Uw-Vgk)q*RVvKCI?j8`a>qsbJT>x=MDabxJKvMq0gtDt=yJ
zBVOC7YHaB@6KnmkRLT5i%m!^D?zM5^iwem`teBEX<hDKwwR7rt*K7Di8GqrnDJLrN
z+a&F9;{NGoAHf6*erMCfr!u=D*0omYDzkNcq^hlaFz}^1&S%$W>6Q_5%>rDrq;;D5
zredbrwL3z%m;MIoeUl$>6sl^!n0-SQ|7&g3UEe6iTgvztvO1uO#?2dT#1@~lRNh0P
z+zvkYSk;V95clRGhoi`vVgs+6Jc`TDqE_i_wh~HqKr2IJN&vfWja%f4sq*jd%?$h}
ztou3N{nUUr_RPid^K0?v4ZqK9(CbzTW_m*gLEL}m)tZ!X`^<(FbN@Y^)E*>gP-`=h
zin<lBrpHbf(GqqPhfT6}Ubab?Zp3)+-8$Itd6J*5f7v~yFWCYu^<zGlN#LY>4N5l`
zMFaIw^!oH6Ami5BOzQ8;d(-Yy@`=nw0sU)xsmG-8%eq~bq}#1h)#?e{<^y#Lg`Xh<
zGC=G;mG!M}c*z9$kp1TVL=&>HNVp77pQ$mKk-E_f?87gHI`LWWC?p{w&YZ2f?F1)*
z^FO;X6^OX=4NRiZ9xMGih<_hR8bCOD$H!sB8*gHyJ`LTf57z`fqaelTw6t9FzQT8p
zzZxWfU7sDyJ($ump{v`%;Rbu+ZHNgRNvv97xxvgn?8I%sK^#JBHBM6hGwvBV`a(XZ
z@JEz&SAG@W?2y{u3P~D$fzmQ7))p?fmjJaaOhn4{aeL66@=K0y+zbvu)JHh=BT7(6
zie%Jvs@8XO*>~5g9{=m-iuZj7=AwRUaI-9AeeFjd=*?fag7kL~$u%wI$|ME=)T!95
z51!IBxxdOcVnL7h3Z?N-&c=pc@q+P%dvuq1rFBzloZ@aGb!gDUXof2pgX5|yj^cjN
z6Y`P_d6`?c*g#ImJqRCwRd$%@Z4U)Y)$UY}t=`CY+&0`|ldWuplcg^QMB_x*=5a;)
zC-u!NGN>Ohh#XmC#5#=y69nch1GtgJ+TG_FQ~6^sPhnc&pG*b#F5Sv{o>ZU`o(Hq<
zUF3_VbF;nArQVtR^>l<((?keX-l?T;+8)vC$t;jne9}E^6^dP?-=o%mg_b6%Z+kqc
zsLM`K?I2eq_)Z9jl<}*kl1H$w@7<BQb`f&&+LmR#85N}YQ&V^1TM`+^3Y8+zKR|PK
z;P;DP0itC9GXn^3eiUc#J#!A`S<OGZ?G7+psD5^rRa1iHXTt{~qpfxd6T|WHj&e6a
zo)^TO$v^lWE_4T6sT{cK22E@J*MiaGsASA%-Yeb1W<hFYobtuCA!RjKNMvA$|DdZ-
zT#qa5yz~D6*Z%qQ)oaA(6Fa^vZm?1W+#-OxPG;O1$K^R_z5W6JgyVsXR8POM!2NAf
zP9SM5irRmx|Ky-P2dE&p0YZl`8pzaidRA@j&Ub}yv8*HJ(~CW9O;*oHK<fb-i}+*d
zf*|%)yuTFxlFT#Q>eMc8!_%SZiOSMKJauO#kjz`V-O}B=Di)fY7SnUTI`Woum$6g4
z482L`SbK4{H%O*r?V{alE^;<x(mi!trjzJJVO<GI-Dc9bP;#kPO4iU5jCI6gWR+>z
z8))xqu+3b<EhDU~4=$9iCG{WsQDz~AcObiCWVMu}c;W{>_A<+5lR7zK3!I-ygdN*T
zvhedD`B}@?Pc-{?c0>Gl-LL<5Bu+jex@l6;Z8&e5g!=F|<o}Ry`dy1T^r0G*IrUcB
zd9zAKEB}?j0o!bI%wp1r>cKs@!BC<R<LD!(t)Cv9^?O>%M|S2Cm}sg5xjBAB%s#Lt
z@}pRT;Z_-~vTbgr&DhmymNn;Nb|!?9l6vaa7=veOdzdU)@Kd4|zPxoMR03HTk<eHF
zI`*7~U%XxVHCX4(l^*VZfBG%yn;1_^&=Yi(le~XC{8&7!vWG(m(co1S(;0PHkJ?yS
z#w3O(h8125yL2l`w_y(@Uy=!wy}mv3aBPJ0p-BiqX@#4XiFmSp)Z%Swn~l)5e~GT2
zR2h7JekFACs)>mD+E9~QM^qGZb&S*;uY_wy7mmxgXuvKe2z}&vXw^=N@JL>qHY1~P
zW(?!#eH^WKSQvdQ8+oH~w$F88P+Hydtqa}HQ^_5~FAn>#Dl*rXYYDhyq?9IjAsa7N
z09^zmcJ^%@SakGB_lx)e2&9X{GgVfXYr*ij4!^Opkg&-25q6rYwVrwrz!RiHJwqVU
zVp@3g+SI7HiNT=QeO{{RokG_wJIO~-EXM02w@LV#NXAlb|M}c!E(o?`?*C~v81lrT
zf9_`2>woILZIep1b*D*WQ|bxsf6x>NQKDi7gS>ff#NW1+^Ul2T42)m$p<D=P##W|b
za;Wn@C%u7YQhmtqsxCA|-5#`hn6YnR7cXJk<q3KspK2-1d4_nwEZ4S688Q>Q_x2Ob
zJSlHBj@c>P9F{jm^UQ<wPmj-4QL5ye%hsHka|1Lb<SOAr@i6@zU1dd}Ls~EJNg{KJ
zFDu9?+^=nidUX(@aYxL-yB*#1Hx~7z;%!0%E&$rqa7vUi-6lK9`}#o!mm0uJ#~(={
zSoy$iL`lmey(E@sO>k#%GK^D4)qA==y@SYT@)ec)M-lY*Gm(P7$?txKs?=Sc3eDFD
z^tia{r)pGS${4$EU!T7XM!V6l$j+JkY30G+sNnBk#=EhPZpK%=wQT<LK)v~+g05Mx
zLeT@go)t!m=Lxu>o3aPa{0^|#PVr9}4XQ=HNhPsm{sNGVzpeXdn@)N$zxUw8Jg`$n
z0^07GZAnhyjF`}bqyk7_hj2w1K@aP!Y{%_uDwymy#@g0VX&(KI!F#6>_yK+OH^GOQ
zSBxW>W;wxRz|8!tP0_2hcxLXbzr?Cl4NNC)Ap6J?EuzwPQ~JB-pA%l{>k#x})W(b(
z4Yb{ES1@~mw1vl)#44BS)=cMZ(bWSVtHGBe-KuH8oEZuB*g;EmG=~D%M=NVT^m4=V
zvIdxTl4*)G^B^8Fm=xS1kMAqYMNNQc%}X?PzJZ`r-etLBNc8pPBVeni(tp-w8jzed
zsP-6@lSr)}CYfj$FiZ-@AB#%@IcCc_4s|k2>}PX!SyV=E__C;&yEcIZQF~~47tkUO
z)`7Pfx{;N_Ykn#23%}=u7?jaqe?@e<YgGU1YGqs7rWX40@B7dCa`P}ga%OD9kqdM0
zT%S}ZQ{nO=3ckF35Fvx0=&BC3YRr}?2)VDoUfm{Z;bEEd^^e(<w%Vp&%_bpS@7eub
zO$$w<JD2oxMhihw&TRS2UVn?^|H6{0oZZkdllKBlmV#~bUk)D&W1?|sEj?YI((U_(
zVyh<ii5rT>5SFIEnJ-6}5M~w~4`S_jue#Z6qxEpqSkMVpHf2XwcFFXFVL~UB(Q&)K
zJ*T3r!=+;QDju`4g#a>(11?5aqV;j>ly#9~PdQRxruydV;TkQ<Zk=dC2&HKz=Yq;$
z_rnj+9qi&^|F4$?j&pDO#X(M!L`t59!k)5iWk6&?%Cc*)3o5?HM0lc_@IkD&aluvy
z?J%WFFImedrArVu{5Jl>8QfYnx4Z9vz?x66H7v)#$}Wx0IjbF*`?msmN4nwUt(@K$
z&aI)P4XM!Xf%o$GUmU*s#v%B9_*Uz^oK3OYH;^ZZ7oj)YpV$v^l_ER<vDzhT>~XE9
zshY}_6e96r+e)z_W6Mdgf(YU+vs4sP>u;v9I2salnojqV2qrk_Z@nAgAQ*jt(7NQ8
z!_0!2TMVAgUnNzwVKB>?CAm{L_}!cE)kA8fA@8@#+dGzZU#*8H2X`{20A2jy%fod`
zp^>TNK9wq8_)SgHPZq8-dc5VAqZsVbxB<vW%+F{55$D&Tl=y=VY_cg_<3-{~n7yqE
z1nRO;9Ef$_96D`h&EzkyLB*?Bze^D)zXNt)$ms17A>k=P0+HWQW1RCHjSZb2rm6gq
zYm?|-e~hwr=RPwy{^_FTxH6Lb*i%;zms_$Nz3t4a0$mY~-E9-pzVs|(1FWP<TeFm!
z<Y}fx0V1+jAp=W4`&nPPT%6<%e|ky^1wMdYrYMY~f-VI}nw<%lc7JyV)ld3WsMYVi
z^Lm??yH0S6uBJ#^2=arF2>j8(Fm=?iJeW>DGG`b+e`zGag`i{9s9?<NXEXl4k3_9E
zA_~4uVqFsmDPN?4q?8KN+$N&prNQ<<Y$956WJuf6ED{UPNHmbi6Yh+boR&n;rr?Wl
zVB2rL8=qTFN6ME(93S0GwrR8Dx}rX|!^;XBERVIbwOUQY%>Zi=mY+Dg_!+IaU(BHU
z#>)@<CKEB!YOC#wj$%8m+7!EiX0+qvB3n9uBZ+}X00c7h$i4)5Mz=d(KIReT9+^&d
zsbDAZwaGEwQ-#8a?uNCEy}Em@Wy$G0r&e4@E(Fyu5-BdLHczQ9TvDrtFOAuocVD|W
z<TQqqs&5jHaJ#w2H978|SR)JsN6pKd9Gy?ssx=PeOKtgE&?MCO54V*hR;jQAgF)N{
zhfW*b>!$Oehs!btKj8~dVPgW2Yx4!BZ2D`Mjo;t#|GQQmXc;<f*lxZczjFNoGBqjL
zeC@g)%RLROwK#|m9Em0}{y_Q=R$U<i?N8unQZ+WXc;BzMpAOer4@eEkgfQQ)%wy)M
zIb#hfVx2z#2q+7<V~E<pLVKx+bi_a)BnJgB|0JdHQ)<<c5Odp=!P1!MlH=A1#NCMu
z3%HrvMV@7b%JM6AV!gxO@xfze(^DU(>nfMWuuLTi*`ravK10?6Ov5A~qy_e;bBm71
zScE!M&gtq{z7xDAJyhLf(F(LA&RP#7#dIvx5+aS|i9j03VSmQ@cbo?L{$N&lamczs
zxTuf)$zj@{2+BNyl2dr5MkXhpH0j;F()t*kLhRiU?=B#g>9AHGQ~ouHwq`Mu)0~SQ
z7TqWelF)I5(P}<0mLn)|^MNS2UzsP-z-)_p&u;RDjMR4Q-LUmBK=wuxoaCh-8p^j=
zjhCB3lLh9jTNDu47=Qm}?s@*13#SPYY~dR_=BlAB-io#s4}GcB8Ao60&waQf_wh~{
zbk7mCwP>}?<2TWPY3Nsvz=T8z^f1GHG4*@gDLiK!EbB!olS*b=dIUAH2>Pozsn|WZ
z+4Sj%3PvV4ue#Nlf5!a;!@RV1CjbaA@m&<!*S^uJ(VpmHZG8`#2C>>#ToBC}9cF)|
z(ZOJee)VOW2ixy>jhPNCUE})lAAGfAvurMwo#_f2^p=uoRE)pX(O<7-q47vQ*`rQ4
zr!S^YBisAO0nONl4jq9E*LEpee<TpIp4`s*1^%PHNSzNRkG%G{EFwrs98X_2P7}pw
z##1#>Bta)^W_u{MIImHk@Uap+dg~s~^+>TVlI+D+DNDqBF4H5!lvG_0br*PKv+=sw
zN4_%OquP1wt;yuNijUiU`jOg76PWUWdc!IXehVjw%-ShYJGJ||i988aQnZ&#B;L{1
z%=)@z_RL)c(9smP%S*-f;oCSU&o922SR!?@wHe*+P-vJ9Lgk7Z?7pM<qVqzgrQ6J7
z$(28Z{6Sg_0Bm}bO02jtyKA<_5&>!Zf=a8jfsi%akWyRaxKaPyTuP`VdH~hbrVUNy
zK7yRq|9{lOcRy2s&!dxHAbn1_O)EFtDU}Yf8tV@z?RRuXhjrfl85y_x2)`**L84Ch
zNVGUkyL^xQd5{E76H)(iMJ?ZZ*_OSoA~ipdZ^<GbYp8hR;7|7)i;^v9J#4$*A5&(r
zr?0MA#azEyWy+r3RAR671uai%<YuEJWm)kM%yKT83Yf&h$Qi8!@6V#}MWQSdrVyEb
zE-ir~qp4P5N6*dB80=jdlb?MR6w>XGRUEzY(u~$}?{hE0?+r@19N0#jPAi&}OBtLR
zMCBdRHY-Q<DZ`B7ho+=dOgZSH`@)M&DvI}*_e1^qI+}fe=tn6q*#A3fF}dP|Nw{~o
zYh36fss(r4^PY#4qv^>rs)Hyf$p&x(V?&0@yMLm}Acwm7jq+~a`9kVoA&xB)-BBMF
zey2sQpvPZ0k&#v1c&am@yq={@b{p~PpM?ZhUaba_@CvOx%c5;PB4v75Q?}Gidihn=
zdspgakG@S6%tycif14n^dF&A2O%2<Yl@%QY(l}8~KreW+N@Z=LKNcF2_!(X6bn1BE
z1Yc1D0;KLY>Dor0-<i3F_H9xjrUj<!5G05hdSatfbcVByJoLD1WW9uh`{#FJMK4nU
zo$Oan`ma9eDRRN?&y2}7c>?L&+$cMWu?;W)PoA}9N*P<=?d_x!{JvOat0hI|c@MdW
zBOm+oDLzRuPe%&zb*aN&cQ+Ht8)V~o146{|tA&~bV4!cN?=CI5)`nKY&}bsivb@VZ
zsRkA(qo%6orjMoPr{8&`!3GPSC03>-+Nj0J*a80frvMq7P$m!o%O|xxE?T^;^CZ`J
zQa?sjl+c>UYSVbDIHG5a3J6D^K*bsziZx^{7qrd~#HB(OV7Q$>b$Z2>?0|V98`0f0
z6Z*ZSp~R|lQ^y;OaM!h`(Zk{FUL|N*(f!Rw1!t!yIpg{YI$eGJ_Ab|<m0M^FZc!s=
z1?vY!d!LqkXxE=L>`p9Zd;Pe|XvT9+zJ1W4;4s-_ry&}FJt{uoC^y+W5K<L;%^1Rh
zZKqv4oKAWX!YGP>17VBrvM(3@iCc6irLKx4yZ5GLK?xgV!cNsPNo}mz-aEZxX`x{T
zQQ>6*jC6|MSZ`=mJ>y>c@kyrAq`W0xfBPX1Bdxdt_~b_x7eSCBa6}05?C?^~<d?h_
zg=<MF!K%5Fcg}B<oli^S2`_bW=}`Mp#9%AUo$ed(`TYd*;P_Kd%Ty;9;1=^7wA&SY
z6opcSGhh`iR)2b`Bij@*I%*J8cNPPJOoHg<r7oQLeVNKqY>%JcTKyhZy_GQzHfT_H
z7`7cN6z*AgDWYyWfcD)XmlXh`Zi{z?2ES+LZarqD2Oa&r0Qw>Y>rx8U<E|Ml`K8bQ
zd==S=L6$5YKfBP+tX9i`{_cFoC*4~OB2l-n8PMIg_WKJV^pkOl2_yX$0ZHQyTSQus
zNR#9>ZL@9maraye!HG@hBFFOC1Zw_r#_{GjL_>PhXTA#^6|W0H#tHsl!Huq{1j?2e
zs_lrxW6}g}$W!T^g#yO5<NUPsfr)Q0XBl40+NZQ^JIOyarU~C_RtzN)MY5M4SOE{q
z)Kojkf#hd<k<nG}#?AGQeAw3+J^?lOZT$8dwN}a7xj((}fmBx>@Cs?SHaZ39f|B7@
zxLtvOC;~9zE$#y{O$<B)TYr^fUQdTvCdQ10(o9(YbyZ8$)BPeJ<=2`REG4Je3S)IK
zaP^(7DVDf<DdzQ++D}X)q8Yi~5_W0E1zroSv$;O0tQ!I0CI0@jQQ7dw3g!JSg<nRV
zQlFk-nQ;865ET88g>zh%rG>!8u?^<RzFQ=%FrMOG<%p+qpP10%V4uiZk&E&0RFaiI
z`%M=F#GhKS{XC0I_e+i=y9_awm*nt{#!^wQIl~Hgl&RuW6R-{kBn*zC(~lKQInz_^
z;xVC;=c|NS+{iFb1EB{anYXO+<!g^B=9pE1|5=j${IO#o>U9xfczD1m%Tv$FS^^jX
z0CqxGlYg=ogBk_!@408QDV9u;WQ!2dB<6LUdUciWkP^ve_5Nv8V%3i?)Ocd)3wXQ-
zcPXhXt?Z(p$pEnTc=~&E#-D&j4?J>d0iNqf^Z{Ej5kc@L)6_d{Hg0EIYx|6-6pw^A
zY|XF3RHb%?#l|RE_5-5-Y0jFnyx`fkdq)y9CY4~|D^}nCV{-pJ<jMi$`i_~ii-XuY
z?8wcD7_tP3(5d3xgP7qgQN3VH=KiUxFm^jNYSh1Fcs*e%QYj}2_HJB`POoA&mvQ}d
zOwD!xu<Cp&*i=2$vdO=&!hWCTMRjhxk{L*Ef?`{Ei(JnX#3H!4>L_R)U5kv?odXo9
z*qKXiG{j0xJV_ky%Gkd4%&jxp`3aLX|2P=Xg3;`!&DY0R^AVwmbqb)^y^5r-L4S4J
z_X#fvzVH2KPilBf2*boCa?lmCW^Q8XqeeaoO!@Qh*1yO(*9FbYHKb<gEV`@4&(`%F
zKO*<tj9<86Ghst%?Uv@b{w3s6R#kk?K3H@d3n{FwZjL%TE2(BlJlIbN(tvm2LSp$f
zEWL&Y-z^aq$~uqkrVE?9Fl;j>SvJ^RI@|NhFCL5}em)%~U+?nm11`S+$3i9?az#-k
zac{%%LBtUn=!=>{!jpIpur8}l>4%Ey(mB;bm?)n0n!mjA6Q|oKc%XRLHS4SlGXqp7
z$L=n=$)7!=9Uqe(@{jim4N|Pz`Os&seY8WMOm2E6SvQ$!{c%f6m3$1vH{nnpI09R-
zwlK8cl{@gLZCv8UKCLHk^grP=mpqxYeo87bn6>M<ekkpdO3p4ggF9I`<C4E7kJ$`b
zwJ{<WZ%}I?8*xBj5Xgn=0fgVC67rLu)D{?Zds`Wvb=%j)iU6B{<w>seIc{(ngJ2Ti
zPVG`$iks3~5*!SANYHeZ>-u36qC%N*emTT_(!_YKZ~nkr%h&xgFs(j+eViJ<-N?wf
zvGbPWq`$O`zidYL!%Mp*d;#4tt69g*j*}DHs0e_40JNy7=_^UL@RB&CJ;0*@uaiZY
zk~N1%@vraw54zV|H(LpEO4H~cr2uwv!*?<-vcG);Uj3B<*1ZIM@<`DfgEIp^NiVyL
zO4w#vy%T3@pwUou(YJ)15G(y#S#S+*GHAWOU9!+7q7-Kw=*rhGxuPJSj$}1`W6!Iw
zv_ESM?=(s?=ET_fcy#_s3tj_3c*p&&n1NshZ=0y#_w5#zYMixR*DQ`G@{kH|yq*`(
zW_d~z_G?W3300Al>OPH%!o4&SX7Co{T~}Fax%nyRZ1_hMI0litFIxC1CN>VA()Eex
zm7BP}E%4Ud(|!(ETBzCrbHm;CXMh)QIXz6AoHngo+z3s^GG84FyFC*9GVFMyCNq)r
zbYtA2p8o83X<;W(IuFvrbgkt2w@W{27s}@@SptiiL;{vjQMG-+YwXpt^g>)j^W^L$
zYhWS-xFPw)weMSLT+|q)+3w#9K%Cu_=`9LP#=S`akG%}Q%M)YXH_tsU{8Gbm_=0$L
z%MFFGyMZWh%HRPj@=I@<j!~1#OA>;T&~j;qerOEU1AID&_%Jn&Qe$g1sc+S$Gc6HC
z1xR1pfOd8%rcQ23AejOtUd!H$Q64P(BjvkFb#<{$=lV`cW=t=j+XDMV#uWLuOP#~+
zvhH2IWgC(;_m^?G`IU~lT6<j1=hffC$ni_8BC_3|VR#iEJk1jhJo?eUTxvyJTkK~Q
z&lK`qjfTZ4Mqf>V_V?^NCELcT8C#o+RN3;Wus)P~9eIRhb99G&w||}e(U&b=gLaD~
zBk*vPOJqU;b64YR=de#R$(pk5pRyhQ1P><p1JVR6t9LMkren=XE-^Q66VXm@dnoOQ
z$aqKR9N|t7-2&JY`fkj!5izKhPpm{ayHrLuo@QVZ9;a8J^WbEk?ez%YoC2|Z1H?xA
zd79ETe$KX-khgKQq;X=xPK+{<x(Xw)5Z(2iZR<}=)|CxA5XULZp?>hpBl`r$%{5cn
z2oUp&_cT-LM6}_yQBk{jUZ%jb>dWaM3u`Z}NOmD{@=mmhY9EO^@Yl{>%e>>pgxx6=
z(Mq;1?E4Q^Oi#NpbaPu9+I=B!_Y%=27S^pG-5jbYXq5p3cF^-iCQA~T%BqSbrHIfl
zgKm(6BN3IrBXdoima)U!MXOIwsE%T-2w5)SPx?GkN?*HvmyK8n1(M6Yyj^pz0I!~D
zxQQ2sbnz=NQ&HW+6Xz5z!b{GNxKr2BZb|w;)rFs28MJyY7N<%0W4F7#z#vUIL1Nq5
zd*qFu?V-0hmoObP)q1Gp+S2a~XQ^3e@dd98kXlJodN<p2n4u+}HFE(a(<N0~ucSbm
zO}JmCoyiU^9Skow;<ktJAD^Z=d;o|5@8I-qF&E}8QU;@OE!$As31a~ue8}_VLfAsB
zs0hwk8~tBP>mU*zlr@sI+W8aOU7&$iCc#lsU$~7iOkU=uhZX(4X?=Bc{|v=Pm7qQu
zS|)*wH$u@#2Xi7}=3O8E6|zpC9t9vY_|^37@<+jGNH6kwha9&s56DgbK8{aTqGdK&
zZSikmc1$;*7@|qWgC5N61*qomIh4<Wc!bSC97-I(0KS8-z*@%Vu=#p6nP20Jq)vVi
zuJjd{ak$3{FhYs`cVHg4c}XVDJIGB8>RQ-`k3}+Ec~rWmRYsj`=R_;#{H^MKff~I1
z)buP;WQ%w=Zai|DV(cQC`mVHpl&EHWnQr&U=yKYcfPc0|nl>Bqw!8v(d3TOG8IjPp
zi=8KLAe!pCRSw^`C@4e}l`F?3oFMvcin%Au7sqGC6!4Pd)xC{B_+{g#izonIn8tI`
z4x}8XT7I~lD&;-Ur;ieq=QE`-x`zWCHB|gn^)|rE31j(&Hi<YYyR0$30>(^i=cr)L
zZ4Wt^DFtF_g&TV<a)!jfL1W>xzG9meb**8<MMK~T5C#Gj5Wd2FQxl*1Fy7iAFb1y&
zgKa@K6LM5Pu`KA))a^hfw%)SqMzpFre#>6Q=o}aIg%mCed+emW3x~msr7^C*RHfrE
z*0_RlKfja8MZNA$H+pPmO=a%K(QW4yL7@^0C5D-~YCz(G-~;~_3M{t(omjUgIdOp(
zLu7QfBX~VB?k0TGojwF73<2U*5jBvn4|z6rbxGQUVzrMbDrjlcuvV`!=f?C(t&lto
z60Y}Y#EYnyfnWVR$WjA-^)%&;I+MGoGT>6EwHngo6o2ov=PSCuVNu>;c|x@a4fkZJ
z^)|J`dL4GNW!t?W=XqB33JFD$z1)HYlbD+32V#fcGz3M=Es8Gd5nu$$z?K65rjsG{
zJ-};IKh#?`+>va0rdqKtEknSOTfnfGoxd5FT#XH6(GYaoo(;{8Hqk^n>uH!p)A<D;
zKKF*EBjSa;U@+5Y2HyaIUuHQ?9WTz~zX12};tK|YZCkl`DMQTZay5EML(ny^LPY9o
z4NsmadbIFcqVk)K!(mwA%E(9JOESB`16r@@Pa1;i+X_mcwz(`MYpG&4rn;zq_njZz
z0JUT-RR-6;Afp%oas-eqUsgIFa;`f*AMmeoJ-B8CVnsC#{Y(%VzQiCI=;;46=%|2b
znr%I_RRa)3j!g1)Sf7vjSIreyOnhCWPo2}P#kd{1#-C){r}K;}xqc7y7knTdfD!Nl
zw$+zGxK1$9SnM+ArheTr#e~ClZvcF>=Jk`T5k>lz<xSl(4DRzcWu=4S3=}K29N$Cj
zK?`?qR=!pzalXLATQ#4e%O9cw8NS+f^CVf%I(iHpO*$l~Qb~k@=Fv1Ou9T;{>+H|M
zc@Th61K`aBVFd=cTZ<Y^FCWLkG6OEkz2^?vYxCl(`@?F?+SjNz#4>Y2`YAcAWDtG|
z<7zx;3@?Wp&A+5n(fvDr1umb?)u3z>y2uACnj!YHQo;y4U&!FY8uf+ecKerQbr4Pn
zxC2@NbnwNJgu8(tcMZb1)+=9>HWA9_&Ro;b5E+WVCwFc*(VqXZxa9}w(ds44ns+5a
z0|4@TNw+{#_HVG0(gele5L_5Hjt0<GuO*7?e$?)iuPLufTEV}?o}V?cyy~*vycwu#
zeaO4mcYK#36YySfKqIhw6lTfIyi{Y)2*eP^Hg#rZZuNJg<xMI##P|1;#g%~ZK(hU*
zR(^*Q_yDp40{}O3Bsu0DccC98u*NH%3L16pdLlAQ1z%Kw3@t#40-!+wE$3BnjGtRG
zY%P#iqDq)B{n$o*MruAR+4STQ?1|k&?T6_R%vl|Mb!wilC+VLi#W>l<kJiZUJwn;P
zcuKe9obic#a0KCxm}QLnj@Tg>gU?k}fwWPWEes|~5*@04pq=myU6dKPY*=~1p)N?~
z)z#X}?**uKfL|R9$U3)6E!}upmQ807Qfgk33w~1NsqxfZUo<;x<Pr3`0tv6aRs+y+
z?b}oC`)Tf#agbpm5@grQC%TwC`m$1H{sQ8P0G7F#M8NRUH@q<$CaEx>Xoor&&jle1
z?u6taku-pRLvS~)&9X_%=_g9gOc-OMDVB4v;$M;D3E=btwK2D*zjfK4;)MMw1C(sY
zY?_8ifL6JZGIOTmMcJfLfT+)Wj#Uz2j$rw&@IxCHtAeBrN3DXSFcOSju*q%_b?H(a
z4L#>|q~0=aokn$~bFxUBcU9CTF!}&STHG7rwjKa=@s+Z#L`~t!NIeU}t^1}WZTx6#
zMEhS!*T+(%<USEJO@8k$e!UP~F3eodzab=Ma6*F)YO$J>u#?Xn<WHDZG_E~ZLV8<H
z(oJ|y&J_OF$T{|jkkL%<mSK%tnLuF>x`~rctsjxK870{L)-1?j7cghOjS008TUDKg
zWNLr86LW4b{jItz`Pn|?r!Aboc*@gNPdDNn1RjXnxeidQA}-p3Zr%+&3H{TBs=lz{
z#pMNOZTjQvh})Nr)?kGjzz!+;A%=E}$yhnsN;biw^9=MOAfm*n1)QsR`zIYj3Cl(s
zyY<)Be#*M8BTE0y<{#f-2vBk;^WsjAB#fP^A#9x(@n5%U4c0~dX5b6z7r9{~d%-{I
z{N0Ck5i?sJ3k}+)lh8$r>fSZGz7NRzp_L9wpO;d6Y&5G!Ztb74c;@I8zT{g8)baso
z@OCM?yOK$smtrBf=f%4qJRL}}$3Hip=UCi@Q*hPv3NPzm^zN+GI^HpWL4$Fib(w#(
zDo4HM(M<n;GENUKtG+wRJCm%xuCbt$M>UQ-4Fre1HSNNI)-~WxnSrlvB~jFzwrR<u
zJ|*Q=+*g<aaiFZBv{6Z=dD=*g6FaS==YPf{u!|Uh7S}nHs_$C}!yxHhQ(8|j*c~Ew
zK9)1P%-xCd;*E_~KZu<P?;j>o3VyiQmd-o(7|e!9>M|<0;7TS6&iTMtr)mza*?pCJ
zLV-V;e-r-3Xya`4b|t`{q1R~3So1raA^yPYBN#0L=L#lz*t@a_IfHSw((R|~8iJ;K
z`FH|~S%!RFt&vxDaeOX3W89M%2-Sih3u$?iab5e-EbwGxb@A@FNa$i%+s#Bz55<ZX
z;e_ob>*<)AKl}K1e)1HRZ9WgIGFaX@0~-_jiXC>mK!sc8rMN*|I4(3-W6SXlz7g!j
zU~CATL$gw<!;+c1XyR`BUQxzj3F5kfjkV|P%y{k01Z+qilHg750vza0Bw4m-<{XL%
z8vb8z3X|T?HJi2mlPj@o$2aQy%WCJRW@u3=nC~Ra+?(lC9da0R@-MSW0%>n4{A@9$
zp1nH7pKo>)nWaEKk+1dEgbuUtM#_>W@M$L4E<jL$&=A#9e_qb7uVuJWT#}?xU%+)_
z2v*WSKg(oS5P^oe<<8pEA^Ai`aS+|rU^&*bbs6EsdPM<tUFZq#zR9cuLN@}bgQ!DF
z8KZ07gy(4#29w^28Mk2sG+Ts0-NTm^)L%c*qAct0cjl_=w<v-~!HHW$Q#Nv!b#x^~
z-=F?+vP*r=)o84K^iV8OUgjzcR;~KeSEj#i{|i6UT9u)+aSssDNQF8~kjZ)V-+<cB
z$b{n4EmeOd_aSXDyTTv*AcY(7_6|Tw=VM`yb9XHH7-oRuaSLmAJ}$b>3tPe7-t02$
zH)pSzy+B!GAVL+9cWYQg7{ZkVu+by>&rtgothZaJX^X=1A(Z8<w4bVZRM&*8VAs_0
zCSP`wdHzoID_4-J!ceabVFhy0QDdcw;J~z^#E&@Oy?dVq-63`{ZAede*&S#WSJ2m6
zny&7|;z-m9Xb=Iq9<4@|4b4VHBE9$(5$+D=b(HD@@2^TQS7#H-gQx{&rz@rE```3~
zl5a5I^{um^ab^u&JmxpcQ)z=SwK$Nu{j2y|Fj*ElGX+=BV2t2W^1xqm(J1-J13jxE
z^!lEhi<+X7X7f6t+s0s;%p}KB?V0eug|_VqI?9DGN<gq(7kZ_<dm6vi3fpWlP<6d~
zJ%IRL0CDkg5L&_YCuk@KMt|j<aJc`Ert1J_>wVk*PE@PaVYFtg+ItH{YXzw)wN=g7
zA@-_GZ7O!Oh`nl$qIOXuiBTies6C3<>pRikcU?&&N9H;2dEWcE@B4Y)=(i<W=dVZf
zX7iTt%_B~)8rIIW)&!qea29vaBF9=)rjArY1r_hW#H83juyDh{ZT-(21B@E>F|yF@
zYY$5F(lRDGfNu{hoSFM!W~&xu<K)cgrOkdX9mxb(N(_AfFJ+{*Tdj2EN2Vzt%V|56
zD;l*3HPTe5MqF)h?9)TOKX@F-)MS}jCNs1t91?h5-q@nMfMok~-XxXr(GjThi8#oy
zK0p1_$$mt6x9Vv)K;rQLB=-^}q%gN15IM2Hy8@Srq>pS`^ZT6%g&zeKfy|O6X0NuX
zIo~LuaN~b0Pg>re9B5Q8is))Smap4+M+`ZZHd7ppe;hg<Cye3`;=JpuS0D9?PIZ0R
z?_5`OOG9E10|-+UR8A`HCw8vK9FnfVxX>TbDYkiKZTysz!7J}tzKFyCeQkjC=1~`o
z`(e+|mv;BbY#9hG0?gwFU#N$d0RSGn_FpMTb_Z>Y%jpkxLUW{c%=YO-%_HQ-zG-KP
z<I)*Qzc1nuAN-}^&B<){S^lk!{u{{{gAXKkxpCv@<YIGRl`~cw6)Xl29xNZ|k5B6Q
z&T7I!65N&<K?n9;flQ#2tAiNikZfO(U7*1Dw-8}DjZ5wrSihNMc1cg}pTUD3_bWQ`
zNRHD;Zuz^7M*@wf&x%)6&p6R!A-|+cgO<%B%YBOUR4<n!&Gg0N#+;Pj_u6DMs9hnp
zO_{b5&EjJx|1f7rXeKm`q$izvl*NDm$x_06r5eOE#%+EwH(0WYO$pvh-yHY-VZs#<
zL`yGStdf}FK78bwrUrdTM~Jz=Wl>M=9AQIpS$7ye&+S*<EO+EvI(Ao72%ys+b;sNi
zwXzBBUf5%6e3(c(2%4{zSno)<zrB$*S>kwE)o=9$SnEae^7i@i!iR(n?K;0K4H)r8
zrd6c9ksZr#+s4Id$()~Wc<6Hk{c3K!@;m`|M%re&*<E?~ZOw{!wibe)N&Y*@cI=?K
zu&XDsU?44)Uj}N;B1|F;dA0w;3@!i*hh55?a3TQx<~mO&gD>5eVqCg=2NU8nVyHis
zgXP$_D!bEJzr%orWmWi*)IPELu)C5w4h{MfzYi1PweY!tmU8S1@1a0_O{mK5(0`Q~
zt^?m&#21*|zSVz{R~Kmv=l(E9aZ+X$d2^;;bWkaGgd_WSm7Vdh{FjEtW0hBzm#~vf
z-JN0Q<3k3?y>uZCb<mQbUp|E8S-)KFZyLAYko)+Dg58EkJnqrHtd=@EhN^GYM9^jU
z!U59E<$VQ_qaSY4)2#F+yT*1qaa&H+YSO)%zup{x)4V&t($#-SZsf5Mt3&=ui2M<)
zBU619;OZ1`|Docc)!4I;7`W41fI@=;zc)aEX8Em7h-I8MXGQje=2e+tH$J9UcES+O
zI}>D~OC9>+G(Z<E&*qWYvl~%!H20fssKK{itzD&LBdT7A&Hv{lOpD^B5ZY*gN`#6l
zI+BG07<Svwp}arZ`)FlJ^Rg0N6WVS)(fr(Vf3*9puM++f!mxI#^q45qz={oMXMxFb
zpdCmToExhZk5M}JD0U5E<hg9A%Sbyt(`Oy8fuj}qLI?*BLsen8`s#Z5PYyF~LV(Bv
zBETNWKkavptlmv|2QKCRS6_4b;|d~sE>EOj;--a&rTiLI;>HYCLV=yIN-iHWXFs&`
zFR%oIP>31O+q?3jrlI>2m!WRG^}}?P{f6q?7;hZ|#J6P@2Rfh^AMIqVAf*sAMp9xI
zjsUu(In}kZcYLbd%Dv}nA_S}$4Yb<po;S8??MUrE{YBst^nfO~Z|IG=tmsbMEidc6
zDS&nCqp9uO5)%q$p+Nm5Fhy0t=ZV&rJzRH&3Juvvq9t*zKJBKN+|dQM`m6nc_1$`b
zsxcs|5gNPM24QilpTEg5-v2*aK{kLK1Ns0Lwlzr}_-TuMqdN2aI&wW>ExNid>`0hR
zPmRyr>$9C}j;NH~+vd^L12(ZBAe^b67{5!p9Y6DNjrm^%+i@+G++JfW@ny|Asy+Bx
zKL-5-SWQHW@a><mc$6<6Py!vfzJ@&Ob5ButBi6~S{rxa~RNtiN`+AL=-J|?}obkkh
zuw?Gzvz?-ntArR3ijYR(rC8E*e`?|_9s<Q}{SVlzZ-6bky={UAZEagX)Y#UlIriFx
z-Cybo%w?2pOsCKqU}wnmM;C6qHE=|Z4M-S1QwNg*IiKYtNd1ks^;>hXZ2y3UvG9-F
zaoNU~BK?F`E;K62Yp!2!XeOqSgfhw0%(Izv|7;jyC%Arro%RpWU&0L{UQymASx)zY
z<mvh&MuUki!E+<X#qj||=pb^UF&eJZz;WqZT(Ub!_R1Bcukf<_=e5vik}t_n6uMyY
z8fSRy*F*I4%D8irwsY5FW)g%lbN&(gx8z<ue68Wc`ld{TMcZZmFw}mx!f@i7{x!*k
zGJ<kqeLQ&cCGAWjQIhSq0gzZ7#Bn)a4ttR?yMZ~D+j=m`7@%qe7$0u|O<%Rs0~>$S
zOa&8cT54tNJ`v&(WA&Z4zi@tQG7J9>l%&pbbAJ1i*D{@YxhgJ^9H-hBA~8bA`Q^Gx
z_8LpB(VD)NFFR+k=Z^{wKb+ag(SK`(uCz^FeA(!mcPp&9aEtq*5pOo7%wG8-em3WJ
zT$WIrgc{FNxxj~P^4Sl=1&|*bP$Y@0={I|epK|;el6rt@{X1!cJJ7T2o}V7v_3-gK
zpf5Tw%v{nP^eSuGuiBrGEfHA7qCduUhoiaLtw#OP`}lQ>58UDxV*IJ*RQ(d~;j*0k
z0V}`zeRXMR1tn@Bisr|b(oKy?1H|{^O@!9ITOnHE?%%6dvHxBUD=W$)!JaG7&fm{!
zTDz^m_gb_otG=?Sp&aRzQ{-wRS4ex7wfVjBcD62&LM0bt44!2n?(#t>Wf?Z;%@R@~
z%0x=>2kC|sXpgjLChg>=;S?Hm*q7#;ZG@HLk{5`yprxX{4U*d}4{$75H2Dg=STWM~
ztTP>pjCG{y*L(<zIn%d^RunoJ^*My2=3Uy7tDuF@0Imo~LNt|KpH=EROjC9)Nd=ce
z0$HRwne$$@<L8b?GUm|dbyRA5xy+uY?um4dLZb9MYF~p6!Ypa%h_O9}hSyfYxJa=%
z*RnU@Y+yWtPdFX(e>*H3+nINUx<1dB3Zu$+<0YEbAj1czR~~&SqOFllH1+mn6sxXx
zD*PS1?sT5R8V{K-%t!xw?Tu2KtJ&D6Evvl(%leo}%DrSVdz~WA?$0_PL-7i(O<9RK
zI_5y==oKtigjXzCq)5aTCVE-0-<>R%wCY+0#bl1T*L)^;B`Dn71o!}<wf1GJ2yE!(
zp(M}cgm}Hc1*$ukLHr&0CnQH;dF{GC){F*7J*K7K*EP1-=d$`qP@jZFn%4J;MV9wP
zEL#*7WZ322#POp0hZhQM1%*o+^7Fae<k!sBnFOK>_~$FG&-ez~=jQIwjrI?hU!ab6
zbY30k;8bx%ht~cF<RCXN#Yhp05A*47-6n$$0ljFK9MhH+Jle@31FP8gm5VkF{#>uz
zHJ}-^mPyMOjX3EZZ*6B=`}5T6P2*HjMAocLQLjQUHS$d&Lhy`2JJIJ`)gKAacfM-t
zQba7(09RonOJoFjP`hNn$yN=<X*<rQ%8YH^O1um0bef{mykq%ZqrHyH`bWr)kf1a5
zGsUe@JqdI%QZGFbHlEKw<KJGl(<X2Mq5lSIG<eaco&Nk{Fkx&t!wdiE$G6irh+`E2
zy;PSW3>O%j5M3qIV+9?R1uIHs{zy6Q6;s;RG$w`jVMM45-|9&mH|J@~hgnM+)Z`E+
zNlQq(ZQlXcRSDtD<-u$wOt^fdXpg!Oyhaxq6Pb;gUz(Z$niyQb81!F9g24}>5$d9l
zAFj5PczRY~OEyZg4UKWdx!*B>^_vGvcHHi&`9a5;Ut3Zb>BZP15EM(MC&51UhMtu#
z&9AJGTaEB7b08P5q?b_U&7T>Vv7M%;`G5OPhJ6CFe4GYT1I<yx%kO-vZI4tcsMI9*
zB*e;c9@94I$tRWlJ59ImZgv`}Mzq1`9k7f+j{K>PRJ3D_T2aya!Xu(jRF<=PPu)v-
z3Uxxz#Y_riZpX`-J%j4>J|E5bfnip)a-cW>i-A#X7|*LD;m7V=R2`{Jzx?0vbLG}L
zREYqs^ts0(9W!vUFl}n&R14U}Oy+O4R@4!#d|!q;HKsqgVbU`7<i`1kvi{tyL6F%y
zcuihl=}=lb50k8>%)CRTrQy10=xV=-=1?U4^?<(v?RX7n<%zahjR}qa2N=nb(UtT=
z<SpH+^pC1!8HkJO)NWqC+VVg3ojPpNE>GG2)V+(}{?Bsoc8=VgO_%9WM09E6i<5_a
zRx3g99Ms7mu%W1Nr`8dIK%tZsrvwKr<u)+f6M2{cf49k2XNdEmM`}PEa#Fu9tDMW{
zBRmH}yK06nIF!ocRY)Lgcn2@HrVsTD%7$#4&|j4;Ut&z%?Yg+#fJFDOZ{|PZMCb3R
zHx2ew@Tp0(3H9)$y1WzWYZC%~e3kslc0KF&$faA?o5rje%CXvB{@>R$(RSDNtlvA0
zx|UF-p8wM449R_YFy_X&!@X=1sy-~ZfUkX0DQMP)&Z7!dJEFj=4IVRan!NI^r@#wK
zDnK>Z1&_FWCWmPRWSnLE9;3KduPd{DUwB`3XU~2AKrfz;dvaAQ#Y-Ss<~<EJ<xI0L
zycA(N<shEoMdr&2&a*!bHnP1-oJ37B%fNkX^UVmYREu*-`>8~w$nf6aDmuE=^JV)K
z{w(l*m|UB=e1>CP%hCEXka(3m_>YFg$Qm6Ku1LB<w^HCB1iVMzGF@>XaI7N})H0eQ
z6PUBT%E^>!9Ma45-=Ak8V2tC9Stf3vqDdl++PM1KyK{a?*nUOC*Zw{rtWyK?fq&S!
z_EqxBeFp#49Iu{Tw|R@AI<noWi{;)Uvt2Y|s&tZQ<=U7zI>8K>o!aoONm;kE+h4W|
zc~)?#CPecY4jm8kN#J}d1?*-DTwsXrH~sRODMuY8_jS6<xj12$dy)L~r~iVgCQ6yF
zyG}@Sc8At(V(o^gynyx`SKn`SvExt1yIjcF6Ox-<+e{nsGHVtnsG!@{{LACG3&HYJ
z?|OU1<l0^<_PJ!oaD^aJk~_JpvA-Rz5d{TamoXMheq)$<7CE4s<JLk&L=p)WD%}fO
zv|&x_icb0-e=qubo4d!cOV_VIf2t1U@uw9x?(0w`z2u&i(+lkJd~JEcBcA=Lhken1
zS4f;iti;niylW+hRV%3K-Fm^XDp;TtVIQ4KDa;q29{)4#yt??RYSc1hJAEOb-Azfn
z!g2f8dJ09G?Bn@4aom(;?{Rs=3D5fVzrek}9tVaD-1dw3xSQq{l0K@W+1E1eF!b{{
z9Www6^Oo2%rs|@0ls*UgPrdP*E*i^kD^Xa&w7#!>!Y%)ccF#poeAO8l-&O03#!(H9
z;G#3iSV-Cqt@niF>tYq^Vi!SiKQh@Z+kygxx`Kta!aMLUEuSdO=RGjjP!m%ktt}bZ
zq*jbsK!Xa3Oy+25UoVJJx5ejH;-7sqzpgzh`%ZY{e0YB3@6ip<hn)gfIoCc*rd2O3
zaD6aU)W&kX#Ev}5Y>heYHp|s<a2R2hjX7Qj+1(3{$IG)Z5%cqKs{ykY?X(Vi!~(u7
zZUWS%MtRPKV><OJvHuiI*+0RGV*+*d#Ph0%>7~M|;KK*<8+0p*nJea>{-(92@}|-m
zZn7Q41^Yq9ZR+uhdJ@rTOE_84E*QdmM^?hBemRh-`j6_8c*Cvt)ZE<?7^fSC)>(gS
zSJ+%0TgzlDRHs|Q4{i4A%y#yz4Rihh8@4UlPu#f0vo8_&WWqS*lUPZVym!-ipEzjF
z9>r$E{psC&>J-sD8ppQkiH-Jo8)cz;ObkSba^=0B%j^+?*~&oVy_Q{44{@V#|N7y%
z8QZ}5Qp8itcGE$o`^={-`a!{OR#fzkdD1rTdwIsJeuy0_8MMw~rikD_?d#F}oRNG%
zOH^)H(j_y>no`$I=9P{hFg+uUNN0lAa-B`m`ZujE!!GhW)Vz?EuCp>T$tE`P8l%z}
zHNI%zy_CXB4P&bpI_B(1g*S7FF0uq`e#bo#^5-%-j2ieg$69OdK{buS<a}*hX3rrf
z!%!*yRZ-~2Q0rGMU&;8&4{S(c>}Y)Rn0`T8GvwaZYxa2t?jvVRS$tFGl!VpS+l-OG
zFp*6yIQ&Y+h-MsI;tz61B0kmU2B<nGxSVk+30cq}yMR8h(omEA^2Q}-<kH`&h2Sr1
zERWN$kQ1XU{&|Kd*so9CN4@?6y2?o7oqG4j^8)QmG&!AX_9G{sYWj-wBokpN#rJrS
zi-`vUGH3DTm_=t>@;58R`o-w17PxG?!24Tk47uf8lAJlblAO#;x#iKZ-uV0d`mjmq
z21FPMWjsj|z3?CJjGB<G#-z0Z(oJg3Hb1QqDAZ}AOkz{v8s~DA5cgS9bmI+aWrYJJ
zOX<(SQvtH`E(srCRYLP~KGS{gV2THG!8ET*;JijZs;ad2<wA7-7!7}~8g@<l!cE(Z
zXo@x2`eF3r*P2=5_r}Ec3}4;<P~ddGrrqtQ^J1XE1;R)=`g&I%{O`O(TwY8s8Wzr8
zO~>&x&$H%C$mcsNBMo2}P75%90JrRnKKp$eSSl0Dz)FK-O2NE!(~fV=7{DgW)pa~<
z>rB}99{Bb>hy2Pbb>BwCT~|!RH8p<|YFwbp5D3E|Iug6*yR#9TdbM4kfoP4m=Z4SG
zZ5a;Gk#IjNiBSuSn}~8<rMz7VSaGz8IfR<JPoSQWHia#g%O@3&f+TS5UdO~q`*=p2
zm=E@Apn4SeXaC;rGJ#7ijA%PGTlX4_b693Z8`~7DIeX!rmVD~0t7Dtxd+Ia$JzdL^
zI_bNdhT-B`2AlPtH8D@uycZ7gVp9pQI?JlYn1KgvYx{ocgokYNj*t@_*Gz%shij&!
zk?kOA%Gc75bHHjRJzZR$l8fgL{!X=A*l03|m0F8H-2cAGFwk}WJn-P>6Ux;Ej$=u;
zRa4JBx`G%?URjx*+A+Lh&(f!s;c^dR4rM8d2eZqZWq#i`Mx0zpS~&5M`Yi`dnLDpm
zA0aZp9C-eLp}vy__0-f>vFZ<<fB7f@VE_hb9Ud(;BNt9~tZY+}@-cC*fT0TryyfiT
zr$l=~f@`~$9ayR)bfDvQ6$QwJ?MGG0X~1<ku$WX7EY`v`MiT;_KH|9xVY+&c80!WZ
zGUG*%Yw9Sdn&@6-Tw%)Pi%xE<xGsl@OMX4nEr~i=KdQ@heKNRgwjiHsg*;tz>~A*F
z%@PGdMx6y^i8IwtSLj{7|IgUA?7lDm&d&Ahl(GG0yt$rMuI|&{6UOVu<`rSq``9Of
zc~wu>SzxD0DjuGa4|&Y$)3Dm<)P;gP07}CSc9afZ?K`<u>^#Crzc=WDw#lj-!nYzn
z*>y@%Shs#Um6o?DV3rBd0|&!WP5>lZId42#-;ycXDW-6@i=24Am$cfou)fC*WRloZ
zhX-DsiWAm&po@9@cEx!qG8-ajgghNJ9Giw`vQ{Mb<=u&u?!SDR9;PLk6*IY-c&oPe
zwz?#<B;IeT>1p(A2#hhx5q8kr5)}uV8I+A7A%glg<&`h~ES}b!K{xc8(d4`g3aqL!
z(BA5K=wVTQT@Jts_sKArE4<Lz#{8}yadM9d@gi3HP%D>G^Cwo($`|&|5Ttlrj<Cmx
z_=C-_%Z<h*%lSnlw@EOJ+twQ?yGDOW;Ouf%EwxRAxUA3=8~!nO3e<8fqf{T`hMvGR
zxo<mINEoob4QdZtW-1$wtrfD68e3SkOk#1r?vns!@#xOz{^CUifO-J!C;k1XWpJWB
ziV4a_F#(%q+e#Zq9{A|*YeAu1C=`hzSaC*mSg70TT+pNAVHqkxv$^yc)=G1<r{b$}
zMI>ELAi~0@N@y(`rK<q0BvT@bp+h+5MZhx6jx@YV55nz?9(yTFK3-a*GdbQ*Yf$__
zNReTKq?2oOU&dGVA9h;BcY>6O6w;&|PG9s{tlF>3*c7-cq@DRe^HnoXJ~uO)D<Py`
z0;zt~ojc}E<o)T$Cgzuyc|$6_YpXx2%zD7a*CsmexaS&2Q+^bNy`6AR<8H)z_~YBy
zbRPtP1z2|cgiU%rgjoSIFaS7?EVAZ_q8Ul%^Z?2H9NqMQa>X(fbW43dvC_fs87gfL
zJsb+JM4H3yV*JtQJwLO}?XD`@O60$(varf4D&3Ll#6S0n01rCCaeD<sxzbxj*@V%Q
zMtd*R*&t%lPtW`pnbNLA2+`MnuMZQbD?=6pdJQ=%n1>tj&xRRAwzCd6bZ~^WfNQ*-
zwUDDDws-J0kzc$0@{fM3=zfvb>=DbNoM$jEn^GFDKg@jMMF-W0ID<ozzm4kD#!@G>
zW;j-*7x)G*x*sm#A|o0-)>f=i`ZN)-Evrc?z;L?1LqdsJ-5=Ic$Kyj}1?obBz(3f4
z*=NvfZN;%I52EzL5(v&b$f_k_F2*Rm57{{<qD!8s#IaffUlQPswf##gzXvMtP(t9`
zde$WMkfu^~3-J|*sPN}bI~IZIx)qUuX8Sy+`M-a5!|l^~8t13dCE0R&3mTA7nZ{Xb
zx`e#4J&bSgt#LfY)U~(SExCA{QIZWvC8;U5iX<TV5+%-mNrAF$M_@9tT3HVAf`gtk
z!@e%25b#o6tN=5oKYe4`X|NvO^=rJt5tG|mdzE@DN!8eoiRiq3p%VVBkBN7*Z904L
z$7nro^gSM2pyLYN^oiVs42Sl_j;YH$pE%Qx?Dwek%zC5yB_O2(oUVf3dun7?MaQQH
z4%5%FWnUHdlZhifmV!(xX}~B5*Dux;hSB|@8}d3+J;@3JJV*sGCGfy%qx>op%Z%vw
zudDuIpjE{?9X+wuX(P_xdUadfj&j`G`P)R`wQm=+7q<5Bo)wI`A+Ip9kxG;^CgxMM
zollnc%n;;EIz&00hAn5$m4MFQHu2B#ZAUZ2K>1tkDZ7kyS^A@~R~IDi7<!!FBt*sL
z&)PeETEkWTXax8IURO1BXKQ)0uti!V$mX->3trD(CH>Vj$)IAemYsMT+9|R<7oW}E
zAYJnoV*89g0M<d=Mb3nQ5Un1Ify|#9Q{Mrw&L_ukaxJ}D1ozVKk_dfDDFt_KTD8Xo
zqEWhCS3j6n3H1r+KK1$em#X`AjCl%ITy*Su#>Dy9>+gpeD@c@QH42oiRxLRK3S>(y
z@T#~Z!-yaXtH{<)%l!WyQ@WE<D{P(Ye*^lnTDoO0E7rCaMm+9Oe^G^*bsYQ+tPT=}
z4{RniV|&^(S<lFdC(MvZ)YEBAX+O+Z3f*iOHmQN&Zbl<=bP#(eNUn40r35^sKR{p{
zz40ic>LCv>zXJ5d!j2%mKu`aoxDDh%fBW$4AtSfFw~{GKNoKpOo_i{A^GfhQgA2e~
z**Ah;oYqi6&))4~M#k>}N79rghXq^VDc4HLrVYe&v11_s^-<663@$vx&*+yC!h9_7
zG}1JgVYL%{Lo4pqbRGy&nV~IAj`=wnx>qvvn>jSFD(4qd16)<b=seCn^@8{k>BUl%
zAaM#(+`7hwARvx+)y3{daLxu-U-x5`ijsK%pdbv!#HM;md+GxH@?WrL?0@(cLOOPy
z(OtI{hbT?S7nf?abEzJMmiGc_ZbRSf-zu|J4?Q%7cfHGf5h~&Ng2=Z3iEv#n0e~m)
zQ$#vT*jAUxMYk}|3G+3ujWjiC2+cILKf(RqCv0SJ?s+PH8^-N&{MBn?v->SCp(_xv
z1~m~qiEO0f#W_2gg03is)OB33ef=V{?)sJS9ILteSD15~=I>ws4ebfC*<%g#IN?-#
z{m!6)ZT5XaQckSvv|_wm2A49U-ZBe2elR2FUUYB#!>roMikx7iLGUAqW$uZE70Iem
zjy|d;9Yu<FIWde$E_@3WT%>%MIDe+WZmNTZ>!tFg<*Og6+Ieu9#JMeB4p0dP7o%t4
z_`)03FX~pBiml1|PtX{2M*R#&b+`0xBiHvi3d8f*8&vaF&@K~A2??(vA=|BHQhpY9
zX0M`Qqu3^`$1Xk798qbO4vD#e6}2kZm2rI(dd{RFuUqsMDHhb`KuN>oFP0nhqL`)4
z)Pj}?$8<|t3o{pCKZJ5_SUCln<{tJ)N0;(a8!B9bIreA>EU*OuVAVh=d+?JQ?J-X*
z1&{cS!}##*mYk|`lBAC^_l0|`{c3W@O5gIxA26svUD{jOxU1s9m|4=#k?izXXFs_R
z(}N2|wiXl+ak#z{Cp}lKxc<IOM|lUusd5!E|CCk0lbk1eY^LP=9wb7k>uRKVY?pu%
z_#Ey>iV2A6hDuA%3oJa~@pl<4>J8pb&!5Xnb)k(;`Rtzvi@gVNt^4W4vz{e<|Mac>
zwKkS=*M5PQ@o_U04+g)MUA%q`3U!SAUKd*~JbFfU(png5CZs|PB>U~oSrt+67N!mC
zwhMI>Rp=5Q876*kYh?Gteea{xz?KPvhtA{1`*Xi;f@4lzP=0_Kx?wo8(N~Bp*q(bB
zsf3Yn`vw7{U22Y)F$%U#(`J%zA(i6C-s*?^+4AXKo@GL(m7FWP|K)%LbNb|Wzpq&g
z%%k)sW-Ig84~eoLy{d$6wXam5_`uv}^+KWoA<=B9{lAp}=(u1ObD!Qv`0tc`I!fC=
zm~W!@*7vN0ecx0ymfFv~a2fT2(0eFM^7<8Wojd?2LSRzw1K{NCFI=X?=D>u0J9|FK
z9;24w2)4luheLpdrS>jq5`3wdhtg5rL&?9Z&ki&YOO<YTgQs=7-k_TI)Cxp7OsWZ7
zq3k)lq3i@M@LIN7Bge6QtBh+cNfI^thJE|;-{_JrrNTzPXcwp4?}*l7JiA@x3p?o(
zR@iKsOm~;d^iKJu&((a6+1T}8&O9|S$l{+BXxHao;akz{!T2S{ODEprk*Atn*4(E$
zsI5T!iZj!l2<p=d_`7ofjWUx7H6@N9^W*Hpuo@gcoMq^YcKBRh@47t`-1yq!#O&bj
z+=k*RNUDoGEFgnSv2Xi?*vWN?_T|<tF351^5hbQ=uUdr|ig3I<`+IT4Xh|Ju-+&hm
zUFO6<ra7M7x2jP!KEA6QK7E<a>iyNBkDBg@6b_D<Mmx9U=b>uXC6rmg+p3{`v6SL8
zIl*@aJ@m9od^dUK{|d>tiE{AgA!996_R4q(5sWH{-24mYeU=2&h+5GtXozDh#RD=-
zfXJD!o9M6eVY~l8ECuK=2RXw=nzsb=<-I9!_ii575T(RVJNfE5HG$Syxe30|Kq37D
zlMJ>)FC_52WZ0h3HZ+ICTBJ3KF7oNVC%_UMwvXiFmPctu?BddOex4kc<?NzS{1QDY
zJCwlRbYl05H{K-oXnDSK<JP+u<yy_j*M6JK@iN5!(QgNBYRx*b*o|?9l0YL#ftLWb
zAZWwzU5**QL?AfBApqoWBq_{ELCg!Yg~3*kz%$xpQl4KYZg*3aGzyt2fAiT~TeoZ3
zT!|=~5(s3fx_L3^2=hpzVkTU@P5o5LQ-Hf@`iTjIA4q0UR1JuGj@QfYNk5-hCgX;*
z5=b1XxZ5&1yf04pgSTn;J4fwvyF<_eH#}{B{9|~^7d4{TduWYgJ|Qd&6nFv5y$!6h
zt{Gn{6BWOC`36;Zql2rcZ7aUhGNKM6Rb|&qoLnwidFO>QkVRi|SXgDq$XPE5$*kOC
zE%jK&i<Gm9<efFQh6jjCDD-tD)^<?%_$>ITTq+@r<iTaDXt#q3gmO}1Er=;Gz-7O9
z;T07I<K}0_kaNS?*A}>S0EWSM5-l)axjevcjJdyXwG1PqH?b7RQT<G<uc5vLU>|@$
zYwN^rre+0isU4W6-GW}3C8RGD`1PVEBRzj&@RS%T-~))DXrx>6E!D^5|NB#wSyaQ!
z;?Qe1B#}$r6UJW5Bsj<aa<5-x^31Emw724Cm@*Obq^Ps;ek9^g^E$O%YA$tNkE#4%
zFSX{+C)`iK;54I_WpOgX1c35dIO>q~ESLPCfKmRok@8W%6A)@FXt-&SNSC|5BC;Yb
z#7W6=BA-zSqEGEs{ton0s(=MApJ8}t`F6J9p;uOt$eV{49fTS!fr@14pMs5A=nChy
z=UM!rAW=McM>9j7)cjJ^MW;(D*qkv69#nO<bk3R}S()Do;SLgNLwVgJyB}{Sy2dmK
zI~onvw^qb8zjcH3Y7JlQ;cCa}5^d7$85M8RQP_RC5w9E4SQ#CQSmNF>n<Mtg;zRzL
zE)wR78>=>`C|4C}KlMg7(+t$rNzTq~X1Wbe8OiudFw$@@vAvqyBr?}OMWwCw%|>Sb
zxjkDBEc0qrLwj)AX2V<#phHsP(8kHuT_Jyo4bPsvxy{IS9JM02+rhUijYGCRCAcy7
zNr`nls02Q9#WT61uI?m|j0g%_`od(+Jufx}QYEkfbDNx-BNaNb8^U_lJgkIqlC$v)
z8MnWJ`w|b(rUX1>pIMgEIc9kLfe>d*4H~-NR_^WVJ1u|K4xR`XpiD1&^kHL|+cP29
zJjEoQtU+<2K-X}bKD?9@q4hsj0*BKfNP4Ww;XB;iN8yEIqI1@)LAaYnl(J;utqsA~
zoA&!eptmLzQ7HXVG^&}i`IV|dU@{>qC}%I3@NT?b1An!d6(EZ$&i|}Cu1fkjID*sS
z<qc!If*V5tB1Rgmt601NbN#-*RLx<*Bfd?dC@}KreDk<Y%hz@Bib#Y7E%kx2vHJIB
z$783f3KfwjK)R~F#gV8s-?TJJoz#=~wvTgJT2<61U{Sv*FG?!g?trm749(StWSS)i
zru`M`;_=bI^%D1Pt^Muxt?Hh=JLO!al`-#Dmi}z_80yLXbi+un@gXd`sGrGyc{fDD
z^j3s-k|h6H0_c8a2MD5rf_C=U4ce%`Q6;cT81rZ2q-h*03Q5;PU}K-$dW-}%eSbHT
z`iCX7FI-=>$%~Ny6~{a`dn@S^dtdz!oEcPJGW}ydO0)$f|MuIfT$Fs#GAD?<2ZI5A
zOmt?moT#;3!hE&$lRiKYj6*aZkolB}dse-76_Hq&eZwl6yRY2R8#BTAR^ly~4N^UN
zBWxTW#OkGRX1#iqSz<>`ZC_~X&VY#ZnbYH-?3lwpLRsvM)_qk%QyMLZ>rV-(Twknw
zW;kMTOAfqisRG8jeL;zf-{!U(tk)8?rcOez0%xBvb=J~#7~F(Tncf#XHkr>&3-@}Z
zpK1;zWRYqWwmk{Wz?G0f4q^7UZ#1x6#B7MP$qLNRHNQ2?8w|}ezO#|a{ah+L8@Y+P
zS(oP(pr04_PYqm|Z4@%+<sMP^w}JfN8|s7dW6XVLJ?OETqvgr2^J6<vQE{zEkr*|*
z9L7vDg4hf{Oh_<434@!Rg#F9gGp07!Q)G|Q?nN#UAE8MbD^owcl}VFaP`oxhwD99^
z@M0>*AVCx3lh6ezrikDSW@Y(P2`_yQ4`n<qwmOs*=Y}TS=h>7MOBRU`U6~qo^%`y@
zQlt-S75-KcU_X3Avt=|&g1|QrV;_B_;Z>cK|Co>dC(38bZjwooah@^<SHU)696p=u
zO@nmRf<{LQgI0njA6+~CwhwrUbX~d!`UR!}UA_|lj>|jv>%5NZu)7zwkubjgx<h!z
zx-C~~E$6|w4t`~C9J5^bDoJ7Gaj2=|ol<8&Wr&fwXrP*<#|V-!QFZ@*jB_+Z2)N4G
z;hve&Fp9DOR|$qj-}}>;FD*ZJs1<*hf0MeyV6V~qC4g@Ch2nG?E#^UVY@e7CiMouw
zW|wOJv`0?l5jSqz)@2Zn@)`l@A`q+qb>pf|AbRvt&0!GCm7dlIkY#D7p)T4H2HGH4
z>`yV@PsS2bhs8y2Rn&61I);z@tR)nx@K@Bji6<lsay5b?$nPA)Ma#NJBgk&TM|GPs
zYSgg&REl6hvb6Q@1)iTNdA$`$Z3HeucE)Ia?g|z9)MbJ|RXrekeGb9!|2$7x*-I$b
z^fSC3D%r5I_rN(0Nc|d4K6he3@mYf_MP-CJ5)HyoB1Gb;l^fQE63i6Z>fm?V%oXG^
z!>N^pmY_|seVHT}DkA81`rT@;Ux&{!yaadz@3+b7O%>wmosh_M=lOas?f~dD<bKn>
zCnM&dDytu_l9n?4tP!Jd$&ymgYiaWGANv2x`9#_u{V$Hw4sGm-;$|^WVxkdw=~MCA
zY1WQtI*UKpF`OO1F3y&UEd2&(wU}sXA_6u6Zw`%d#24^VZdQLh>@;;T?Z<oTAH?Yb
zG%Ib#L$B^7;Jwrg<zhP?#uKPO<}|5@;m}N<EH|5t$`j*wX9ctKLRiH>*l}`#i^2;6
z9}DnRZkf;&^OF%>wunogMI6;|p7TI_(G`I99(W-KW^PRQx$O5zcYg{?bA~Jx2%sFm
zXoZwWa_XBuY*BqnUGupb*$K*X$>-gq9_7)0dm=RJ2<b9O06HxSHEj9lLXO$BFVlB;
ztcbetYV+#?OOl|Vz)wxq5X!^kb|!A`KRP%jm53^==T>CjvIb@rkf@53Lit)Cg2-$L
z(a7LPZ3xCWd%c9Q4WM*+a=2*=vlTIRowS%dQ$YR%_KZoOrVGAY9@$IxipAh6Bajgn
zI{8u%Q{I`8yw^aLEO}>`iQC#KITt}h+2J{#0823-=kbAuWUyz4LQ)x}D4~_zR&6i=
zzg~y<n~(1S*fmtGwGzS(-N&9F?-PzWu<KC~Rt&d2)jiauxS4@@j|`(4{H*T_yX}qa
z{8sl21tR0-thY1&4#cP59gUTH>!lu^dmsjC{$Q8qPIcu0qiJ_nJm^$Qsfc<a`(he|
zC%JEfr<T=9hh};nv}N1(Es`+L+lB#JOCMib^r;Dw=Xt93>C4D>GzvLE^OAERK42w)
zOdkj#1_nOhw<vY>;{;45&6#Zc!GH*;BIax6DSrnCQuUi|ab!1zwae_i;cr?FWtEe2
zif@;do9djElRHXqYM!<yAtE{F2T!4rZ;qO&IIAs~7))h2#@?e{R%d)305WYy1K1#<
z@d26JUEd2Z<aS##ZP`ONOPb9AF{R2aOE;Hn&CT_yF|z^&{-<=425T$9OR5;CI3Upm
zfg`P)Z3jLog*d{Dx1hWyHigv%^LyPkg<_ZgosxhxvF-!~K2|5k+`a^Xh-m1SaH*9-
z%{)>l;T-J5el#nSp=f}6IW7D`I6X`=kov8<Ewp=(jF^MAMgf3iyH-Ply9~*l4;&Vf
z*=76vb+3WAO$wpXDUA?E0z|>!mK|oHrzsU0O8-clG6Xt3xg1-i1E3Kp0GnDMJzpLS
z2Ylsq<F3mizvA>BwlA#rn1_Kn?ShM`yn@R=5pI?pYxa{*SMe(6EQ7cwgB$n8;a)Mq
z^-bp^Jkaht!aFzn<(mrc&7k?Z5sijn5x+6C+9QOyo+^+*?wJop;en8T>7_E+n&SP#
zzv;#Xn~CBrM6VcXIX$5@fCo%(MDcn;QY>_nS!t;<stR-6ys&$miO_%C^HpQnKy)ke
zV1=Cz#*ru*gzEk@XyMLmN^DBwA7)Nv(xjMuCdnBI&fM87PM$V(3F#IH;X|PFbO6@d
zj}x8N3<lD}`%Qq}SHINAcLTYIxf@W?^genMJUNnSMXQTII~6ne<ap;7@ku&FBh1VR
zTI~lRqq=wVaZB43m6b6!)V^6YZz8%N(ivX0LgFW?C3k2=Cn1?Lra<l-UH4=qJEolM
zn7D7w9!P`RLsg`5u&>M7$N{)rN*#sxyF1u_KcP{i$_MVREfH2TI3&<L3nb*cj8Yy;
zk8lqn=slE^0t{B~{p1Ixog`{(Pg<p$QLg>KeI1g}#+N}6jZMqFj4H%=Tld|V4CKUS
z{}k-jZB$`tdGb8-nv4HDpjIRnB}5RT9iDQp-tX(pLhE93yz}D^WPVPsvKSm&(S~OK
z!TgS~edKz<G=ApQR*ArpHk+iO^`1yXUnFW>Wj0_X4QkK*UCjosh|P65={5VuL6AE1
zzecrNo<HW8r<)hpB<DfGr}7@#G3mEBvY2IM@UlY}69Vz9*9iIx<HotXWmw}0krDeW
zL;oOej1Bidg&%9}P{2ea_#KnM<DI1x6+OPsVCEd^%d~+T3Qrg)U*{(kiVMDEJSK~V
zl>9+a#2=iT+IW1HSiH}KaB~*A?{BRrsWKAc#yw3PM6#R&gSeisO=0Z1CA%P5JT)am
zjNhv&+F2z3?#?~d;)aW8b?dx?nSM(b>z;cE@2Zw*zZe<1oI#S*M(eflM9He5PpIYo
zwTf;F^>6SZby6a-F-xcRv0{X74Ito9hpL&l9i)V@_qc2}-qXD8lM9alIum?B>C*GF
z)b*@@bN{!JjSBQor_TwhJ#VaBADv+90*o@_HY>l&D)IeYzgJ5n7QS*NJi^2=#+ap0
z#5b#wDk}i6FAEhoA2ZUef413CH<R%<J79xV@{31Rz=1`!B^<udQuW@^^Y@1Jf3Rh$
zeWiUl|I>e7KY#RgPfS0>0Y0XlDijS&<U*%^?n6m-pTh=$^?lkaYK1>(MHudn_RJw8
z!FZ!tM=EkdP17u@*>950kT#R+^He)oNjTCgzbHjB$ntrLC;$nKoGcAvd7yc4d*F1>
zjN}ENEkC8%q^93aD2>7LQ=WqV$+B`Pd)yQ8vtfg~Vm7-<f=k>o+DfF8^o0&e0QCig
zoYQmFXfLZ1&m>lHlX{?S)kSH3eHtNhp&(-|Mh8O}RDZR#p3*;|ki>eKF4bdu?&$w1
z*1ttAKPr6;3qsmnI7}Y0`bdf74=WmzUP{+F5MGcWeM}URF0P3}jv%I7>6s;$S=&#9
z#@QJI;)^n{2D(4yUP75VdQNV3{l)|cqei9(o=l=4V_FY&5$5ltm!k?LkIeSU>6aN>
z&pZtD-^=m(FW2=+;a&~&#}+Cd0PHN$tknt}yq{yWW007JLIGKzAlYkRVP>I0AiHak
zZ`Gezqf=f*4tA9!R~-v9!Kl7zD=LHS50#g8TvzyLcTVAV2$A-_riD-;6F-Y|ePKKW
zIZqBbpsBnDnT;sS1T)3}JodJmzfc$d0*dJN7-I||_5-{Ld_zKQn1rZhtp0aS+}LMc
zl$#q`%c!mqWkLTna<f%Jz*9(4^Q}i~MAEA6lRg2@STZTWnLQ78sLh#1k1*|ioDck`
z@wg3R=EWPu8i%LxfL;%1a}e&~F}e69_2H*SgajZ?8s{t1AHEH43kd>e?yI!1W+pc1
zgnV00ct=kZ6d{f6c{#QJ#AhzZoXSp#NFg*l!Q2TJjZNyg@z1DbV7~_zc%iUjkNas!
zqaa9jK%%`-=Ei^#;B+p_%l=sUKTtfjEvdmq{K~(0T~!PW+eMeWyV<$~f1&EkSB|AZ
zE@m2q6Ntkk{PX5H%ZB2@Kt5@&{Sb*4e0eSP=B1>$z4BV!*y2SFui(@8&OAWSoW#cT
zc!+e?QElLU)(*N2waQ2|go-lBnZa=A4hUjo*a-1;sY5_c8bAn;!&5~TY2Micn787u
zRYf1lVDokHuaV200$G$7+*wJPe=jA$`)vnPNcNxaGQ3|wvNbS!FZ+i~38o*b`kdEy
zq7+;^Y;-H0^jL`l`|SOuhw(t_DJTy}rfKF6H5QFyp!EDUHH*GT>1I2NniOxXD3$wB
zR|ziZu*SG%xGg3wTCD<@43xkQYsp$8`@JMoZO(Q2-<AYH3r1e0cd@3(VNl*-;SzkX
z2f6=wQ#?gYxn}9jkehr5s#|rG#2x-Sue;}V`#mx@Q-i4usKa1*Gie~pmT4>Qa6A@J
zIH&dpOMKvi>{f0K$Wy`S)_7Qz+2OfOgBR8G$t%~pVPUN~vwn2%L+KsR-}s#eR5LyZ
zMoagK>G7~*chyLG&N1OI;HSt<;7uzx5(Hy-3JK;O0C;eZd#0&>&(@U5c!m`qjARCG
zD_FVuchgFFdNT_GtFgHSYJZ?*nAv@u=H_egIP_(;_m>Qhu7ZkTK_Xizt;LF-?I!g{
zZg<a(c5?9=ll3!$PINyBqzvFWJpX)CfV;BKRp{O&bZlomSG_KBt_oOsE@`h?*i0}q
zrOBs07yK`zKoeJVHBR~*IHuHJ46iHsJZNqHnu$EE<eKMMVaN?~Asfj$aD5E}9a$3Q
z1m=6^juiurb)L1hj1S1-&kCn=_ntSgRc*Ox($~Fkqs*QiTtyVukIgRA0fMTxag}nw
zA0j1i8Z-e8M!;6+fS8}HZ?U}jen)=8>tA><(DU4NX=tQ!c_OH#&CNf1Nt*pyKSkXA
zeoJb>1IN^`Q^m!<h?Nx11-|iBAmwS^O794zkL&z|G=*yn5_limTMo@E5$yW(V~Pt@
z0G(DBJIbd&JSnkdtr|{}1f;-9U<z}H*CUDCWQQ4SZ9<kPg;imVPj^!RvwvN$XlkM1
zZ&A8?n(=>XX*rP=k}btC?R-2y8U$6+yUmcBgPQWXU(u+13Fx-7uJW@;O|0~7vaS%Q
z%_^DIm8(qddz;`}&BG6_88Sp~(&UuEQIgZ6a{{eBV<V3+wigH0b*lKGcD>a;b*}S-
z>+gXH;Q^qg81_{^h3M7Pu>O5an4`<X31Q1pg&}wFA%yA4b3L7wq*31rLon9^fTM%}
zH2Dq5fzcPpg`H0B#47I)Z^0vZoU@=g9meDS{2VkW+pDXI$ogtoOJ`r*%~3^sGP{_^
zSEDmEUUv!bJ`*9y%VDuuv{EIl)&6Gm{=WfXEN0Lr6-pY?|M0)hNP+cz5^|mkX)~8W
zJ-E5-1I5<n<$feGr6q*eoIM)><wfQ5eJxcnrQO=HIX0dln~$(T@^RspmqtmbT9>uD
zihEbMT96R<l9B^2?P1a%I>URO1OqlT!f3ZQd`Ul4BN$_!ja1mp3{dCUcrpTK2zzV>
z6xi=_K(}#+58PyBl{lB1c;JJd_ZQZKfA=QrCjdW^ft$fVF66DZs8w3yp}pt(&AG;v
zPTg%B{%Ae;o#0c>W}9zl!DW4cm}_cJ|0i!JG{?|{G1orNv2^C@lM09PK`Juq*(}QU
zZyDnX%_9NAG~DHRh0p0tL%!5p(G~rn$aX>Mq|HjPMoec}KoJpf5m{J6oej>Bw9&C@
zG)`DcW-LB`dw{5l*}B2beSkDqxdg<MtilkzX2WA!<rTZw_LyK&Y0q6YcY|tO-F|go
zNZf&D-^6e<gchtflj7RRoR6uRvUHh}ln%=Oq)Rs_IwoS1HcjXTSz}`E4$Q{`E9COQ
zs!N*!W(<?QpA*juK%UZYCvD9?pKYziGv0Of@$&f*NPus)6-%JP-5ke_yOlz@_XuM(
zmf|4!v+h{We(*6J%_T&%+ouAZ{`UNI18LxiV=CUy=V6+QdIYC#B^c9`4i3*u3>99)
z&=mC%BT!zk(Xr`ESnF*h@-wboP@?`s0Qc;UXT79ze7fSlDiK==j>%_Db0c~UnR0|J
z{0*NKaaQ5@&+As=?tVXCj_=(a1ZB^%S@r5n*)>u`D;Y@`kSf0Tb9bbu;|N*H=F&1&
zDeeXMtH^r@rnFz5wmiJv;4%h*EIVU$c{Xqyr!_b^pXIan4Y!#R^or`>cCRQF_vf>R
zBCgw#n!8`ZYNaj`tB6-0bUgkg4ooD#7U&7sfjee-tA#Imd4t}to4d&RPItb1;8YAQ
zb!-b;9V(t(TMC+6J#*PG;jPmjqnlmhUHm!vo<`wtTyOD~zTPO^tRSaKpIHBYS_i|V
zxS%G^jnPw(2c714Ju9f9ZV1!kOx8UC_y=DEwV$cw^=_nPD$tH3n$d|d$5e`!LApnp
zM>!4mXI)cszW=KwaMLTOj<*dh5?1y%U%>*jCz(Z+K5LqSY{^I}{k=)kN_=9eU`=n#
z#~CRBFlEZrPCzllOM#8@4f;OgBISY9{j21C_rm~mpa^*+sW2rNZR(R0a#=ux&&0ir
zKx;&V=+R3z<hD{)kUTJKnwfcDWjrpEF~)HauJ+#3YCMClSu-X@ha#I`RLV3Up~Dv}
zq$_*=?D%&im-IKXe*9hs)!i}tcJSM*X6}^Z)FNWQCqYXcA;}bE>IeXjobIIqmA6z6
zc{zMICKk-ZPZ<m>UI2hbPlCY<RpevogW`N<B)1mMQae1u#jSZ*Uo0AwlQ&aXlv}lN
zw?VqL%!qK;nxv%Pb`+U_UE26zeS!DT{ok<1mxkC_vSZz?OoGK5P{=Vq_L#<plb4#o
zBEtFfjOEO=K;x5lv%aC<<Kvrctz(<7Q(zR|kt}A$?X@m;8^8Lil`y854cB}i{#iBD
z4*JyRlX;!w+K+oXDOgH3`V~BX5HoY%JvoWLRaWP`F@2cfGQTddEKvYd)U0ZQ)*`@u
zOBs6}+_Y~~iYN_#)>R7K0W6V{fVgnfOj~^_-1IcDG@|;Jn<`L$0;m|)N742iIZu|z
z66(1Kf({z9*!GC^IXHCB!B<v4l|cP7u+*P;W%RL{tI>f$F+!RZ0l983i2MrNv<SRe
zV3qew^exfRN#h1Zo%y#oLkoj0!!{GmZ>9|v%4w4m*5o2x=2I+yqDg5nZ~wQ<T9o*b
zjF8ov1v`1>j9c;!2@Sc27n%Bh2dZWOUq}kSX?1zX)@J0%W_0p>x+>i~RBjTOKH<9x
z@NWYtyYutMnm_-72Q(o6Vm>OO28uM4f@(gyR7Q!Q=TC0IzJ49&r|&m9UsJzL{z2S!
zXT%B=EK+HYKD~l4CuX7T_*AYqv;MH5pRb?3{L;?rZc$b_69<!N+K4EAH!K@C+EeF{
z=Guc~%6BU&&14dsXmnkn&M^9B6h$U|t{*dS2}bA7iFgapJ&i=x+gb?oDK?<i><(3`
zp#Ddg`%O!ZFDwBGzC+i>%>Lv|B|O5O8Tph+<u@zLa9R@bd@8l$u<2qZ#U*_0d!XFI
z>ZDsttz_SUT#ziAIuz~uC32xRCe0ixR|e^<tr{^cb)0<<T6|CA^AXT9x3KS_p^qMi
zt=}y24};;^fl(&*2NpFgvMKWX_~HDrCg7Y*kq*Qs_id(&ss7s%_|R_xy4kn1tv3h?
z+@W9AvZ(YaZD6|;DPW!lUY?2Kbl>CzC3O%mg0Bqldp$as+Wq+HCUF@m+nFA{N;}KR
zS~R_lCN7zdJJ--N?!LX`f<YlOjoQSfqF~|3JvJL~=rzh^gsoEDZSkOX*2cC(;qQ@L
z$(zk!b=7~wS~Pu+(Pw2}*l}_kpqT++kollwNcu@_@~gUKsF6@=4Bc=>zKe`5LRw7%
zlcx;)IsW$t2#(%m>=Q2Y)y&EU&g0{{nfp_Mr}^glVUtyc<4pGdD&$sdh*p^FU40Cj
z^m{HtLB^ZSIOl?;kB^oNR#QU81JP)+G)d2p{>3$gl(R$Xl7zN@&c!|R&69d;Qavvr
zN9kCmC|g6pFLYQL4W{Z7`fi7utT&c_>4rCKd*Z%YQb$-53=cq!4p3<F@6LBC^*_C^
z5=UcpqvpXpPUBxhSk1mQ?$L!xO*{oB;i<$}f~$cS@HnUfIThJz;*X<a=6L~iG)may
zII;IwK!1+I&0KopC9l`1#<8#V-}PrcG7+#y&B%6Q{Z%mc>DJ&GA^l_mY`WY-w7c%h
zQ4OX81Ycq~dnzn>;4CX4x=FT+y^FkwN)i9D(EAHuDmaYfzfX7w==i@veMgtgCw}%b
zd3xlql<kdu6KiZPK^_QP=G{lq`U$F>xnM!Bu@~HTy6SFk0{qP{sba;X#cs-p|36>L
zOEc*Va}y8xZiy0TTuO|JOo21_WF@C9qyLv6WYFTp!QK!x`MdzrQv)gPK}(w~bzykA
zYFF_P4aGlXq?6enh7oOf!t>vmsyzQ?JksjVK}>iaKab$kw%{tcnNH@b<-K7cqNBJ@
z$6h&{q1k_2je;tFjHFM;!%G`3bK1s9ezdGugP`TJ--;+N?d&1yI7|3xx@6W1Y4_7p
zO})Smg!$y3$@%}S&PfhcIiWHpqJWtQuUV9q*pH0zD6-qMG;On<uc8729p-)J{9&*`
zHd->KL(~Imxo@7>6VEFwG;g&s-IerwbY&@9gCe}{lO5c)F^5Ry1eQq|0y8}f(l(2P
zr7<>`W{P+|pSfyba4a-y)x*qFCQfsq<c+3qxhit?w(o@>MYj=n@=N<;WpJMG*2t|g
zF2JNq#BJv%(-?Q#!*$iW<Zmxweg#gx%7_k-O>+@6kx=;rnVnlK*?TxZ<LV(|!aHIB
znO%=gbE$asTkBeg)JdJbWv?k+ZFR|0?*6*l9zkF*mNdK~*z*Xpt~*ISURh&O+bx6O
zelxkH2hxq{e(M3b8V7a!ycqoQjMAHnJ7Zv@0G);vAoadQbghRe08s?r1?d<ox-s=<
zgW<clQ|}6Cha?A$?fhlfak1TSO*owu!GJ=U_AP7f)KKS7N2vMBP9~0b(VOJwx?ne^
zY(p8SiDIMGP^Q4b4MpS6FFQB<%Pbq?6f={%?Q_OJ@juMDnC>a?p5~qma1koL8bYb(
z@Z`kp;_CGNvF7l*qp}BKL=FS>TR-b$sO1mJ6=jB%)mP@?4;Fnb1wGyu@bv3+O{HDd
z77EP^M6np;e*N$4$Koh@yAUfLnHIMNfGC>uyt**xCI?S7>WYd%>lIj~o3K8sFFe_z
zF9=0pT@#tI761KRkZ`>*NyUQ$cNStFiB%t{_M_FKE#Jgm7{CCt(ulAGj#*{afE1gs
zS2t6lpOlOXp!<QPppj5$9&h(kkU|TSkHoDE=`6RyMl2D#?~TdR<Eka(;s~uLTc@p#
zfo}9molA92V*PLMv~oDTTg;<AvCE3g=S~7VZvNS3M%urBG#TgJh~DSu_{_aQoq^PR
zO-j>w&``Vd8~IOh<?34qzyrqYOYijWCfK;S;MUVS^Wv<OJzu)5C7w?e6eN-TrndV(
znyvz@$@goksDLOXA&nx^ozjZZDFRZW^ynB}Kc$qE8r?CaV{}Oi2*^fAw=jVL()~Sy
z|94%xh}XSy?0ui}oO7T1+_$~xn}pzbd@fhW4{YnyWH;8I4fQ9R0}6h>9PIRA6n`wd
zQ6amK`x28Du={OLsJ^ouvp-t-Hsemn=~toSkJUsr5mGz7Pl}gmB0jkec5eROpO~Uc
z0eg%~Pd9FlXp(KJ)0gnr@V@X*j%Y2By1}@J;szt)XBkR(-Qlc^w7%^2n~uBRR)_m2
zlrk=ND=-V038S{Ey{rDATM_WpwR5WS7N>Ds+XgCLs3V^#PugIHRIj;Aag7J2nE~Q@
zX5Qk*C(s4?qx)`ZnZr`cRZyQZ5f<f=_sGHpHs@<*UgESaEwxeY?_UgGELko$qGosA
z)B1L(cM2`iKULIEF6>fb<G3tSo}3#Xpw1@*=H{5btMqq50=ZXo<~$$+xb)@_z^CAI
zh#zv|)$>)L55jALMJlCc4x#qfN{3<r4|h#rH`P0CAH6#Go*ML8R}}$N3<k5jZo4?k
zt9y@5PQU};${v%FV34y|*M^^bb}=DBsD6zHqbRnJF7lLWNI(pQW(1Rl-~g{!k>)?o
z?YsVvE|17nDp&f<lM=-q^h|T%O1feWgKNmN)XG1na?ba(m>$va9|z{eteh=%Nh-fv
zJlw(vF@e}YL)!}f=^XFZ7RXR40L%i)Zix4jp{U8n#GNd0sm$O!EXHa{TRJAm-mqKV
zwxOA4Ug52?9UB3a>j2D=bXR~9gv9+AcMd<{VxjTQgEKtxomg-2*Wn4jWUaY)t0mt_
z0jyYG0H`A2U~<B39pCF7-`O#9acs|JYW6b2Y*Q1rSm%$^J<VVyN~%~IxHeKop~8c@
zhcUvn1699*$#K&em9GnAYG$e{-KW?VgpuIs!5&5d*3PSYd+6NN!DKI3Y)O+*hME$C
z^Xx6skMX!@n8TJ6yscP&y*fIXmV&6rSl#l?V<x$>NEMyG>6tz6=RXy?UjJU9qN9MD
z%d9($k~K+q^9|zB6UOaAmfl64v6Zvl7@vHDtkI{b<|~}2gOP4Z>ZLB%HcpP9`xops
zKpGJu>U*>LT_Z~VovB_nA4s>veHb=9hzWDfIETu-bmmw^v&=AL8CxIEcKM<Y+yCnO
zbNDPYa4I9FsPz5&bfm>C`E^BIqi)L88oXKXY09E_E2OolD*&)HTFf67mrmT;&9=LJ
z9zBK@jVIi@n$k%eoNK!-k6muvPm|K;KSGxkG5fO`)tZ$ceg|-wX{ON&R|eHyCST8#
zQ?k_<E{i?b5VD8~^VCMwZScqJZzfu<{?dE%@*A%4B4c;^X!l=M1O9(2Cw1wY%r(?*
zL>+a+fzV+HkNJn71T`d50C!ER)nXa=(HV+8z9c^ey4qxZ=tMX_Q_)X`nSSa*qc9C%
z=;EcC@gHPBS~Efr%|spIQYjM${j^u1<#EQcL6BaJi}Sgoc0+r-s$Nsxs@{iIv_?))
z*rA>P&#LZ*^!PQT?Id7EkpEmQGwAZgZt9?d&^2WEqUs>^t_n-GmaBzEDTkuR<ItM6
zRbMEui9!g=c%DH;ME$@sdZK{^ToQ;yPj<Lqj5$$)*~194FBDTo&xz8;dB2q@iB6>f
z#P#*Y<Q;xYW%$D@67FKlh<3`!6~bqC4S&A(meO;H!(fSl<W=1Ff(qW}$^t#@0}iWM
zB7LMx3i83cF<6E_-$!$*HU)VFZ@~jLD;WUh+?P7rF&#+C3|>$X7_`#rnO<qPf7q9p
zf}O#HeV04Fq8Hs122Fdn*VT%1mNDJ^6{<H-%zckV9O&S&pL1um>nO>d>GK$9(C#Hg
zHn8>ZV`6|N#FKi0F_YcZWW5arY=p)`4L0}%n8N%4wW!&<&G+YaEcX|#0qASp&1F8G
zvKJ!^C{9gp*&0se-4uO2lCnDoR!73#y_4e&pUn$TSrbyJLP$XCl?gpBuM3kR-JQ;~
zlmsm=FparAn;iv)a|dqwJ~_r)#N!-_jo*uLIb0(36^VBG+y#1ehdo+QOQr`q7H&Oy
z)4%XZSsu%kd4n43{t9|pY;xJ#H#NZN6f_d+d@|fZTV~&=C{+6DTbjQIYaO6Eh%usL
zL~QYl-TsZsD+wCXF8dtZvTqER<faL+WKh6Q-gFq*gVvkw%@Evq^hx2wL0vpatZDc6
zW1n@-rpoV^QDXT75eqr@5f0q2%|erhhrvm>?;W+B)!$K0NzEI1aBrSJ5Fo`r)AFF?
z7R^1}kNL5*+~>)<wOPML9>k(?*T9u@zVS@d<PK<p;K{3iIsPx^pV@M8Vq#-<bd^*T
zFQ_3m?s_Wrhxx%0V_?PyDW_kX20kJtf8m<^C_ni53!b*nZm@<@yV^Zl)6|TEQ~ALc
z+RGi(%B53Ga(Dl}!-GM-rH&}081iRpLyz4_1SjjiQl}X@so^9kS6<3}SopqA-VK6W
zoptgXXVFajhksTqkTMv|3s6t7$>di~@Zy1nUWm0V<~V$14clEkJ`f}h3K6?3(@0ck
z@<u35B|&+1bCI8`$Z67{LV@U`zBm-y!eRq8vPY-VhE{7fYyu7%wS<70yglwu7B6GQ
zVH8I$`w;sgXswLdlv~*ZEz{z}(c<T&t8_K=-#p(AeU07Kv=YC7-48+tLP`Wu9XCFu
zj`gTc`WmuGFE9TiZORdF(}}zF>5^@F??}ZhZEC=AmYIOq3x_I~+0tyR=cwO|Q)U4K
z0}94mo)4dF?<4=zjF@B%4eNVK+~K-ST1Z(uw2|qt-Qp_#$qB&gTZrANK4;iJ6EMt}
za&rOFG&sCUN9Poo&#Bi2IH>Qn{vDg`A<TB_A^b~#xJW{%PCy|%y0$d`pI7PsR}g}(
z2rvD^_5ulsd(xjo!)n$?O_^Zx$(#g`TqeHkfd;X<P$lnJ4#1!%;huGJBau|$ecbo{
z2H0ExCYZq%%W-@e2Kq-HBYR%W-#3*Q#LtcvYrnfGrq}oL3GU2SfuCEA>ph>WhO;K7
z7jmlyctH{faBaK{E5|su8fkiNj>o**>9V!I5x>v46nMw#H)Aj$4R{AMuOycpp*3b@
zD0vXl)7xu31~wSbQhxR8lWndw&@RY$L=8=fRWRe?7OgB0(PN`)<j7I}{mq+?t{c00
z!d850lxvDM(Autj6WE}afT`y5HW1;OJ>%Z6#}cKV=^uK3QHA-XW#$!9B|LZu#^CMr
zQs_tit=hl1_J?l1?T^=8a#}GC4o*e>V8_u`;sNZp?yGNr*Y!8(sY5p}VT|W)N0gF|
z88h2D3r*7`U;5Bc0b1Yr(K^4JvRc_CEwYj`mKmAQLweP3^XPDTTKJwny?wRz_!byC
z=_?tO)Vq`7o2$Yy&9U}S>VMT~pV{YK^NJT&l)I!6rj^xJ(eVA6#QyXkO15K6-8axI
zl$)(DZ*Lju{d2XjdhBx?rgODxY|LFQTXj}DJ>E|V`1rY1v>wXU${!=g#o7tZ-_G}M
zNgOCl@*rh*Gpl0Duf5X?2fU2_;{{L;OQ~L#>2?W}M=vO@<?q)um`O4~Tb3xPoMQ?j
z`zfxSP5s*x%pN<7;uaZd@#eQvhq^<V*IbpTgv6cz6ov3o2;%?066$6gm&u8Hl@WrT
zbSomGz(&e8(;N~jFaC#HIYosEJ}x+as8I>I6e_`N&rKimyE|Gs^yk0Vn+gCNMIWDl
zDdxxcx;fIL2I2EXgkp9A=96i$d|Wt5rczWFwG;#qVlya>YSH#)!fw&`7t5eCuFY2c
zJW<)tQ->kRf>w@~d$B{vbn?es@{}gum(~&DNT-iZR4l6ke6>Jr#&LPDL$p=23*Y;@
zW&M4U-QseE+qzwRYS^=4am|(o`Y@uU8YyV>$C>0k>f*oOPTH#HJ(KsWLyb#M+TNci
z)n%MLHq?(y$GHW8>`VgU|4^8;nfKekzVJYh!l?&;6g=m?BnH#w=8>CDs}R9&Gu(lM
z_Zh+*@dYm^^-8ysHi65afZNxZ$oEv~6cg@y=QhnO#PgE4RuT1?$FyAQ-IpNS2D`g6
zW4bV(_y+~N%g)Sp<hP>ad@)*-D7cY9Z)9?f#72dmb>@s^uFn5r>=i1mSwUIhHdol%
zw<lO|9-ZzfH5udQTAJ=Ueoj;6cpX$~0Is9adw~){ax|nDqC~G~8$NS3dxBc#3&dcC
zC8-N^U^WV^p;EcysNTODiM2^Xr+>d@wSzo^^o=`@-XlJ)iL_2cq9atj%e<BM>G0{_
zcQz;M|9x@X76fwy<HxWrgh%&v)4;1vOn=eaVm>a3C5$_0&E$c)9l4-9Ff`OdtHl*n
z$<6nxoTjB&wUD#3<u0m&ai^jkgs~vRxcX8m1=vgl{aIKOPwLzTm;Sq7eD@}ng#aXG
z8beA31B}xf^{_}K2y<sMYzDk{{ol@p2?v6W4iMM+8Foi<ch_WhJQzRW$rwj2(2E^d
zP{ne<_?AFQlVSc->ypTR_lPrKX}3H1DN1~uZJg=7rul(Pf=8Q{!QOYC)qf?rwcl+Z
z-c}LE6)OqN2EyXy<B-3~%D53J<}AYQMmdUq{J0C44fT_qUk^!s4B)WRo0!{NIDXB)
zh?K+&Q9Vt<>o`q%0I;7+Hrp}g7ud543etaH@)dG_XH-Hej08&^S%iB!1a^~6cF`MR
zUVM?*X$S6E+>dR&rPenbB;M`LBPFr?Jisg%deD?_#d13h^fa-t9f`bCW36xb>L_1|
zRO|97f-VaCe~k2t-09`J)8Q{8&WCq)JbuNBsq;rUjPC51p<Kk&*&V-eB(ZS$=EeMs
zMQU#J5<mdC2WT^N&}3|ZJ9oTpTUaUQ;kX>+-ycn{*zZQ}!)Yl{dn3@O36?6L$@3<+
z<N5g|qo}1a8mcZ{kumTCg{l{d!6Y?G>sc-x(SPk?sAaeR@hg1B-YxrzA5UEoGs$xk
zl3yX-NRGq{f%6fnhxLil?&NNHz@tFJ4NQH3eag+a82;03@^g@~S?Eeb90lit*iGxT
zCwP5$9Yst8U8NDD(+ElgQk58H-l`zBI*_lUDk(-}NikC62lj1=d8F^YUh^!Cq)HTu
zCUnTHx5>-ovJ=5~ObupL0$h2$%0NC5*^zlXvKP(o$3$6Zs9bx~-b+hY-z0-Yu6aoJ
zyr6Ju>7MSYE+LsB=xWI8)OAXuwC$Z$n2R~uDvF#zpM&zS*yusi*krYs)^51kK(qR6
z>77Wg*EYLYO*33MT={#*gTl6P7a{GGD=D`0!Ksd>Fe^{b$-Qo0GabZQAyL0PksB)H
zPi#G5_ZL&kr?hg9c@RiR9zTt@ktX=^E*FbgN9sIdA%E=FV(6HO-?Wk70bQTDmY0lk
zo6i~F0Xe1Zf5SIR+?Lm!#g-BaB6hPpyUgX}MD_XK?iJY6=5zd^z+@e5O+HF4COdPh
zmMZPK63E(y%_7*fJgh`~-$q~y>;IM<QQrDF(z$*4j8E%#%A?Hr$$pt@oqpYgyYDH*
zj!ShlJYk)W|9ZS<lorh_U;NV^xBp1r0e5@|*HVCgGcjbxDA&}xTf&b})uZcpJrRCl
z=&KOrgg`vRt_X88xj%F}AW>fW=m<gAYx{lq;_%lvzsgpt4b0EuvR$xrKY!nuRG&dy
zRc~x*2B3^^M}*<8!yiB%;DpP&B*F<w)G{G=C{vo|47D?+Dx!)AS3Y0_4UECmOy$MZ
zzP)3OYnN<;KX-1gA%9EF9H>#TT(&|4D@6;T_6?HB-Kw`AT@xUg+`m<PhrGx(JAU+y
z+`&6KXjIt{N}s%!&?;J6p9wpT0ot<y2S&fF#_8RkZRea8bP1=gbG-qy``Hj1DX+tG
z2mLufO6&(<xPQy*ogvi1h~VdRY|jlRCr4^!x#&@+s6@MeE~n_)t+euve)WUw8cS$}
z{TKW9lZ%)!E?b!SkB8XJmcKT(`7Y)PCxgtE?nZvTz}#BootSN#Dg64NGfnbfdU0=a
z4PV~pFR$eMyUVS$+Em<}g;p}7M@>}WQecKaLN~~JJ>E8<Zx!*UQ>+&5OElV=hJAYr
zs1T8n)@l2%SpnjGU}I-eaet5<=Ez+eVwFP5t%5@3@QO(ks8@GRIa#lyo?wPH?F5s!
z3Ys&XRKB#V`9*!Ldg_^1Ciy+;%#;gs{!SItX~H9RwDL{@?NJS9Te|=eay33~yI}2p
z<Z5Sa;OPcNfO!e9oe2WG>nD7lWb3}^_SN;Yz9CW@doOVrS47nJ=fCmWFG_Qx0Vs#&
zxaVs5$aY99YIQjx_F33u1#OJ6{}3%{mw8kuxbia&?ZcA)yz!6nbu#Q?iphw%7axPh
z*jxRoPqaEW(ehemB!HU>!aZ|?-$>2-pCzVy^b`QP2iwUZ)s58nm&$}ZQ{=g|2bo<J
zy`LtlZ8`6|!2oqC@@96r8mv+fnNTSh!%Q4asGw$ls?)74&4cZhKo4-F*&B08<<w7J
zOO}$>jv+^f$2MSP%abEZZ$h!6Fi51Ql+}Gh9j62Y^q(9N-4tluKNGc8ipt2F<l6qc
zh<L|yIf0d@D?D1~KwRV_Q!oD<i*tQ3x!@^y&P*hkY)<aKUDibg_DY%BFK%-cXnv-D
zAzu<5@ok}Ep&PKTOZ6>52t)^MaXOIk0e@l8#kuO5S(jbO15ThPgB>-q7@UB)MwL=e
zfw4pEQ2#@_L4*3Bar@7up0Blv+Kh46?5(`=0N;Nrr5$MWoI4o3J~^nOqI8E-`!aGh
zS65<!gK<RuPNjeZzV=J?Y`|X#x_8!q<8pQ|2yxx!B2I?(UXsW&MW)O8@*`mT-ump@
zqTl_T?!SqH43t4fPjnSxUEO)0Qy-2Zr2S^@-<CG+ir<po_BQC?nf@C)MWOK={yvd4
z7f0+K&1|NTc02s-d=0R<_j2O%|6<WlS4hPeVfTGC-q!6nCgx@51(;3`LV=06#J^tS
z-1XK5^y(_1AMAgGOO<59yU>e$yKmUv%sZmf2gQN;>!zl6g)N*Mxjt@eMNflW_rJ}Q
zB}=<sDRyRig(YJDE{};;ad6IGFD=-vdaVcb`~oDUM$j&Ds86B+0m+i!YTla*uArel
z_p`31@ycljq?)U#zVz5ciYUjNNR?5%1dJkh9X6vqFU1P)3VP)rY|W7Oa4;oKJFw}n
z-2y3j573`L6k=AF(^vZG<Fu^000~!C0UujXlW+|wa(&pd_>LMi$$!@#P<pGn_#sEQ
z$0)p^oPA%^#UA|AGajY?hDxZy?EydIKJG4+7Bf)z!^sW?L=^Tb3$vMV1XozTB81%O
z4fqegQ1h$f&#Y&Oi(nIdlAPGHy?w32v+uSm!Dd)VKj??bvLVv_&PpNQuD2dB2~vxE
zY0!e4HT3Q$0$0iYE3CPGUDQY&*PsFIbtyE%D^|DRS8SLLb$5;U4u$?ry;rgS3FG7%
z(Xq<oFbb*mggapN5T4E|Zfs|8)%x_vD^06*`8261rr&>|bM#k5hh}kBY^UVu!x$%Y
zfFIRlK+8x(%N$a@G5i@CI#9Lfr|O!jR`NI5{Q7~D=#6Jn(?C3EHRXg3zw3Qq%k=%(
zD(y{^WTsi9tZx^HjE1&ed>lm%maYy3AO3Tsa>kQqEMu_it@ai!LNf#Lz?(Oe!RE%j
zyMr;wWa8q>Sj{_}`++{D2H9*K=nZb{M4IL<pN8?_&(|re+3bCot37+}8v$gMz+w@O
z|ACZW_oW-q!H#!=0n8^yvY_$fJFNP@)UoNPN#_Kwx1V#0UBJ%0`R(Q>{|oI>_YOs^
zrWukJ@3Pyl8`4364_TTTf-T&WmQU7}f}JkYTw_H>Donaj4320^j7-v0{LO49HHKK4
z5{YZbkIs6`*Ts3gli3odvmJ3AH3Q1`n7!l^N>I-!Ie}^wp^1{$g~fCZf$G!grzY6W
z+FX)P;Wc;Ni#3>tL~HtMITzETU$c9`P1Oy^{VG8(7JtFv)qZS|h9AgPU_Nv*ZK1dO
z1gkpqY3Nol-$S|0qplR{dt+G_k%YEIA-6c0Lc+~=0oDG6&+D&f8ovmcE-*yW?S7N|
z@81n3m=jB&Ie<Np@NXE#KQpIE3+X@?Q6Q2b$<OmkwF=@iPXfueiraG+KBDY(2jV@5
zR7P{=ESn&o0X0woS|k8Tuyo4nkRDT4my&+~zzN)l=g#6<p`w#CRD+vu4?L=8{#mh0
z0zkahk_G)iPQy9=jLYeC*LMiXvTBT}OEkU;#k!?8S^XE-@55}hzQd<`hH@#M#k*g`
zXlKf^ellNn_I=GtP`Ic+_E_2}OzJrR@Fi^+?z^=GL6XbbSDT*vs0*)7kc7*n1h-go
z8C{jXuudx^<)s!IliaMfbz^w!dAhC=Ae?S^Zp43#>s$5cmXoX(tf~9VVX=V~m<1B8
zlWAaB{=FIlc4!`}OzSkUW`dBmQO6G%Ixmv6olu3F54nnXqWF(xpdCvqy1T9)-krmf
z3BO}637;1lbzCn~v7N$6_uu8pM9_JgVh;Ypp6&g;Tm(m<x_`fb&r1#$Rn3a(x!%3{
zD&R6X+;tjcM-4fxV1K_{(apF@=S)gKA7Ezh#^>_Lt;6Fn=nYzOnw*f1_iInZt_$Bc
zgeX3UcEIo1ZNJBCe!{3H{X$uRKeBcZ>`1tlPP6-cN(%hkE>GL~Vk^Z@)ZLDMyYRUi
z1O3JDJ|$4E?V1hhSKXSK-qD}nG${6bwjZ7%#PbQ83})s9jBnb!)sS#0`*W)tJ0kie
z)j>=K;gMJ}X#1I?aW82MSq;H&YM^}mRDBb}$6e{x_Wp(QUf6MPqCXqxOp!>Fi!@fp
z>l_e`&VD_q4e!HK7qtzIr2qD<7M-(*kYo5CttIeIawtu+yKVM;4QzV|=&W9ZB_sr(
z5?1Gu32kzSdVzjtGSK`~l-o6<dvU4v2?AwRm-UYWMT;pGD(PO~#3B^!q<at5I9ujs
z`t0C{o=a_ByCUg7J&J?JbbQA&EbUee{eTvLy%WG4*sIPE^JTMi1<SGr`UPk>)J2p^
zdWPfsT7zYesnGT#dm*FyJTY2P63=uNdQMy_I>H!wR~pYqi9!E4|IU-lY74$%48w+$
z8jmyYl^{!vakl!DrOA!IvwqlKQSHrahty_TBji0=z}^aod)%Zh;vY+Y?@o<WXhZn$
z*uu|UIx)4&!CMGgU$UYxS4n;~Q0TFqK6dca4PBNRG8|6OGxN|@kja28<R$$<7wFL~
z`fXY>h9*P|%XHMP*MT>qLUVw;MFBbgK)PrW=$=Uy>ERec%c@st#8HB+C=G9DHo*5}
z*cywwH!6IINg`!BMD@k2q*XGgKEn@CTB6vY)ttPu8!Z+(-=3$}z*2I;dfyE*8ojRI
z<Wj}*-j3fGy?&w|G4_)Al8+*lW=RhBXqz1W;_}<RG!Ko#_cfRxES<aW04TCpS}{Jl
z%ugfVLXY_miZ-FdpG>eA?WZ9eVNT+~Pp^26LLI@!k;AO=O)8;R*kcQQl<+4!y%pId
z77^y1fP&Csr#S=BL2Aa~Pkg~x>E(o~pxG2F-!a2UVjz~+0Ue%GPUF3BVL+LRQLUHc
zKh%0^yB)`U0~;N1E~)5OUzt=&(=ye|PJDS8r#1t4CxAaSVgJbfPXc~B_f-x-bg<<v
zIW;yueDXFtu52EqI6JIp9__;F+kP4%O8X+vB*thMzK<--F)z_!j)Z0JyJJkLM;7FK
zgPt57zAx^e6Lb~LPB7YZd=Tx{EX#B2B!GEwAtz4slM{O?R82LB7akEj3_I1kVtyzM
ziX<~W;4a=h@B!Qr<=sb(5<(x8%j-_vr%w??x^^s!WQ#!YDu+3iP2_L;tP@W{yFntp
z3vyE;Ejsb`2aEs8vF%DLh(JN|fWpTl-M>=w*ei0q$0r(Bw!^<k<uRsiWtq+-cp60m
zkKwZX8~(V!Cxu>Ko>F&=O3`u5sQ&9+o2kOEUVjN1#aifk&9qje75Z!UKc?KgSo6L;
z*kkN!e1G3RpH?0Prda+rt}LA(Nkc_Ww+EV1*nfYfRBKmk@6tWQ6g2gxWZXzmp#4Hy
z_Lc3&MLO<)6C<F%v25^Fs$g8fK{2^+g`OECs~`;%Tv{zpJ|woE@(8|*33j|xN*HK*
zsb#yqMR@|$CoYS)v&Hg`*7x)Fg5xF}RrUV0Ze}<DdHT$jA!>MIa$+Rv2l0Y&D;^dq
z;7%#+>s=EnL#!tT1R?&roEb&Tt@e1)rj6SBHvbQ2yCo!tc0v^Up9q1j>2qqJ%$ik5
zM|vGkruz7Py*!yia<Pl?|0~Dc^=z$CW00lq1DXpsG3{EV$ilDZqW!f{%gsi<0x4CX
zc{+jX97S*O%TnL`_v^Zsg?Hr#{c^Q~7cC#rwf&6zH{SW5bAM&*M1V~`sn$mx{d0Cc
z=H?5b;&2P;zom7-SUoR!<&dgr%SZV)(*Vme|FLx4;0xt$|4{|z{6y&#^mG;C^~<Ig
zsYNcwNaS9s9TNG+iJ95g$B}-z-3E<VCY01udtz72gi^TdMdozt(p1JSYvxtNn#Y*$
zGSVTS&{@V}hj_vC(?a?Xpyy{nE6rZp94Dpro_=zDx_K)+GQwL;$GWq5MutTs_;S=c
z**r<^iJE+V^i}oQ1EZ)@<{%-=sY8&4?-y>murbm7lgNoB9E9Y%c?=zm4_xozR|9*a
zn!o3BfvQDvBk0N%mq+Z)PG)^&+8aY-7|<G~oL`V4nwnCxFmrO$Ik9cyo}WGo^@tEr
zlV0sIr8UZ<Q5$~9B4(c*iMr)?gKkKG+u4_m3XYm_u+e1iV)i2C_6?=zSk*c;s&J7J
z1r)jEZ}{Q(7aNZ2w2#G^npWDd$DW7QP}vdE8rM2a+%?Xo+(CKU(LLm<>zU_YQgIa3
zA4naOr@VG9EREF^f0j&lu0i*(f4!(=tcohZDH$-9i`_<AW%MU%S4Q}kc)4W>f#S*<
zovX;NX76)aD(B~I*tscWcENpDBK9K5N=N+AXP@sROVi$c5Th-SXngGPu)nBD%Yp9C
zNpMnAnGxh6mnV9QpI=P?^cQd;sSQl&)x6&A;V$kSD2$7{nGE$>7~IbNI3`n}PU<;z
zQ|Xi32%D@y=i34LHEdH;7fG)5^5lj(FNiWP2j4!i;bTAO=5W;i2#_t(Q2wPTRIsB*
zeoOj!cX)sJfTUVTr7l{OPU@^I5`~`Y6jQ@p%ePpBb{p9s-o~H3OS$_n*zv)8ys<ie
z-+nT6hl_cly8Fa?j#welAtYKx_X9qcU8H*kTcO0&p3Ah@Ou$7)G2JA0#Vxf$`#0(&
zjEUaEVf(_YMH<0256coes38&uN-ehT0FJb~sCp~RFI~%AW~NPg2|c!j;W89W=Zv|8
zC|r$OnP>&zROW5x>x?O=I1M^lztsS<sRY7BKVe-F0}Z-ZnG9S|JK3FjR=@MI_L{%p
z)M%RKm3+_dwK_qH`R1dE(3d+c;4_U`BO1QLHqW)_I*Tn=MHLpkR~H~qX(KtF304xo
zHkH_jG)jWUZ$vXo-oMnNn=Cx(@w>s*;)#zrKg+lkE@z99vGqFhNezD+jD@7<A#S^-
zPfc$w`h{PESd72#96^m@e%-}R;sRLxWRb+kyh}Pz?>6<-xbBRUjBe7<0fQmurLLHv
zf`P;{_|Op0%rE*PH;rkDk-I7LbjC>^CoMYiYgW+pyFL6&%ydc7G2t>l#qc^f`@-V@
zbMy2C^ECWGHxFn6c5UaVKngAOPLngv9}HTdmMG{Xv)xNZrr%s;tXf4Gk@T85G_E2r
zY+A@G_WNuDm%0|+sjnE6mjLM$SnbpY_6f5kM-4s2E-ovZ!3m|m`ZV7UDkihGU3PBz
z`4g*~(xeixdfZ@E%pp};b@ak78*kABk-%Cp)^BC5f12>0fx}1z`yX3(1+KKM-omOP
zrD1EOEF8_UWs)X^FNRz3w;zECKa#gUWXR5AZe<@P+XxZ!MM?qf*V*(=!CdvTL7AmG
zOR*I(Ri2uAHHF@n`3wTO>i~~}5#oR^7Fe=85-BYomX~+-mjH%kEgx$3=(5;ke!5_=
zF{VElL3H|NE10XXLhmXDyikgzm37sA%pYIEB>U|vD|2tOO7v6e4!$`4K(pTXShG)0
z?!?b0u6WjW%`}akoRw4-&h9i1?)cbWOf7ql?VJ?P>U$SA?a$bhN;ZE>nDTgzdvdBD
z#}ke*u~f|ZwaYgD;nz^&W|7ZBo3&(;=T=yYaGBLmSOTt}Ze|M4Uf_PPrRW(eP5O4u
zh^<GyT_j>Y$yl#F*s^->1&2XcvyD;X`zNA;aM9;}yMJ2Nsr7&F|7}T%W{aHv1}wAu
z+%tNnPNogkeV=qDc@w<V_32}lN6|_1SFAd-;5a*U@=1_~FpCtR=eFXAI2?WqG9+6$
zv;-Q^+xE-G|JfqL+N4r_3e5PRU<J%H-=VEETpQL_R%Sv4h&{c{hCV(NmWbVRcJTKY
zJ<YE5JnKex_S<AVl?V!XUTR=2Qi>vw``vGYwQzdv?2$imz$*NZ`?yKD9Dg1^?cQH=
zbsXj#mI;HLD^nFESyV5MD#yL7c--eK(y0#Wj}LJjLuFB+riZrBp0VHv9_Z%Fdx<2u
z8}RWhjpXM9M1h3rj+YiES8k1I1F5FZOLeCoVI5PRGgOCgA#)5Sy@DR4|C+a{+;|y2
z7m8Y^BDyqTx0(<6)r*rApZ}{3C#zkoMfKeeBL`>lRMUwR!<=!E-Ff<W@92DWF!#ye
z5)?F*8x;2HYuoEfldZ^f8gn4W@ea4}f!5Ulf3|5PkF_phcgNUv%Bo4%+9TJp;o%3a
zpQq!ug(c#EZ3|8oDw@(jD<5iN8AgBg=Xw$LwGoz{Y3r?2qK*6eNn&+Wqu9TptuB&A
zaU^U-e%gOy10O|5GKF8T#JuH?`^m`^^mu9hnw5#sg#*<(ouQ^4W*<#e9Cj5n6;(w_
zbW)?}b)i4-0`v2OaG$T-qq~<?xkyJ8sDpirA>Au<#V;Ef;HIrl^P5D|=(p^7BGFd!
zcQ)-*j%0qmcz=MS@8l84l4{O%4f}RwRoz`qhk@l5>bVv$w^zNWY~1asn<Jj!5$Lm?
zt~pn9WmA<uI5)ZFJC7(V(s&LbB$}U3Y;GSS(?4WG{GvsH4>d<jzVzMhma&8e?emk#
zf8%W*bht7a%NpE25B2#jWE3LiTu03GFL08QEoa42`=+S~Lx@`k2c?xBW)EOSMJa@^
zhOp5mOX7yVWBR7eju&*M-e}U+MJ;?$ynuP%{Acu=Nh8(!=EpJe`!}D7I=^&EX*~LH
zKk<ZhV7X*&E6K{vB?b4RCAH4G%2Aqhy~4MDw3L{Z*ntTUc&KK?wB)JdV;zCw3^6pk
zhnh6g8YKNzk5=&0+!-7?<%jIl$M2D0?-X8QJZY?pPO%`)hb@^^vtjva=iNe0GM;&@
z`3?uWFpkwzGc<pxBm5``yr3$_fD9w{_LE{3^;NpEVLPmRlVce|ZnL}EkF?o!Zn_N<
z^l6K%M>ZHErirhXyA@^k;QaQd#g#4MtII`Wj_?QGY_RHIwDiSMW&EXP31>>PVG5f~
zv%QVkQ7no1@t1cMSfj*#ghW}FL1X<Bovr-nAV0a~Zp<rXyfph`dy=N=uLfO}1P#KR
zUAys3VZfs|BxK9c0}uEbg*+$dpMNMpi&FMO^f&EwA?Ah=uiqr)huKZ~j5Ez7?mxHp
z8miit&`8X%rVJooIZB)(eq>$odq#6dq=hVm*iTW;kf+4{p?j~60q+v~z!wftS&W1G
z8%4Q)+hKHd33T`a%lUJz%tf`!f3mlAVn_^YS0H!mLcvua%(I1FFW~R%U)>Mk?28x_
z?XNJ*yR>5tkcAt-Qih>C^xu(6Sd%8^HOL)OIZ4&|#_D-C0>9Ueds(Ax5^H-FXd2$}
z?BCpff?14hDP1nzXNiv%ZIpCcI50#a_TLYl=GXR16fRpJpie|O)9wrm9SplwmlLl`
zElcQ&5vRr$&XqyEhEZ<TBq9?hPq1EG`cC>@Q_2)bj_GZl;+C`x@8uo2-^~fgyD!?>
zWgZLqfCvc51K|)?i4eCqb>ME-0i@HHc~PF(PO5@IJ73*0_M)6C_v<)Ybr6}m+r7Lb
zIbX6#idP6DU7J|qro%|`*%w-J&HY@twlmwESu-7d1xR>~LCI_&Ozq~Ch2}CwAX+ZZ
z!PWDFJlFiAgQPMjv<~Yg44FSH4~pY)tJn^0WsA&^YU<sVW%HxyfTF+ONu_pVt(PJ7
zT_~U{pCwBdX)EBUXtLIGed&QQ*D)fY=g%@D&jo&=I-LK$E@MoKouFkQ-q6sfPGFhH
zMwoQUu5hNZl<o(fqoMEf^BV<TWs5(8UE#_P{z5S%5PC5`8pm>65iCTlOp|J&>RI8L
zWrQXynHa<9)w182EK;GcE%UK#JD(MDE9BPW9~*!>w?6g8Bh0JAWKd`=R8F}+gVZzs
z7Y!S9o$ZVso!NHDZJPb5^eTpHs_@$DiR%Gl&biFSl9nf4^Aq35A3zp16RorBl|zG5
zB`GJGjX4D^L3mkra<oV|i<O)y_m$2AuTEs5R5#n#s(@ENWi0~zSmzyY&j$Z2JjEnl
zR-fhx7pY&O<9q3Z7d?91O4xH6U%LP$()-+w?3pesRuKIyS#|pnaN@lQ&oh|SNPXe=
zv?u-5XW(C~1svW_<F?&MsYJx>ADD(Nq=M&ohu<Y!9FP$^ExyxuXF*R))V$%5`tQZu
zN9x4XFdh0gVqOOh9H;8tgw+zyAYh-&TngRSo?TVZ=VuivV8GinvYPH`!}ou~81Cmg
zTpw$`SY6SeMK1Xto<&rZ4qs1MyIZ9UtEjKO<B!}BO2fcX4njsU%XCJpx0#Pvu^z1q
zu#w{czGuc6_S%t7g^}?-DC<vse?`3;ouX9h>gH5RZo;Ex_CxJnABlIB&p8rx&eNk=
znEpEUswPKFeFiq)O28UUnMbm__vgp*480whE1%Nc^e!C>SigZl8YW8=Cu{EYgsW-;
zQu1Gems|1hGvBQlt?F`$k;b89CRWu0V)|P7SDRv!Z^BZfZTd;#g1uQm@Er21qiM=}
zhiQE}b$!07<ZTd)g1WmK>v@TiU*>-7d~}NME+13Z2;ANm4kJBU5-#S7rShU#Sg}!9
znL)IVexWzRIipA7nWLKL$9CuK?)$cP!V1#0KCt}^BY76WckgSU1jN>r&B-Q27C%%L
zf4mmQlCR&jP}ZAI!->$nH7k(!{JTZK`d7P}6-QlKC5=?Rg;~_PwduZ7fN3r46V?N@
z+mXmSyt*)=r&?%W9z3bt2$*I!RV$T$KV~VKvi;)k2rY*_&>f);S$=L>%Ryur;7%(&
zO4{Sm-L9SUEA<{&@R4e?c6o*8`N0bs75-4zAT=sYiq=T1*xTvnoSxXlJL859YIiHZ
zHO}FfW_n!)<u9t+Ab{s!e52YW^;{-qX)%yVNXCSt|9t%H(@pt|X$zary9+s7?ZDAo
zCzaoKLF#_xGmnZB?z;zuz)qYnxo-1gUhK<AhRSD$=eq}1Xpi*8ki2$zT4~M=W0Q#6
z4w@g7i^zU;euDn;PZ^SofuB(HKT&fLHSKUA3|U5U=}M^`Y;C%;6ZWyG9n@ODM(XoQ
z_baMiuwI!m7TVfFoRAIPHuWJ38p&Yc54W^%Rka_b71cE_yiT6e(086p)0ro07TDTS
z>c8E?Qpl-y=i?fe-YaTMmV`=}yZ*Zr_W2qua3C2{z9rM+G{!!PGd25K^U{LQy2<*U
zXM2wY&Mb1p*M=bTw2ChD)~{jp&|4aVMz+_<cnT~lKg{HI+h&UT4*T~xYqS*G&SZF7
z-@Dyr==esAgqHuP<_J{>h9~IH?fif({3*8xQ>$bJ5uG$_0_##J%Z9$lmf>l1r4%n$
z5X&&Fd3@<H_+iVUJ4)sO{qi9RUc3b(NMFSo3(R}Q<(ydcEFbD0SE+80@izK+Z<770
zgic}Za2!X_H}p`*j9V~;WzR;s8we6^QrVy2Oqk$;tI}S4hS$JMwNVi}(y_A5CcMlB
zmZ4gbS8a<qW8;7?WkUVOcDQWodr16sn3}yScDceOTC?Jcjvc+_!L;#wPVXj)OyhJp
z_w65g^oI|1Aq#kc_EFPQIoTUoJNCFbd<PFa(>7j!D@07oZ(cK4t!+ANpP+d+5V%8w
z2c)>zcH{x4tt&Y&vjm2_aWI`@>O?rA@c<^gd|O~bkLjP;9MOL5vkFyPmc60Pm)@&O
z#ACfwOvOd}zlKJMl96ph-TJe5*hv0R3t4GJlvc_$O)s`;ga}ci7WWHB9K!r`G83UZ
z6S6Bc<QrKcUIsb*2LI+$h$iNhf1S9A`)DTfz4WMs{r1hKYkq9}6VXnYR|g}eAD~}u
z+EqC?vdA?LHy6n(knBo+_40&gHp=ER;;pUfx0p1Ma*2l?@637<vpqg1l8$0byd%d^
zveYj7mj=2y>I)<Z6aS3D***%?k<wzK*Eb#Y>;JHZu>s3jlh$u>HVp<{Nf&wz&MVVs
zjLSNiu>ZUJ;xw->qpdz9e<(`KFMkMYVU44V+Y&z%{L)cvXl6V!gU@>Me&78i96nfO
z6OEohtWTKmJr8Kn|B8e`lE91=Jgghzd|ZMbe*jf(O9t_s0k%y+_r1HYPxOuTKYsgp
zHbedMa*qd}K=sccg&Eq|gv?jz5Sw9H<)O7eW*f_$5r=_tiU#gG2*w-z?A%@>qc=K7
zNZz|Ibu_}g%Z9DA)E*AZ3|TAq^fP}vNnSi|T*GM@KR%sJxEMGdf8%)gniCXf&5a{&
zCNc8?^p$M#j(=vr&9v~xU_wph-+{rPbFXIV4q~p%OoOL92fZG|Ls&8_LFaIvywoDn
zi6{P@7SlL#UPC`!lvW8)W8XD2oR`O6tz=$E*{y63Z(YKHcB0mAf~{GI(_;4OcZv?h
z_cgJ16?iC~EM%?kezfEMpKmI1!6uZnz^BkJ-ey1AZ>O$K{;hvs8$8H@k(B;+_A*1V
z_fo74r4*MSPBd8Rkj-q`$6KYg60hoMA0K+wncEIA=z5q3G<%<}aJfV+4XEwoX>5IM
z2L{{^UwE`SjnaL0C+bwLl|Ss3-FJTFp|vNyN*^jvN1O^f-@4%vwLW^^G9?e}y})p^
z{jWUu&s60l<*^$cc(CB=RC<b9kK{Xxi?q(T*O=dWx3!gS_n-;8-f>}(qlUw<54`DP
z#rJY*kY$K}8a>OtOw<5pd?Z;eE1qO&c)jBrlNx7}pxsH}#*n$CYuV0uW?uVyw8xkB
zhc6r#oxM+5XQf_YT|=ePX3C0w2Oe(!2;PN&nDg54c3TrenWWel#j&lv*nRACfLkgQ
zabSx~8}thV5kiVfG%m&;x)%Lf>HG*KuftB9A9XRwPF&eq9^Y=}LaTNlIyjG*+89(>
zY+M6{Brv}Xby>+D3B7Gtb~BAoAn)>sETo6u{Y7v%C#04?7fH6V{t(z`>o&Aea1K@B
zsDmTG+jd2ves#oO6Vi(2xX|{?`+VceRIW=@nM~rITNQ4R3|aCA4UfdBaC^%Syb=}3
z)0LXkm}q=#aT8K}lq1}@{n)Q!o=^AJv7`8((EYcj?o*ZBla$?tYcn<C7>Fmsx1hY7
z8A*}6y!J}33FK?1+s{EB<oIpP<fby*;jLX=%|hxwkfNTI$j{$e<T}`Ipl2h@>v;QA
zrDD0Aytbq7=@c6u{q)exk5|;5ACci~ZL$Zo)w@VR$=_TTbM()6YB+O|VlDd|v4sj&
zZt9fOASJ=fbE%>hm3dTm@;hG%cr-u5B|NbGfsUV??q?CIuza|n6E$8*+`}AZ%ff0`
zrKQE5H3dh!-aRWxkV=0L)y)O@{+$|+keQW`c`2%arq8g-QzVJJ`-dhKuQOS90oVGf
zy{OBex)d(obEoiBL2Dz!TN|Pc-bbc4Z;~A-*VpZc(Wbl%2qEVoQT6!o_L0(T-4u1o
ziFUUiT%a?_{Vr58It$UL25(Y@cxn?Ag=#@Q%I14m4f#Jk@7`1WBlm6Ya@&-sU2UPY
z+nBlePBmukZV|Y?t@hJVD+*!NE-%UB$A>@3tFo0`t9tOp@H#2=2W?N{H}PFJIEm>w
zzsJeF)26SroVv)2i6UYj81HMi5%;!sRPOolqN%xAV&%%D90Yl!Kgp_E>Qn0&^#^5}
zgUB{m7%B+i+EmcFsK=eYbQ9LsuSQY5>8oVs4na6Z`2^K4b7S`*PC9#mY}Sk<y*y_a
zVS)5m9F>LhmPR4|t7NMDtzSra>0jVTwQcIiO*U0!0wPYM`N9HJBx?y{TJz_zFA7q`
zrcck$f=p&w{+UiP{0PJ%K?5flv+sBOXzgtX81tTP5)g%~1pXZz;}`Q35EqNO)xGta
z7WRY4P@C4y;XG1zYaKt0VR*bGw981nLYV6c@@P$UQ~ipTkU~u$STA9olg_xK)hHzj
zt>6uBFnH1vZ~5CUJ@X)9hOLMN`WpyUo7qm#xtWj>Ts~XAm`?h}vqUlan>Vm!v&Jg?
z@9+KSj4rv40>mB%`7x73Jh0@N_1WU38;|Q2`6o}Ko^X}-Uo0Vq+=OB4^}RohFnipw
zLD$3F&3>q3tPKZme3-j?^P>xOjF8}sXTz<<#dQsOV^xweKZq>rtAw66m(-EqA=M%o
z9!kdx<KI0x5cdl{y*D-+kAHMjn6^<b=Z1MYnxq0DRG**U^64A`;Rh70E*^J?IMaUe
zoRuX2`(n)0oV?xZC+x9D!FA9>)aU9bx}qe=%5*3b*Uwoe(LjZSsHP8vPY#@PZWjPM
z=G54eqHdu1R>?A38B-2&AyAUm>z4b*`5!yqFG)5mfaEQM{O5>j*5JqBMDg0Qt1y{o
zHd|GpttB{L*}E5}<Usp=NDESw90x)qc>KW>oN~GA24MrH5}|SP2sMrw17*Z@vP<uE
z_LBjzD)#)AO~XPL<v%s$Vl`$+nL?L7BC%Rg=*5<~O&}!^y~z-7-9O9-MO(KWcmvzo
z0Yz}n;f8`H&LZs1#&Y0<5kRBbr`=oOm1|R${0EYKzLcD4yUwRu=-gJ0(J{W3U)$|S
z$lt@PxC=%1kB1)zi?>+3){Ih|vF{Ot@rGQT!}|;)2z;($tb26$4EZ{QURtWt88tT)
ztHw^%)J_Da?+BE%sp+i_+!3K8%pi<%6b<}p6<b*LcS?8{Jr_%2N7aP2tX^4-y{%V<
z@T1JB!4@55(5Vy69rRb!y?xq>g>bOTB0X0|VO=j3o6_Tzez#Yqd6!9g#uwYNJf=wA
zK>&Ac#fIxT?J?_1p+WN~ECeB|tnN;P%u3|7uCKsL%>wE$AGGMJ+oRY|I$lT-?`Vx4
z%B{^Mx!2ZtoXB1xKKhWG*-LocvRPQeW}K`_v2weICp2N9vQ{nJ#p^Z^w7{>(YDo%a
zXeKaCPwMuF47+xo-=thOO(;6MEANCi?=YMPmtt`A2$p8Bo)iH{ANzm|6!~cKYhQlT
z`&%{Ta}UzN!C;~4s)+i-O+u9DGAkDE<vfzcf1#Py>;_Gq(lNZF`@eKaU;hvS$pY|7
zR*VB%j%WUyM#Y63>;kHKI8%U3XbXV!h3+j4i>DxwJ>@R8l2mtnW?*9I&+Wwn92Z-n
z93h{^e5}0HwioC^CE)?d>$1wYI4mOX8AEScGLnKlyvz3qjwTC`_jz%obdZ$l{MLQ;
zmU4Gn6dTLx-^04;N2g`bGUPVOl4$bcCeVYD6w{fjvK@rC&vY6MH`>7$W|Dth0xY}w
zLL4D@U3bg;RFwpX@rgL;_D|tv3<{l8pK^&F`@ODj?~Ogo`RHI$+R;ntb#6L}7Be{c
z>{_pL2?a+M)(!lAkUpQ7QG}{O66<@4O7s~>J<H%2<lxa;TjV@YO&H{|7WERUqK<TI
z%ZHu7PFw|+n$dNolnoymT+60q02XfA$|rH=yEKO|EjEsAi7FBehR?9HZ`~b)o*FJI
z;QHTH@63u@{UOiksUS}4_3CIv@szRW5sF@1kNTTnb`A2=j8ePv(OBMOb09AHL08Q5
zR2r9${OBf^GE;n)R0UEa8L6Q!m0K$Uqg`$e>v_p^khFz<>^R#wFR=zUfoF{=le1<Y
z1bcg}iohtkC?(7wS;z;N-hlaZB4f?mpzhlJ&QD$CzrZ1!yw1U&RkviI7gpXsjhqg@
zE*xq;i<%A<r)%$uD{nYR^o}DvsYS0?B1{A$Z^D;vh7dzgn)`Rc1$?5*@djibiY9o~
zG+DPxrXA(pI{<-3&FKxd!TdSJkm$FkrVQMBWZ;}`$Eb_bab%l><kD+G_fEbk@{m^x
zcDm!=Ogu)J$VIhxIqAAX-k^%CP9%$Tqp;~0ZyCPyjTCGc6et7f2W_$8>wm)0pk}6@
zS(G<dc0eRYJNDzwG_Dae?Lw3(vW=|AR=%Yp$@D!~5x=g$WAHO0w6P+>+{{n^E6A}?
zZMG*hDy#f!MM!N+iYDnwzG`1mJk<<RfXSYM>&%G2UhS{w#ww%G1Jn-%N4#QDA>SkA
zYOOEAM#rTl-<DstueR84|Ewg*O6t3FFXI(GUiA83YPtj~B2a!RJuwEleH$DNFAD{;
zvyfYCdGlKOw}>)&t?M>T1mgzHxH*8J@3KRumy$yB$@G9}d@yMoc;u5dcHhmm$YT)D
z0Hy=8-k)7-bg7jzl6Sst@s|C-!GGP>iTQAo^%;XgCMm!m+Ol5OMA%9nK&{Q)E<P4g
z8c_Z&8`)P~bx|@sWGC{)@OKa1RXF7HiO-N?k<b8y7!Dhexs%idW*=_5nd$sMtG;LE
zv^b4tnQ2BZ+Hr;QekloP;1LBzRtRjYKVmpG)4Frj12MuVFP6RV*;X;=2@$YoGop*V
zd)k*?bLa1vwQNS;%-i>a2Ie*f)meXf&;F5yObR$umuYv#r&KK!Jffg&=OU(UR~;1P
zo7!#QodU3lR%6MMI>xgQ)9GoZ4-ip#_DD|^CsyXkwY+Sz)nH}zx`GFlUDYDlXL7&y
zgOO!WR*5pG<6&5J6A4$-aJ2|Fx`#*^&3u>3;MnPOm*a<j+nQpgFp5=gsaZ|td}B5w
zRJb8#D)XtVad4m=iB2p@8A6U{7*F04?gZrn)f@dfUmE@6&5}~SvYQnSILa#`^=Gti
z#0r-W>-<t@HiRrVpRsfkDK{Lv;}Y3xc)xlgu}t_P9a%AoSrCEej`)+8#z~z;Yj-c1
zuKo7nrO_(4@mE~zD`pgYbEcpLun#Cv>%-W$%w~eH&5z!PW9Pe}599JrUr(4vW`x<-
zJ;BQ}-w3nu9~w%FTs#&O-}$=O%g`j57qmDPFU88$43A3lkxvk#)q2C*H-FbQL(1@<
z;<k+A3)73@=%oPD_2OvT#7W97vV2kb<hd_wM#F>F94G<Hd%lE9TC_~60ECj7e^*%i
z^X<7MHz@JrpNLNJ*dI>!yw*nyQZ%vWArS2$oxXqJ@NwV2tBVI4w?d0-tPj5JOd8=(
z*?;irw_bRdmooCAxaByB`K1#a-SS2;`YJC)R9orX_w~sYDpX7$@3%(6UREaC$_*7g
zd7vp+*ppA$Z~f0Q)I#VSR@D67$o?Qs6X%a(h&Y!zUdgJ)lp9I%hc_O?pW4S+C_LLN
zOI##&Zf22uiVyj4NLxd4jd|_%^-?nY0YP11JYLdMmfxs;dv@P{^g_}(R3hE&J*D~)
z0oWy($bu&wOEVAu^;|%mnEp0qfU8I-Nh_X9NVYYJf`Bj!MQ1Zk_sQ&#AcVZGZUv>d
z**}4T=V(h$2qZeyOim8LV@akr9z3?)f3@AElXh&p!w*{^esrI<Qr>M686fK2flF`a
z`Eh|Z{iE!YGlK#8e?}_G%K}L(cxoBxHIrk)-gkYM$#)>F^QaGV8cK(QvM`p%zTBlU
z>2qvc^e!fR-iE%h@$?)6w<6}s3`e(~b4c!o{UisqRtPftSlKR?ai%GW+?!1;4__?<
zvvRBLM3;Q?6n_99weiA#-Vg-7&)9sDguHoq!bcnTD#54r<8bF`-l-iDH+tIYT9h#U
zB}f`u*gz{iEqjQje-6M7de*0sTbQR3&@sJRQpKWi>kb1aMBiT0C3vRXOwZei?bhQm
z6FV}TJPY>{ONBL~=7}HC?<@9nf%E~-DDgY;D8PjVC5cRltX!!g!`93y+xc3>KO`VK
zik51gSZu5vIervSO!DHqLrMz*3Ry@e1YJs47Fj$LmB!&7z}2|zshksQK&?+*ESOWX
z#p^|Y?SpY%{vy-Uno<S-g*<-}1cG*pqGrj#e<%^2Dx4ZCc;Uu(RVRIYN4)4kR^K{h
zCu6)fVz&PfBg&R74)z;3SGgC>ULaj<(8#%x6<WsevWm3A^o^#7c)SZgLM+@31nJkg
zgb|vOE}+K9`&ufPMA|RAfA6aIQ`uQ}1g+kBAU>pl&0JW=OSgZW%Qc#DS21W*fc@<#
z7NeTxX73EW_{VRY`G8nI+Fjoz!ieO~C3ZmE)3)ib=(r<74tjGaz0yK1CW40QvKn#h
z8w<&+ES_QWIj&h`K_Np?aB#-rUzha-h10|OIB*$278^&J?jK@AqI6)WQOEJT2PbN>
z%T#BRn`1=#3gb^hAEHqWPyLP&zraQ((}Rvl*!X;X7EXRJjW5lf=9080sNhcbm#%im
ze~MV4TYGvoCWXp!!y$zcL<k^y_cT?iX@{fQcZndH07LLB<L$n(mfYsys{c4U4;dEg
z`NCFTZS|KzdiQ7s0rFOC>iTa;M*PKKi>-$gSFtF_XEl_d>O&aGMi=v26r9=DmS%c7
zx;i<ECA$r%Q}D5cT+V@|>w5fX<~|*)i@kr9O9HVgq|0f6Nt>!t*5ntmh>;AUS@-@P
zwVzW>{r*-ix+wka^>3Ew^>MrPhviG*gq?Pj0M7(B%%oaVnAC=)SslosGoph`2PZ$n
z)L^?6=VsVj43xs!(b1g&46MD(H{n?9ro9BNF9$O^9y7aYq`eXI*)ATq3muB<=Ws7&
z6JL2Ce!ZvpxF+pCEb>nT?z?t)Jg(ILZn|yqzOcgXx4N92-^^OKbA;=gfG5`hP2)V(
z*-TrYnsn{&0#9sTx?N@$ZZp#%_%E?sr~m$)ewoJ-WL_v9_T4XU#z!R5fAvg+g|60R
zMhmeG2Ii~dgZ&Z1U_B&|;g~7JrhD0|SA#Vo{*xl#r~SvJYaXhF`Y4O?Y}Xw9%DCoj
zfX3CY2vMt0`p4KbBD{uet%8R8g=4OEObElYuI=bm6>6DKfBb^8?QEjw-TCdRSX%l?
zkxIE>&Ek=~44VP_bQ3B?A2*J|ab0Xy+Ku@2fW9|?uUN1f0h-5%_8GT=6?Kx(6Rn$p
zGha)-4JWD{fQbICqB?~lw($SRIumdx+pzt=6-CODE!mUZkO*NYin1>uV=csF8~e_y
zND;DU4-J*w*w>JKAG@*d>)7}0f6sWo@A!`6e;j3+3Bx?k+}CxV*Y7-^ZhRk;9+Dif
zQX3Kg?t=;Z<HeI^jMZsuNW2y2T%e{!E%#30jMV~*;gsRNP)gNT`dw*j9hIET?yzTU
zmuo5PsRPJIjwt5$WNl(0thscjS44{ojs*^U>Ggh1Dt#jiP&)jvZzXy^vv=c?N)B!m
zYpCNLeC*Qn;~hn@T0~4^``czM238$AF0(Y*xmGKyX9glAEe|dBzZp2<-;`G`0~P@~
zv?(+TUVRpDdVTt!D8k<i&VbXceMD|<)`YYB#OZirG&rehWUb(l*zy=i@HD^IYN{-3
zg=IU;-sSGh-O?$x0l|li$FG~cCh(iw)_V(uLu}~vI;OCs6?^+A8;d+gXLMk4nSA6#
zNs!i0?oMF^Fc?TW|G>@uy9Z@Y?BM=ZNRJ>Rz}s}a5sdR`n2vTHdSLE~jhzQgkGZBS
z0Rll$r!e45ZUt)Rdy{i;a&LZbQNwW>vv(AWD^8$myqyFZ>tA?jn9*Cb876k*Aq;j^
z;A3^yGoJ&($Sq&QpP#nW6&kmkxpN}9a4qLiB<>)AFUE5_!#?{ci4AWd@&?6&m*{s%
zH<Mj^JtUL2{7BE=naMQO^XEVQ{(tzC|0op|&vhP-(z6xwK%v=V9cD|Wx2!&=^7>1T
zDpO0f@~_AajU!gFoa`&DI4#2&_rIA#XCp#_SKr51%ePxQ*RuLe6A^5hnZsx&4+g89
zZWB>0xGNw&dal1kphvwpR67XdS=1POAJ>quN<+IhXZn<YGo^uU%jKkY54w#M)%hMA
zm{It1fy=V&YhP8iKSV}AY7oY6+K(+-aM?aS=6eI1ow%XRfEyZG9w>Y9D{qNwtw9G~
zA-I(7nj;g|RR$=az4T*Xq<bMI*A6$lsBHZQ$=$ig{Xoba8_m#$d@*wTv6b=Ryw<=g
zhfvdH?&74NGnjP;6tt9~avgcdkJX0$VfdMb4pwWxN*UPz$sLPkgHR=~DI>Tb?hff|
zjn7Jou}!lwcHn8ju&&A{pMHPdslkK^Xl%Y<QW@)DHN)dx;xc!gAN8nemBwsjC~9`A
zF{=9$cWeDO4OGQgYP-u&`lAREB%LO1fX6$;#t+4cOIY@3z~b#C_lZ6b7t2#J&<`^y
z_vL1;_58I~DTc-wceJs`h=a+n=`S!^IIMPpFYW9K7TfD2wD?bceG#LIAUjnm4Y*jI
z4+bY~WA**w1&scDOTCcYve(oIMs$pzStkLl<EvrGXBAGjmCsL#^6*syl<$i3Z^#*w
zeUz{UZc=Q#WS_h>B_DNqzevDwVL@qecKS5SSsi{IB1r|a;Gm5W^X8zH(X;@NSZ(Qy
z&1~dC7vP~x56Wyu&n+s}nE8fVGq3IWk;X%9ZOyM9jt>wh!aD)6lY9%m+G)p0u}hMR
zulsy7VA>jt018Ay%F^Vt1Vrs`zW`U7<+_7YR{h&zYXi%7iPuArv7o;?WlMO`ai}QN
zu%wAL2<>s0JlvzwsP3=%t+zv!pcyimVBbhqgw!n@j1{^KrL3ic5(cO?EXWtrN0S~d
z5g$cPCxGIrAyRIx8H?1F5-XB-{2(yuf90tv5@2CK>A5Nv7fs_0gW-6x@3R(@N*Mbc
zNVY4nqHUjLk?BsQrD|I<I^SqSl1}O{zhBVbeBF#r_mzneuV=HW6~$9YHMf030O<*<
zWO=zEW*-iGxjT^&0qLLuRmgm2bw(<prC~vN&H1A@2VY_pwwffG)SsD7cduy1+@AHp
zAM~(7ld58}LC1pv<1=>Z=4Q!c(!E!9<f_?qOvfciyj~XIYvBPtY2WFjun?zATv6nt
ztagvX&T_KhYTu0fGYnLn%DgIxNyol|ozV`ps~@^&4<U0!K1Cc9PLrpmLT;c!*eo5A
zZm8&2FnZy^l2_t}8lz7_YrH#>?%_sY4AGqe0<hb1(vlKiareL*ZrH*+byVu+r3Qln
zvYNb+=E=7DERhG8{j^k(f@kJ>FPm(GdEG=PPAos5hzaU`?ncLJ!qty`<2CSaL?Lpi
zsZNPWrm1Jxic$yG1x7H!%{%7jf-p`UOfgN#Vn+$E6xi7VI-(|VZ!QS@RXZtlX7J8f
z+7dxUIt@|BV=mz_S&Pzut!^CfKy-6ojp<AK;t~_hhm&dE20Egu>CA!&{#`!CaZJy+
zTe}`a04Dq;2M#wqh?Us;KtAU!K^Wc1QMSTm0i$;Qtrc_eOFhWeX>#nYLpv#f#;;`u
z+=7?Tn^AMF_qi&(WjzC9mVxM(+GEO}{=^;FYj2xfNGm?*!JvtlCmyWuGA(Z^Rb5k&
zL3A+|w*t9Ii6Q~p<Mw;^Q_zU$nyK6S$#zQ6es59Q=AE?<r|$-SyDMf+Rtwk%uWPzk
z#<9}xm&-~r3iBF!wQogslzz7e)$X4HtV95MdRC5?d81e3f^nIRI-}7nh@aQ3D#DG>
zBH{KFpHQ)hA*txgwU6emlOmDQXYWM+7Q|7WcYyaf*rr7mtFM_LFWWikkOiZsPEtmf
zvwNjgZJ#391#I3?JJh0f15qi7;zO)<1K&S0-jZi#++&l69li9?n`@XzevSQwALr7v
znLkja1DC_~eI*@%((&3iP>b7QBd_>qIG`hY_)9qKrb1i#7u1=?Qe;k)hXKp)BBQXz
z68`b@7tGdHa8GX=J4fA~5;$7cCb#ulw31k@9GK+CO*6pFDwQb(c$+Z7UJ5nJlwWuQ
z`#x;QS6tFrDuLH=U<bJ6VD{K0e-9Ca*r=vm`NE`B@!n>p$D7)zB<}{pJC$$g?D~oW
z4JKcLA^@<FOx2?0q@Tx}YfDLiLg~aIVKk#IWm>ELgHCr;_Fv-mv0n;Z(<M%AIa@+7
z$)`5^*I!=l{*5I|YpX7%Oft?`2%=2#=UwyTjIAC}ac%W7BNl{IR{AK16dwExDH8MG
zQQ4<Tz=BJ1^MB}UkciPOnoex{?!4J)wxwlPGh8DZzAlt$A2VFw{u$ar4lVky%c6G2
zEqsebRpbBM+<z8(=FxKcMGfII{pUH6v##|5>BuFmkACj&&6jpIY?POnhEIB)vCt%-
zVkW6l-{1VVx9Mo)gVg2~QQK!=s;@{w8XGPrI@0*A^v4sWeKiI^#Ei0mTxqV)<=&aE
z9dSra*`!*loT`lWyS?%S@`{6S#*#n3|J|mBtWGPAx@ITVMSG-CVZ&6gmIe_sT~gd{
z0w-}KMcl*{)`{J?@G3{Jw>)7Y>KPAVZo|*yQwlxLZZ<ToCCk73e(n92JMRw0YXZ&u
zy7lFVI{v?#+p%sKG#M2y>3%nJbJ)hTr$gv&XgM^c{+-@hTedIDnn{E0#gwI`9I}t>
zUUF<AOSymqQQl1>R;Y1V-}kZ>z%hT|U;Qk0CX=o5fxPOXg%)##>#g==INACIZt9&C
zVIcY0wq<n+iu?t~!O8#Hu;<=me<+}TeriRUT#U_!D!n*NJFQ&4`^AM==}=V<mUgz|
zAa5cqYe#SwjC{K-X0J)o1c|Ji#6*eRlsxfBVxJdELMcx52wcgkRd=udGfmA>JEa*|
zpHbjLb{0hUdZ^^|T07AUw7OVvYH9ptwYl*Nnlt@-yhc`<zS?a`b6fQ=*o@yv?^S0`
z8Tcy|H{(ahDK^l&r3>?ANWO&Sc4aaAofx`Fuz=W3QYIc4dn3)0y&2%bSw|^enIB-#
z**Y%`56KqxdJ2@qqp>jON4&&ILQQxw)*mZfQ2ORxNngG^9T92DZnXGEz2WU7PDjUf
zK3@78D@oS5%UsIL6inC08hW}NwI?=Zdlm5Yc$h4p@-MWeGyuaB(2c9Ctc5<u_ZDk(
z;L4m2>9MUAk>e77J_h{PY=W-wcJQn%o+9vqW;}hNt(&{-$J<#j6}D@zM!aTz`}Axy
zhVEl#Q%TE%M{6@)kowYnjd;E`Mgwxm0vJY*b2aZ5ucYxheeKi`*nd6eSAX*>W5OS4
zD3V*c@iQzd$B#XRghV>py*0E&<4{nS$49p(WINgOHqG5j@AdxuQ>;FvJmC7HL*jK;
zRh(VH1xg{FxiHTS7#Rx<AyU<gO?VOVKA%C3cKtEb%HV4_{MZ#j1x>zgD$=+XDl9p4
z8C>=T=Ek*CkrP1eH?Tl_U-E*W?w?{U6hArVB%jR=qM<%4kXN7`H&rFg(`;THzN77$
zCj1Zr`Vdd7qlACE^h9Hb%Eg)4W>D=r6%noLIs+Gn9TfYIPA2JPM}^~GGsmN>8FQ9z
zOQ2%;;pZs5KN@7e7@iGBPJc2y<DPZb-F=qta(#(7u^;+J#wL%Y@M|XSX{g-4&VaLa
z_7pP911Q&PGBL+|Bys#k$h|TNT580#iMOnxpgZCOAP4?uSLVg@y^K|!LDatwgbJpq
z<HAnA9=C2bBsF1}F<yNy5m+}O6$hO>X}gW))4fIYzD1rkB$a%#Rzg|dTM0dqPhtjM
zw`iSWCr1q^!G#*Du<V#{C2(0A-)vO^^JZ#>=r5#PzqL_jn!I_Q`%%t~iNy;PNoVDZ
zi5wCW79LFbl|TPX&F|8m`HVlSm!$UU;h0U5moop<Zw6-U+?{UA5`*>M%w@L>M#HHR
zcwYmcV>0hbmaUwK>oYq?9(*M!?inj>ZP$EJv^n3qDSvZy&mZkbHkEQw#!CZmcYMQV
z8uf-wbtj-e9%1snL!IsNSx1Vz=KiRg2c>vsqMlaf#i7l5$KkkfN><U6adBu-dZV=u
z=ef_-pdQX2WSw5!9osQbObdrBJ9Bk<KvQ}zrDbpYEy^#ZA;K*`uXm`t-yJD7sVb9n
zEOLWm4#K9#h&LXB4o|sh?ITU_^j^HTDQn?^W{VFgS1U#JMDHF&J^jinUkiJ6N{sxD
zgY>!JB_Fe2p~QbO>W%>V!*2w@SqE;F<Xa36mdvA@Pj`f-G`+Dgot|CxHLKrw4UJh^
z2NI3fP#;WkyyAT=s@(3E=GdEg)T(0P=0DUAuhHrW#^7cXf6zVuT0_`AV{7_a_b%p+
zIg4}O3xsZUq2amg0|WPxgnCBe{KjbX^de5?Uw{XWe%rJJ)KWJgdgUu$FPT2xmv%d5
zTfKi?Q+b*qhVras)jX-^vrJ}`TB#=K(X^9Ot)+l&TL$T<)uF0%)ClBvUNT5k^gxq9
z2&^jnkX_cg^#!es2{z1NtSdcXHZ-vV`d*O}X1tlfaM6i;A9l6$i(xumq|v{FJNTrr
zJGN6ywJiGv?CIcB3N3=;1M`HhKWGX?f}u*>^bN=JpuxTwy+rgRQ>}RrADbo)&qI(Q
z0seN)R}Bu@xj)dlHU$@M4F-k1^?OfUl1+Lf;td-U{ah!>MCR_LMaOmHma~*@92MpJ
z-@o^xSqmrG{X$fk&17<G@@L4p#^m&RoXFb?P`<9oDcX*V!5#yhSGP2cAf)iBCbDU%
z{YcLfmYTgagIEf)4%NIj4{*kk4AnOCH?GSrcCM`-t}C(4Ros_ERb7Mas3Z@sn2~nP
zCPVn#Gdu+Hn#g#PDclr-KCV|Ymq?`k3dK0fiw{k;SDA}3M3a!xX>O?-H@tpi@J=w8
zp}Ph9&Ahi9rkV8|!J~|5E!@+VQ2U(WIUZ5w!h&zjXl#9wwSG4>g_cxPfD!|Kiov5w
zQBjvP&4C?$pVJ3~j-Pc8n&JoEv;N+G*7N=eZeL5fue$Kc<sWHXVnQJ2W}rjF7nwVm
zu%F#L-m=}obwLl1TDkd``}0O9_B8>*vqzr5wl>!9>~de)?xWMKwhIw>prWa8MK#Lf
zuh_w+W#&IhHnG<1Dw~6(3(o<4n`vP=y%BBe{M}<-p4puGU)<(d6MjV`pHT6n7kxz;
z{@&pSac7wGU)lzq_4m#hy-*YIPJfCBDI69U_6;6S<Jphoy>5(^cD1wne7H~C(D}KW
z=x@pf3psDcu&k??2T}0jEJ-zc=hnBBr4G~8{c-l(Az{D3mC6j${G(V18P9g&?A>JK
zuZiSG%ppd7Iq+jM7RQnHP1(iOcmLZgq|HkY=l@$XmR8FA!QyjR?dzRG#{<29==RgJ
z082fCmue>ERVH7(k1g|w^N-j)npjrW#;;HQ{>89<`$ti3fy<3>kwIOSmkjlqb~jYL
zV*W+R>#pB!t{`AYnsaSp@kD_Sr`UhM*NOjhm=pg@crCO*$6{-uvuMu}ceDcLrnz-S
z+o$G@Rx}f$BP_j${HETn4|6=-JGzSjd;}_B@+GX7TiZ7V8=+UEz$fMj1M+~!5l!Zw
zppxoyhND6pvEB4sfk}L9FN*in%Jc#76~|J%m9-q=@IxcW=6pSHFtc}gKsWAA_=h{^
zkiEsqYU)6T;>{y%zncRv`zXI-j}<qIe!_oQYz3R&5xr+8iQB9c8s7aUla;6>$J|ZE
zy+7g^b{&lT7-TG5JYeq0R|o8bhqJPwPnfUcY0jmII_Qp2tbU725BlyEzQl<o#O&E{
zK?dKx4u1C+GHzvStv5ZO#WVPVuVy1@+H<FZwAdlyLW^f~tZuv07Enc2lt_P3mg}z~
zlfQSZbDt7;g;&8|xo;LDA&Ci^{n~CXKFIQw;bB1r8)~bFxUS&|1*<K}^0RtmK7KQf
z>_s-L#aEt(2w5l5fG1CoI$cO|KIV{IrnPL2C&n?YingUJJo}PsW%31E4i)V2$`S)P
zqNbGELy+Nv!&EIz*XK*iWMC7B`~+Cd_EK*jMVoZb-Y<$KIF9_6@S$F*{6MQ0?WioT
z!gpcZQZ~i(!o0~S^)CQ#A)ojQZpAMS%t!I&x426iXSN@NCk_0OzgV0i<ye%OB)kb^
zkW);p02*`9Z5-yv6t55`E0V2EF>TmP+sY2qdi&sH7rjv5@;y`>QA@>8QGDgfYxm;%
zDW*W#Pd_HUNO1c}&vC0LdT1s|=?5yD&YoyRb8_J(HGGLKko3yQ&$(;<H*u2?u!tWx
zJ!3hkeyyYktU6p`ks5~~X{rAlY3yGK<W<bHEH2o7o$`9=v8(aY#?N2b-zXXruNJ3X
z=mLU2Ut5E=|LrkMZ}2gKM|Y#ZJ5tGxe&CMWesIay<VpLrY=g%LM<2{hmE7~t#Jqb8
zMg@L!uTPFtv=34M+`}LRt~k0}3}BUYH*L$Gc)=*!ha`ER%TE<YJE|y7teQ?ny2uv%
z<%5VpAh;jSgu4svw%cn}8=im&ax&0}(@X-0N-J5iHq${NuBvq>l0y4=x=-8}o+5Y-
zqgw_dEz^#sHKIue5=~YPcYdjWLQWk3zCSC^2)XFlDuAE461`3)61H&DBd|DD4i9V}
zCVJT{M8=Tdk;?$e>Y`mw=N<Ze+^7VN+qh3}L&!eyR3xc-?AkOuzCAHf;`(m!Ta}(5
z`TRp$RK~~f&k<G?kc5Im(X1fAQfh*;gpASipD{L1GYt|jmBLe}M<tGAhalw(H+20~
z*p_%>^roRy1NNyOUeQy7jsI{>O?i_Y1KlTLLag7l7j+E~%_^~C5x$bLai5LqzseR%
zah*)=?T7=C+Ta+Re?XXsNo}h}t`H}#b^l&GdRbGIxIrM}kc3l&-&<#|X!dO_PW#DQ
z9O1O|z~UFm95&Vv@w(&~COvy-a>>}B^M?qs68Pif5SVxZJPTNyEM6UXqo%9p#Uyh}
ztHcA$w_GrHL?j6pBq3rS&G(wUiu389_r31{T?gPGf|6rVjG0tqh6k-M1Xt%2x7f!8
zx#-zG%S&Ap>?@@#-#5&fux~OeH^x$p9LL81vb*=XM?f5Kh!X32Y5CLM!XJUJK6oFA
zPPKVf1LQej@GI#l=VLfGb23-u4EQg=?=R)8Hpx#j8&yof(u&)alhCS^c=t>-gz6Ya
zEpS7KN`?}B@2|TQ7V)a#{+}&7Rk^rc(CfDvd?}-9H980HV?m3kD#H&|g9N6Yw-Mqn
z(<tpsHQ11wB3K82TL+9fZ|cHP^7mgSD@2p7??h5hn7NGW^<5+CkbL4wu36{gqPuA@
zf~fAl#?|pf&Gq~2YOcq)$%FLV;Join^e(SS*ffneYeN-6CF=O|uUUrhd3}bS9gtV_
z(5#(&nj^-kXF_?wV`!{OPRY7}nBT_!X+1VETaCMOL3hOr_x^-0IX9rihUS)SJ8&l+
z_=-d23=CS#dnIRoi3#@&deMgh134@sX1O->jM2|q`2@`pk2!0M&OGXc#dXWu#b<wP
zV;KHC&H@ar074A1-<i&iNi%;RL-co;SO8;r1&&ePd&8ogfCZFo^cc)hP(@pRFc9~e
z;=~T4s=t@*ZYA_3|Mx%}ZL+xw(-tYVQ?~3%KG#3(Q(r#>N>GTdTM!oc;wlJevZc2P
zrd}tp0R7DM=WIQPVT0DM<AFC5MhGrlyOW=**-tVMB+!$YA<aPUK}zwVoey`*DB^V9
z`n|Ph1JeQT>ku)2nz)wWZgO#{vNA~CXjn0EqSS+-q`?7mIabHY)kl^h5r)E}yxTje
zE@GYszF)>S^$gZ-l}!WO=}v&`r~79uDoQ82m0%P;?k*)|qa*Q<^lG`pEzcnp1UN-m
zH9eo%eU`N7&f^WpnBIW{#;M*lD|;bAj-&|z$TD!wM&9bEP9cNDdb!ypZ;`1Q!Q1Bb
zlD;zf6eP|OIwcoMvQ}+QI7ibOp7o=?G}f|Kcs7k1*^!FYhH>7jsIiJ-d6KxjR&)th
z3|KWs22UaO=NlM-WT+p;07pCnzFBd%+62oC-Cjez#A{T5f~w4C^q8Blv3EsAM%#Gg
zazn&yqPcNe(ur$;$qz!_y^4a!P#zWJ=XDwQ<`;SAe94sc)G;g2B;l5AnyT3|8U@95
zg?NV__)Z!5?D%Yu^zjUb1cM^jH4V#x&Iw9C+&}KxDV@lREG+H0m#NxpF8y|a^f0wY
zJDL2vdi1j1bd|&s?HDg^pY>&@Om<buv2sb%xh@ije&D+<O~}A&zoXYxfMJnaT03@X
zE+lzSaP}=ZFk?iCIL0$Mc1>c}JzlE7BUDk&z&BAdGQvrdAgGM^BJ9QTk9q9b@BFNQ
zE9h$r!yU&vu`8K&Ke#)?IK;-bqqvLrh#Rdv#N=T-VkS7hjceBnk-7PRf%PZ2X9j<?
z&ipmi|5;dQFdDLPJnGigUe6Ua7nrJDrLLN^Xg6&AFsuj%H?l6(8*aRpHwP7bCvV3F
zZp*ug?hFW^{IwGopB%_ZRD8TW=9Ft73*cPsgy@{f`b#|`LIe4?*t5!F83*vbve+%x
zz%_j{)husdFu^w`D&sz_&N=Ax>|_uPjGLZo6wn6Idruj=_=h!8npw;*ot4b;45A#p
zPp6_YOana4;_oXstg%XhdW836@Zz1d369*S#UWr+Qcmana=0p(;>T<gA#>83V>*Rx
zo$L657o$E#lc+D}ggJx;j+mx|Wz5Rd<9weZTTH%rS<51){3p#8wd9J8;jIIAGU~li
zG$~V`GORfj{*!@TKbrHMi~Kkjc}*cm)g7};na~QUe>s|LznO*}7|V*|vzkbCX(<%*
zp3k4&Fj*}9@Qo(mznzW{glXRO8_mT~_gb#LnanZWuW1Gw!`#{6z}u#dzt)?t)un{T
zFcr{yEzLt#Im-T%a=v`5>$2d&&-D_qB4=9~Ey2_5!iioFGT0UxtTIU?XyW!#Uwd{u
z)l23qNq!?C*b_sm*A#mY`T7~%yJr|;L*0(J_PO4@@sCosh*X#<5_;5NR1Y9g>oTzA
z63QD$r`HC8z8G&zwFT$5(ecqhs_>KW)Wpg6O9LwR&y91|{?6+3=Z>l<IVwv_<bSSl
zb+`Q=?FNjjPdYaNfQ$z^5>KG@Fu$XC7TmH~pYfi<Xc&b&%+Pa#UXfJf)HFoh;2hez
zQ*j!ZbjaL>*s?1bb_zR)En{y}$C-N{DHK{*VjSAy3^ZRF;|>;SZ0dr(8!-gh4%pso
zF<KU|KG*t;9ZI6>4uYCGz|tN=lc3->C(FyxH_sInyZ`=m+FH7tctQ3UBOalU>#7hR
zRt{c0oLR&EM1M&FQ>t-6{^27D>V#;f#Syz1`MFRRl$O@c0vg@mcj!C_GwS`(b$!M1
zH}18T>ms`f9;iG^9vFNHms17G<S-m5N?lOakS^2RygQY`UYuaN{Yu+QW=XFco?Qc}
zf38y|pPf&cia%70C%fs4+kg9=3lj0BYqiDehQoo&DkzL<ZLER9{`F3keH)&_qi#Ra
z3%RM@N5UISyI-^5E!lo5afTWNj{0^t$fP0HKb~5|sVqopHtuyW%MYiDuM0IU52j5p
zgcNc;8Uw`6(T0deU2Rt#n8pV>cpVtmO#e-tkKL;?;{iE?_rPCCM4)x`wccea0sZTp
z$dE~=bK7Pz5oSwHnyLOZTeHhqVuJziO%57lu_(%*)k02Q#2eP@#(f8yf2Zxom76d#
zOtIZJ2<qymxN*32ULl$RyqxT|I!CX#ZjI_Z@P1jABeJkEPYv(u*9sC=umHcv)W!*>
zhg_TrXJrq_0L{?q=CK0JGU!>Bkm_^q?l3(Rt90cwLC{*>VY+xzG<k@56EP+(xLzQZ
zERKk?k<UtLC)h7Q?yP~kqPJdRnmSz8=htT3BP=~VL@~FNOML2*JfCw&BuHsBffr(8
zx_*VrFZo{{tR<;bl&4Vc&=_W=Cr&AiDnOMGI4bVdjByMe#Gi2@)PIS2D%c&od{E70
z7f2&q{Z$Hyd*DV*@H}hz=hDS<2lXXxh|jTKs+B$2U^49rYH>=%`4PWQyE35~tYD>F
ztC*cf;PTYJ3SeSvMDQM6_kr|R!&sHo`9QjGLu?q4N^GUh$1Uqo7r}ihQ0o&EFtt<7
z&r>59q(y$;x-d#Djypw%5l{+bkMhbM-Wt@qHb>qfZ?a0I1_#|^H&mSIO)%>;&)^XE
zcbuX&)x2YvwlA=Fl-sicl1qNh9(QDAczYgh%F|}v@L@MJSxMzZb?bj7>4+-rCchgu
zKKBqN4jA%RFR=yYc2;)nJISS?^q$^GEfVhFs8YO0hfI?NflT{fU==v~r_h*r9eOF(
zBMUkA{#WR5Wt?MGfqjYhwm?uubU4WGiH=O4^Sc(U=}jOq_rzH9PP8Ty7o>EKAwJ_Y
zBbq7z0F8G<ms4!36@3to(Y7gxeNDENRx7eVOKD*B6Y2R|{WF;_vR_|F*K79ee42a>
z>NBB8?NS;zE`^QIX9a32Nt-vU0)=$R8KmM$7>!$G_GXk_(DKv)pe{34HLiUvqEs#|
zn(GYa$&=cNj2<r@iHv3*uyz5gFz90+jphzFmGd1d1Q7(xo!sCx(k;0f6}^AZBNBn9
z?36b1Je1*6^trECgD<RWg=>WAh2Qs0Vt~ei?;E0wUV13BWk{P<IJFqL3?B)XVXDgi
zLHf%s=ru;_yLz`^p1N7Om;@;3Rn{kep->Ng?V$m;OYGR6e~#<t5)>jZPd-t!&|xdc
z*|wsvLMer_|DsDiZ#}{JYWW@@eiZT8P|l&uhpkh8D5{mYZ)^mM#@ma08n4OZ)=^eq
zOXmV!V7RU(hay}ompg(T`G}r~%tV1u0%g`~TdXhP3IDzSCc}Km_|Mrum~i<XrR7j2
zZd>Qq+*DHT-xBVi7=M#$p>jQOnSC=!n?0e%A$RQ&&hDA;;Pj=w<qgC0GIkRM7BQ2+
zNknMjS&;MZ7;CRaU4VZT7J^4ik77jDb&g;rJ7c-U|9lqBHOVfCd<)|PknPA8o8G@a
zitfP3r0@bkcyEi%3W2X)iPKkoZc=vM5auX;F7KCn+X?8Pqsa~S=&T<dck~MIYoUr;
zXnUS1JH1^VJFkL6D<u<YSBwD9n3x(sr<*=gmA8j{XSi<T$sV9o96(s0nauUumH=23
z66&{4Ay%$OB!T(}qI|=O{d#QPc<zgS<vS0^+1~??0%`0PX+w&GaBh{>1m2JE(HvYO
zUPN++1`{$3EDk^t;$oNDF>agO(fVP(u$B7H{S2Me!8l(oPxG#U8&5&q2WAVS`Gc@g
zf^`Y+d!h=xXt2bUZNJehI46Gsr0y&+pO*ojwNVsRUh2trA-jH^mQt^?YtVmsMji0P
zgFlToo(jhU6i1sB1GU0uDG^ThmC1I+zZtHIf0J2UT^0zMO;b@jD$s>_AoAbAs{g_Q
z{~GO=XlXh&^YC6$@QA72jFv2@4HlhU*;MUyo|U9aEYO3J;x>EK8Eq$)Mwt9i@zV9_
z-@mXwC+MK{u^>KJ^2$`xZ|+6xft&3+OAzMq2m=Bdri>KJ1=&bKnDl%bkCKoP+!Gjw
z(apGpwMRC%gO-9c_q6J=3s8(1b{NI|t~g}rn(<!ynsz87qg$1Z#1b)Mk-n8)>Siis
zwwMx}C>sdsmFtf%24BL5il6>BLm9KW8vR_<3OhaOlct%6*e!RzL7+P`Qqi4(L*{@B
zg;|Qa7+7(*aXCByLR(TUc|f<(B}Zk>vT<kh?Ye=9R8;atnTu@4pkse$gNV;Utn>4@
z>&nU?V5if2the~^10pGEg%_7bBeP!h{ac3fZ`+t`ecSLiNw*T>q|y?tw=Fj#;c+UQ
ze+Deqj=Us~g%s1KAq*jIJl|Fj*X@=h8j>RPEhcXWfSjWE79xVBU9UA)iCD*UMqA!a
zHw8p9m7!}yRMu>-w?&(<f?c~Nh!^ri<V0optxMa$U$Tf@_MHak<2X;|tzep*pZZZ2
zo?Q)l&mF1<kBdVy|Eqxi;as2`s@Xr7kG6ayd0NhbkLPI6^D&hmF~bgV3IrF~gbtUR
z(_`GXk~Fh>WX9Jc8VentiMECl-n52OtqDym`%;@X(<Y?lzNa@U=Wa@?NDK;o0Qe~Y
zwbW&f?!Mxyn{mk%D?4H5rRsK*cb>LToT;;fy}Cnd>)?yDJ5*WA`Xml>a%gGO27BFR
zcD_=1ZL`XUs-GqLhJ3d{#75loS8n&7Q^-KXk_k9(RC!2o!{)Fb<7SzvjM6T&K!Cms
zgx{TyVGUP=d%_Iba)oWv_?d-D#OQC`p?3-dYf|tO)0#Fxv1!HKJls|xWWCwvMPU4@
z49Zl_f=k)$wx%;keUhDihpqTts0MH<Q0|DX?iT-{J1v(d{H-!~O>ncLx`c_qx0|n(
z<__>j{v|>JX9SspZVClkWPo7mUb<yzpTgea8QB%P(uI}@yZ&2@`3nLk>YFCXLsT?7
zW4($b&=eFMB}A8KAtYbc2nptzi0hQzSBo<krLV+yC)D{}lWCF&g}_XkPXdQ!?aBj0
z*Ex1WQJj|wXa!ab?@cC!rib>IaPxhE>Hd0mA2W5^#!d%pWml8WNNyXPLR3J3n!ar_
z_1-*QmtXIkTq5vgg0C`yw8V0htRB(!F4;9*`Sp8~Qf^Y_n`a&cW27&Pn2)KvUgC@}
zx071THLX1E#hx1zhTMfB%@&d;qUZK&gH~X@@)4WzMM!iwNAQRmd`inaI!jOu$jo=H
z@T{HjU(<jeibyj)XTC|85qez(*)oBapQ~6*15p;>sMIBqHd%euUa9$nD=d@=QeMyA
z1ydGig5mvI$O2P~s0&6;_W|4k!}UKy7@%lg*;m8qR<~ncFVGZAIfeb*`-!vNEj%AW
zs5YM_pNq!q@iDr1)~DL#ou~&j1`5?vGRVwMWTR&5X=U()wZt@$$8)K*6D6+;u(ml8
zWaA_Sm5US`KEXHkXuFAIE6%&ev&ktJ*sz*HVZ)L({kOHAvdf9{l8OPj`qqQ9)4ii+
z5>IXM#R6GRv4DA4wyIZBLV(Xh!UcI)+8>73uf^$?`p^x|7FZ4pU(!7pN^^dkj!On1
zPpyLz<|<}E4W$-Psa(2<qryoBuc?*jwg9aRjvIdbCa5h3psvmqJ7i}V5BwN$x~O4!
zJ97R!3GN*?8ER!^LUwNEwjjHdQ7)XRn03@J8>4e^l|-1-Sy%@X$d7P-^ZgfR#{JqQ
zrtpzE===S>lB<fkyYg{pD9!*a5V<FG<@G?V7l(^X<+cfEFr*=*q%J4T8z+UYa3cU0
zdc~lKhw6mrH(k*1Z4Hb(DYHc9s4V=d4Cvvh?)cvKXx;3E`r%R`zO<L_QL7>X_XZbm
zs{fXs=k)zd)b;akOHQ!>rn^?0znIuL(E$uyJMgI#RnQHm(K3K3ahw!xioJ4#b-d2#
zS%js1YZ~ZaO4K>E0<EOczu$p5nO8&9i+XJ8NX$2f`gDxUuzL**)mzr48^w|zs++9l
zBu(4^ku+1Hvc%>O3l<q&s?c$nJUHi`=~jHoU*$2E*RDq$YIr~3wxes_%z|&U@H<~1
z-Xi}>B$@c~OU#EP%^Cgcst^=UIPipH)$P60*BASz?Mb4cxyzO@(Yz-cu<g?lb9>5{
zk6M_1D&wYE0ZM1}mPZCkHqqtpUMk_V*rnN2g@>cZBdNTRctQm>Hv!unWvg0Acyp{^
zfi)q)!Czo%4CaVaObYc-;9~fZd~WJOvAx$|@U%hj0d-R-Peo@N%F(fH!nx(q5~6tb
zT!Vqt2&C<(o;719a&#WB<Mi$x2`9!Bi=~6VEn2s$r~y@`q9|I9QAmH^|KZ4?l>|V;
zKwU8SK#(clsmfs7<;;WIW+JWnOsgJf8lG5?X~IgKJv3oQ)7ZU5r@hWg)VTs5(W%)B
zBbnugGW&J>0}Hq|Q4?;6&3)MBNG7nalc12PGkbRU@EJCl2pBg=k*BK5?eAf~2&XNy
zt>}?~CO^PXj3!jyx<lji3ba8GeR8Gh`_k<d%Ug`&+2R*58}jG84Q>vFT?sS{fHYM~
z125vVWtDLG3X<~`3k`z;ZrV4UJW;ScFAU~8O&Tg<Lm{zsE>~f4cdE*37=_pkKEmXd
z$Di{LV~y-O4N3Gfy}lG~9I_Sv@Ob-Bs_3}&qPIPI`Hyz&CL6Xw%%jEp0Xc~Z61s?J
zH$2RER&o9c5rPYD0Hmfhn@EoLlR1UTaB5Xdr@Fh!70e@zxR$7m`(?L)OXq;@lmA<L
zc1ecVn$wEeGkHF-D|WUeI=;+NqjrgF3=KvQftih&z9uV0$;FZHTyJRa$jH~#51V8j
z@BQdLjP1z&WB~7Es*_FefxmGJ#w6q;?fN>QT_h=k75sUx^Aod)XRo-8pS0O>I2N2o
zftg{#mLJFs13718!r8+9xI{YVA&*-^GCs`U=&$LF+wQ>ph?O&39=q<Y6|*M_xDNmJ
z+wLKiXK6Kb5%VwI8x-YwT7YF;Y5aDblof0O8!(35NmA5FdoYiycN+i{q?s<nl+(GE
zvlCOCp~rHI0k;k0nXn65mMm9Rscsut<0VbjV5k?U%1X;#NOOtTGKQ*NdIon<LP6^$
zYkV+M@+b0&7&ay5I~Gm)!#1ZnBiLnD$e>^hnW5Edr`IiXT3P&jmEWtgx9Y;0XssOy
zGtdMXN(Q6mcgg4UO2MUT&|QA$f(2Y;mG1@{d4m&!^#10vt5;L~LzorXikHy6q|(}a
zS)<N6;>$E7PixZ_hApWSz`_!MWfdNkb(>_u-(hojyR>)m3rKQjH;aNtrs6$Zr5Le=
z!H0$D=fFbP4Oj_KG=ZW7nmS>>&SGb>Z{Pp6K!2P1KDPq~Mm`E3$=Y<kpUaPsw!H+H
z3H8GK)AAhPpiwfZaR9z&g!H<o&o6~!QYN?lcTia%=~6ds+;FMsMGviZT!Jq41_Bi*
zWGXhRs`9ys{OG$bOWq5eSKAuS$=4FzM8<p0(}i+;;Q21!csSoRTo43LgCr{$yfdP%
z-c93z&duwzMM`uMbuO+MD1DZ5$!A73$%#lV?T|Lx9+k51k8S^2(A#gEyc&Sl<y9Gt
zv$IYJ75Pd92vS|_PqejZY52-}#tC#1qG=c&u*(J&i@FU8-n{T6fdq~ck`DBXb<cmU
zgkAWuTLgnV?vo=ks$Z5>->Drofdu#6yBzO6<(2X34qb?@$+k_Ik+#X5vVMqmcI4iW
zkGzUo3eN847%J6pI&GZi(QvZ|Q)9#+Z8$10FgSL*N?yzx+w)K*@EwwqQ96=lO|YRD
zRgfXB{T<dq53g-{u_^cG`+6V{WaVIhH@FRdK}gRbkIERPzvQ;>lJ!>+$DX(Dgn1bK
zqiMKf7z`Byt(hP$ivx3biyl7ZVVn2_+3+5$DWpj2LL)USGM`y<puK=wz<b{$ek<~=
z5(U%W$jYTPkvVpsGmCXsp5Sk(pgR@$qsxp6IG;}rp(#a$+$~p)O`HKB4RW6vgdmQO
zTo0AG$n!h+H#6QLNhM>=#9toA)&K_l3Y(7F;`h@}%zSjW5@bkJ6!kG<D%RW5mL6nf
z4qVSoCEvQrUadRup9#`QD;Hy^7kagdAfgD4doACjzL2^B&aD)&-XZU%Sk-H`eWS5b
zj3hWU-84`-&X7SnE1@q2H@5FmLy&eY7@_I|7gy<R^Kdh*jA^yN39Ea6w<nDLc<>|@
zl{Z$g=b3~ChA#X@{cx<GFL-ytRy#pK!VUEX>gB8<nanBdY$KDBB8*Ha{E4Ay*mg?;
zG1KHg(kCWm|AF%62~RN;(0WWfj_C4`HYP0DbPJguPQaO)WtpkH+mBmA-HhNzKQ|u3
zH$|v3vzpDT%vWY6C(bGo#u1@OVwmC|A37%TOPr|}5VT+nKlqH`y3f)pZP7;4KWWYe
ztX7D${=Zx*ZNw^V%B3A2D==gEcm1E^jLtXqXPbMoJNeH%HS9_>5jR}G*lC_N9?3i4
z+^7jlV79&%cj(VNY#Fv$9DoU~Sq!pWD{3ySj3+?+TKv~#?Xi&6{uwg^_97F+^ApB(
za<9k0M)rMXF-?x@rO4>@Ws!T|3rhoL7AkA9eldDmpq>H@d*vXduyukQ=p>y?rV#OI
zyP8*&4;mh!8zmUnAdgVl)*1)<ja2eqHr9h1)|iSK1AEG5T=M_m0WY(6jum6{ikK4z
zhAWmV7bC$gI-C7QG|F4?_A6?zh5^%}gGxzYr{i4r^_Pwsk7-}cj2(|egJ9N$)aNod
z$b~CAb&Lzu>vQOTS{XjlG*s~eUx?CwPLM?NHOLCkKl^X;@a%Z6*pxeQ=dT!#vK@eI
zc&zJ-6v`slRpD+69oCAHLs&k{Eu9OihU?T?xZX6VtA`+)<Y!d8Lw}qK91b4A73TE)
zO2{VT2#ww}I7@&t6{aya_{OEAe!B@T@Bp3`HdpfZ*`-m3lRX;=an+==+U3otw*?%M
z->NiH1U<OP-U=XbH)CaQF~3H!+nga!5nRI)4mV0Fx-L7FI{z+_o+4&6+O1|xyr!pA
z;9-JC&^~hi=L>JY5AZ+p;Pgl<^;B2l6jAs0n&D|T_27hKhNw4<##s`G$4PjD&h@dD
zO+Y~bt12Gt?D>OET%i_V-H}V2RwQQh@v8T&Jp7uYYwn^H3F1`)S~^e*j21GgKV7~P
zLxh3HH6=aGTA_L<oLD8LidL_+zmU(v+{be2_TJC{OpFH~Uc&od%>+{RyQ9B7&fH_k
zsdsBx3#T3Xw))Di`UD66T(UYgxl2k0j1pk?l;I;5C$m0@i&MopjvT!`l6B`l6)5}3
zur~aH+a7Zb<;mptZ2%N9tCXZ{?lEuWi;K943svCaWBpPMMuO(-7jl{$W@jP3@$OZj
zv^IB2ud2WU`5QG}y&<}Ii9ne|`XL15z2XdKYKxh$xb(5zD&<#N4A)6hs581DLW)B(
ziGxvg-<{T9T6#oCYUDx!0?>GO+J?5g?4qmhOaQK;%}sqvQg3wE20GG;E>U95hO7E*
z6yV+qbh=BQ>4hvEd_#?>Ss)+b6KbznjYZ3FvgKII;xT2}lym*ce<d!x?qZ#=SCW4Z
zta+N?3b{E(PI~WLO}h*WN&Gv#jSTho{&Tk6iPQGBz*SF429R|qwD`$eP?t;0e=o45
zj@o>9qxmR@A1YZOA{%&B7Uf;=F7}nhg{KCWRJ%crGw1n_^Ci8NF*14@s)hhFSmDHI
zw_!yo@-lhvrO>_0Zyoc~1le%ME}&lazw|Hsfic%>H)@;;JGXOtEZ-iNZ~zIAH{7?*
z{1@|c?!!&8w~nMlwBtrrXDXxZIlt#CR7*N03Y{TAS9_cdjJ}ii1g)REHF0chF5!ME
zvhK>;-7aoxWE$JljzUp1#F||vjV%BXpk<+DS#2cx1RsMyThewzz-dAYxb!fa>k9|@
zL^t@C_b#K_SMN53@(E#%>Pp}(dFnlj{08EAmp$$Q@4J8KFc#*T6EBpf9+~v8!+8*m
z^tBfKk%omFTFH+%e=wKGv+sm4nk*#3F_^61TDZ4(^AA<u$M7SASC3XSS=8dZX)j#x
zDk7`jc%`ET##=s4B})$Vq?$OI4bJd5eWzk5|7^|2-QlN>kW)?h-;FM4fZ#_v>gU``
zOq&6m-#q^MRGVQbn98~@t2_EQt+$4lFD>>xDrWy?=ou0<^fy=1Lht=1U5+KOKiA3{
zx<X-MRwb9&ShO!SEfXX48)veLY^i8Tw1ORRxI8G@QN~)uphe425<&5!PfGarL=?n-
zk2l(;ed;<r53H_dRzU?L^Uh+Q$)@HqghXY6Si@%(wDWCDm^j`+tMR)Y;?HpvohN&c
zu~<I*)Vm(3kG=N39!h1dbZGH3U%sFT334-tI_Ii^_Bz={YkiNM%vRg?<I~iC+L?wU
z=b}C4PnipzeaAP!nn`$m%!8|gTNRKHfyEpuy)IcY5YJsKdbhV_qbeK5A$H=qq8XdG
zfWWGQt&g;~R|_=oIikJdjOm%wJSQ)q%D#KHh)X>X;;0y+Lqcy@kq9Px7`ptAgLY*z
zZB5-zdAuA%ja|%TMXgjcu)&O7=*{W+AdG-)^e_(_&3}y>hiF~>tQ@X!`#Ed9j4=rZ
z&vFm=%h%N-SDwV~<bALr5m@!f(<*A5I5T5>fx>d?YFXyZ&xMy|O}FRWi)o0AVSf1M
zHw=}QgJ}W$5r`vw1=}`JayyFscEJiEC+JTg+kU1ib0qEm(HT*>?{-Ne-;>={%!zzE
z3v3*$!hA9O&~j7ND#_ut){R@a8HTS;i^OmEDQrJ}fv-Z!$2)?mU)SHGcZ8OJXJ4&O
zjxFs$J@ov5Ktfu1VcfE;60H>Ef2|&R(%o|#+Y%ANTyFrs;WFoo4xbAhuhk!C#s<dR
z#`XvW=%T`R+Bn7n^>UL!->R-#1}!iCYALQj3a907+`m&|YaFzk6{na8+UzflfcE}S
zqM#>US()e9jd2q9W51j$?NB|;V#^%nkf7B5VP1GT#kTL%tilQ@_EB%74Ggcw?NwS_
zNT~znPf(v7+Z^sVl$t^BV6+Sx@FS9w*b3|M4kNFbdvYdV)<F;uT7HzllV$KlTEd*;
zLWxpS=$pJNK^!`uylnWZP*}el?R<BOx27=XIZo=nqL>lHAf2{(GbFj^)kVX7lB}xK
za#qFOa6!IxW@i`QS6JTV`v_(XrU479FaBMvt%uYHI#+ci5j0P%o2g!YT9a(X+5cGw
z5u2b}KKUS4JTFeSyf;XTE*KYyoT21hI|ti0xWT9KxW!@=!&_>lOQp|2wu^e-_FYFE
zL5F-+YqkjO{3eabzdW~<q?#d+WMh71Q`0(#^O7Gfy#sI7bG3k{z=0VAnFb=aR6a3(
zq7tC^B;r0HukTuKy|>D{&qU_lBBz&N+MyPC5gO>9Jai20S@+ue4w6bhn2*2V0u7-U
z@nEs)ki7DMRi5$G#^{FKM-7mM-(F-cl3HOtB1C`D<o@3r_-h@~HNRdq>s0!sr!nn{
zqm=m1;K!Z*s%{ZZ`ngrg0}3Vu+IK+M)pfi6YNX|SGUVO-E>|2~=cV;w>PUTyy?*jL
z_zk2~n*^ye2&M8=SlO7;9A<0S+>@*SH5&0SaVYk|aA6>$xZJL}9(&2O+I#Rvq`Q7&
z)1|QOT^6n6!7RrOzS?A2zC4gL$ECu415^2Y(dSWf>jcPB_a_SBz8AmY&%SfGs6val
zuFjzOY5I2OQe=N|>t|>8@0WcWG-0mWFin^Uyw|IY@yA8$#`igAVZ%wh<gR(cBX**Y
zFiPp|*3OqO&qEjI8T_WBnX2RGYlWcd+jI`&S{Go_g1)7KVknbsgZ72yVUkp=WBGo>
zOG4Xb+@NA)ISBQOQ;*CIB(q&7d-L?9I1MM|(nX&@-uQvAg3W$Cn)PdL*@aXB)NIjY
z`7!}kU9ZQlK(>5$kX`}8Z-qn7d&>k%T%+C^Q!)`Tsz@U9iO2RQg6V>VF7_gU#U4SV
zUaiYMA6nQdMeCs{5gef-?m`FkP^tD{(TmU7>Cwx^fXfirTPos5_C$$>yFauHat5Pc
zA}mI170cCg`!zJ5_t{mi(a3RH!2^=;Jp&bbVAI5Pwu(_2xMdP#K<^`O;4z$%F_A8Z
z+X^>UPNeZ0l=VpzZ-8s`9T+RNrIKl56kCT4fvWJl+F9(O%X+~VUlst3mP+2kWvKP`
z4abZ$>KGo!!4hPEh0h<%eyfjr_{ru_qF!HpS;!N5G!X>v5pzT<QIy_JUw2U&z%UJF
zQxorA=5Ibc*$tk^j<AwT?+G^409Ri)+T7dI(CmAw&UV3BOl?qkbCA?^nLe=q$#1^l
zC`}Pm&{Y+xpyEK;mO!EMs0>S+5sxG@vCY0FzIt_hI#uU#=TMBy!Y(aF_6`1*ZYFBf
zQ%96{k15K-qH%3jK=&qDyza#3(o<1RYPyizB@UNYEYJBF-qEY#0sVCUkLmS_4AMY$
z!Fy@mokgr+vt#}tQAuH^l3gsIElTPhE<GPoe9^OP9*CPbgF*nGGG}q^+5{Q)_-PKQ
zw^aJ9tYILqfyKSd(-V#7+#2iTfvWz+ls7szkD$yZs3PKVU&ysnU$u7fz{i|-#q2j;
z=3%TBAFx+lyW~3sNLKBHkfQ;N^S_ivJN8S@%*0b-iymB$cPSbbpkGID{8?ilWkoN!
zbiCf#lKnYNE@SsJ_oq5!WhY8?97&uOGyTQzJ)8Sn(6MetF4wph5qLz&gdlfibnui!
zHH6QdF!-p$44VNiWHr4L8+{&7EE+oT&hxBERiof(GtJ!7&xPG`sUZq7;j26<3U|Yx
z_X4!73!?ZQQHK?ndGOkQLd&Q}XK^0$N3kQl2ix}#6C9SE_T6f*gEObwp_{_F$4MSJ
zW%F^C;KNZzlIeD%jm}fJNvCA}6<ZPcuOBnB*FK{e;8Ro2b6tXMjgzK|quMFBI>Km}
zLUs}d<Jg)fNH+-a(RqOa?*_v37|++M?4e`!GRIv^ZzQI6b~8KX{-@<nNh@KHo{z+V
zLl$&i(NZtknsule-uFtbC*|E-f1F_yjG}+Ev@bAsryarSP)}b|DqAcaKb3RI#D`|0
zIGbH_h8L=sPZ(3$Yiy;w#o6Bzf)&0kGpqXh!&Lp6f^7K)fkdEY!aTQ_DZ1<F1Fag8
zW1&-}ZyDaS?Ltr=|HPNab03Fa6uH#Hoi)}b9=59d7TpeU8PjNtST-1pMTgV6$D+~u
zgWu5aDPo2W&CXn<&kL2lN{kO?nBC8q(UHzmwssx(nDrrGax@O`q5kzX1v94ypI2R_
zZCr8~*Evs7Gp9d4&n&!f^8C#W8SdN^y6d)bRTK8vUGXY*nnk!|`s`-?=47wJtp2p+
zd7et&IOW4^1vR)5*<9_8+MQlmxBJk@#vL`L&ZWk&g6tPL&K<N2(Z5P41Tz#z7Ydmp
z;+bl*KU1eS4VGW`K2d1cRHV)zpNW1YjXYu4CG6@_57|jB;@jIvuY?PT`~^Y`b%xRv
zY{{&xWbXOg&Un(~H>N-n_XCgMeA&UpN-w!@`!s-z1z2@zIkJyU`BEZHgl)y3aiipk
zn~f7sheUzwO@6mpZf2bfQPQTjZZE5ZL!3n6T4JGhY%vYjd#heZoVM_iU+nuAcUGs}
zJ>{b1clo>K)ah;5L&cjmx0g-$L+?1XZvABE?~Qy0hxbk>!CRt)tYRn!K|ZuHxWqs{
z<CFRl%Mo6rT@+6=n+ASy56UDf6sz^9IXo>CZg>q3?4_v5OKTwR;V+`(fdD!RT)zNv
zF7D#^CxhMP(E~=3qsRp0VbP#oi(2`%94}qqbZ)k3vtB_=)D8A4LA-QZWb|*?WzU#(
z*(7ls1{}`pavtNP4Z8cPGaYu>*MrV9b{w=x`o-a_HR}}71kVj04Pb+KI>es2-p(Mp
zDW4^Y_^TkKLcw(OC*b;ejaMz*-R9~9Df6~d8&-J+CTNSe@3l5;QH2F~ak)rY1J{V+
zyo;+Ic5I!;EeL+mPGEzG$&E;xiMCyB43+Nt+$(D*{-#zCI;~2hD{`N%|L3)gfN5}K
zWVzW5W4VJ=iG3>i`8No&$Al+6VGg^sJKQ~VPFC)IM%8ACe|9^Zne=YNSH7<`xL;Zj
z#p*7aT_kt-I!M;?!uBo;KXjRl`0GWR9^lOZ5R}Pk5(Q1~Ms8*aZ?QLX8LAY+-wVHY
z#t)c@omwhU(C#<9`#BX>{cvW(@9i5^3nj7!L1^ULgu9YoH2rWV;;ZyQxJhjj19j6*
zx7@b_b$0$C>NuvQmWhXj?E7;cvP`)StPN#D9L49Kg4aE#ylhfi$F7kZjU~5JD0s7U
zNeOs>bD&?rNhSxg3n@H-)E#W-?;G4+>VWZI?+l~y{^DrwTQ7)i?OFo(@vSjz5-FTD
z4$<&iU`+jKTf@oolF<(5*xDVNXd8_<Hi)F7-!7xF{6XAI1{+meh??ttbj#{Y7F<h%
zv*IjUj4@^MK>f_RLvLH2T^3v@W=4E*Cob09QORolBG)8QD&k@G^*zt=cFhZTKpaah
z(MOtLYsvV8I>U^pGwf$X5t-uAmyUk5Sz$&|H`cZ=uk!@<Hnxk3jyUy-W+PdK6q;VZ
zk2~iAwywx3-VxS(G=cyWGMq&?z>SM^TMd7C8b(7svD=^Htkr9jl)E|%EpB-K4Cl@6
zfRA{rQ0k4=uN0Tus6iLvT*a-xoP13N#}r(Xxu#qaK1ItguU>w`N5#TtXI;CUs<?DK
z?g=g=HbCZ@^eaKl($mM5S5xq%XpQW!WyIAzyFerfR5B1~u@hH5KyAK~+g+(Q5yE~V
zaaQmz)YI5UBAVzU*6Ou-{!PQwXTf}TUwDhv9o^v~jmZ>a3)lY;Q>rs{RiGB7`+>Hm
z%97|x483aGL)T$8%Z=%(u!pWMoX@*M*elHw4(+O_m`{8-E&-PR8Kr8$zhgD%Du;ki
ze+4FnF7Iu`I%HRiz-ppO_&v(^?=1z}+ZuelR+8J`#FLa?J6?{X^%GY51j<VIYak~B
zW}ZpMIq&XPRX9LS^fSEM$IJUl1oKt6rTMsDxkXkv#h%#1huz}{o1D5@=lwo{^`Tc5
zESE^ZjHt#0ZLng(l|pBu2?^_~caV@esgs|#7}=f)=|vCy?adrXAWc8-{^Li3kNyau
zw>5VVudaC`%b$bmIDjQ~aRn8F4DmF6*kw-@U^Z*?_>0t72dPuUktK<AH(iL6k&>Qw
zN@70)flIcH@$0^32W$UOdD5czUp+rgSN|VPR{_>k`}Y3^BJv7?gi5KCPLWOp0jVL<
zqojmMGkSy)g2Z^~8Xyt_>244Zk(MDKH9968((yfm_q#4Hymo-G?VR&G_x-znfrAQc
z;9?f=gZAbonqJ=${H;<?nbUMJoNXqlA*~XBpXk9jCIk7Dq;Fp;1N)E0fl2D=ZB$w!
zY2^C_kG$xckh}3s{ad4jr3E$>J|;~KJrb=JM@b$W;gQ;mVdZD1eM6D_cjuA{_`@jg
zK?)@9;2oTqLtkv&G@)vL0oY7hpBpPbd7$*(EN2RP_*(z?EYPFjZj~xy24x2sM@b6=
zsi}L(hCg?R>{8EAhlg9T+n{qWNy>Jr5np#_o4@yZnUiB%4G9R<;Vh-l-}sT>JF@v;
z--CDTDks^tCJ^{>Gj)qe<(~{InNkILeDG_Ph;}q9Ly`Zr-pTObfNoRKLlU_P$`8a0
z{xB<0{cj<2q#@1?RphAlx*7T3o50?|=QPcNmrHn0Y@%?%F+0hHK1=HJH!wd@og<my
zRn)$<JCg2Xmzlv^Sn0w)VG`azk|ObYcu3Ly$TwdJ%ejhZ``s5<Fj+SBFaenqUJmRW
z0IA-qbi3H$IlSO>mp*o8yoR(l^7PPWtj~iJjrjmk0(v)2XqJr!Yn=*Y0{JP0JP2{t
z-SQ$`6ws$4*XH#%qumalY2PjqP=J9I<dgzY#%$TQ(!<+UGj|=uMD2yeb`R^9=WO`!
zOw3PQllZmEc#IoTB#=6uTCH!2-}^}sXl*?rcCn_co@h(zkMG&Rl{Gy((kq`68CMvo
zre{b=j~P~MWTi8ZCR>IYT^d$AbI=hD<Kv;EM}esWMZH7nglnH$fF>I8yw~P+YI6@M
zol}YmEqPUv`^6QMQL|=ml8Ot+s(Va_5$V=&Ou$SYG9sS$OShw;;0!I~iu545q=>VG
z`o___L2I@n)y}i&aUi619c?lDL9oom;N|E;Q?{OEq^~Lmx0l84rS5(<z>k3@I#n?a
z#0J_+dal>_S+Lob?O8W*do8OUz)$^8OBZ9KFY&r^oqTwff9)RX%U(~*kKB~iROR~u
z*+E;cJp%;m^zS6DI5zgcv%p?K9G95d#Foq$zgLE*a7`}t=)5h>A!?l;7`fTpPE~kw
zr>J3o<I?e#xUOpb1z&vf>`vZCa@S=$!Oe^NP+y!+Ibvew>pwC_#+6lL<kKH-lMX-j
zP++n*F?hMCi&Rh}tkurXTOwTe3jpE=<xo|41TJr}YCl2Q?kWMGBfD=*Nkw+o7B`S4
zTBN!V?6g3Ux|zuw7nNpLI>UK>-j<wyNyh0a>5tpD=@9;G`p>KhU+hBF_&W8C+2bN;
zdH1uefrdEImuLlVKaF71x)g?uVdH;hv0Dl!&D;Gi9X!>Vr>|pKjml)_i^nsf@siY*
zcUav84+K+b^Q8yLVo#QJq-57~Md~p#=qDDv^gqjLcwLG-EZx2bevirkeXc-EWkp%o
z>z_2qF!|@no~No=fiklX-(z=xUn6|_^&(gC+`eI1S(tg4I8!>dx1*OuY3CPD7bRsQ
zvVP(cd7-mvYkI&`d!;L}gqN!ur-UMtL)(3#FD@-Ufk#RKv6BW0%=^9u=Ax`^=~vsp
zPe$h#J;(BKN_6bS2CH@7Q1i1aZKRw|)ieG$h0iYvILn(2nxCWl_b|4WR=ps)3_bN@
zIsdX69Dtu|NdX_`_w9SDlq-!E^G(8L95h2_#j*BD_I)loaHD4w=mAwLHtyOMZx$|A
z`sqf}WY0FZsGlqe^Jr$wsM8#A<iQ&2>hG^dWep5;Y^v)#5<6@2j8&?&XFobzZ9WM!
z)PWh+0nK)^eV~3fVJ-V&3Bhvql?QhuuX?WVcOM_ShbFYZ{R=dOJPJx05VW`kQ*MMz
zh}j&~BHDL{wGL0GRcoy#uaZ7>N3>0JasJ8OH&U~Wp%|FolWwZ|q-w=@;G8ug6B!PU
zw5orxp~o+1a5LP#$*A>Q?Aql&Rg8NjwhSi`LkZXxaa?JEnL$ldmaej-)5|2^jumhC
z8g9>Wba{z@D-0+E3%-OWuT_4ZeS7oVy=10ad3}kI=N%xDU`?CT%bnlwhW=>DUKNj*
z>h5Oermx0`4&|7s=^Ii7MZBb6U<{LRmq~}IwDn+FY;HdV;p<hf6rFP40R}7Z>|oTM
z)q^%wcB*~T`qv-|C_I5$w0x)dQspD!2JLaR{{~PV#syV$F!i$52<`@~lN7V$ti(2Z
z|G4i8O%^cd?QG?9Gr@FYRPquIsM7B)+#rwj>T8bM?_!d>=BLq7lkww~Ag2m%<M)>F
zr5k(Y;@D?|2HND?zAF<cVs?|1OFvftA`fbiHr%sG&q{vFRNQvcn15RTpCB&Y0+H^4
zvoQevG4$t8Wz>ftNV+BhNm1*THxXEvMgb&v#-QbDkP1A0O9<m1Z(m#`1a=D!vh9D6
z-qwS>rwQraaNv?-N=3hLcfBII?X+LeK4!Hbyt**&Yn#ja>cOTrsY+&<u|dkXk;Md$
z>^&QMP^!gCfNoP7R;^ycDiVY4Q?_sTS61rZW#^NhZz+#ym~`jsum%_~%4%m&D^0q3
zCbyT(;%aiSn82oclRIh}_x1C<$QQLrnb~vezBq`nt%?t&`faM!eIXgff2wLVN$nT`
z@p_8G^V-bGO_N_l1mf*=qwAMy>FRWD&Zljx#B&2|im>Kd9@`ETvq%AL;*umacxTXc
z(M^jdeN#Ib>z_Qb{RWUX$hK98o2*>qeJ~#_kUbK*`prZ5orJP7mqP|O8<MOxx-w$v
zfeQZ{se;UZZ=?x&42Km@vDD{OXyL#fMFN$6(wbuIm|`^7nD<pr!~I`iQPp$XCsojU
z6|8j2BUHoq+7UgCEnU-(ZBse%p;mse$&CHw&*JnHp;q>Z)aPq3D1#oc#}J>FM)U72
zvTY+Od87|$>A>w07f-*GQ_nv1Q6$C5Z2C|9PTaIBE$_jeLfzySfq44q=_m*&B7x?P
z@ZkMV+|<Agp{H6B(kX1tYdon&eQ_RHm{b9xOP##sC$5@D{9|wBEgMZFN9#WfCkXFU
z4Q1GN(r}aCK{g3@#-aB+lHR1IPw}a>v1{<?>m@PTDlQ*t<EC`j(FI{l&ZX+?tzt<Y
zEaLle39LT<E^&z>gH94@b?(v8Wc5&pF@_l&AG>hYNR#{8SG}i;+y5<;R~?Xf#i#;c
zv!ngm-&R*=tZW3&x$@Z0;zhWxqN{z<Z<!aJ`D{{bb^WT|7JR0R8uKaF_+hSA6nrmY
zoL*Jiw)}cm)0<$I70r7Kg%W&jSte_pt;{N?G~m%o*e%Nj<1IHXs-PN9ROH{vQL*^!
zd3hd2fhraSp5qOpvH!85A~nzu;SDWo<*2?l-~B)YqX|Q^M@9E|G?Ki0F^Q`E2QZ~*
ziLBB;XlT+X6R!ziM=`5xzBP4&o~o1EbiHNd^|m5w0Aj1}pudAJp1O*5H#uQREJptq
z(BHlgZstqQxvX(f;7wI~#4SQ`07^ZK`Tl~FJl0^2VSi&nuQHEB0T;bo82_ZN8qZaC
z_(h=4pXl6TC(9&Nsa4{fJ+oAh!gh6$N=p5@(Xyp-aHH76)|xC~XkOaeX1`%mBq<FR
zqV_}RZ+M<A%eOQq)oD@`sObLsK^hz*0vB}s+J(&*o52xkj0dCS53=zV+}e{w5(+|*
z(fuR=QNU2`Q8#H*<j)BTKQzL%IbJAgG$VPsguR`@p)h$;q^k%232u(hn5&u3F0VG!
zQD%~(_T|g+u%AdsSzk~J0gGjM=5?j*vu%6Z4_O_B;rVPZ$6ip$MW1p*xDI#-<9OAk
zP=x32e^+ju?CsK+wD}PtM&K6f83`Im(tqiZe%!V5c@VC+v>6AHme<9t@U=1)iEfn_
zr&hw$7pMV8nhhqVxt7J%@~I;X7)&dk(I}BN(m7scNGI@&U&3HW=QnWwI0Hq6oC|A=
zo!Y_5q-R}%ayEhprR`olvnq`i;<WM;5BH?j8c)EOyP0pk+O?IZz~TOW5K_}%$N+;$
zysnlU{6YY(xmQnDqTI$87?5|kC<uK`oY4BCx_WBOiGI&AaIFwT8~u14y{abjqoC01
zanCO$bTzw7M3kQ#ge?p~cxdjSbUmhmTk{yC=^b}sX5T}!2}eGe`)=tf`^|A{Y}Q-M
zC>-4OOfv}-trL>Sn0jya(#>9(<9Wl7-m7N>PQSsH6aph~ON^nWUo42dhgl6cI^}Bo
zrvHeInLKhSDxtj``#lN+1Ye*B>IqgkjiX3B2SV`=lb<W-dq3b4#<V~fD;q=mWqI{x
zueLqL94pX6dm75eU7sZnnvnEP$4T9F2En?ALYSeH7}1B#q8PB;s~^5=kXqpN`Ao|Y
zIg_9fVg}7RX{>19ok8}dS)ss4kG<`26pk*UGV7oXIX+RTLZRo`{||D9Wx_el5UzfH
zy~DFaF&yLeI<SZ7p#Q(Zj8tAvHpfW~pDAwEM*+E_bfI{pG{;@1{*Oe9F->a9$r{sN
zbZnsPs@qhINsBXYgfLMocH%d-H3`iQm8Wb){&$GZW$IPU>Z@NgJ<NT_uEphqy7~4{
zx)8QI(C&RdjLXqr{1CZSb&lvVx{W|;59kwFMFfCBzM*%boQh+cIHJVf4tn4lR@v$Q
zdhxMfO*JdRzCxT7F4oVe5ZqV$o$MEu*AOqxbM*t0uU_#nZfrJfLIhJ!R!Abgivgbl
z!J_6#N%gYZ<FJ@0pKkUOo^JN_U4w%Y$15vOd*~MTMKOQ8%+x~Se;>v&hwapj+bD$!
zuf755YS0#h8H_KfTK|qV9tXia^Hm=@b|85P*tf#J!_O(!^h(?0zTYZ8;I9--C@5Ua
zPH0rVyn3(S`sq-8C{xdoXU(rQs=kh~`{Cyd99+XwA*QT+2WyvIZr;PGJ^Pt((e^YL
zxFEPZvON4~UnaRdXX4a?GIHAs@(`*W+3clOYZW+d+0ZwOP~QOOmqVl16zcBH+{QnV
z#Dpta1Kw;LCv~GSPlNwq6hn%Qu9CoNC#UV+*>869LlaTtwZ|7X<M!n7m)Ddso0pZ8
zt+gtSYCER8ykSX%D82IXEPqwFNuCv3>;h>o9*C`JvI@9JD9RhYMcpElL_t`;yH$x<
z2fp=2Ldv01(u1AiSeHWW7||*<dZ_Z)lml#ZrsbxEBq)}`nQpOWs#%^S`Uho?mOVIs
z5AbFpGj8aMCVI!~fWL~tfIsNcuo8=PPurI-6KKW{5U4@Kqq-{$<KFIy$_V*g<Erl+
zIbZZXmrmxK0N<JObw^IPf^ov_3hBp|Nj2PuIN;9?8mHw+cwmYfYg(#!u+lbo8t@TC
zMbZ`IRx~XnI{v?qdqm$k_;T^vZ}G=A6*>4hOhKaooa>;|pIN%*&^k}<Z<=R+Av;Gn
zvoWyy+}X6V=cilQyL&>aqu(Z)LIjA!j*>F+Mo$z()BVo`MBmlre_FpmT1cR!0Dms|
z=Q$F=8W#^{8+mDWo`q;EjVo(0Q$`R4rXqq;623|A6@PZWP`2z#qZW9Ud4ZLU#sz#e
zm)KH;pbGk#JkdLH@Kk&wRNCg%zRSkz5uG7UHW5=gWnp%dXqHIO(WluPmA}=9c&CDA
z$c$kriEdkW#YfSU^avnE$<3LVNQBhRY}uhT05VI05}i+T&k+6WJX%dBf}v_hzd|nz
z7oZGv_UsE^eeYK6+fLTSc`qQt@xjOMF+%AOrL)7&j~UcGyCrG5j`nQhdnlUWc7BL>
zxAp%i3wvDI?R51ZoC6Jb^u*-Dhd1{SX&-|HD9@L8<uwNp=rey;6cgrC2%_UQ2z$dA
zHhM&*b5X#tD?(k@h}}*jC&(z#jxFv45~f|a!CAf54o<yEQb(UV|2zvI$=F^+n~a}3
zK}H#9;z$WsL%ei(t%z^@v>Kpc4Isg(mg{LMZBQ+CHm5krl)JQpQ}CMbL-FPEH8Uz`
zCgJqmr!=rEs1Ir%IYoW!eg}L|QwQek()Cc9<^|)X+vvDN<Vm3>Fc!?b@<n55BUpYY
zPl2#lI|uwHwH^ST9RVm`D@#eDZIh}QDzU|ej4p`yeU-lBMu%3Mz{+bBpPoQ>_meLJ
zLmYI+u5Jk)hd8UeS5VIedpEx0qc=Xyq7318%&M&Xa}rni2ZrWL-!eD<hdVvSWC$ya
zEV~^h^7UDjAMy0UBi~yj`-=K>!{;#`AvSz!zNYc6Do*>BDc)X*LMr_$;WS4<SFVJf
zP`9JC=^?Zd0)N!sm~KHZ?mlx<*IS!YxF+UP#wO|0XPgP_Mx38M_wL@QyVdo@a>BN%
z30v2rIZ_BEFE@Pi@O++2)8zR@@<~*FQ%zV!VSMck^KkcZk|hhI9;T)^zLkK$ueAy3
zc-x;qD+TR`){VtlfP0LpgILRGd@}YJn5Zdb9bD|5Mnw>t_`3p$R;&^sh`+bM>6Tiv
z9^e(RQ>0KGcz($tz$ZF-^?w4#r?PqPH1A2tc`RK|gIhNEp7=_Y-FT6OzaB`egoBA+
zvhSr4=CvXr{ccJ8N!YkP_TIg9ccht^`zg~hBS3MWfkCK^m?pXb3eU1pb9)t1RRuN)
zG}K$I%5~YXP|*3lNOtthEiqA_!h+f6>ed^^xk}bLRb>3l{KL*f9&UuY?d5>XlU*90
z?>It0I0*YrCg)kYLe+QlzZ)ra=4-}lwH;I;4bil_Wt>_jeIBml7veP-oJX{!k6Gb7
zCaLzfrTpiDREM7$HO7%-4FckSyv?%OaMQuJOEYkjLnG?;x%!f=NKdB5IEKHdn*~L|
zGY(fjf->hw+oYp^-PBa$(4e2<MIHVv|J606!QWf1zv%us?Gra}qQXFK(L?aVhaC?;
z@AtQd1_y5`<&BJ*u4gtA(;!**j%bj?(WRo4Ogk`FsYW-~Gd;%yeQ+-0B(`_o@1(-p
zbXL6#918&hE&I=!eGOE{b&?2dAcz#e{wK{7L6^AQ3^GdrTvx&kDdpLbRPt?jM-;QO
zT%N#FZ#lY1nLL0)X9;0q7tl0}DiKq$1GZ3PUr~u@0RdC`NfEoN&JL4VqhPh)X|5@J
z`Rhxx<l4{CdkXta6{V3-H3yL)MWBB&E{Oaq@PkPT)a1RiOWD@fR{J7R5o<PWUVv^1
zav$(;z4wK}D_KJZtkjkc55UaD_X$#+Kc{#`26YY_ieh`50-w%fs2)p-Oj<x258k+3
zqg8^G<OmSZnYhD|3^kbd524B}O0M{S4efL-2h2L9nh^1^*TY*WLDVH-XBwdMyyUGE
z*oT|ld9lY(j2eI(<V0%;#EDpNcWDnyV(MJJDfa4`h7)B>F!UCehqmSh)T~?1-><pS
zqUpf#!0kqIqpy!73|gLpsVLF`@x1g(!KqE{QTH}c@hQ+Qb^5pr5iZuozlP$0e{nuM
z+4F2<goCpwN8Yrd^JCsaSt?QwkJB>_u4k33ao%J~7w`(e8pzDs*96=Ek0dm=o}Cof
z2;{ie$A-&$J6U9C7>=;{#x#kM((z78Ekfq5z-jQ@+K+B|7K%R!1kgCLrS<iEc%C(%
z?#J)GIw_z`d@ToxNT-j>k3S?6<Mj&n?qmn;KJvG4cQIUleBJO%eCF);qTko<i`-xC
zOCfVLBo|c>u2~Mf_3%q$?(1#UHuiwCRO<1=2I<krg}bL7v##AW>;O?l_iCTUA)Lwg
z3;|%USj!8#YdUXJl2F;8vS6cquy)C^Tlk{5gt4bl*WwISzYlhVECmwUAbA)(0kZ|#
z9Kr*I!+(z$r-q!%l>TYnminJGhj%~G2{)R#*7p^@gZEn;^}mYY;WxYKbo8xJKgQap
z31IPahdD+ww&y-18bC@G&v)jpX6s9@d4%0<CtNq}FWOf*%|(lD*QLmVno1rdp+mf9
zwq4sFdG4l13SkLTRFLx2<~H))^q#9!t_q6H!zX#=V0qiPCpGidf2HhWY=0n%7*Zf0
zPjK-r)<3=+i&m2X3>g4#QTxn|MQptZV5O#quMa4?3Q?n%UzO>)o#ZhVm*wu%{n5^R
zX_FSSv%a}|>{2_UEpD$vn943XuPwayp<Qt1vKEzxF}Z$w(N4EK(&O(uX*@amqFuSD
zswK_p?1@dvY1+>Co^Sw77t*`;N8#%Ngh+PK%$*u5%_qr>2QwmVq6*s#9w>$qCI%A+
z--tiX0q>{K1lM?c)KS4xk=3ojSX+Xh3mNdjxLH!F+N2i<6n$XM;s}jcmM&S~-~3~#
z#xt<<BJWpOOU6=5->QuYVPc&QF>o)YW<03SflWtZoda5AnRYZPEDW|<h8fF@YC*;f
zA)`dUiHeu$$4-yg?Rzk#{pN{PDt;=$4hqZ@j@xe5KrzEZRT?iYpmlrCGrz1^Ft9it
z6M-*9x$WS1*OJBQx=0wb46P~Du`{IB%6S*&73<YsT~+a=t8cLs1e;!B8CF5hF|Fxi
zje1;t3xlH))ir9ElV2oh{+D5-&u6Xshs`#5fBt@{U6gq6ykBlF&8<?RvPn69LKGv@
z{1^k)N3p-PHqePU>ItMD0t#xHtc-xEhxZm8uF*QJM=PVI_@wQJu4wE1`^&x52Enmu
zWTm(r_D5<s#3U1I;1oa%NjW|8Qckkeo!oq~OHH^aUOI`@cZ%?cdbDS`;~b|Im@5|2
z<hE75<Gi$+ncLS5+i>^(CN(12;?YZ&g8+;KMbMRNuspYmkG>9l;8ce$K48#xzg<*2
z;>iLQJZ&_t3~yN3wHYNz_oVs-5n+T9=^8;i(r>#nQJYE+2gG?l5CWaWG>Wi3mF)_3
z&kW68T2S^JNTxv^-9Gw#v-#7iO=v^wW1U1{uD?&vzx|1Wq*5CEoChkXMG1mJ8PJOh
z1wUO`HT-k$`Rf#1et!U<qi>X0tGvfYlKl`&0=qA$mpJ=(<LFqo8BkC2OaF?qs|nfl
z@C_LYW!~iONuB`&$*n-pm@|b}U8!|1UUmvH)Zh@|CPWw*)f(#;4k*^lqB71qiy%OE
zOcyv&xhA}oxWf);kFevGo=PaIug!`Ip)MnoX8O2+@B!ZarSEnYFwDt%s*P$|)QV^^
zw|5BEU@L%FiW#<IWv81Y=3}ROUW19P8D|jfuph{uG!ibp@&Nc*$)jfui=>A9X;I2d
z%w>!veA@7#EMO}M7Cv|CW!iBjB&Pwn-F1r9_1*SkR6A}m7P3mx1JegBDM-cnk(xXp
z+eiv2NK;IEI2p)<U*{W(y4E2T6tl^*q)<>+maWnLCWu4^A$W_i@F=LNxGa04kGQn;
zYI@BYDS3?cpT#8+r`Sasi#&FwU=oIZ)?Np#`HZE;k*J@KB(?7?WJ~Y~|2LnQ4)$vo
z0xQyXUG_0&jlQJwo>;6kw4IWdslS^(bc#>7Ew|>OzSE(kwtmQPU2T)?|EMrEB+&MU
z$;4uDYY+}6lCH@yC88phwSkiXvrN&roI@=`ye`!uCD8NmdwObUd;i-0$gj;Lxe#LL
z=!1T)cBq+jHl3kO&NQ(f$xt0D)}1LVbrSUjopH+6_ptsv;DSw6R_7d;DYsn9;wOOv
z%T@Bd(z|hdO~}cWtkPL}>4wdp*?N-45!*IU1vM=$Q>g4yhTMCyt9%cnoYLeqVZ}ut
z_}GLLRO3;7;v;QnFBW-6u}W#7lV|O5g?7mef=`ab#f4rGNFC-kFfnB+D(+wVd*JYp
z))L@gXnhuHVcKHfX=nky54u+_?v;uD$|T&Fcsw^3*$LUg^^>;6vGlrg7@T><Vv%ZE
zV@8#B6dW-CnrkJp$?>WwZQ!#Y+B6te<++qfhd1xkk3*0KG1?E`i#|!MLMIPbg&JCO
zRWdZ5K~=4TnB09~;$A=r8G`V95$df%e!`;?ai%4H{CaUYe?(Pcb*FSN^N}s8r)7rs
ztQ2~=gLLiM?co!}LVX$?|A$AlSNkR{CGQQGof)*7K?rn{+;Up}EFv?~J(tas{32xu
zj^$-aBmUoSU(H-86~5X+qh}mXS(T;4KoffTD_TN-Y;-e-)}^5Nx*GgDNlPnp)iTcz
zO4{>GsV+T7Al_hldWUWGc67ov^Pjf1O<O$}L!*mPoS|fCc7^ndKtg>5{2mepI{ihS
zT`(L9ADdopeYN*@_j~P3!T?xYMNWT(Tt1eICN;jK%y>8i6^!1BE->$0K67zNvUJ{U
z)qbFBV@!M2Q>ZO|kIS<aDoDKul%ETU(t}}5hrghLt^TDNJxh{qUr5#&=oA0CeAG7g
zHaxz;Lr1#4%H4BV8*@S>V42z+I|-Zj#X7w=%+pMwNZ)v!*?{BB-<mvvXMrPx1yZ0d
z`U~wY&X0BjHx1o`$u7QDOli@HZOEXt{Mcff^!sMpOF~%;LJ&<-jhNf70H6nm6at`4
zlKYJ^3%Tx>w#Pl)P~%azfp9}w-hA4>!{;BK`egdvDH$N~8W$^{A_)LwJ2Uum^Xc5^
zVUXisSBYoxR3l7R2atB#AzC{iZ_?eb8L-0rNWsyL0oFJ1t6z4IADaVb`1+nYbdTf=
z@rC}VH}>KD{F%3?e1u#e3wxXa17BMX%#vQged2F}v<Y0hy<U+1*-n0I0Ri9m$E=R0
zTqoAgm3L@H`w0yi0dwG39S7e;E<Rp%;5_e;via|ag-^O1ztFtcc>`t39(wwYnpnoL
z=iX|p3>Pd?Y+6fA2`5oq=a$&P$$+MmyrJsn4zA@&SD3W1dF-tNA-NC)bTQSMoUv{|
zZ0nJwbQ-eIQ0m{%w}1EY|KTqf%OM$71PEJT5CR629>*X(r5AAc&{FGSbuRUKu3A8o
zA|n6LyU~AQigc(c8ad;qcY_sqxXaNMz!t6&j7<Qx?!nkNVFzfFk&pgbX%q}4&+-V&
zaR`X8#~H_R2(^vKV6CZKmCm96!d<juf=ioHm%X}FbF)L!>KPHy+9c6!-x`qZWn*Ef
z+M&iYq8AMc)qtP{p~k=zL61J~x0b3(1LNQ(<#eGl7E2|ng0Vc;w;qe=AW12#c@XLl
zAg>w>bUNTN7U)skhud8=&(m!w+>5bAwOZ%}mceTDzO{7ubygJ1+(R_F#$#gaxALeG
z!s==es5u{m*tXE<h_5s8JirZ3*pR5xFJy~!b0-XTb@#0Q{?*NmW9fM-!?@WqKC^GB
zPwA&O7GoRDD0%#^OW*Z~)z=Z>Y`rd;4oPYy+@iu>FH;e{X}FThiw2-C`Xmx_t8ctR
z2#*Ud+MN~qB2pINr*m7}St`SO*T`wKvPGANB*aYdC3<08qV8b~k4J5Ai1hUw<Jw|1
z+rkX|=LZ$ls6%@(G)l>Vtq1unt@k>c@#!a#Vf7lxE6XaW^`FFIY3fJ3q&1SJ3>1hk
z^W(@8BBl<YYJUX;*x(50L&VyDyZG%#S7igp0>J<<x9v2s5!Cz7-k$NRci4^*jj=}U
zbX|#A9-Eq+4ZGhN%;Of)jP_3mJ^|dJpRt~jcEbC|z1FLG$<G}CR!;xJfJED?{)mT#
z#EDrK;AjVw`E!rj`!uiyByj*auW#Qf^Y}b{LK&wD-`uwtd2cqXu=-UU@b|_iri;sW
zLRSmo)un<!gi)oQphikpWkyz(Z}@0x+yVhO1At0FRR#X8TkY>oL142A7WU-w?@m_m
zuKu_J5K{n>bd>7s14Zp@MPYxKV>13MV9tQqe=4q2$hAntQOp&fcAip}%_=^gEPk{J
zsYbtj>^Zn4Y8G)9pj%9?nSFAqNl%-wgQw>ON}1AIg2y9S#}$+b2oI=l>NBK8a9pb+
zTdQ4E3-X+Guy}6!=CvUUWjNO~0Our5$2Soq#&i2M0i@x~1|C+4;#^gx($a3LkEzj0
zy@tpzYqh2COtHrzpY&Z_<qK*fp)^eYYB30h=Kt<XtB=-VK&s*F_4^;?m^MVtW}fy*
zFl*6+1|V%_(l<uy$w8e@F|Pv5@sR3#<gvZAWw^l(&@)n0B$NlizhPC=FBK=NfgX@`
z2rF;0)gaBts7mW2d-7;LVEg0QJ(KU;oECkP-tK;q&UbE{Q-qv<ogk5?JT;9GlFm7V
zwu2Abd>BaN79N7gv=|Ns1fjB2u98EuEy#(FD`V=ZdqKk^S}u?(>Yv6y_TV^;Sgn#-
z*wQXU<9hSmTa3c#j~AUw4KXbAa5eA>fG5i#n|NhNW5EIf3Mx$i3#~SI)G_EYtBw1x
z1Kj5h90dQG<7SiNGh#X@j6_|Hzq9*PVRkD-<Qzm~z(r=O6pCH0hm6C@M&}|zwfS3a
zb}}84MnC!wqOEwsv1ujxF|Lu|O-Z2s(H!G4B*ZB_ZU&8&#9mBm1RTTUzTq+OxJL&?
z0}xC2bd9k^Ui(z*3Lf4DhBIVY9_>t4w&<-RHv&hr73(+l_IDjyhbjXXRQ<f12r>5g
z2}^ZfRS^38U(NY>ver_~OEc9>Pzt;dLTpx^?W%TZ)gpeiv(nQ*V}yt3QP0q*dNtMn
z2-v0MtnwL+tlJ|7fr^U?7+;9OIr}<D$(Zejf@ilQ-;iu>xj6~nK|mPlw@Qd~I-U=^
z-lY4wBnRv|TZ6!djGbCvv8WwmwP{Tz39*!FV?>Zt6=<eOF@F*7UdunP>IWdTeez;x
z_Yo6%kPity_&a#_AF4uFqnf&+Y&G@s>%rMeu8%9s*v0!U1e?Uu{w|AUe?>~Xa;wj4
z^01+O+YFp1-?yd((_8l3>~;gK4T$Fo2B$AcXQRD$M<?k^E}Ff=M2Y3-^_HkSu>Sz?
zCJ+&?r}l9t;wP*X+@0W`$7*5aagdSNC&+1$RG{>WxH2+dJqTG=;@ewj@HyzhoCkaC
z^`8|R5XlL~6Sld16kqii`0bwVI0CGynRLt@lbxoRHD8#*LB@PFywp0gBkHKD(i&y#
z48N)i^+eSLb>Vka24r&r_8Z<W=!R<fogv6Moo^5q7RYp|qm^#e>oWgzGICThE8cCO
zyKnP9*=7Q(1n#(YaMeD@QI1VD|9@|281<W>G1!H8!Se2;pAPw*vhAMO2v?C=;p&0Z
z6RjkamU~Er0IWr?D(!pm_>n_5UQajm_9)WRf1YpFW35Ca^U8lxP>s`a`s}lQ6{Nbt
zd8IV!Ti^is*J_g{{OSF2-xu0F^X=qRjUrS647L#inQk|$S}BSOTOTV7C<5j{hh4Lm
ze8O}tk4LLD+7E%cff7wBxBVTJ_nxWnt=xa?EREARB*}qFuR4e*(Y%(l_%*xII&81V
zCJ1sqBhQWLsIYmIG3zQ`u(K?6m8ywGAU~E`-mtjyMh2+bN5`gLCJ7bt+%n<lWfq7h
z+5TQpdi<Q<x=#r#dImSa6wWc|G+UraFU!$DhA<SzVBgTA*=>jWFr#Rib1aS%X%>#g
z&YUW*Ha-<Oyi~{g@6g>hdql^h(j(sQr*cDF;m?>tX2M?(XeTu@HdWm>GG=bxF5v3~
zI3Do$XO`qRZ63UzxFU5s4oo{i8wW<TD2Fet)7Pm1{}${yWVMr?uQM}h(T1MNT}Lr+
zx8EPN>%XL}!eX=4C>Zyte7|H+n8;hxOFvWrG17o6cGm#bftucmr=UJp3@9xc+YTD_
z%lSijR<5ft+N+`6Wm+jfObKDW{e+7KZBjxQSKfC%hPJnb-S+eBD%gUAzx9^s3Ko+r
z{QxQXt1#!31g&>vaprfmb6wT=FK_<B^X%Ln?C^wx)4In#feJI*&EW3o##@;g<o1KM
zhBy_0=tQ0@aJ7i7iCM2uc)Q8bn50BXUV{DE>*2%941CaYi2RnvRs9kT2AV&pD!SID
zmfTFB>`(>g#R^yA_A@Eu{zLc4X^Bx9!dxW5SgQKPP%qcR>L2VqXY<RjupfR_cCM%g
zBK1`>Xh;#Q&tHyP;HiunOm)T5k#am9cyfkrWY9U#ojtXUcTHi=MPx9XvOyIdiO{P{
zc(K;?&bS$wxLI7B+)A&&(2{yCi(nN}Oy8E0a-T#Dn0}z`abvXS?Xt4(m_Uf5-%*kc
z>IS%_p~`e3gV63463lOQ7cN58X|5#w#hm@19Dj57ga5@6`*RDa+<`2!{M|}>u`uJw
z!prc(PTp~d<07T5gBbU*X4fL#rGc)szw9^DK1*z{D-BQ>P45F_oiO#_sPVU7FPVR$
zlY7x{C%WnSd_6lpG4Oe3opa=ZH{M=(rwg~yjd}B&P2$$Wd-~OgXA*TAJz)-*8rP@!
z0&cb-<pH34M-!#Vcc+Qg>ZUZx=`MSBJ{jo=t3I~eg=DPe#jd^+5oKOR-!hzNv$JQ;
zFe>LCeBFDXZT#}jZ~722p2o2d{+7zyU5{IU4HW<>Uf4OS?p3?2{@K0sQpU5&`k0vc
zYTp~x!{hdN{b;Fm|Hng_eSgvAhWh1QWkZ>~?hCN7^&{RCol4BNXzw$@E)ourdjN!G
z$eSug7b_h^)SwiI(WQ|`-e+GCfKkp^F3HE~z3%+6LUlJ={9au2`G=il=&&u%!JRYt
zHjv%+Fj2c>rf+}Z)fRIntZRmCK<H-vSJ8b;y&h^t({Jjf%&WOpdLIY-AzI8D)H;X2
z)&nyB2f7Cl1w1&?I@q2%aHI@rRrfShD~{vqZ#9<eW7cyNQ34T!r>uEh1%s+^+;Ezn
zC-=^7PxjcmUs&t5-ETWdTBo7xNc%ngvrMoS%wLCgb*KuT&E&Jb@u>~eu^iyePyPGt
zpP*WNhsKuFh_kYBkEiJe+TL<}rJiR&!XUHPYc#Xs@!yiz36AhVIgdLfD8#X@VM|DK
z{)#qSKEI`Pa6X8k4Cy;2rT0<)MAIRk=i^j)z$~;pZ%wh?T8+J4_|D@D9$wBvyz1^K
z20IR%A@{BkYWC)+9D%)SU?_58pR7N&<i-AupuWGp%KdwWU6Ah5!BdfU``awqOY^?F
z|HhVb_P7`TbnvHDlRvw&h-q!Z9D%+7;-=n~3sV1}^#qflB`;)~Ro68m7J(I=(=ocg
z2@L*3v|4>{1BoyY-~v*Apw+BBuaD$;@W)ktA$YW^{FQ4PJ{T;R)Ag!xnHz=H8;5zi
zEdcbRM5A*0HS?%<abs4z0Qq}jdK-*Mn#Q_@PUifmM;<2tdQ11y*}b!-4PjwyzcH=u
zw*^%zD~e?79r*n83ts%&lskS;l40Mn2~1*;-gu(r%JZ);Kg()OTlC{&^(?$`eB83-
z-~XM~S?4p}|LssSc!jMulJSYhWwY($>`7YmLR?+iy0=I-&qKLkam4r9Jc3RFRDf*A
z+2%95-gE%$-7NtTb7aIj6*pB6H(gwn@(Dk}#(Zz&r`Y4?4ZA!u;X&gsFn`@DL>aV~
z%n&em81SCUoJ`dULWr#%e*iRHKzqKz9CWr@x)ba6xi0ueYZxi%-gxj@j#)g-XGUv8
z${irqLNIk0oL+OwxSU9BeBO79IUer-6Ww+83%y%I!zdSIU6Pu2N-`tEz6vF`S-KV|
zPLl=6hE)n2+5}rDXKY<rxd#_HV^4;(89{E6Hx7!?90=<F7JPL<Xbx?V|FfE42vJ?{
zD~$ZEU@`n+mZZiyQ@=4sKaP)IG&_5>%23bd%6F_fB&Zb`xF|voiPvn{7wm;gQob=V
zatK4u{C@XntQY?Ek(|3s-b%kaVc!zPD7^9TIQzLqOW5^5v)v7E>d=cbg~pMcl0zN>
zMhh5gLMy#wKmStdQjN$N^jE!WkSVKg@%QY!tp)=qpxTkn4#Fk?dpc%hZ2Z{H4;{pX
zXDGF_X8<#WpSV%i;Mropu2c~MI4Y34AR<9ieZIS_sCV3muD7)u17ZXjPVxX;KJRL3
zAuAV`Sy^MbpRARy0nc{H&n{+0gbeYdKzS#z?^j;!gNqQ{RqvI3d~iS->cz!#S=GjN
z5;t!zsBDkCotK3!75mx_lw*IMn<Bl10m}tKGyE0?ZVv40LYM!cT)O~qr2#W_OKd+g
zD>10bO5J2TIGfkXW?^&Lp$u+pHA=9zoC6h0wvH&{=!I`axi5Z8VM%0*$Jp@(_g>!v
zXR&=b<VxGRK#7BF-u^WEknCemr`Ggx_ysC?U!v0}N6}~fP5kl&>!86iXmsWtbaDt5
z#D1>QG%Cwj{oTT}VPI!{d5`6>=?ZV}<%Lag*w=iKD{sK?rNsW9Z?Q(kJ*rmqOD{+h
zsDrw+(EO@)#VHJdJWTOF=RN&e=UG7ajF;r~T)0e{kny)lAN;P{8i2Ez?^(u-&cAwk
zFjO-pY-%KOQ0_RicHa?n3*OTXy^%1cNsS-ll;9)#;L>?kf^zwKQ%Axuz63L4Kp-jM
zedf7&(K~4aAO4>`!<b^@F}+lJ7g7zjae^C~oNC{Cr_#IfH$jCKVuPecxUSwLEF!f^
z=~5P6GLRTl=N=fC5C+p|6MH%LC%8ab@s6R(!vWiGR@bk_7fEJ+A|$dX?bt=VAB+Yo
zS%RGIq+m+k{j+w4chZ&Cs=aW+^912EtVABpzlXd^!fAlhXRxe?{444UoCLv)=Ux8u
zO6XP22Rk}-V@F1(4+^zIL-T%8!Q9!(e;Qx{f|g?l5AK(r?M=kl{ji(@!q|g<>YEl|
zb>EjH&t9+HGvm3!bjCOu{xqw^TI(J{34Wcz=xAe+er;)X?aV1KB1zJ!ArdQ0qig?2
z+AD|NOggJ1O(W@2*@i=^@2u4Aj>R44Jwp{K5KRU3_&e^_jP{FM+YF%Lr|*5`lk=+b
zpL*$aV<Zdfi5tq$^7>5dt_o`JH^w!knRE3?<xA)Nd2-}SlFe=6RmJwtA`K;AcVknV
zl_6=iUh6Pmd$9k-CGLIEQfhagnYvFLsTY1<kLUie1J^VeDh;ub`FJ(Ad}BRP&bfS^
zP1F{HT(eSArdhLUdlS;?L=`mu$Yo6bti1k!BURX#joZ6F<;QyAm1UwM?vfxz0zD~6
zWz%O^uebedd;8MP_YlM|umXzX86N`h^!C@`T)?F!(5Z{tsD)CFKSZEkdfKBkU=H{y
z?XkPQ9`<owQtcD6^UlaMisWrv1~u3I587-t=(;D0ljlA5vy^bbVlC53@s>SH8a2UC
zNad7MT+c+wJ@<%5_dK+ry!^vj-D@}w4vvBd29SXerzWLVQxXgY4fn2*OmE3n-+MkO
z^=7X%_Ji5q0AiFDTts{Ry_wcMl$OWq#O5fq8{bKQM*2X)PQ<;@Fny~|wR6I|hlD{I
z`@01@HHnY&x>!}TlS+1kcsjau|66q?_QSbNaB4hn2pdKKXwD-U4{Asl)R5K1Kl@9l
zZ6|K3jf}mDx?n`ZNeNk2|F11;Cr*oR=w^|#8fUtZx_9Z8*vOwJqBYE1^g=Z(tuk39
z0qo(TSpoqsD$~jWlywjLZdv=L(R&URb-%+5N|lPna^cNIng0LB7BmISGAs6!7jeY_
z2#!0OJ!u9lGuLd(GQP(4jZnssO*Yvm>tatGti=|MV;I}$QNz8{Fa6v;5`+v}li5@u
z;naO&67j_lG6^(Jq#+|?-a)4MT)l8y_i(zT!Wv7rI7zKek77<K?W7NtHhn6+{T+<p
zNy)l4_G%V2c}zBUA!^*w!YFG)i{aZXgA>c@@J*!Qs;7+D)CW(^q~W?I+uCRdQ}JiV
z3n(5HF(wzYpBg!o%M1D!Fk~8NYO7_cL`)Ld=bvA_Nr0(qA{}Hlp@Uv-oI*n*#$#78
zi<8DeSsrJ4rmBlk?7Z~yS#lAlwnY*_vZv(|MZVADa$h)!0v95JMdAL$>dIT!{kf{a
zJ6wd$n&6r$13ydz9kaon+%-0Cv{tL#)ob>=eE)I#V_)PuYDN8=JL7^!<z8EGcHy-)
zzuPT3=A^6CTEaojkhekjk3J9UoZsS)r`P5l_fpurjH-BEMtAgBH2q}VxP(4#&pV^F
zCjES>6j=D=tCOx#23Zh-MB^MWNA2ApZ7j_f3G8hsMf)^l%op$2y?K^CmICiFKW?hi
z%lPM<E@owHWhHhnOvv**m&f6Z^=radL;{AmnY&p>x3=O*ZXU&+L9=%&_@ABmix;Ty
z;k{(ESwwhCT#2Wbl!#hoK-Ouak*I_-PHG2tdNfd0IP7;ph_uHC&(&35ihLPO;D+W-
zDa6yxUYq+ps@G6Dm7oE72c^+D?>xopm52tck|f4SNqO?@cdutl{A6+b05yRuAkR~~
zk%O$6n-@L_SyUiHv3VYss)l7XsHGX%mz19a5TmW+BlD%s(@?XTIbfwvAm2`7OI&NX
z*3JSAWdPLzobBy6L8&-pBmUfhk}MsV9h9|d!cGo1-ITZbSN?)Y54r0aO6?JJ<QX@k
zMG$0vntRoBBb-TVhI+woU^bRGe@EoRBW1Pk#KKJ<U&7?!$_QH#W03UR7eX__U3{a%
z%clS~_899!SG8;A`G~Wq%!N?U0bIv?;>5Lx-F?|p--&853L+8{6)_lUogPJp--uR^
zLxo&Ru_~!26qd~|kjj7&obH=E8w5EYm4IJ9+LoSjR`Nl%D7jL#JHMir<`7#g%78a+
zYLG_sD!Do##9$-KeBZY=zqIGqe`T8J^doILJ6v(nnryKR1-@DV+GVey7>(UNqX@6+
z%D<vo^pF%FclBwnkZ5^<oS3k5^5wdyJ$-2R+FK%mRluv>(qQicy2O~$M%xh{yKl6G
zJv{y-;omX<{Fn6@OVDiqP6j|D??G0Z;#|sAjejtzsnaWI(@VcyX2*@cXcvT8DC2xc
z3tamF7h?n0IOWVGCuK=XzG8wCPuvdcIUidiGIg5b26=|W=RG~`jX_M!)E)fB@3-17
zD8qeSL19h!_+BbW*s4~}rHD7#B>p>B;BD*6c!rFeZ;Q>|qhSnl-l!UD1)v-6(daBU
z;bjpk@%+641#)FZ6^^-vR`|`;QDdx6xnwYvstcfah;<O$Z18S%YiYc9U!f6VI{Yy!
zh$WL9oMMq{kYnp75JTgD+Ii{b>({JWFT#13k{-fv0~LuPzh?(wD6(-N0<Q|tp=8;O
zmY*#;K@~bIEb6YVr6E74M$E;YaI5E>Pk9z%^Nje)2&`K@owd^MMOBTQCh?@Zp9#7l
zP`FwI{i?ju@@en0u&AU2;RtZ!ANJ2LdG0g^l#f~jYbG{s`dXGutRF@=kY@Y`S>CU@
zeCyrPDVCZe*jO=JI6m?QdA35iKdDvqTpPrub%rz?pDyvTbm+xQzOtkkR!2WCWyg=M
zpzX(knuZybdF@qhwTjz!vLYK_feD-drhS>0R>hde7oC_@#9@xl+gS<aW~P^#veGUE
zib~)Okb>sx#OgRMZgY84r<|G59P!$okoKJTIuW<%8@9sYi5SM0e{!V)_#QOgY`dz>
z?z5uSce*e|UZ!#*A+lg|BU!WRLb=Y){h<&5Fzp%;RH4P2JsI5>rCj0<t~Y}@Ih3j7
zJ}1!-m6M*((~byZSTPj?a$#B%c9_zdTV6>9D7MY2*^f;TS+YZhvW|z>n@v&_yAE&S
zb!Sz3?)_9aS2VW67Ny4icTm(*j!xq}|LkcB@0rqldcDwMbTJ~(9G%%(&^>uj7vEFj
z>E>LrqgCCRm1{+i7=VSMO{y>cSMb@VEM{^e3syb?7BWymX84-ojX-fMgTBYbPvMFf
z%7hf8nV!Uef)b?#SPc!?h0Lm0<0`lrYB27t!b{@)6J}K9z@$(4?SxN#)UKB^1i%9R
zvm`Z<QKtRRgj=-B(m6!=X>LPyc!Cin7=<C4(rwr+tdr!@NTc~0p|nt5buZb<v;h^k
zN7<KOWp@Xs7@E&u$~QQTX0#Nem-#kH>w_fNR@#%Bcs?hWuv;(QACq1|c%H+_s0t{J
z+rCb?^am+9>1e_*!MU_CsEwhYq)BaxKp6oXy@TwJatWKko))2et%i+VN?%VAGTN6}
z2qOd$thlHTS2~`n-M=A#;Q0aKAhS5Rs=MOffqrPQZ`6+{NH<lw+B-<2EHaKfVE7-#
ze7l9a<X&w~K7>ufM3?J${C_0U!cS!Ycn5rQCSJCsE_IU@xL-=&rj-<uzCV}gR;u8=
ztWTT25um`eNE@+H(3X4lEjn(Sb5}89|J^`Y{F>Nt%zQr)26$8O<LhO04U$+T{rZt3
z6-^Fb>xT4rYpc?!8NQ{dc7~8u4lQ8rD9lX^<cLQ2`R8?20QUhx`};ccM4UkyQol?u
z9eq!RupU5Gi$qqQ@kAa(YS({#akP$uFWhB#%w?Hc6S-TpYQ0?UHeOdb#|Dk(6bT4U
z<1)Bg<Zg8R`<8gBMJ#0pua4d;2n`yrfMW2q`E6FeJbolPKTn-9aJq_t9D01VaPu$H
z*8R*+fnEkF70;PD{&rE02Mic6kX`mY%f-iShkofTPC`%puU$6lrtjlCS>!PbGL@G=
zo&>Y0V@1PKm6x#QPGx}Lg2y}dqLOn@5-H<>@9qw8tY1oKtb{65pekIi5Xi~E7I`Zp
zr7vh6W6r+$S?H-cdDm5sKD%N{fvg%RDLKP*k;xEE&T@ACRaGl78E^($c_)+lT!7J6
zTERenaR;YLhd<{SVlT^6epq~k3;8d*Rn;iyHhM&XmpAO7W)2NzU~|{cNmUqI(mhS!
z2me5lnvaq>wf`}3+X3Wj)zL7<L*7>sc&PT{#D}V;Oh*jF#ds>|<eg{iT}^L0AAJRo
zCqV=jUH_;n^E-E89Z|Q2acbbXihP?F>uFYMcL!+XsWGp3-o7E{Kk!}G`sS~~f5Z8I
zoD+eRF)rC<lO;)a2)Kpp_OYEX=OCHXQOjG?m~4+5<UM6SFjdDK!y}Sx>m^P#?fN-d
ztjLWM&cwY`fj01WH*k0=V4UyXM7}mdIdeK*tIkG-0Jm$-^es|IhC^h<>^6ZtMV5$7
zD^nP%cl0IR!CmkGS?R_b8QAgrp{x0HfrJT{C|1F>m{ss3gU*e8;KPHvG>H%;o;$Wd
z<mX!qSU?xRr3U>^-5y-_2Xm~KI`h)$l&aFXNTmtsCujbwCLixr|6`gSAEGzlaW*<o
zN>*L-mIV|_Qj0W&wr^u^;-iVdcL;QofkRwREewNPA58-sB*LwVIIJUcCGSKY(OZkH
zlk|!bY((<<n|BA`;YJo?Y6{`L<=v{IVio)^3ALxFg0!yP$-wr=1jsm0bySJS>=}&9
zQ5pNE>RLa+q6Oh;Ak<%4*KBbF3rf}`{0PVD5vGjs>fVa=Nryx;xO#x4O+#Z(wnANB
zNCG3hmheIAvuM=RpUB4X`Rgpeof;dZ!vID_!YP(g%x8DPz|coxn<Pta;)`CWn*9%m
zpsn?}JFEGPqjAeT1?P^iA>iL~zOgO+E6!EDsqa#qs;}|ik&le7-P9}EdBK)`8~*6t
zdiU|$L)FjV=G-wKu;8DPw~d^FS2L$L-^4s=&nuU7@I1NY!{h3A;tYI0h(BzN%8L+}
z0gE>YGpencqch@2T4P+atD|Z^EKZ;}^8>IgJ8J}u#@v33<PFeEF3A%sy&&!>$=GmM
zIU1r<bYy$x$T5v!;8stncixD7113!%kkKis%iztuJavcR(+n$s!2;?umd5-eHfKvi
zPxsh3mgUB~53RdZzs$y`4Od4`r{8J9^W{5R2XiWB+uvqN=!VBEynO^nxT)#fR}Ti+
zfGVuFNWPhSEMfL9=P!|}*RLS=evb7XevVCBQ#-f$ypld(%^)5BGYx!sd7;v9*huTT
zgL$4@x{Yju-}N(lgw^oWPkg&CGjAY^L1z!Rv|1-+a|)MTQBorSM`0U7Vm{Xy))xq|
zRAC#0pouOT9<E2_GeiNXKD?FS6QdqjJIycW(haw~m<>CZwmkvz^t<`j$pdzePE2Dd
zn`t9P3Ka=6Fsj2(--m)t%C7bJC3{p~>-p)ND|CN4c(XFV{pMbU-{k9eVDwXO2JEap
zvr9KT?;$E3dlsTa@KC0I=5^Adz4PJOcI>5wbihjwX{A`2Y@z!@s4FMin4g=!gS^o9
zjGOo;TG$=~c}b5V_>28sC9=*=s;7-FNPO!x-mF@^=BeN_ny_3rX;R0c3FEWOu;5ho
zPD@n&(IpAEH@5rzhkl0yZOA}|PTyOdjLssx2a&AjQod|q@4H=0a}F`_pdiTY)*M~$
zOM_#+Nb&$0dWi%PmGIcq<2u*7#=a#CPvjQu&4zjWms!UiM^C=?(FV5c`yiD*JMimE
z*e_EX2yi~K;pOB$ZyEUUlTH({eF0!h?V<yAeDvrXD~~9CLb9w75H1ONsED-JtSkf#
zVfkzqdyl<C95pLTd!t!68&&(xKM`&s*_OH<*@lZhcpro$M<l$qMD&vv*rx1aG)rE~
z5o)|2RDXSjdl%{vy~VTu^Z?j>ma3r!&y<*VPj^r^_UfV-w@8fk%m;HlKf3kxlx|EG
z#s_}$bF}i2@a#?)T?Je|%fsc%Xo>o1$z4!F_An-USXN3ti*j9utNpuwQMnwnzu9?W
z0tf$rKX9x#V)mpt770@_AXuHU^-S&u3=%(|_<+_r#ItK(xq0Nx(+Qr1r?|2q{ikk2
zOpvmjeR~A+uy6n7>kh3X<-!ez>FVjcplXLXjTvBLOyK!8=aa4sl{Ou18j|wV;O4tl
zwVfO$dMj$?&K+ZA+>q^d%nEe7IjZTg*+0Q7V3uc1(v+Ufb9F+z&0savb)8~>-_>!Q
z3h_v&-qzNq9#v(RHM~Kz&7Dh;f6o%Y-sc)V6CDNFfzB(c7uh>!)eDYq#e8M?Y3OA*
zq3L<47W(~5n|Zb7Nxh<<m+}W)XMg%ye4?fdJUWe;5V7HVROhHWH!)<c!es3h&-L4m
zyGxlMSEA<+{99I6U^2O4XT7EDS|zAwJiJ!vxr{0?%Qo+99GMt$E3GR~?*ZQ~cdt$H
zlV`ojImT|a(b_D8@k419DE$YyH@O_&!S4vPM?`89tcpMrgSE8H6jt`T4&9ES6b^HC
z9?o!eWcApdZVBg$+LIF84%6m-vaNXz9PduL{%6vBKJP3(?(y5}qvkbFHT$F2n(d6m
z*L+ajdT+qwGbX*<KaAkb<JtghKT1$z2_AQ$>@qs+(v;IL438VC?7ICTk7K!;ACw!#
zf6i7OTwBu^W~3C~-T2CC13l0%6j$V0YtF{lK#8QaIQMg^0Zp##+IWEPcDf*w>R5w`
z;G^6r0HL;{Z~TFF@l!<9tedWIi$ESW=P8OT7TQHPC6e;2c^fWVHpx7PT3@vkDU6|S
zRIciO7j^l%wQ^9m%?pRduaoqMG6SBU-V<<S|6@syC{}4eA~0w{Z!W`1vEZO`pT*3c
zXZ$L6!fD_H%NA7Xr&tB2YB~`1b4o90j*o1fYny@Yt#!VK6sHJC9g)`0DRx#(68+r|
zsB5~P_0AtPk(K@)II0M3OYPqyTO0zBU6GpjeV*Zz9^A++G>DEF(bcx6y|w}$WFN|v
zq&WA6+uE!DX1AbF*T9z``tV{l(Xb7zkZ?Ub^6&d8L2db!2XNdH$J+UC_tOb>4TV28
za(HLK({hCawjgs+U(Rx#7CS5RmAtp$`A@5zQDr!SEp*^=Owy@VNZXsOUr~_MDzRv8
zo0vR$yzEDhTkQ?~mr@H|_fIqX^T>6F4?&Vuk!?06ld{52j^~7bd9e|Z0r}Ch%-J$9
z_5lMcAWdlMt@uBt-U6)2_J1FK9uYxlDQN|f1`(u76p#k#2I=muFXadU=>~}r(lELN
zq)VBM?woW;$Nw5UzxQ~LL+3U&+3x$k;&Xn^pvHa!i70VSTXJ~^v<S<(DvrMCDaD~4
zHKX}TK{^O3iCrq8U$bsxi((LGFQIJ*#?lE0Zjk$NZAV((p^qRS$`Ql>4#>fK+T&{d
z=;-LLqp&85Ix{da^p$m1uUxPLE;=%sFCN9zI*a@^<%h^Rk(mUq?Ih6>KJUs_V?lR6
zbJL@{+*il?9=8LTywENq{hAT@Vnokw*USUrqSr|nv5?H^Jz8*|xXB!)0Yc$wx4YMh
z6{I;pzW}>i^RPqIwy$`8KK37J2)lLi{m><&EG0|*)vY(VuqCJjt0%q^>#M@67~!{s
zyL|A>wulpVzU5#&DqLAv^9+rO%A6&KA7|a+`+@uFUn#E583xiBQR2}A9+*9ku=$)b
z85?khgR6k)4C~L-(NQr@9Y!>mN*^l7>Zp%6xfqojhxh&pyZ16_dA~_(PSDdbc(o-V
zpN@o@Z)q=<c`X#~FR3%9V+l;s0%9X($rB6hlVa2i;wX?V8oW3Q|I6{)K|H2(gjwRH
zQP1V>YCn^&?DA>v7#}LQKkrk8S6vC{!kD-z;cRQN(<WU$`(ySxYaLA@8iV8XENc;X
z6ov#wE0IjoN1+C1>?%`@_9c)u;Q7{9JFks*a~dPfMOLuE{r&Mbj{xMNfqPO5<Fc=#
z1v;>s2MYx5O4*f-m&fiIX90wPj|xTT5v8$k40m_h^>q31pQ&H)y1xm%zVz63ME!T!
ze=}C;4YqBBSz^Z<Qvi!d_Sy&1In!c|SBuHjHU=!X2D0j;vYJg?9oth2O4t={)$&YN
zSNekXCl~4NxIj1S8@>Q2`3J0m_KHorTrsFq3fk+NzN=w!Mhu;eS(^EjTCbpywvkuV
zEZf0SmZwCk0gBR{FKS;OXSx&!V=gD$@dIGCsi(QMHW4Nw^y`>Gkm%lHYTMaTb86X#
zLoJX!F^ijttJ1iDHWR!}a*+F>4(2nxwuI{iDUuyLYF*A*T*91~>GTYVyouWW=smZI
z`D5zE+Nia$d+nCMHYe0jJ*F-tQ`2Z%;EGVR$~vP6<vn4UsV6mI`@(<hWz!JR&LPw`
zGEP`qhbz<M1dna&IFl(qOV@6txmsZAF=*Q=pxTO0_(AUk=*VAO0zVnxzw-5(C1n<y
znYyig_~0C-)s-hH@K;SxNp7ILmWEij)pxNa*xf}9OMMjYq(&nthm)91Q~DF7RXNT5
zri`Oc4>@;LiqUa)Hg5<*-kW6@jSN2kT7f?d_Gc+>dg6{=#_gO=0X{EioA)jVx5>z_
z<oFUdcuw>jSo@>%KD7<+SCd8ciuE`_mU}3V+;+{0BNvT0;wy6;k29qvJx?k%Or&vc
zovaL3w+u?Dg*Ex-V##H=GzkC>c6pVmQD{;==GW#3R7)oSi2L><O#E5T;~{Dz^twgC
z1h%uX+oN2{J*eVoc<VO{Oh2fdw~5y;m8(I6(GgRR)>P-cMfvP&M3hL&<|DziTPwR^
zrrXUaFslbWsnk|Swf0Y%m7w+LKS(f=W*p&pxv?B_Q3Rbc02-O}%e+!S6dsWM6VhNJ
z9E`i;-Ho8SRY`8pvS7(Tkrvv{vVC53iRNRk#NoH@{wkF6yYxaw`Y6Icwx^HMUy)Ca
zX%f!^*XbTB{BGo$dUY?<FZm~rj{6E)rS*HeR%RVmdu@A(mCRdy5N!0WVRkF*H=3W<
zQnF~r!lWAW?7g>=H!{(Ix8xN>Q_;iSY}{IHM+#<2<I2xEg6W;U=8DB!{nqRxLLB!=
zR#t{BkCP=mt|-0b-a6Lnb}c$B%TF4U&-s-9Ys}w8_79f6yjkIexdct|;$Gu;?fNfb
z^M3$n`U*M8Cur(jBuPdC$7HVqzh$|0Xi&vsm}xQF6Oc8d6kUV>U}~9(>cZEl4O_9T
zVn1lY?mCpo_q6jYmnO>9Y1h>x;t?<u-N8$c)Xd}Vq+QHzz!5egzVZ|3)vGqD1<P7C
zUz_oysC7!=p;c*}3ZJ3_dl^^`uHJ-YJOM4_>jvUchTAeW8k-|EP6A&eUHe@)u>qq@
z*l>zDdOC7$$A(BIl%a{#r3fGsuGmsJz3dvlc6v07ahbfnzt(#DFNib=T>AVnFO8V)
z^9Pp(ndOoJwIc<(O(!Vdpq?W$n7r4}mMF@ZmS1?T3aiIc*KQi0eEoPE6w7_H=xwt<
zL_^DhJJ3f8#eigOs#qe6+H{I4P&^~b-Y6|)uY&;z1Va}g5IB0gCUZ(cOLI)Oja~k>
zoN1~FXu~Mx@Z>UO0rhOr(S@)A`q`*7JNkgn9-mYv=<|&VwHLt#M*ITcZ2bOO{E1i|
zBR2<F1v)cE(V{7(pB05Ut;_w3asdtWttDtdYM<8IkMUmTk5E@5k5_GqV<U@2Ov+!s
z!x*lp>e`q`moRy>g2Blo;_#^@Ehf?0DvTSt$SAyMwD*jg5_<6RV{Du0-ZNkibJ?q+
z{v?(|>jPzCV!4;R-3902vuF+GW-P}P(?f#3YMSX^RukV+&O$w=l1Tm~o(>oE^>1@^
ziQoUsRh6sO&hHfHyyCR_sQr7#>LX-Z$N=#u^93=h#va~4Ke5bjFQ>g3zQpr)bb9LW
z9T~~UaV<c8Om14@KKV$qs-5~*D#G3oed(pUB1#<1$QH(+rO?ZAlQG+_l<pY3ut(6>
zGnuRVE7;yHFB)*v)ErJRljeDP$Y*^VCn<|>-XM7RGWq9#$0}Gt7}+#AvmMTY7D6aD
zzqgbkn?%o@HY*4LhZgjxBnoDYCVN)?mz_)I!=%syN_djYo4s1~?D5r%q(T%dEe19f
zKb-$D1)Y}CH;6Ef6mJJa0aPKVgm8Ym&cs(6VagG=swkYxX7D2DFp60?UC-2SKTR7|
z{KEjVN!~!P^D(v0b}N>n+;4bG5<0X&%D3%ftk{{B*hTfS`M;%mDwgYlevE9VjkUA*
zpLNREbHt<X^$WGSm#j7{+kcuqI%;d^z{OY!hY$F;twqZpFFnqj`Lh#wuoR9;Z2M9)
zQO#v<rzj|RsL<FwJlxSzUCnh9mhI+7lE}Z)SFUe+414s(e!`^K>_cjC(%#F#8<(UK
z@x8gCV>{{R+?_s)*?2xf!WrR@+VhgUKM)hR!6v{XBxR(7;<wSqwLUcylr9PzN7g4E
zkLKC5xTirT_S)P#(8~8fkPmPb#Ld6@ubA(Q>z|arH{x&47NQP{65MRr&+ndP=NcbZ
ztkjS8s-ub_kab0;phWH%cpG-L?Yqt#{T1nRA=dTGrPbFk%qmx0-21THUQ)g%Dc$#Z
z+%niQgPQ)r9>kxVxT16%x5Sf{o#a4Y8KMHumCSFCq(rPHf4HniI8j+Ttu1EF6}<*5
zmHJ$qklXgLZDR|FBauc!wSn_Nh-rw3QL}I*0iK&0dIeGyr2`qtT@{{9)_N$?SgDh!
z)#q8h(AdA$(qS$*RmN7R^Q&0{R^eQ0&0@<zQ-8T|y_T@ia_K)`u^{eP76&BZWM!iG
zx_;cfe{q)j{I4XB`D9!^Z&IkrZ*?DD>QIU5`gwiF7o0jeal6E37XW9uYkpf9i~Uk@
zheOAKV7{VyHf1j@!&Fg?ew;s@;>#NDIwgO$!|^XdA$vO|?Z!Pu*?bK5l8GR|Sh>BD
z1?Zc&cQ^_Wpu+_)E5N>!FmA1V)V}dQ>SMWYC}y&fc9@NYR$#<Y)NV<E_)E7MXeXFK
zM!hK&oU_h{&_bM?iWay}baQ(>Ky_?kiDZhyy>mMOF?NF8T2Ckp1h290wqA@wf>w@h
zurtdxX>4}zpL_rv-ik>~!Ku&lZ6%+j(llsnkuUvSjW>PsxP4@30X<SWsWA~ZBFe_T
zB|84r7lMBhx_6<E>hhnojHa}d&?!v7eAfpHdNDd!FO5ZD=T`PhGR5WUC^y>;Ogwk)
zx_m{TXp|4hrvuUCdRw#I9zPw`rD=Q+UmfUM{H+-V7SEP<Yk4nFK5Gg?#AgdJZM&}%
zJF!Hpukqp@0Z|YZa3nj?Hl+A;bV8R87ecv~4f6}{&=#+SaoAtb4skuc6Y(?(Rb6>`
zt(}+-oI&*j%!+%;lC-w~Kb!=0Or13%*VBg?h=Da0V7z*)dRXIqN%sz~!FYe0p*R{F
zomC+x=Y_E41p*Wlx~SmpGr04nYaQD!9eAVMBH2sJ?5|~IXqt;`w*Sqc*_===Sx>6Y
zoyhZ16Ab^`Ha`&c@o%Z{JI$MQ3Lh}no>E%#Ki(OgaPmX7UjXAiWybWc^YuTnWk7#&
zoAH#Wn}E~AdIulE`dAOi+P5eKl0?>%4w?F(by{1c+#Q-I&j(19lEniJajyEu;GkM)
z=JPiDwTE{vBn*_~aDH24Cg0D^$*S5a?<RQs*~XI{-^Fpn>Zx)OH(^5Ht$*|7BI#5d
z*_=eKzfo|(t(F?;(1*VnS;AfWNe0kWfy~=KA_g&H;y^zi0$^Iv1EhQ@*_(6WEJht$
zOI=q-H|%2<UaZ7sJxW}94*)Alm%EqbG5OPhdH9VZW4rg7!ZeMy>kFL<VBrI#L<<~!
zbAPeZvg~JH5<wn=1Ku;xT4~7Cd_5++L5H#?Q-Io*jwNY@(ivh>`?rxll<K>>amk80
zws3D;Zr4Kl#*j9dJL?$4gUJ0}4maX-H+s{us{VySLFnh;_~t<<@yhwgter+mt_pQs
zzSHf&&Cg4@kkeGqZTBT*lM*46IqYr#0VJvqPDArPEd$>|vO?|{92qQ*l8-4*>_Kh{
z48M<QD9gDn11qn`rOx?Z`>|wl7|dfzB5_H9M~>-q%Jc(t&pK&teO|lV^kzgroueGJ
zq?`7)xt(PKM>{s%Snq8oiW5Dh)Vkj-QGG{h3?~9F;z@>`E?r6*#yM*wTSJl)1PN1W
z<R>#pWDDG@9*kWF{&DLQb7Wr}po&70*-yztKWOI{hF0EB+B0?E{ZSz#a^9ZtB(dNQ
z;c_gfW1iIMy7=1^CtDAKa=@~~mVPzhCKvx=6`?JokD5C)Q#G0(UBZ3Uf}{i|q4)3?
zAD9o<h<y7s%2gWuZ4_X)7{xK*pFq<nn%g_^7&6Dl^#e<J&3LLn1GLJ}eE7#icFUXC
zIg;5~974Q&m7Mi{d~h!M?BOk2&H_r7h$Y(Tbh}gDa9IqMBAZzqd4XbVVU^MAN0JE6
zjS@(%XW9x=#kP&qjJ*Z+g3WWF6}?yIoh-f*!AJzbPb2=Je}%~A9yx;QZT=FXyK{Ac
zBeV!4_I8Kmfte!cn?O9fsm^r>B#36*(0~{R)I3I+$jjpDxgJs+fd;wu4-+<m#rffJ
z+jz_GSx`>>pIv4qegO;Nvj21AjdI!TNMJ5n@T6asN+`r;;vPkg6zMg|>CBii@F>H#
zrc6f%GgibxKiKP$k<|IGD`w(I=5o|kw`)4EdxCvuoU7DSY!Z9RbjL?j?*cqQA4M}K
zC@>!~ur~)3Na&CcGA<*mt{)_THE^Nr)X%jeF7N601*m2m#K^JO6o-Fw?#*kPyaY2%
zR$#TVJ8#PN=IWxhI+Pn9MdG|H^yopjQAl6Jkdj;4CkQUR(cBudR!&<#xem!P=kL+#
z8RvP%jx_A^DMd4V<=?Z3g~;(56Wki&rxJEcnFV@cl<B&FK?HfPyAcqxlc)h1_x5WY
zBmSO^%IOl4v8FxlVu{FdvW&5uHG7I#S6Kr7o|*RyS^8FLJ$<W$RhbdDAC-;`)zHKP
z4b9tuzfE--&&Kb$TM7sQQ%{}p>Y7V5Eb^Am3$z>vVBP`|y^W1IXkNRHvaGaNJ!Tl;
zUdfOc1#Mf$$XJT6-*RtO({T$jYzROu7mDTU;^GCD$2N`|ctpeaK)xDHLiqQObdaxs
z5kA)d%qD!~+`c9&T+9SUoFb;fH_RXWD-nj2b3X`25=7bC3X>AAe_DJ4F<uGxRqnaT
ztJ2%UX^wDPjV(Y8JQJCb{>0|`c1hWIW?W!)moL>gprmuq%!}Kc5T)e!sS?<P_4|==
z@Cpaka+RLh9JGi0tzTh6u?Mj2^xH;KM<fO7&Fqt@Ww`1Is2Ll6Q?LSSZHiQJc&EL0
zTZ_?`sQEJ`=U{Ll5JT{hBZ5S%`l>>0J0HE?jU*P!NG0Q1THRT`3v|ftRK<Jnoo0M#
zmw$TqwMRE)D3BZQzHx^<;`LbI@VPX97)C7ODdn$K+fi^D6IA+V%G#+}Zx!%LO2Ov|
zrioGl>bBdDqEH~~?iWm8A|geG(qXBe!d5dLQy|kl^AqrDR5Wjy4Ja4&8_}s&A-iIv
z_r=erw5BhRj4^9(m~-e%7@=}uE{uJeW-<aqw0%S}onee*w2Q^q494Qmjme@?Oa`AI
zXRWWwtTXgxTXqkH+IBZ-iZ`n>FZ55et;<_ZrmV|LxyR>F7IGkq1vm%UxoXgQJQCb;
z7Tm=hVJ@={rt+H3h`~t;_YPp3wj)d{fHUMzPa0|tEIN#ASc1mnL8};Hi?6?Yqj~et
z9vfLGk@*p5bVC&tYq#v4jEki16!FcdIM$n=&Ws)3lYhAt1xEq{Rs4szC%Wa44if4n
zlV8V<OYFFvFa$4^QV(H!-$xh8qVl2Tu9q;_&kA5s-RF4WM_>Gd_{8`hKUKdTVTA6B
z8@F%cy4}?-N6R9K{0|d%Ee~DzV3DQ@u>x#1ne6s!&--sG8k<dQAY($8N+4P*{nZu6
zD+b3+TIbj{B@=~MJ-vK%nf(aj>g~XA@UC(_LHl7i@Twk^c0%~p);~OM9eBmCXePgn
z6LeXRK-4f_T1;u^%3?&uwrPGM_ph7L&&MOjDQO2`DX2;>KdW!6%!kz3<sgL;L|#3i
zmd0T~BjMc-C8;%fI7ej%th4&O<t!J?4s9Icw(HIL<JZtT_KGsQ=<H^9sup7&MlNOx
zr59wmT<Uf|!utd{aLy#5#*V(si?AizJo%uW-uc#x$=O2)F~-CCYd%7N7$en1;^8{!
za3%YMQNmg+tqNs;k|U<_9D_)QWsQa-8O7}Q77Z>Q&=Gb$QxRy8sC-@Oy!;d^IIwfH
zVWGl>dsjf#h~ey4(o1~iVNamvhR*i5T@-b2{5Jbb%Dal-KC{j&?FC6sL?AKt%n0ca
zxeS3OfV3zK8C;!Ni^OAR6I3+$vQ$XH7?14wY?4>v@eJ4bKBr*ga5uH>$<I4(b#BlO
zTU6P}mi_kee{0CfIXS&IIkUpaU@8f8Nu$}?-RfYxb^lSc(8=J1uEh=+h@7Qjy!}j=
zF&T}veRlETZ!vF(crIU*^mq!){C(JDk%zjTdWmQReyt%3KU~aifF%T2*?K=%H!wVO
z5%B&k)>yFQ0G5zGm)%T+7ptd}L(-$w-cj;uHj320*X>o=ci~(tLt@V_vEPY<q^`HJ
zyd@tF@+E;3ddvLnGQqm0%aG_`%Y(s8Xq-Najdk+eui<mx6)LOf#uxlvBZR9y@h|V+
z@C)&mQV7FzErGJuIO2_baBx8+W`trjZOG}VAxH$pM8#dp7Ia2cZ_@6UDiToz+`mo4
zj`Je4*?-L2(ndKh@$O&E){rI}6Vi`Ckr4$|32X6mLg1qB97Vq9QklH{r1Thc?93@A
z>N6Uz{8X<UXsTh<ixZy$v|Yt~_6(aWvyM`%SYDw{HPa8YtZA&u>oR)$<{!yX<Cpsk
z1?dZrEnWrwaA(J7U=}S9GBOs}g-z>$^!9Wo@M$`keQo40))ng54NzzFEz{;-qoUp^
z!4!(x#rU`yt1(3EKd2*wCcExTDatn6y>BR2fO?{HF&^gkrL#{|7i^$oL16f!%<1kZ
z)2g~UMqgG!J$O-}jfd4S`wQ65bf;j5O4Lt$0nG(eM;G-lv+|pFpBNFQeuwa~_SKMZ
z=bJXKfcGN!W_|V-GcQi+wF>fyZlfRZ1t$DtR31?YJISj&`VUVPo$W;QBM*08yFI^0
zT#%2xo_v13Y^+&?|M_%uQ-3kCXeDm5bniv$Y9p=JqbN=&-;myLo!?R??;}3N{P9ct
zi9kJv&rD!kT#n$@evaUg#$8DMkbaoA@I<9g^w5?pNtEzpO{j>kJ07s~C`D`s>OG==
z5f4bd;1IIXs9i#LE&0JBY#XjO`6%kohx+Mg*R3B<=h|I^OcM^scC?9DNqu)3n_h)n
z%sQ~_%eV{7mbrG{+5a7+Osw8N(3@D@0CC`b?#h<qbpUKQUng@Dp`0Pm3UH{GpkTqr
zMYQbctck67YX2-KVq!xg=kN_%APb-80^zd*XEq8I<HVwzUhBVNvq0oJs=F#@pmvrf
zBg-p%CE|5gSHzR(O$}J-#YtR{jtihe3Yc&eBNBbh)y9-}lLj0+-u$a)5q92eBOPi}
zr>q`ObUTHq?_i{MHzIAUQ*892S~l(7A_P#H%k)<`o--u8eZ#J1$tW@ps2Mx@{k71|
zey_w~@PnNj>X}h@4z_#SqlyE{VPgNz;yu*BnM#rl-HX~kR(f{{0pg1V1qg7C^|p^*
zC(38T1X<SWHc#2GIE6ZwC0`rM4QQ)YYUJGhS8{zP5>1`3{U%pHc1NPfY)ErszqKOE
z<6h~jJN`S;()wKIE1v&sHD!o+&OcGB)zdnU_~$FbGN4S6lPvhugZh(wQQh%rzg;hr
zof5jYpuoE5=hr{9%={OX9zLK&t>t)KnB}))SUnz8?#7rB9Gcj~b1cVOGe4tWr<7iu
zv_O>8TrQL0t!i3*6f;norA^Dn#>UrDe!P-XX*&JQjg<%tY>+ECs<l}>IQlCctu!Ne
zi4r(aF&VA$)EX%2`<GC5K{BDsJJuyZib8(bEsVw7tffM*BcrISh+-yi!*ZLp7Yp~^
zoRFaP=VRKzW4Hu3_wtZ$90lIt-1DR0sM-y4mJXktZMP9Q%QE2mC(b}~R7-5cbFCoA
zs&w08W#9Fl*_=&@!Tgr_Uc=!g3SwYruGP1bL>y7<OsQ~Uvk7{K!afzQoxgdjJb$zB
z^@KJT4T&A~DI@4OFPfrWCqF_j0CwLgIqEz1l?tIWr86NQoV*@Po+BRmj<Wo|^*em1
z%yuxp#<uq!cg6FTnDXZfjf^6vMJM5i_6n&jFUTmEtiF%#kMC;xA6;$?mbo+H$g<$Y
zr+;pMnfSYO6`3H@qG%EnPQR;v{)KzuZ5u5FQW34De2?~iY)51gqvp)Fp&JdO957~}
z;;#$KSzn(%bD2B05v);7KZGsB7tLc=yEuJpiL(TP@?ki5!{me%SVrwR8$I&mfJ2ib
zGkyIuYsS1V3{W2a_e#IDeom%6h}4Q`WGj6hF4JCZPcg|Qh&--L2!xoEKo`QfDlnrK
zW9)wqHs-#Y-nDzpaa%&ZxRS%hyOLwgC0-X|d!=OA)VRB3)E<Opu(H<+E_^_7g<VGc
zxw+WLz#-H9T}f3Rqs9vrH}+t}%RRZeDve^BfJ!RQKIhJ@+xyP7ESXDs_}isaFCWDw
zxKtjQv5;Sdx8WVQrOYKqwOzmp-&riUB{mm5<u0IH%>HR0K(3-NGqG>je-|f*TQHQ`
z(-kt$0LUn%e8Ew;jC23ukY}ki7WqusVhH|;+z~3{`(wLS25}<uRHXq=44jj2xO<ML
z+o*l-D{SJaEUjDsYv5w$x&FP}lTN6C!Dn=ccee(KJ@rbbTP-gh(RwoA>z(Z$C2PJe
zm{_ezQ)fhhvILZg1DQS-FSLghX_yq;5AC?S=|1VSc)D5O3BFcKSIGouRMoFt5=^+=
z_-wCOAzu$5t81%Y{iVg9JDAkt$wvoBNx|qfMZim6?y5<;{_?s>I*;B(CGBe{m<SG9
zZAe|Gho|oKxE9+<DBd>VGHa!*WCo}ku2h-Xz()elMa-S{$0uGoN0$<U*#-Ow6r^D@
ziw<K@vpMeN_3b+VE__$p|Ge6{$a}pOYKOF<H#+-~L;0?lB1MEkH$LceR<JWEadL}*
zm;YDnOB9-eLSLR_o_Ru>+|90J+;;~h<9FuBuvr`3*Qj_{zJ2xn{6{^TFms{O$TbBA
zcT?CZObtp9nt&0YX?g$S>YJzP<N?6hI>mE*6v7hj96l%3WpcVNsQ-~zJHb@^XFWvk
zt$ehWg*1K_rx4M)&EC@b$*cTR2BGYQR(#AKeZ5_7IIk}Ztp%;r(>Wy^dgF(@THgn<
z+6bm~zI9|TyWg|-9<Rhs`isLBKbdj((i0GB<`Eo$q51f^;A+sL7jLX)OsX|rZkiYW
zz(il)q6di9=lSnpkqh+kiC3xW>j*y274B(rmRs;UM1Ghp9^ni%jUu|mtYSH1s3J`D
z>MCWh?|`Pi3SW}P@iD~1za9~DDgTqwb8V$Du<VItvzyHgf$SN2ysMs3S)inzZnpJ~
zR<ghb#(3t5)#$Q~7p9O`4<8SO<FUj(1yO_|!dpx7h|AT)S^!J20Al9PGj#8|{GUkE
ztlE_&pxP=GI{NG+!bp7c>bHVNEX2#}WU+-a!`8{mQAG5(f62!$ZVCsP=~<_Y_$@4O
zu@MWQ33twT3ZbEdYp~kAKfxZJ@ljOp3`1SU&*IoE30nSgRUT_lf_h^1a5Ik8nb1zx
zn6t-)7Ig_ai~v8W(2A)b1Uqf=QPS-HK9go3;$>t%xpPAk&VFFZVBNHgQ!6Vb`q<Qg
zA}yID-PkKe1&FJJw-gHMjUK0O-UozrwHG{clM%Q*uJ~R2`2ZG-trn>sRPuN;S1hig
ze|eVORXGDGpZvr`lIjgYrstOFq?~u33C(z8qFTR(9wuYnTK`r>Ja-#Xb@a#w9HK?L
zc`cD=4eJUVSqqWK(`s}?3}2(M?DR{f|41RI{_uahnxXnjNrjl!pW#XP0#<6687-Cn
zW(U!<ac9R#>9Uw?9mJa*0r)gS|1h&gIm+*9#?A9i)v`ECI)&igaHypEAF~3m8OX1;
zBGt}TIz^U@D(Zf+H&IU`&*U4(DY(n38O1*97$J_i^B~x>ux9Byh}b1EUpNTgt>P`#
ziZ$Gx7SHs4Czxe;s5)i)i~2W8tm#>Zw1P|s5$_(S2*-cDO{Z)e3_webl4`XP$=Eqg
zIN7KtJwR*73P|Bg;cDysq+Vw7O!U+$AE)Ht)1}Se4#`2agp_u#M*09>JIj-U<vL@m
zGo)J$>k3QM29z&%yb+JZDQ5A3Zi>cpt!OLt)|kO2xYgU+dLvPte5XjrK@n<Xxti^5
zr+mJ}f)6eMX`jnN7cU*>k&XN_D9eK&8tv(pk_`?iI()_<v8O2BFIh`))-Tz+-h#HS
zC6lx28~D!6fsin{!)5fKvgy^In{F|D9!)c>KhJHDe~tcjt9odedgF35<ixs`X`}{-
zfo@3aNmiF>)oyLo@ZVdT`KK;!9U+K5_M5H3*F*yP+UNT*IHHjChg7cHW^p<^*B-_E
z%BXif!4wcAPmL<zLnW9$xCh2YyO}>p$SdO>dmGz8bdDKg<EDSz?QZ6DD_)4|H3s~c
z@`JPC-;bU*6+GEEk|x3Ikf*7#AWC!{8~ib4tha4iW0=%0=&((SHC&UmfO&rkJ7yr6
zLO1Rmt1*m>Hj(qJx=eaW;g!>D<#1S;C+%S?o}eGAaXd}HQ|*OQGE9-QkHx23Ws8sm
zU$SM15tGB1M9m0)ma3R=W6=UWHjQD8FI7>prKfB@U;oUrW+~lKBlaU!Lzm!LWY%eh
zcVdpcYtux!@H9P>@~3x7uofriYG{WQLlT|T3QqJ_4L!lv*bH+<1)pvcfze`qrq6_A
z#WnrJe%JAqzKy?~8T)F>^eG>M`>xvZtJhxG_RbP7Es9du9TO#wR8s@qgYw{j%gYaZ
zWZ^sJ*P%Ct@IZ}$pDkxT03E|SA8+bm$8c8cD4Y9PaOTHJ?NswL^!*{=$<N&oMZBmR
zEO2-NKXWH!3rQKdYPCpthN=`BK?!6ex9JZ44)okEiIWEI*BzW0Ey63rQNVfUH6XP<
z9APU%GZ`{Z%8eRy9=U8Lb9<bX+2{pIEffOrb2Nxbl+8DPIU5?14-LUc9KN;@2}?XK
zM`Og5y%_&D5GqRiOYnR&Vy^XP0gbuD(woET()YS3i)?%W(%Ze-o$gU##P6HQv4W!l
zzy2f66rUjZ9SZix{@DdBOkZ|A8%-w&+f$54DaC^ghS;^*O6oc8&v3Qu{Nt_ibWo%w
zqk8FcCI~Y>+>GEw&q3SN8{GI0SrJ*rANbV8;ZnE6)kP)@mihdQKUy&!F4H2bjE_(9
z(_wH66>V;7ER{Qv=ge$%sX;vrj>_FxUHF#7V;1#ddh@01GiKBhl9Ka)cRD^!eQFi-
zY42K8^;YqET{tvTNDpwp0B@N;|AbXvY>d=~xyn#cK-fk_ff7+??it^`rvzXN3xqGv
z>hm5RSiX%6YJVhr*r*Y%J^Ci7G?Ck5tqiaJI8xHis7^a*Al%$@$%-_=FOfmpA%Z?4
zjw3u!y$iq%4V};4`HY5NJkj)#Wzp{#U99RiLvC!cC)5L^1C^B?MZ?%nnnO6!77DPP
z&bJP@(Q#g>(6}MEwIxy)wI|f{YAJ3tt%dOl1*+^;Ya}fDCuyY^d4z*K$kzi1Nn}km
z+Ya5uFORoW_Hon{fMt&<x@}}X%RHP+%V*LLX;>jls_eW`$wF;M2Eg{O!%tKb>2d`B
zU;CZ>hLZZP`qb7JyT7)(RUF1$)?XJZBsEn}@*-F}sWFGTBEfR-e2j8v@~sD-Hzo?*
z*&E!5G+o|EX^ka@n4ha;W;I}5$o;KyM3o5~<3?+=bA>8YEPB+Ob+~L)+w(2B5GglF
zLRs~TI_+F3*?B7*ys37Xq-t$N9c0xKc5om-gJ!!kJqFcz*$>Lb^(?{R6b#oX-6!ZO
zMQl;JW06oK8tS-XC06(BMr9?6Y<Z@Ap!2ND8|>_?cB$QXJMiFvT6v|-*SqceA`5s8
ziJ!K%1-o4^xV#&EOoSf>?jk0Fl<#mJbU{*A-FAsM5U@V6-n;btI(bQtpo@R?A?~vy
zs#l*aMe*%6e5;PWo{?Y%(cQxeM!gGuAuw;OqTf1(I4*ntl&>3T2H}(0Xl(co#zl3S
zS!nrKOZgJ2cD9rVWHE#vkV=O-r}8YnLNsV|MOm%}K$qF0XcD718~_@E`j8HCSvO&}
znz10>{W)sIKUMZr?bEh;x7(sMH98rztG%z~^+!+L2Uu!?4Ri12r3IO@Xml}Ofl%MF
zlZ3}WiGNAe_009L4WRV1W?&6(_$nw1P>PC_MoZYY1MI{Ixrf|jqagb<jkA;tt}t~<
znf)dubgUpW7Vi8Yy0Thcj5xIxHZPV)&eH!r()ZE-;F%;4ow`$FxAhR0{=!!>r!hm2
zYnDiOyx6(#@Rx&wY13vh&QzzmiHvhU0pNrARyS(K*v{r@#yq?gr6kq4cy!{?JLzrZ
z4{Qv>x5{!yZQ@w?!1VchW`4f8E8YT8RP_2o=$!B$Yj!L+8$^>X#4yWEf(||V?0XED
zT*W(u!PclAbEU~cggL8^IK_u_rgSNi;K^C~<Jjoqs%Z}8rZM$Xf)+5K-#9R4H*>Vp
zC+U6M-VGd^G#K<`QlJM!ztIQql26aF&PF;ZbHlmFv7$K1x3#+5Y>~lfSxZmUD2-K7
zqzmWbp(dnRali8EvqxeZ!zXDfloryp6v$j9i~6R6@3RTrPt>SCD?=A|fb|3eP^hbJ
zNq>J!m9XUZ)MNqKR-W<1W>1V|TW74>Lcqb<`%LH7sY)R2Z)D^z8|}JT{*c>9(9YZv
z-aU&jSNmrEt82yK0k{jI#GUKKpQ>5wslKY)t&B^3!7?NtE=+|A%m(`?tMk3la^dHJ
zDsVqH$JudWnTHvlPrDoGy4PzsZ@CO_<=q<)jdW{0INX3XkPixKbA~#`Np7JuuELGR
zX7gX%Lbm7muKZDTtqb+E!QKH+b;jy=NEaqe$H&+1={nxO2UISe2J8cK(-$fVh)G-x
zpB}NVeD?x?w_NkFv2|}6%&s9B#EgR%l3_Aasf5{@jz$(w)9J=6VBZhyyt?G6uvYt@
z^i)krmz?MJ%!^Hzc`}||Ce(hV!sV4AVrMP$#!(BqvEV<@J4xWUKL+ul`s)V=1;n8q
z4hsAW_O7!t*q3%6SJD=FRH;vW)|24|g)Y0dRtjD>Ea)b#0xK`%xQe-R+q#Ay3+^+b
zo|)9r8CSw-)p__2Cj~GpvjO~zxD>}a+h@>{k!2Y+O#x4Mn8{+Dwdaqt{rFPON4fxz
z4C(4f+tSoFcQO8{HqTDFSx+aBR6JQ`uT1K4_*%XY=Cjg;Ae&7{NNm1`$^r~?Vq_~J
zpYUC&SdNrnDnW5rR8y0Dq6M3FnPYr_@BqI1QBR4tC;F-gG{0Yf3TE3?CuTOCvr;Mq
zQPuY)yH<2aamVyR1xf~>j!rtiGn?tG?rB`uRnh1Z;8O7frbcQlO4@RiP5&q7;pT6O
zWhrzWyxJ4k5=pc>2fxtLTihDNNP8UB@yDlfxK4bZq(nwQAF>`?t0MdGXSdVQsQr;u
z{~`fQxKmpc66g$EQd`QEW1Jsd@aq1>W~Bm{KsFyMRr6uNYI;W+`__9Db_;5%AGXoe
zJrbiACuI}Mv_^4r>O7=>h3kr#Y?1BYE)`EdZVP-tpqOiPl>0u<f4zJ}9QYB>bhF4f
zaNYF)tfUbAN!`88nh1WOyMp$p$z$#^dYMC+hZG3lu5@ci(QIcHFTxXspojT8?OpQc
z17#9nF+ag~4_b^d5HP|Ure5sx)(nfeJsjWl)aehUJn1F-NUEFVTYR1(T9Kh?CG5<)
z$o_!(W8zzxyt-eRZwSQN;I4aX@_vYdZ~C-T+R>ly&Bj8)NC~@D&}3KMY??Qhmz2Sn
zo%s$EpF0o@g?>Fp)G1?%5@z$w!Ux{nS8J`Qvp-0hnqX5#K|x$ziYbO_8D|@M$2Clf
z4!3C03UukQbL;sxRA<Ln4ji+0Xk{>*H?W)jNBSQ{e5|Woj`iWfmX=T>q^5@<a__?b
z(TUL37vZ5%6q1ZaU+`A9=iQ6Vq()xGCuql7>r~j6g)0F;(M!n@Wk@GgFma(RW-fjm
z**=zd<?y*h@piyBnm6HXI>|}w&T1!#v&f$iWj=aD72G!A$3+e+(je{HW0!@E%)vV1
zgfgso8;REA>G*<w)@{h-z2iNS)H{~8-PEAk(Vl&53ceBm!4AfgK=jXb>))54d!QTh
zP~qg#yNoz<1!LYlsG(rNMX}we@AUU%*Q=Tw4V`6@9_{683sV(APNj5XZGfBD@vitq
zS>E)6>Kz_#+#hyK(L}b6EHTzAMgRdhU>XZnTF4|0T^T1b0hk3vV7tz)V>9kt^cRu>
zQXEtd#&-6BwCOONcP2>-PcxsHWlIJV>U97TVc8w9be!48*g5F9m@ZpjS9J6O<7=uz
zn0bFPp<-7__GAS`8i2#U`B-xlNJzb|JSif)+udE(%Up1o-B+a6jxr`uwCoFsj3A6?
zv7UI=vUJ1^IEe5%4(9W`&w)sac3BVYSHoRQE}_zXn<fc3cf1PN5LvBJzul%UnXo+S
zw*mr_H`*a=WywGe#c2V5+Kb27R?`&21d@EWcbV9BX9k9w;KW0v0V)-W-c6LmRGV}A
zL8CcZYV&J0_*4dC!>Ont(6cwFPGj52mFy$sT84Rt{c4Gxq?D+qZnZ{GyZ~q$ifGq{
z#nNGzCLit-*!uuQ?Ss~d+H<naILY&WOAvyhPxDrj>cgocw<l8^C?K8i*jymz3whm^
z+>yM-kqCazWs6j8=QH^YOCvS+*Z(j938WA@M6QpEV%pPv?`ksx`QMjtyx^}r32n_3
znED93V^IO7zh+bvR`#&#L{@%Od8ab|^Y2T2FDP_6$GgsU*cJG-7hvKg{qjVUtuxIX
zwj5?Muw>5#*(?fDKt($AYtSe$QY}4`iO)|}=&<Bt(%I$<{Y`6wjiLeD?W`@gFQr#^
zqM>RUCmmhrY}3S)!QDbAy5uxK&~3lHhefIp4zjPGxr|;r>qr(**`FY;Gri5QL@Qlo
zj{C`V0BO0^oOc8sGiD=a-q}5M?Nhq`b6T|V*m+}S73YcZNk9;WxsIA6Git9y2|xHD
zFu=Le^fLeI+tRyMEYN2=NK_*>XER{?7H%C~nQzm#Goq#Dc-lqJlOII-AR$)_p`xvS
zCSd(F{&h5K)>pnB7ZymTlcSSLpHJWteYeY;xg}i`@+dGMQ4v)xQofRi3-=KhTK=V&
z0-i7ihF)x^utKB0H2dj9@aVH;*P`^M@FVpYSDDs%9Rz|w_bhO%e4WcoTPLezQcAsz
zI{xoHdQx|;T^liI7o^4PEciWYoOq=s*}-?0wx02!W%r6H3a(ofyUO#3BIYon@2|%L
z^XbG(UiPYB712t;XJ_!8Z6TYXgta*-k9s3QavkT@c7(1a2sDCl(sRsiy(UfPTt)16
z$%n|$OwKV(x)h9hXO-CMc{{x-RZ|sXMlArrjJvYd`vLG;C=^a_Tl!7Q5f`tWUr1p;
zGh@<N3`4;)1}vA%e2^X%4Q>ASA8eaj;AEHzvRJsXQim8ia?*hm{RArS{(U0bCnaxV
zO})kYJND)6E>V_YTf8ZqM9-&`-Y6b)QXz@#)^r$`g@4Hzdh^|8ogog0AU6hjOa8HL
z7pJUFGEDRW{Ae~dE%QVyeOmknq$Af!-q9ro(X|6=w-u0rq`h@Sn#C@s`Y8xlDFrLn
zn5IQUnoD=7@e?qfpdjM|QS4o;^t9O~go#bxsfmfrZj~KP%yP0>QO>gch?zd=7Z|<Q
zuRw!JIY!g=x_SF9HGvHC%Jk^|i5_<F^(!zd$tMnIN*2UXMg92JF9y^nidL|#CuNvH
z)5Vkq*~CVttHV?&nm7zMFj2JZQS9K3PBBJq=lG5hO6X7U2yJALI?ZmtPE;5;NR)u#
zaJn0W_|AlMPH_KcGZI<2Iu5>b+DV!4%e?<+{Qn;$hFgHQra2<kw#Pu){O;(OOXIAt
zQUB;Tcz8|74q1D;Oj<e__8+K)QkdNWRZm@J7<|(y(raRQ028u{f9)Knl1XYnkQLu(
zDajua!BbsYG|G6XOl}PD$!v~?bhB%1PL%SD`uqw}tor=Jik^MAVhP>svX-Bjp#1sG
z8d05NdNQp}=}}DjZ2G$dd*SyJkCKiN2?C{Fd9Dzp^uw|R)sAP|+iL-BV}ti0^p~Y(
z4pzL=Sq#P0Rh}BR^tfkN##j;EZ_1Ug_;;Cyi4zwvS@&0?wG6|wYnuWCBpmOPbS;uy
z^9NAd#cNq+HbF8BDo<d6S1+boIH6>k!5BN#*xt1wXtGB$vt2Em*|$6Eg86MzQq5%@
z(bI$`o-W(Z0_mbwL9e-geyjJs9{C^}Z&q;K(<t6!O!|A7C>GCetWlf+W7ksUy^p`?
zzz;@+XZL%)^!p2WsgzYz4N+ITunu>gBc^=Sm2#o8Gxeu&w}U7L)JP9OlM5hNa?gMe
z&D^pE#Lg`!^?P;s6IZ%iCwpTC@ZL8UNaejBf}#Zgm@a^gnEE~s3a1Nz<~As{w7Y1u
z{`r=ZyjN%JZ)hr|zv^4O)!TnCqcgPv%lRP-Jly{~;~^x}clRG{w}hs8kF<295pm??
zvqh}WjglmlwjhYzf176W=Eo*BX>(?PQx2v|@Kyk;+>wvpZd6PTsE5VasJWx}#4lm%
z26p;ZxiAO{4xG1sI6wD&<y!@{KX}-qb1sQOWPTsLU1s$qw53Kp2JUl=F8mh`C8V=*
zaQ&yDB5t-!N>lMJ{67(~%GD>O89FoBO{Mf#Zg$ow)7I<x<rj`BSHIyB=lO^b_0Go+
zqr~trhs9p@w>$|ITc~q}kC;5&n`-Fl7!i93vsll+1W?^|WWYkfH^eYo>!0NC8fV$-
zEv2Ke=B3`81APl^ZLl5M@Ro88agJPGd?W>Ii9HRG3yA8hg-1Q*WgjSakSAlhySAwx
z5^*_F)PgU=Dnl-t`)5fG;$+OVd!xHwvyvSUa}Xc+`?pggB6z%)-Kh^(P(8KIQO~r}
zh%f%r>?8-o1yFSJUVDw;OOIyK19UJq6hIA{+Az8G%9wicr)a3T6zd+BZ=5icexgra
za@bMiP1X5bVY7d13`_SnrzK7gkl2wk^!RZ|K6&vIsbjBQu8Z2|K2xc8P|+cP>Wqno
zv(Vn{d<)lxcofY}c8&Yo!BPuwlN{cb#(LYi!ig{mp4}{x&rD~1>#xmCfoYB@7BkzH
zTMa$@Z?<&_^eQ>lBiibMlfJbi(>48J+O=ggu;>7GQ;Knx4>`O1Ri~qwfb1@{|N9lv
zbBDl=mHjd~=Tb=<@waMcChJ8+Q^GQ$oOjifO;YRph0b;65VZ_lJ|o^onbT=t%Kfme
z&<05XB>;}SoX|lQzRSYAxs&56E!Y*#oU?uAPsi29TH5fr=HaS{J5*i9Ia7b_lwT3+
zwn8tEC1Hmx!ty;@#2j%M+Ml`n{F-I^w@S*|!<S7s`>?NbYfhDKGQr`aV>arV9)|N$
z>&>K1t(kh`yd*(Unv^#7qZ33Z9#e{MrVs>2Ayn&_n6zp-;4qrdL?5%Hj^*puY+h1<
zbojvJKL=qD@1v>cC~4m}K#NZ=HD1>myQsy*v&{5$iBcudS?-FzoqAEGE`=*FfRQvk
z;Qd~P3A@vcd<&=$!l~`OIlvgut-!*CUTUyc-8Ubq5hLOe%11|2uCl2`&w(k}TX$qM
zUmy6efA7r2xcP2+8zrkcrDs3s$0K}P`YoTkovZ~kKXSNc&1vzWNnko)m%7zev-!LJ
z#H+2JG+*=qz~HThL+@l*D#e{Yv!H9YF(ONoARld)HTIt@S6D&OfpDVE<FCz-qeXYR
zZKF1u9XUZW)K-}C)fwbyQV)uU1iQY=x959)JqEN*V0j=B<;m`47a1-7XqvW|w_N&-
z|LjyDg6Y5W=+v}q{*=h?fp|7JixAG$VKVp=%<;xOW<DVm$4kZ=WTDGhB{bq19;33A
zsZrZkrhZh;_fe*zrjzL%f0n~wCVxNmvxpnM3A<TlP}dDF?mK+|7kO=vnyf^iv4;s5
zG{{lml27zH%7<Fo+K%jY_aPrfCwV8gzUoD@a0^MQA-^vY?EGDtBT*@H;!8jvKu@#&
zW1evAw&*|(pK<s}zjE_Q9Afmjw_SEZB=hj%GZZf@Ecr1^pdKBq^3I>QdD)@qJNe+1
z-9JE*h+>YB3<(X^wyv^J{QW3HExBC?J5A|Ux2D)x0yRbYF1VRL;$k`d8;1;s&$eh!
z=NW9CC)!Qf7YdVnL)iqFT6q+VO?_(lH0kUP0;*7%z<#P9Wv~n;w(k8Oc-!jrr7M1~
zm4p}&uY4^Ob#zw+*<oD?qoE*Zop>?tftyR(psp@!S@2r867YQ8I&eZviR|hd&Ybw0
z9d1kmSoNm8Vwv@frqr)J_69XR7Q-E8VkAnp7t1FJV`F~mqS7A~*oEh-vi$_xn)Q%w
ze*3uwsXyD9{>oxewY6nH9jX<gH6Ll9_PC$trV&*{b`IbDSwo6Z&GMHIxEbvpVn9gh
zf)9%}XC8mLxUYv-SK}^_=Qf`6E#-Q%1pk_+gt3|5r7dSq(&2NF97sbss=q$}pb`oO
z#9SO+U%TQ2g)1`SW~#ab)Sm#suF^`_GHTn)f=96EfD!X>nDg&<DfOq6y9d9xDO3Vc
zuYs=2+HJf$ksW=uT4-<*pD5?sXZ5~H*nDD15_M^7+s)fD#DCCc^k9OrZQA`3^vaK>
z%6Ne)v)<+(orkHbQK@gg{@*X1yK%5_+Q7ti>5;_*&|&O%f$%tTVTUhF+td3PY8xBe
z5!RYqzmIlCj+Z`3TY`pa`<@bc1jTCmk|gR;b}XKyFH6D}<+L_GaL?`ov^x44o|<Xt
zKJBYfAz6&_5!g5;{2^V5yb|o^B7lne7AdJa-Rm*~uY~}`>>1Je)XmoV_Un$72|aN8
z4h4Vr#tRuVOFr>$(6a^CG71kDm^<Q0b6Pso-%&(e{ovw!97SWHqM%gl$)8WDXt{XM
zo`^GC@BpFkvTg18z=QQ38)GKqD_&N!#?3$Syh}n<SB~S|GcUUU@(kd@OBS8845(lR
zDE6Uv4Y(VFGg}jIfgRvg0R_kCCc)|R)*8~yC4G0l2z|+8L$%lnm)YMmpnfot<tywm
zgP~a2((-0-XP9|djFk`P?EA1p<0c{ot6=~;wYI7ecJx2NEv>1ek3D$MF151SMwkNz
zxNB+-)Hd5~PKk*ve-ofT4<AavouFhY!H|fwajMAV9HCGq8D~d2p(n$W=>qOA^GjU0
z+4w$HgHEiqt9DX%Y}K&VuBO$_p1ROK*ll{sM;`d(;tU;~u7N0W+9Haarpo|aM2302
zy=g;^jlV4UVF&(f*J2>DKAhjA(!`Y4a1f<!UVoBykn?G05nGif&wt0*G!9w)dh7L%
zy)hP9^@jj+gUKWtpI081+Ppe7%B7`Xxuq-S`A6VH_`Qhsg3_j_WcF6XC4axtXsb$)
zZ@f1vfgKzU7Y1CMx$2p3iOVwXNpvA>>faMz(l|uc5X#MODCsVdk|(NDYYH@4eR<uD
zIhyGfW?%{S3VhR_t*m6+82EWs=?_PkCd;1b)=A|snhBq5&6Yl#<(l=^krihI*a$U1
z6#-xOX%F6sYU&P%mu~DH?<$j153lchjEOt47l;4Lb60b{apSBDdXf49zB3ylj?tvA
z1&P4AmAP7E^XCU=8OwlO8)#|i9E9mj9~FlH4#~lJs#!$%^EayIrbr?u-DNFVL{EXQ
zO`q4TfMCO;*18fWyIO)}tMGR8<2Mf4dMzHBda-iZcveHbV`U=QW(hx&>B0W;*C$w(
z4eGF!g$~=B+xi|vx?RU%{4Wf2JHzSlP5kTawy^I*>TRj+y}3TF?fR6RJ&kyVzu^{r
z_<J^rCalFHds*t~dtAp$ut(KtdsX25<B?(1uCOylqxn&9RPC`^Y9a|ZfU;CLvJk*z
zoKZm?zl}^hg(|d87n5HI2Eue>89>v=f%qtHY(3Zb6fB<LVDxr+1SN695HFaYx4W1$
zks$oPC!|g&ugMQr{PuOoNxJOYAC{d)ihJXY&^r-X{~AausPZ1JcKf%_ZGKYOn#YjP
zn)FNE_Vi~oL28wu;eyxe5rD<Yy{=L7;Oe+mrPHH;$!$a$#k_J+EdTbpWzd&&M&<BV
zasd&<``mMVff=ljyCuM2Q7+3A>)1vuH+(fC4$rM(BPEi5c9cy909bgr1*kSFIK6(7
zii-hIxVM>>TCu^?Z-CM2{^>9+(Hd*uL!yFPhOO{Fhl<6Mkvv_MuVvdD_<}KSRN7Xw
z6&x`algK{JA6FB8I_(#YAbiknY1wXs%)5|uE6mhrFyfd_1qA_$&T4;oKtVcaR7Z+2
zItoq=lfuc(R~^UiCp)!L@xFvRIMI3Y61AUYi`gp8L7F@8yUQU5HwPE_@jhw51aN5g
zXB_XQ>G(gn{Cech*0;+bqzAFCQzc@_jeN|^Mu@`8I+q(cSgJ`?>gCl02g3i8U*Y<O
z%U|=8Cgq}sG5+t@1?|b(Cz$4I#|l!6w+o3Eo*Or$hqb43@fqr|Sl>Pk!{orCUv|_|
zD+plkpqDSvdn07nHoE;NaG)G!s_QarLHHldwDre6h-vgeNeXY1so_`db&D{BR_p@)
zltFhF+K6B}f8JJV93BT*ODCz0*4ooQ?g^&2vF22`CxaLQ={pUDSyU0H;wym{;tqt(
zimE|r3wcv9uZK|mSipCl*{!9mR4=!}b5?9`7yuLoGC!YhdXo<&-9@tiJ_1gCg-I80
z`&=^ax7vzY@kv`>R(#0>%3;be4+wk~H{;{QTLYj3hQ_;%P(>EOEHuZK$iD($C-7~4
zy`JL;lVwdF8Q4<Xva#IY(0rK1RP!N9>k4R;*BF`B0WWR%OFjm?w4WK6B3{vvt8haH
zcp=!JS4l!dOwz1&*IK|1Wq$eDu80v%IW{^+G}a*M#{ff%B|Bur&o7_{gw_8Lzmd0F
zTBANkTa$Oa&?6WSZ5yui_^DLx#P8>wY-_%d8>w>*yoCdjX}OasJ4Q@Petu@^cst^A
zv=)1{0LXMEZ67(m2@IZ>qZd=NUpZ;DZg!d>zs`9hAl$RVi|Gfcz#B0B<Zr0OwZ2<N
z46NML_dT(>9H6HuxHk;2X3UOW5&YlECgd(Fn56)rwgNA?<yVhSd#Rgr#zsG}>O0TV
z3gVejWI>S<SxZqY%)54?SRb-JTFQ~{OsYDZ>p|ZyRzFqPstm>9<Yu%-`M+KPFH5Uh
z{_=%JIY+9-<jTxl7t^y*?KFR-XUnjoY3s##@3EG=n-X>l{ti+ji8aQ}o(|dxe&y+b
z0j<{37irPB5P<_d%?p-cPBu_WkGs!@lv=)AI3nfGCte3=R(z}|qUQa~RZHPVb1lbp
z1zV58%t0q9Zq=y(T-485lcH~$^HzyY*f5~zLGv}$C13wox+OvZ3<faRO9#ioOv?E|
z^0$n`lW)N;@rg?a{bnxxY#E430B=A2Bvb@Cy#S}(-`xJqJz3jAzX|uF+xpF2=J!AP
z%>kc_2O!tj-rSv`+IMOkS^&x{!gQH93gIK}24tsA;leF8Tq)}ZEr5Dwe3bqo=Fm+9
z0OdCP_f7sEEMtY<C5+!3`mP;Kl$U&bHDRA#`aVHYPMP`#5vZ|76{2W3B`kjc0}b;R
zV<!#pkKsw0s_B_X*BN=iap7Q=(Y<RbJSO$LgMibJ$ue0ThwZF6_iFl0)X3`;tB(L1
zeV_09T=<WM#6ZY~fPnKSswd|WoL#b*R6`bKvs|5BO$m1Y#sZlyd+Q3<Me5HxFD2!;
zS?v!S+>JkOu>rhb+(dRpt;Iq<v;tL;4qpV8YHijDH`Q2cQ}3s_E3+6J;#*=yR9*8#
zRrZ-g*&E8cMk1!(+~}&!MOLW~t$~XyGH}Xf6?dD>>)OS{TXJN<90Dd=1?Q1%BX_DR
zPmagwYsWINIm^RSK`II!og1G>Fz-t}RiX@dy88ikGhnw}M@UL~%e}w%<~WO!L>S%$
zq3!|14YUBz6l`*-b5Vhf*{$-?9J6NJq)b-6_c*vONTQ5d?4y_#dy@Mf@cC(KTY~%9
zv7(oA=jo@&e1MBiD)K@xvP`7|eMTz?!^IXtE**x&t!ra(t>#lv^a%BIaUsXJ1iBJa
z%XV2!fwcO(M{F5Bb3Ho(sM-fGJf!1FPFXFua_HCqa)<&C?O*3q9JObh{{(&eQBVv0
zs=BguBxpXj;wK@^gjTEJY&A4k^spb#q>qAS22GYl^#3=M7PIQ691fyQiOipZTM=s8
zrl+<;dd&DxVU9XI1-J7xw>K)c__nrXW5FK$KXOmddG(;MZ#FT<Gnjz`Fo0{Wem*ZO
zdNumkyFIwNG{U87a1llQd)I1={9xFH%+#mScmk_sku<6)x7jWR{qcn_OqqUhrV2Uo
z<T^ATZh6YWaqH}`=VC%1#eP9lQOzmrcXL-JZ1QX2KUn`@vYMh@U%N&Nbhaf<Nc*_K
zYf9?}ZjUuM#M;`YmX_Hl^ePsv)<atUOvaV{;>#ld?E?C;!9;KO%mV|RUj2rC_2-jy
zqEi(A$bh;6uLosjf64^=NvYD&$>};`aQrUV;1K^2ZuV6w1h(VK^Z&T|>bR!g_iGge
z0Re+hT9Gd429^5IBGRGK-9183K}o66Ll`iSuF)VMQUWrR?jA8DNAui+@9&@I^_n=|
zPGZ}A#ktNomxe;s91k<xs^Pp5`6dc#ViidW)Q;qcCE>ul-OoWYx1Y<3QvMpni}R{n
z@mUfaw_xjAVHXYkwG=(x9_P2v*%AQ@x+L<pv@?ZczD#B`Mi1cRRF6fulB0a9N*Cx<
zdbZw>-EhayM9bt9ar3BuGi|q;B>^dS?inumKExV$b*_AwGdI5E@<_WHDROY@&A|+w
zix6JmIB{p(o)3<aN5J>y<K};Aa|qV}T$$2AK9S3aKY04u``0!wx8BVoN_RFth3Ye^
zRtGdcSO%s}HWK1#%H#G)ld;BjWsKg08sT<i)w$L!cyt?YWpFNz`IRPK$%v2Rg3>`S
zO2P|@YP(g0h=z);lN}5!46p%@IOeIgdp|%CSC?pPlXXR|w-kLJA}ysS(3&fWn+Jym
zy|4z+<e4hq*1hl+P3!;mw!iUES^Wk!A%a003A+vt?+~weZzOSR;=2|OZh3MWBhd$q
z*-D|>@B{oi95?m+HLUZ$X<B>Z#8~r)bZ0pNY-O>^Y^joGtL73lX#~2V2O%Vu3%8JQ
zYT+xo5Ue4ZQ1AGRp$I74vY4CB_0`+G9+O$X3<3O8u38f{CHW=v%JH~;+n0^qFAn!{
zkUk*Jq}HfVJNKU~iP*P&y+}6hJ{gUKNVchz-U8U7_9JgIj$Vecc6sLn&i?lhj*H5C
zSutuU>25$2dnOvJC&AL{_NF8qS_G5<JqlpF%{ml0D5FFc>~j{>#Ae-O#)x?ajL9&z
z13Oe$<!gvEH&>dGQ64-Ht<WQuB)Xz4Dn&BO+<&vr6#@l5rVQUKvNG9LUvvO<2%xo#
znxpzZKvuopb`SK+?f%&uQwoSY=5^=)E)|EgGB}iTE{zM?+0AM!tYfu~8x3JhzOPgG
zi&xY>rfU5^Dth~5;!AjUesam6C+c8)p35!sQrIw9Y?-V!n&DN|Rj3Ja^pF+H8}#04
zj6C03vH#BI1pK<_A5x@bR(dVsl6rbjG{2nmZP9xMR(p9tPYAi(<BxsS@BzYdPkOV(
z-e?TFNZ-znqR~2R($H6W>A5&8-$`{b*jD#)oBYDI%U<lyg<JRzwv>>j2nu`c(~yHf
zsxmeCv%$4Rc@-ORiMp^G!Ff|1$Tzwdk5b<suj~BT*yJA*c@K9%Xma1jPBzYAxhnI{
zg}22Z+JXDFoJcQr?Dree7=}AM5S02{!cP-ro9owd9?De$l5&4B8|Ij87-pq97Pj+>
zOK=$W9B@vfo_;;+twQ)w0XB#cgs6}2-x@@xIhAFeQ@FGuk)HEq>}#=)j2}9l54wUd
zQNC)TqNL!(T^dv**zxHf7|EOrd6M)xb?1mqs=Yj@G&+V9oxAaGAE8QaF%KIVB-V#i
z>L_ylR^1O@Gq|}q*cMh%Zm81il_q6;)HuJJ+_b+S8!)volqv6`r|zQ1vpzq?8?x9M
z2X<e$K4ZcL@KJq_Cf}q(98+x4b-V^cnD5M~;I5Su_<&g359Zz>YV*R%jE|Iak*8pI
z1SgHH^?0NwjnCOAVsG%yVxFb?_f95+)N_*Lup75d?$s;T)X$yUd38{ACy_xTD?*Q2
z5e#LaN92gR*F*2d>XQ^6{nRjLCuKemx-+_M+-#{P=j|2Epf?7*7`_5_UB*fsp%iO6
zYG2zioji1zttn2K;d)0^`CMt<((n?Hs%=vN(w$6gb<#=yWSOaks9fr%DM?K0vR&wI
z+8ZVyB^tdJ>h{ZN3~LN~X(2<|`yW4U*gcz$j!@&?f(oAeQpU}G$q~!z*t|x^`}BWL
z!73|?v^Jc;!Pd)LNas&GQ<$*OWi{KS8R%Z><2%$!N-^eSsgYq5xi{~UI2NBLh*$8>
zSvhUPx^F&?R%Y2HQ;eAi2wO0vLHNo5rWw=wEVH`LF3cRl07DxG#gNH8Rq79HUD~ow
zW!CwDn@|9{HW@HM6rY^hlC{3<hx05aAM8d{MP0jLrMlI}yW@DTh~<&BvkN-qli!h!
zXAxI@U91!|X2>I5c^2lgxr#)SU{?&v*(6{M>YBpKTa}tFMeE1!H%1;&(qfW{K=08I
zl|5Q=o*0$w+<$P)-0b#@L3-Z2&Hgsh{UcE5uJ@iR5NP$I^p`WCq)MS0)giUYApe4S
zO#lEBy0_XcDQqfM#8E!U(7qD7X1)v;P27MHldpg7f6m$|?<T_Ie=0e?>1|keoyMzN
zu`2$;@AAEHu?E8j^`Ede(YEZMMGk$QxRVw5r^qd)S7PrIO8eU(gY0^?_|(Z8iTwYO
zUsLJd*v)@MNVJ6HUN%{6ng}S@mL_e=kSi^IaOm*J-oMiG_fumdZqd8^A||CCVIs!%
z^|wI|_z?P>m_!YnbRAp-5Ry7Pog4XHB1E3{9D*>|GU?^QW0;yMaL1R<ukH+kw{p;}
zpYRv0Ije)EJD>92s*E=uc3c5M(q<#+74cCRH4!~S4HMOFnA=At(dB#w4*gm`;e$`=
z!Wx88KFTiFgBxn?^uyBq1NJEq{1>NNwBuKVg=N=5D;0-lKysgh`EsI9!M<lez92WZ
z<fTj*umJ~wzcaJ0;hXe|Q;JuQy$MxV<D5z<RQ{btPicN#hIO43Y`kOhTfPdZQutpv
zbTggX1K4C<d?wzo9u@n*t^HwTU;{lF%#oRMv`ZtNN1u>1)#&Ew3?uRN%!^ogIqCf0
zyi?ru(_WEt(4S&u4zRrlaV)u8zQM3}hLD@~aAWIINj2P6&M<z{b3Z5FW;QeTqa~wv
zAE>NvssY=a4}I!|;vqX9cq`|aKST*{Sbe;u-zck0#V;3KKgR#Zo;l7)meAgV9MrRE
z&(nYwm)<#frm42<(ansrIj7?7_ZB2Y+xjl}*IFLj6j!Upi}hY@9yVaSO^f5e1?NqU
z%9GXfS7&qqlAP{g@L(*(6vOkUWqwB^FI7T+L>9B5_7fW|sP4^K4(pFK{fd74%w{wY
zGns_`;y#j>)s-+~q(BI0Ib@SUR!j0CZe;v#1M@`T2+;jVk8d&g;-8Dt_OM+{odlcL
zN}Bu)mei_BDpi2pkE*wZCVw1JAG@Nnw}H>GkbEQ+IkSL@?bsODn+_CC{LG=`IXQ1j
z41^Z}UJ0OJ=GPHbvB@hZ8>Rp=$B|sdd@UR;bO7t)mrtCQy<I%k$?3AAdCMsIMrNE^
z-pai!DN7J96Mzh}!Q)(RcTPw8u4T^M?+e>eOn+6tiiK=Jo%Xnr6C+b#Qz@KTj7gS;
zOt=v6<hHQuNgAt&C-?wf4It^DtJ1UCSuikUY5@ze+^5x<*899;)3uKJyd@hGZN!bw
z3VP#kpnGPnt|z}*N4PMb8t28!N4h^^nYs9>Ja>rR2<*NR{V?SWaduMu=+971#k8|j
zt@luV%6AAutA)m!-)QeFE!=2&@F_*N!V&VeEolFb8jC1UxYBB+^YV26t~0Xs8I=Rz
z`qO{uk0a_*LRKEpXub6;lL{@uJ?d5W{*FC;-?Vp4u+PL|=xDIiy%g5==NEHEWx%A9
zG`d5G>#!#WA>30=QnXV#4U{DgfdF$U$~DwNJCCMM9cEggXWl)qMB8sJ_o7@*yDZT)
zV~;A_ozIz}L1f~h8x<wNGtMIIHt3<9qIrewKZts_78oI}8n%e-{}B(LZkH?uGiX05
zu5|w=-+|t*qTMh8NE30Q<*m6|OSJcHO)IKgr^PqNU7qTOyPr~Z@lZPBnpX&OGaQdD
zie1q~p=~S&l8xI?d3HLqQr{#jS^ieQ9tNnsIKT;-={d^kJD2y_XyWk&&TsRq<48L>
zQsrlqZwihF4sM2P<^A8hI6R9uRg6nS=x^*FHPVMFGX76E#Thb^Z?KKc*b|k!pu)3>
zo!Pha-1@NfwI%SkK%5=s=pF1q<V0(f*c|WAk$;~!GK_t_<zCC!m(<IrHd~7%$JvMo
z3aj+@Y_Pcbl)Skf7}%6r)Y<3XQyho`-auRC8}NQMbNVBWsd&-20r!Mxj4i&-g&cnn
zRj@?vA)QF4Q?@^&6zyO1ARBe_17M$kX(naB_2=z(ZYC^Aa!cO&5J*zGqt#5yC|%1&
zaMQOQ?tPM1+QL8H&+@hh^|?sWa14q`7M7~!p8UVI4QJ7H5v3xo79CHt8be>_(-HOg
zjMaxjB}be#sJ)Yi1)ur^vDGxCQb^+OotLYRUqFKoyGI{AfoWo?Fy(W-js{&7<hV9X
zHg_fM+mJ4w-Cpaf{|QW`D!xe=Y?yeS6-5t9QQ%~$Dl8NMtk1~5S8Z;QaBosqYjACT
z`~RaY9`iDm)E5ff-zg3g7*mmknqkHkmtdow&up;2NYQV6hW%2h*1zc408BLVw+0f~
zV0W})=lNeDZUrEbaUa%mc)Tvbwf|o!RjL5t7m~W^Z;yAzErqRiRZH~WXvZ#c%Mx!o
zw+DKAOPUC^uex0PVA$QB-@z3;{vVI^alg!<)S|~Lh5jB|nJmQi1&kk1lc1ewt`@kV
zxv$0Pq^d|vFc!vTQsowN{dSs}c%GTkyIstvr$ozi6<go;xxXW<^)PEIb|2q-DIn?u
zL&1ch(iQbDWE)eVX5u(76bK@xCC6>o+iskOzWg5sH(R&S+TD<`7f<Q6VpM5Un^|Do
z-ODrG$Fry~e5*7~w_%S=!>#PL`^2Fl59I~nj$hc<tWY~(87?yh>(RrtX6$i(EK4@0
zsyt?3+zTFqnzlXvPb1v(wF9sd00mWkWw*mR8=uc<8Ni$2DsF}`%-k6j_waFZ^iV#F
zbA*FDC7H2KJd&t4=@FQ{SYVL-3{}Ofda7G+tfws3$5i@&ipkAaDFcQ;OZBpC;M3G0
zUlhZ@|483y+X_Ed(zOHfs5N@YL#^0IGb^y9RTNq;PM?<;{JbYVW|3xbFmJ1C81njJ
zOJL<T;LiuBi{TtKgMOp869m{RGiG%`<*u$aeey+3tjjA?Wbt=k`f3Ho-}OGve+%-S
z(0i|3MXzb%$iUC*`?Cz%OWMa@=#F`3$gDqzZaCA}Z_UCD)n#)Rwmu_;B1&MkUPvGh
zyz9rhMT4FGpotVxT{`t7!i$*$&6q6vc|yIlPZh7%GC#lMLDpn##Kka$RtrQz;E=%C
z%f}3~=6*wPOB<OnPK+YNpiWik-Q?ORKmX*Wg`onAHnKW=Y4lI^dTr4`^-pGr=L*Ng
zgLm!@2<ZC{$rvf*VyDW&dj4y_kR$k&uJM0fc@XJ3{c$C^QrZQ82<1g!Q=2$u_JB`=
zRmG@U`PX0<P9&k8C?3GZEbW1GINh!fh0fP<JE^k5k=0g$t$$w&@v9KyLNi{>KU{gD
zLJV9lBxwf~n;k^5{Xk4fEOr0a>TZ{j?g&|XCQtO2VGzx#qZmIaJjF$)>N~yqDK<sx
z7s{fT?n6%7d(sMB%V6qzoNlmJIFJbnGpZ!-bn@~DD|P1)rJ@QRM77bWt1wLFj`V{j
z2mqhPTSJPloIwR9hmCaOt%OFM;>)hs??4{8ALV&G39Em3IoUdLL@*mg{MfM?d7py|
z>hp>ghe>ekG&a!GNVs&5FNd4X92s#dBg5%);|W{hnEY;(x=-&l6`y+$pU6AV5n>wq
zw?*za{1<^u5GbRX)_S~eH1_i@Bn-#&=5EZ?sasTDSHqY`Kap2aM>a*ZH~@4cHkz)F
zPrPW?^da!eA8fydH|yoLzZAk;q6@qg6dwWg2<R+M4SAUQSH=GfO_e{Hjb{bq@*^!O
zmGwosWni$3166!cb>WE~!&6z3VztKeXK~X`-Pzi7l(5n4yu&2JzvAyfjqwqr6rXek
zrv=mY{tw{XFL|(b%1C9!vO9EzQ)*pkbyd5@v18&7liYn@|KIE$HuJLQ6Z@=EwMqA=
z>?M9vG}SdU<=bvyoFkSVul^9i$DB7+p$Cc9GaLOahr>x`*If?YBKOt%N=)sXa>O7v
z$>M40Pd6nZ*q<Fh8-IW~5{`)X0*XW&&J{SM-=0_)x+VID%%tY~5TVW3pAY}77P7N{
zm}|P7CZ&EjW?~u@C{{@ws>p^*IgJ_t_d^s-2K}kL^n=~@Z_`3azexa09_uMO1h!4!
zaxukpiZ4`siKmR>?N}qM2&5eGXWrH}nPuuP=nL<~U+Cx5eR)d_qtC>j0Xj{|SGUID
z;dW!8vK7MgW^81R^PrgGsJ7Zg!vxwUcR{ei?f=>*d7*;m9*`RaYOin&yUOD|hEjU_
zu2o%S?3?g4ZNg+nBARBML`<^DY00G0f1cdbEzE)SKY#i4><A3cJh0ODIro@a4uUK(
zVJoN}2Ga$Me!8?LQgAA<aW5b7r=k)u8C=Or92PwCV8xpd*!0Wfb__1GrlxDq7K|h%
zXm+Ttd867ErVT}?y{>dU*)0*5pBHbr(@23>l)mqTW(h2KK&alMG|CviH1)`!t18g-
zfJMd)Eb9Sr9gYoV3UfWFJNNmSRXuZmFdzIGh-TFbZ?#_v(*=Wp4gfE~;)}-9-VdZ|
zrK)<|9bTB;K3<#_m%Q&zOS#_g{;xy_Z@Sf9Ul*%T;gpyd#<ig8*3_C#`IK0LZFSbi
zCc(@QRQV2aGDOSdU_Mpi_aH9vhpLW^PzSwe4i9<(obd*MFJ5cObUBIE$*5j|muJ5{
z5H#CYX_US7o!1|Kk|WE@)e+LAWgxkH1Ck*+y-i|Af7eI??wwJC!49=HH~dxWs!8<9
zM9qEH9kX)}!@URi52Gc1sD-VvTvp>i0}nR~2cON_gtZL@YxI?)7P2=d6%(as)(Pew
z`frFoJ?l@`kp}g18G!1DBcB#Z-uwY1qr_yPJ0~=SOBO}fj9U28hF9CRPy(I-F!c;{
zqtu+fc=N)4JwHR}R`rC1o6W4i-Bbqa(GmV(4Kwd-FJZf9D$9!8PB=>@@J2P?Hhc_l
zF7E^GyC5c1AOiGTp3=T9vx~t}UD9WVik2-^V_syIwVh_zG5`2;-XfL5oJec$l#EYu
zUIky8`S5*;pTx^eved{Y?g^Tgdm~S*_Dy!91Q;lk0eL)L*ke^>_J6I{A(To<h59ic
zTyET=)Y_)NnS0Cvy&zKlF3bJ1;0;rFbF1rad??i?*LkvUDF}8FZcfn2lH^$Qh8Y%i
z>9lj}w4*WLERAh!06Kcv?}n!wf@WdAU!(-ETq_G;kyLZ}0g5Iuihi*pm>c#`C;Lm}
zKl(6G7XaQhn^W}BLPog5WZZOuo><r%ts_Wf|F(O%{i!6irs=~#>F|QKjXUY6ieeHG
z__uQCS6G`Hh&2ZOR(x!6*k}&^36#EwLEDr&BB8xb@j{qT)F8DQ7kYf&<Dqf1y7Jcu
zi|dipWl2+<gd|&jxzR7xvEBy8Fto}lLun3cu-yzDUY8nnuzglNrz&8SGJJHpmlLV?
z3!+iI`&JTTt-_#im=2Xzjb67yw^<v>7X10=RL2=Ln=3jj#frhQCQu@2&j}Ksm(Z|<
zhT{&6$UB?r$uKWo-1rfJ`0G`@%J<PKdQ)G}@%BYEr1HMrVNz>LZi}F|C_tvx6RI|=
z?1slCnbi7z*sJ>JboXF+BliO;sWpKB_8@&0qvc8?u)dS^FaIcKv*KS@3GuHw6H8XG
z(%dn}N%;e0(z@i1`7+k&=Q<L{C$6z`SUM=f+zgQjD3pj_D%SR9+r5XU(xd<^%liMN
zI<vmkC|#rL5+L(_?sif$%J@0afKfHT<dte>pp;|Klj6#TxxWSs?a-ZDl>Kbqs;-0a
zFKKdRH&I2)d9-cOKg2mXw4u>X>|2#&j)g-;xrgHJ+$Kql9jT!hZP1g~E6#fAGzU8`
z>lP?RSk3VwPvI?!h62#9o3{!?Uf`Z~{*RMRNLWD22z>u7<Eylw7tRsr(%u&ZA~%ag
zcW&W7ZwFH8j-<W}(Z>6O)kd0SjjEo#l06@C#$oo!r3YCy@+|A`+_>&hdX09zBnc2#
zcL7+Q(KwgA!&=n8nIU8%;dMZ>OAFcMe+&FdulOcE0cD`<bfv0ZsV1k2&<C88k*tl&
zN0E1PLP;5ehr=TS{u9;plT9zF`Q&|WYqfUCZmdZAZCtMp$rm&Y+x{-F(^LX2yb^gl
zVO)Mqa00!QWwiXgv)=t_Q8&>JmSDqI>9>7Jqo`zT0_pPHw~s*h`>*wwS>zSKW)8<w
zrfXVN&x*`9O@0)VlzH)z<HozHqgoh)2d2vIv+oROaaI{@z?bX%XKuquL`QTPU^ZP0
zv>MA1VBK=PzL;&2qzY?uy0uvU!j~Ot=lvHcTCji4`?skpLbu<5&niOyz35u>o8&#P
zhScXiAT7~9YIUetA%aMAOPsOQn`8+hB*6e-pdc#uw?CcC{~^x628~Ytv<NxZWaa#;
zN~#YtnuFbJ41##3D~u<UnfHAE);>3-JC;zklCM^1P}rc9@U?}<(}GKNzYOAe>3_Fd
z5^<v-WOSf0&_WeVbR^+5{7@5_3D3w2KeLyy`x)b7G8^8I8^dR(n9^$dED783B=sA(
zECE&FY5K#poT0}~+QS~TlHLdLaKlhncy>5;8N)BAhADv-TryjGvSPWXoMr&&L@QR0
zeAadyRy!31T6$c$&k<npi}*uVxdrmCI$)WaHF+-%LjQaw-83?Xo|)*C`$HZBexmZR
zCmXlS4k094oLZtQR{R1qWjQs(e}1US;;|qAgbHBDWED{D#hWD!U!NqtNCK7C-xEB4
zQEfDr(=vO)P{F(@A~P{^V>=v%M)Gua!cR0H7Etcu?g^&b%Ha$LmJnY9aqlJ}Ra_@d
z%Wo4Ka`-vUaO9s6XTE^d7ww@1nskH59^Y=2&L&q$wZw{#7U}9`jcn>CY13#$&7ynh
zw_=<O`vku2))=e5!a23v{*<7=s6&6<^uolYP0Y}*NQz^Lz_eZE`kcAbX5W_v)Ee~0
zTF&Nn$%NLE&b>v1gVuuNjb$Ks0491=>aEF}=PgApl^gXsvN@ump+NKDI|lb8g87TD
zNd6?pxx4_+7L!dUK4yjhyVj&E(P2VBB7Jp2K0!m@mCacc>BwK{%LE+lm8=Y8wrb?@
zdVHXVd>W+Dq4ks{oS5qLMM<-k%t}4y&IN2FVTl(R@bTG5hhHDBk$w`vt~SLJ(jnK;
zpN9A+YqQlSKb_=$h6DkH)sv&64gcWToX;Uf{f%$R?@r*D3?}!OfObyi#P-9uHKT}D
zug9rQ4TNT4DDaN}u<uQB&9Arrm~@wRu8CNAut#U2baJ_g7<SJ{xLx>-OI3Jx+0`9W
zxos6*!@?V6=YuJnsyVf-<n$QkrK+lS1Aw;}7P9v?egI<%G-gKyDe;Pyuhq%x{^`j`
z+3_al5@B%O^n(s6zE&#V<&T~_k7@Tq)4$=C-h}p;X5JZzCkRrr;Ry$7+hvAdWsRZJ
zSM*(OZeV}iY<<neniTzQE#WHUd54#j6}q6bqYG)Ll`^0Qn#F%rc1W;E4BKdB^5KGy
z({uc*2Us9#9@Y_5&NelV6mz3J^Z)X2M)73<Szn@N(w{G5Opk?xcTJTiEY0fW?)d)=
z5GejfZY4;W7GzO}jruuZ2H~f+3U-UQ8TCDuyRrDw&jQ}+F^l#Gk>EsiH|IHqCu~m=
z{L6CL?NTM?+ERpvW&hk59?$pOMeFhAYa+}ivyhd!^-5Aq*HkLku#}O5(8O`m%Z*pr
zLeV$5j*@S3U5*sqO6H8LI*z&!!pkoGy9EPFZ)82L$utdOqdrs|fO@UHUpLj^5Cl$-
z&SkEiCY6h)ht~B;6vVylVL10FSL9;vPc8$<d-Jw7n!(WtDen5z>X8~g_=SxNn>*qg
zbTZP>C9sDAda+F1J(;-q&FA%g%0@0<X`do0DJg8kv5Bci2-*cfMRU7FEEgg{`~140
zF7Id^j%+lgHPhg=1{dDUxrcD-=1ae+jwJ^Yt_(FKg-&_H>|5HwOH0L{jp4dqT0^K{
zs@PY!!DoEA3FihsgPRXjJ<#~ASao67M<kGb0Jeg{=1x;-n&GzP0G_6eg41kf&g;P1
z1s>izY0CTbXyxNgyCB>5(a}G&ohnx=^143?YjE_kD}q;=-?P$~k(ByY*{Z-r#lPa-
zSsCqP8p|c+BLw%a>(4G>b3be44CH%puwyQ0t>pyUoe)?X93Ulcqfc(!`-Yp<5@}9#
zC`L6bsW@I~9Wq|$ab&?=Rp_5qFZ|JzyLGiB8V>mxjFWj{Z?QBpl(Ii{-we{a^o?9j
z+AA(v-9cM;YG~NB@X3Y?xBA0MW2J!8KiDbA&9N*&-6abzjC9_1Ntjy!Qcu8qcUY|-
zV&TZ&AF|d0YQaIkFqWxn@K&6!5Q@tOXPS+h0zftlrzjmGK!N7;B%(=oPXcWO`mck_
zy=t^IJNl$`W8D-QCC}w3Xcyex>uW|H^kifIE1h&UgP*lL8AuPnvYeT<E`nI(3R#Uy
zv=2^Chbw*_=q(>awUyZcze1evR#V4qiKTA!+D6k3BcH?v8#Q)Bx?V_;U6hV)<^1{`
z4e@$!*^)GLfpZ=tozN==ep;~6{=Yu5r~zGY@DyRr#@#UI0h~e7q;O=gNfYTPEnCm|
zfAifYj~~iLvI>u%*AY8aFl*tDYW-3M)js|8xmVV?KR#x#yJP}~tkAaFG^dr1%Nj`j
znaVT2l3OG9#C^n(YvNnGS3UVuaD$CBsSgaeA*~KB)jnO>?L$SUut%|L5SP6rZ1!)K
zeq_nXUlV*HabR9Y$A*u9+1hOuE*S%_U|z||p{dyuKF^g55^sd)ZlJ?HYg%jUn&ZJo
zyUm)j8wqIGY-TbD0yqv-Cwcms^gT0i@o|5upY8z#$Ex_PtP{ZXW!X?HAPPO{=pSSz
z{+Ui@LO{%+kJVkHxl&q{RmXmVE@IUd+Q-^kSyg@Mg)u<E-HU38=&;|oq>H&v<n6kF
zO{J*qSNM3^>CEk8^{c%(_dd?%GU&xT_nQxg?!2Vcg3Zw~!D*tFJst#VF#uN#a>d=g
zH3yRnsQPq@x!N6^DQ?=p@i)Tuc<hfZMb~bX>mn+kaVCXERrP&BGm{yq16DTBQ?oH2
zF_rSzwEP)s<Rt&pY9TTfWLkOX<N5hHj<5O#tf|i3F^FO?Gr)anjov>k>}m-7{D?9Q
zy3eb*uDMWJF5tv9DalsU5M$gDuG?VyS-c$(IUmY-&Wnf)qMB|4(^6*{e+&<@rfaq7
zn71J3(WU#v?pSD1`J;`qPHkWf3Mg!)?)0>85-4nPbi)(>UIz-I&>YTy(YrGL&Al-y
z@NUzv(QzjNMSt%sU%|J8B>4_qZXONrre%-Xys1%a>lG2N;Hh;%y+D-*U_h0?TGKDb
z2sSl^Uq{?+;#6L&S~HM`wjZjbr@EHK@;0_nBR&i}OvWpJIs&T(wYm*WVo&Bxo>|Vv
z4^vXe69ChBum&X<n){S+^sG4k2Cn&eK?{S6TE3ro+R@)o6A%CH25Oqt3cIm08G7XT
zmPPa(^4~t{dgpW!e@SHg|EhaXfKfAPe^<%)_*9=qpraHA?n2-9F?d~LEbXfjoe6Jn
zY_-y06*YN(Zj#nCUJW$_Mg@SM3dNj+=9FYn+3w}y>Ly%IGWKT`FLtHJ^}3FR&7u6B
zgFfwfV;wiyy<lQmAm?9mCar!=sbL<mohm5@%H@EU%z-DXEW4F6VVpE>`h_^<MuKoV
z#NcR}TNZ?n3$`6R)F4Zc$T!^)m~LLQS2PiuW<7I@iF@qz2(af13ZE+OCN5e>u^;Qr
zljrc!u#;KA6?4ZHd;fhuUEj_{r9}c`)x))$Yv^Rv$x%u#{WuBNpOs&23SO@(78&NQ
z${F)A!+xFoSUrgyTpg)nvfe`eJ(QoPp|EnGu@-rtriYk(Yz04C9@$IHUM|xq2W%Z2
z-?sy9l+R(Re9}9MZ2j|6TTi@p$e)U<=;RaosJgNJ$9&+@-1&3XMJ*8WlTCCsH%v<Z
z0c{{8Mq1*KreL!7!xE8}w>6ppU;l{9$+)AgnnO*6n2aku&G_ge12B+*aQ-syTQ^&2
zLQfOyaYQ9I@TE&PClpBpuWINGJVVVr;1s{<INJIxe~yM=gq)hzM6x8&gX;)=*uQoI
z8|cLO>)#-U=eKXTnjegbvU5V~HcbPb!q(wA<Mo@tIokMo;&hk;K1klJQun$N;9^`+
z7dnz}+kpPzI`Mexr~B_izwuR$-ca%zh@1;0BPf5$T3>;bmSQG;vc$baTcNIow@=ZX
zOS>o3;a<0RyGM*=v;fMkOgY4n?shA+w`x~^VoAbI?wcr;3Ya!m_S5WpmY9^tv;4R9
z$k*vtPbymT^(b>lwyj<L51^yQsj+3asv6}ycct3yH=5zZR)`#|-w?{Gi)F(pCkOBH
zJkHeO9}k{Zw48RwNbhjb`D+iFnCRkuEC8mz0d_UOOyS~|xv<iT8V;*yocwFdCT6ly
zygR2@lz6XlWrO&%rCdDCwY8@@uk9Yq|7iSR1o_k&cmL^%eHUx>XalAh|B<Lv8-&EX
z2wLi)<Le~n{JZ{j%;fZsy9q9lL7t{@{m!D3T0nC{`+G{={)FVqTttWoXT9~&^@5IQ
zX$K21E4Y|~j89tAe083l_YwlN1P)abI76^;_j{2-25|1ofOu%B+vvwODd14P^1Gau
zM>0pAmvsF{Rp9RN=p9}2!yN#}qGV`Ef%=;)!x6dz3)D@6-lN@dNA_?Q)jlG|I_G>F
zxx(JJ0$5B$h}vfP-M;BQu$Jkv<my^+K|Ug`KHuZ|Kj`!X`%L8(i+?ePVirov;N-BH
zF2C~4Ig=XBCqY~s5KNY%G8A$SDbm5`4fKz<NCU?s2fcfR+94Ac)4$w>!{;`d69HP6
zUyx%X>$weDN6}p<hY%O2ea8Zs>Qjr7EImBCdSy2@-ds#Yw5D7;qW>pE>ApwLCe~OT
zl>k40+-Udj{}D}uB-*fde(yxk(IZ{SX?@7*G)_^uzICIWqB5|#oYT6i1(QNWDs#eV
zjWfL_GBB~r^C%_iV9-qfK9N9&{uV9jrrS{?RJ_(i98Pm#zobH-NUr;Pn>5ni1r9`L
zIEAk91ZS+qf5%P^ql*jQ^K&cz{WgHU>N=isQ0`%^+XH(Mt5c#05>1Y++K|Y(9}5}N
z0TwLEm)LT1t={pnc34&5SZ%eCBjG+U9RO>L3U9U<hA-m{LZdSHEC{?NNt6q`Gv4s#
zKDP4Y*XT(}w*pNYpS(*A<j>aoSgpJ{cdrrwg{C*d29%$wX|19MFM1Sye}NIlPfG|A
zhSsFJKr_oFD#;yCQY-X6EJX56IOk>8=r<;uE(VbY{5GS^QO<*al!O+1lGO2d1|F}=
zNG$hS^)2JRoeQpmUFNB1fk0l`v=m~gUAz@8UNoD5DVlMQ<m`Qu&=>!EXZX}pg+Epe
zm2k3T1k2(9Zbh6TV~xH0UQ;At-u@-|yRtNr)6Q+e+0G2^jITIIlJRz^8H{V2(8<Ap
zSMdX&cL6(F+UR^szTf>Z9Hh*geGJ;r#N{?KhKjbt8B9fZ;uV}(oowdE3>uc%*$|~m
zjm)WwW|{7mPIlOF8{u=OgOI!u^t@vw(XaKh0FT-Tos<+XfSep0xRkErmc8vZ<X52k
zeEkz>xLO|W8VnnxI@lAW96|`Z2~ucx`&6=OY4n9fCAY8GrNx#Ue5Xd3NA{Qg1na#!
z!aBSxNh?eh+HD+L_;O>1O{$iD4%GIK!+rm!h7-d$)jpzKYzk+0X?XzFa3;MBklQp*
zYb(ppr8QG_shd_}@UmbeN^Ke`dLG1Pz(1ng^M25G%&=zwDOFS{IeKR9R$V%OA2K4B
zaU8OUOMzC5b#J_g<&^qmxd`uGoCmhvKm#Kguz4keQWs!@`)o%HONxQ!MJKq21B4)#
zHHFn;&{5{=y7EqlqPSBs9hl#XRO4yq@JYspw!x=N>#M2g2c}40B`p2B4P4~-MUsC+
z8*Ellj#ES0nDnLwV;S|UwZf>g@apx(OA1?(b!6jD-MqS1OA437|Gu7hA~e}k{M}+c
zwmZ$09~VQ}2NR34ZnZI;PT=wtg~fe(O>L|(7<c8)Th*;G=q88EW}cL~k4<mMvM1|$
z=WO1H_mN*QJj&qFF->F`pHg1`->~AN0^=+C>(4>J1=9AmL7sq5LBE~u<$C9@H2(8b
z@shV&yeF9%=cG|Zq7q<3H(rXfumUSzdsqCE=Rw_f$vsRteN`@yGZ%5Sobv<oP(7X*
z22I>Jk5Nmm?Xvt+8M1iEVL$xRoAPpSbg8%KZSAGaRkD-&^Oe1^mkD>pCtRW0LaeE`
zN}qnkvCDw3WN2;zL5dE}aWW4*OA?;_QKXhNIMF%UefF;~vw0lDrolp^l$uqE<m>2^
zsA|7nSM&eLn=eMFm-ky{Wih;qgE-&O;=j{=;<USVXj=WFd6A9e+W*K_9X)JDzZFVR
z{W?6O(l<6Z>g|r@rD6kC&56XpO`C?s22TX+dx485)i2Os`S4P$SUvJZgFhfp|LttF
zez!ugxr=Btx_uL8^*K>8ho<jGZT$jU!%^t-+4Zq`Bz48U4qGS@vl{bw-eYzIN(p&%
z7nS$qQJGq%W{k!?*Ri|&kD2|RZ^T%}yBx^5PW?y9+(G`@f+;MQg*~oKq_?rSxN6^c
zBcK`Ue{AP*I&>^KT!DcU9Rv1tU~|PJZ|E;*2&tj?$^<gmvwtP-G}VUj9k>*Q+||>S
zGa7DwIjk(e^4eps;7=#G8yJsueKutpl6Wv52NUnXAGThacoZsc&de>YeAKGw;N1JI
zmUTqX_j6&kd}wytS4n2Bv<5Zhq*xegdI&O`eCiv2^y>bfDzliCv!ErdFG9CJkt!|I
z?3dkoy7EQPJT`<>siT4gs-6@yh&uZqR>vcdq0H+n#M_glJ9a996#U#;z=e04Ur9DJ
z`=P+?r;18F)3Ji?|A|BLdS46PJ~;mKU5Q$hdt*AR*Ne^o#vN~;=2V5Ks16yqfwFIG
zt94ahl1O{Jy);>L8=-NnM&mhxFS>p^{MTXUa3wM=TGbi<8qghdL5h*Y2)-^%b>;5_
z0cT?|;;9!Y-<dHDF6kD;?QwyX946m|Zr@agXDjklG?cFFk$r<U-Olj&*7ze)FwH@`
z;oTa&nn38FHQO(>j{Voz5zQ8i{d7$Iw}IfCP`$Co&XKU-L2Lc@lU{?_$GfG0{V(BH
zhXIi%l5z?1_jmU3LTWnY+60+sBz~Ggk6m;*CgTL%HpeVod!<7nb1?zG{Yn}eOQ=`*
zxXgfq6BXtm|0JHcd$g#%MRj1gigZOHG2@`rS6QYGCqa&8Di%@5lFr_GU?Zx}3GU^&
zzdBm6ri|^zZ?-Z_`jSkAuWxAJ9xvBF=L&dZ#?Q@eVtEl)hd1?Jbxg$)$t{)LG<UFR
z8ATl!)`bR&k%$^niy9WMCic3&@l@zs=5Z{F`{<_tZ`-qH^YPWOi@eja9IEg8>|@4k
z&K=YumeS|##?T0c+L`(xWm?+554@3I8s}gqi{@8FBd?@Q(uuMXf3N*>wGTs@rl5i;
zHtW9@N<+C{bdWV9VBmZDWW1xdr1{UjOHjq~6|4R(&r_r*^50VK_fh|{?973?x@_8J
za;Pnx@s1nn@T<D;bj|g7d;(#bBECm=(-iR3;Wvm4#-$yN=6BH4Oz-U8P^2jkM;AHG
z`<{(`P8jLfGnLajj`nO8e<0WjHTdE&K}GlTlQkLZ+O?;<VjrGo@LdzPU65rlq)(Rd
zwFo|jC!XawChnC>Wil0SXp3VyH1;YAy1)38lO<<Aw0m>U-}uTWRn+f+?<}H9#~!xt
z!+#bHBwb6(4zj~eB6$k0^UK+QlYKmGAgE5}bvW~wL|-YKRLN>nG&ws{7WGAmTKq9x
zgG(p3xW3D4CpY~WWdrBfJ{~<wrS(yKUE_)f_dg4^h77rpf#tPa7w3e4A<b^B&ECa-
zoGlo9V@*`EFqbW*;EL%3=Pp>N0lv|$wr<b6o{ThW*3E%nF)3n{31g?_rp*Iqn_+6`
z)x@g9F64DM36Frf(uA{DS@f!uDg90Lo`<e{x8<4{0^Z>Vml{B5p1%{geP#G5V@B7?
z0}`(c{ku{@BJnKF$KSL1SAd`6z&%kze(?ZxhCRT2<ore{wX<7JDZJoUo3R1EcKM!$
ziMiP8d*NZcM5wtXvFx0O$>+5;n!|F=)Fh&jP(Lr%x0MNjv~cj1;6Swz3H7A5BM=|`
z#=HKMpoUZo9F(p`t&Q8_M&j9q?V+@MSFH37UnDm7ULWu~Qfxo9jX~4@&V)S8)sW4Q
zCP~Izr4!nos@GpF545EuQfI<jj#g$3w3Vh4@~)gf#05rGaJP7`kRX|FUOw$YzF|!Y
z8Zo$MKix#KGwSGs`x$s`jFe=AT9)c;j>w#je^j`SVS^v}Mm6On$X`B`Zhc=O9M+XR
zP!um{P9m2Dhe{vBqq<MG$aVk9*H^rPJVe{8rJM59`!YE3ti|*x?rwaYWD-AbB~_*_
z_aqy5XtOeiYGFiZsK^ds9v(eTmmICuqwWsKaeRGK9o6ak5dF0)AF55gF<6=AUgMV~
z^@X-@!kp}}EQ`%Wl<aHrIC@M1egp8016*yC)!DoW(HpC7N}qnrbay^F*I=#dr7sR=
zbo+ZHd4QN-X+00>Xavv83D3qOIXpcx)wN)XskMFgYvl&`=Pq*U?Hos5S!ro4U6O6%
zRlLyGTJ3i!V0X5KF<;{p-WA?>e|`8i4}auLSQ3=}%j1o1vj0$j$@Kl5I|Z+qQ(rBQ
zYCpTG<b*XA3=Vu6%kYxc`*BHq<Dfb!&GFWWXNj`8|0-3TjH)Mt)5p8-*H{c3X8f!|
z?KElzW^F%cAo_+}ensn)%>-1UTnfhwI7>2Qrh8oP$hLUU-b+hEr4twmD@x{fjEYBH
z9fmP_%bs8urP<51pAMb=?W!ZXQaqnDvo5w3TZ*9OK7dSAD9`KdkH`!6_LMl<4@!3K
z`mE&j%gpV9FXm6zA*Yi;MMo{>!2cH`d{l5{W_4$(s(yC^LhMyD^>>S6++HG6y|2Ea
zNMZP7QFh$c{UbP557E}$D@bMWwdt~=*bv+ShiMK}zaPCT5zu8U{le;&6G<uE#uLBF
z|E}KA54}F{5S{HWGd`fcEaj-H%ovBuP2W}=NMomXHhyt!9KNdTFeI>jLzwEsp>Bc~
zI*6EKqE)}!b+w-7RYv#OVNzoeaau2lk^i@GvOSOI>B1z1QI>2q_>?UPgKZUds~E!r
z_xFUWT0t|r>@&OhrIS~MJh0r}yAt(UWNFej(iA=q`A?7ft(G087c6KUeqQ4mWtA?9
zOE-Qx1f`_gfHC+vbV(mJaIUXkEBDkG$c*7^SZ-seGL~8|hpMaFPK_A&y2*@N-ROgo
z-WY}kWF=1`_TW~Jyp?uu+0s@&3r}6Zb1b|5e1=-;#_-B$nK$=CTi<^bW2pR@I-=WY
z+;k*0-6krZ6mFH;6V2G$%m^xb|8nlh?JUXA{A^FO5!M&B)g858sdM1FsZeJ~U=+zx
zbF`wV)qU^g4PHJ2*W=wB+sc3Op9=)n?&6YPTGl9JBNH>rLYq-A@NUrhyG^D0bbzM>
z(>Qb0kLxqy3m^p_YS=9{zt*nmMd!(q7=AQg!|?D|%H=|yhGJrP^DRFUcFE+@e;uH~
z<;XFC5{~Bdm}*||q2I<%R^4xca8U)ZvOg-kV2<TxS5^!MWs9P}fq7IM8c#iR{;~O<
z4R}RQQYqtvoa>@2Afn$#1>RLK&%JN1u1El)uZo_IE`3F?z!0)+ihj(m#F{0G+WO@0
zfF_SDcKl3u5!KUSXjti>?5j<m#)Ar1l@8<R(z{_c85k>QY*e&ZRstzzwlD-~h<_1~
z-kus1{XrdOAw4~>;?8$A*f^TK<%gH3?%fmw#V-yr9cR67Bw(K$;~m6UfBx?gyXUOn
zI5OG*S(!cJ*Uf}<cn#Rc`f<ayXwe5#n$sMKS3&R=6<d5C7A&=u5gsh{-2)g|;yr`D
zwym<tvT+(0B{Tx8alvj+A3p7_7h%4BBd-j_^ITN5(tkeF$+%00bpL(6m^+4=<(&)%
zp1h-|-)~h2c!fA7O@E~%IPp2^PJTBqkbV(3%PiudjT)8ERZynpS0VsUMAr*eJ4Wwe
zv>oH^i{EV)Jxt*vFlE6oBQAHdc+zdWN5~?(f{J=nWoh6X<M2Qk_jD=yj;6`RyZ?Us
zYHKLGQ`rEVA8OCK4fs)h0<N@EVl`>B$mWN}kdhs=<Z6DYbs)v1U&h=12B7XE(Z>r~
zVMB)vCA>h55Bak(pLSQt6LnU1jQeuj1^G70;7E*h&DXYQa9}UK)7EA{6Q$+FTNmo(
zPC-~aDGL%VL%xloJo;B3EBV9WaFdpQ%Y|}Jw?}KT<lfDQ_q<+mX5RYcEg`cb!phX5
z)T)7w-fXP*zG~L)5Q?TJa#kqOb9Pm3cEMxBzSve*93{U0{Xye9T)N~uHya)yb)J}Y
zY90g?r2_AaZG2lXGJM`=Bb*~9fD8W(<9`N@90o`2!qBHwpK<lKXaDPAJ+K**GP&|g
z6(o!_l2)D82H2NM7WKIhiALT^li~2+lNi4`HJh(qYWjvI(qzEHtw`_jMQtE!iTyxY
z&w6nmVWu!?A{=?OIJ*&^x4R`0>E56{>(P%ooL<t~C1N=Iokex=I7Kos|JXiyx68~T
zi_+|`$k0XwD9bp<?hC}DbdU6^>MG|K5zwPo`(yYLaisX_r2^>nUJuW3?sdOv<Ie8Y
zcn0~atc2%m90mJd)4x5gH!_yYW)bx#67}ae&KC{qp3X2=)cpPzaUG#y(NCqQc_<&1
zn!8J^o^h=YLsfF$s$#`@`K>k=)&t!k%ybiR-e-CJ;&(R`Cxul%cqVD{wf81tMNVcL
zl$0ZRPq!?wQp2a;6$*FpGB~vF9Fvk)#A_+Q*pPVk&z$;rvRu3!fL!7T7^yCTlZ)hd
zCB5@lV!E}0^6sOuk4qm<{uL%5&1n0i#r`DNP4&#yxl$M@2~z8<GJ(w`(avV9wS|a;
zF*V0;W=_FZhrziKV@5=l_M#dv(O&3oiW*OimQks};`(t*8_~^K)=J1VE9~_?OzmHX
z{R}D!PF;bhdo_0S|FBM0l?|J!JLm;g?9E5#jKx^p9rSuu(9)pI4!k>&8q_#?w!f_p
zrL0a0drm9+!XoP?2rf!m|0>`2QE{`wc2sm74*QoS6J<cHd7F!*Nz}fhm3->EHLlmr
z)J3a_TsgxlA8IWHI7?%Nn|>1&KGn&fq^nchHf_xM-o0eF;qt@CypF(qL?EtbGWEI9
zhq+8kDWZEuktdmu_x}a{IoR5_b~~H+Fc`kF5feqgjjUxg?-jU%t6v%Krb&~e$&y}F
zI+#CZtZNV0a&q3+gE}cKyBd<-TUWF^cxa;gq3&a%r(dhET~LJv9_!~B4L1iK^f2A^
zVrZ&+#$O^r;l7EyNrBHl9Z?uUBYy*D*RbY*Y1@wr>F0rAM}p3KHZ4_<v-uBMm>C8q
zKRthO^0Y|-3L`_5gpjhQonDm|d}V;MC|KUNkTbtvIaG_MjEHhpnn0)akE8dR=J8s$
z)PYNFI>R-@z`FvC4CBHH&6m31yHNM?R;|6%uwRTazM=Nu&P8KjL3}T@;YZ_U{+!2<
z!rnT)LLCy8Zt*l1Vx9$;o(xEw{sze|NTINL@Tfo|oD^bdAp$Lr220uaW*6kA@?)0i
zM>R2M4*J-iYLryy;ovn6Md#S3EduzI%{47iM49c?7=2?yaq+-x?JWF0D89P-tl8jt
ztcB#p{@E)1_fgb$H2uIHQ_;G@pZUZ);EIqhG03<f`@Bn6WzLXyeRyC4!LZ(q_D?hM
zi#k=pn|CRB6}V2juNflWBdmiHdor@RWCDMpO5pM$RPFb#>%s}u)elKwSzVYs3t1CJ
zje+0R&)l%?B%uup^5CyYCYitJLF>`hIdsZKAA<*Z#k+dAPw+0aF*Q=6xT3f`7Jgom
zj=A$J7xFku7TX!+%CAVa;=KD#75fhS_6O}rbL;KXOn1|QXr!Q055ILrJUKUiYUmbC
zUo~#ac*}6lu>ccuUQo87*FhomcyWQnj?Pf6>??L)zmmt9<U?>p&OANF_m0TbIqYyl
zb{x&jDt&MP#GSX8{o`v<BjDJ8D;2suLy;7YHvLlN16pAdwO(s_eb2Ukr*r?Y9u*-N
zQP4kGG;D`1<P_VjnlD0Kv7dA+D?hZYFR4SFZ-UpfSRt-Id!QUR!zhxS=j8gmnx!vW
z0bOv30*zLUqPPDn{b7noxarOFlowUivO5ECd#Q}ZrKz*I1)|IDInPtVuwOCyRux@9
zr#sooZ>hP+(6NZ$MdKS>%&V^gsyU@hi!I#SJyN`xv62~4N0M&_^*V7i_(M*c0fYwL
zaQeNLgv!p<L6IV)VW5xIu!V;Lo2WmvXuZ=J0!lf#Q}wqlLEWrvwSaWsDfum!<|}7h
zR)l8{msI*suec)M+44FlkTpk%CpRKD*JtK(tS=7Odp^1_wP3qwwg(8i!uyb=caesU
zCw_;kLdKix<-nz@{h0f(rn$``&GEO*tk6ALp2t}pZFZ5O0n|vRv$KObIO~)yi3gUP
z>TZ|{qj=ePi4;rU`HjJmlQk2X)f^d9YKgR(gFn~i=Cqvpi}X*!G^$n2?4s7sUm)sP
z-lhe-ueqMJ2uIG`{j_g1eURJcIm#a?wOiq$GR~uP=hHqTPtXc1$IzLt+a!8=`nZ<G
zkcBs~;rItbNV@T7o5EqK_c=87_dv|6un*|8w^^}P30&PBl>!kwq>!6i+#YS+*#hlt
z{tGPuZvq(xq3MzWiyhXCbwgM$gWAf=iMf0F{>tWi>@m3KR_l=+mK>yURaAm8wSr<X
za<X&1@whSW4etU%Aa*VVb;>#t!FK=22nY8K-ZV3TtZpbGY*?st`)^B}?w`_L*A|a7
z^0c&VtFY}?htK4FIA&;BgH*8Y=iB4p%Cqn+NebtvxbNtS@$@il@3h@IEBDb3s3r90
zhC>ByIkIx4G$#&1rI(`LP#8YRf<wswaCYC%qD*n<ENG8f>LOIia9A5<tFTtV*qnf0
zi<eG^%<0BWl?a03HJg?MIp%PhrF(tlvXkrWJGOQzc*8Bm&PaFPdAa(M2fJy)FQ{(e
z!ow)4r6O^}zjqf#;Q05!9cQGVvrso76PNh1*W`=O+(!LUvHQiY{JQ$+e|t;xTT2AJ
zQnB>`!r0DYI;W4FNZ+Nbw!&>I9%->o<<aalU7;87c93JfcM)df3p_gHG1llAd?0A>
zXj&e=xEug%E}Vb+aXwF57w?1Bev0zxh1HI%E;;2yEY)`$x^ZbT8P-myi!v5KK9No`
zZETw3KVNxr`#G+}mJ%pI)(QTl5S_$RGFm5eIqoUCFFUg3wAg-syUQcO-Fxgg(?y$_
z-67%6JlT)tv;i;V$`|)Po&+8I!Eu#=T=(ezbPMmJ8g^{jBRbb$=rjg#TkJ31K5;Y$
zYto|gkvspy?BkeX(X|p^;RUB_S9E)Pszl<P6zCjMufz@MZK%zx!(wK-^K~>y=rX!6
z4{r8h2tnQuKYclV{iZ?GdX6-S5xjcF9%xhDxVL1IJYAWm;M&3b<`MK0N|DeAy0<E_
zGj(pRscklu-IQEvUcNtw!k3+3_WcUDOcDo_@QERlW3&Z9w*fv!T&z&PU@2fQQ6O99
z_UICzLxl)e_w_;QZOPtSxye-Txo<CMZ&V_#A_lVbNp%^D%v(?mSQSschX<R&$99a@
zL1IS?pgO{Xb7mPF?MrY#6}V7-1n;$;9rI1J)wsOST7^g&X!YUMZnZYOqRvq+9X%Gz
zOdlA`t;Z;k`xH1fi`q4C>%~goj|bo2HzRVlUT{9MHl#iZz40C0_x%tai*C<68d@F%
z>#AO3UN#WdoJp#rUo>{CpSz(ks>ebG@MBC1mA}qflY}z>`MTIQx1!Q>nD0#mnix{o
zkow@_w#Jg-s(ru)9rWZz8aGLr!ssfO8i$y+g+kKg=nu#3kW*NN>h;bsR8|`_D)CQM
zYJ*a&{-i(dq~}TW(*6sfC;liKmfBD|LtS%YIeLr-uC~-Y4og{L=&gA0*7-L!^qYnv
zF<l>2-B2{`acl`xZFqo5uW?kF{0b}KjIXUP#>c~B_dohoBMpD?JGh^KJH4pz?PvZ0
zsdJAea4c{)_x<*3D)FDcz4l2xth~r2VKQo@3exwri*j@$cu4mcJjZ_;Eq;7trxFeh
zEVWxC-Kv#D?S@r4>nGe9jx?I(wsJs+8S#C#LvjrbhHmH-BII#Ui@UVBm!SqLT`E=e
z{KbXJz=4TP*qOZB%;U!SdS??xqBU$4)+MWbdHl=0zaBWes$g*+6PW0s!HX8XXH<^>
zZ%;IP>N@_bk=X>xF{z*m$hBe2p=nG9#jC*5S`S<S39c=91eRlxBTL+1o*LkPe7A`|
zSyE#~J4EI!MZ|skBh?mAwQi!`uq3wz`*P8Ng?bH_Ld0CW65*{F!enj|I_lBp#CyGl
zwuVh-oSdUOR`$Q2E;Oem^3c94S6sTLRrf}ZGd-rgjYnD!PxD`H8;CHAq^zG0e&i$l
z=U=y7162yBKvsFCHSjb=%|@rjP~WS7D=yeY8CG|^^`KcyABX&cn>fY|&aJkC?k7Qk
zc2qWMW(?I|SMa8RQExHFrqbs#w^|!TbM^4pc3`uu%AkF};d&KvCgYeYb<gB;@X4IR
zcBoC|L3-*&p2$YkK$LILMOUvU9XF`wm*_a8GKxn{0>V;GmBa{2a9VH#h$DhD%LI+R
z-HR1e3jC^G`H~RqdCyy`xZM)JRsBJyllrE-VuwvkZMRCjsDB-SIUPbCge3=;V(qc*
z%{y0zUB*<bNtU@WnNkl^jOKrsCM?JFNi4=-Agsp1UVk4FT#ORpbktvqP#%l>$41G4
z*PRWG&maR266gwdk)i+<aK*7@cqj~>-Ij3-(^3|vJ8!s=)iAq8`RM}R0o^Wc8gT*j
z<TY-SGH-NTnF+YXh~5xBQ?`;*5gyxHYlw{7TPmBmCHGw^t6ZqJ6+t<Y>AUYzZ!CEG
zDnbL?J{DlgrOMf;$F=b8IH%tsRL|&Mq6}h_-bFv8{sbV{s|d}Ll?bbc1%g=rH(<p>
zoL7OP$9>QX2@HS$2EZME2OC^(K7gt9Ycj6H-h;dZsQvdn#q?cYms4NM-tAb(K)ix5
z6A}J|rH|1CFoBEA|3jYB{him&t+Bfr_d)IK;NFxwIan`Sx`$Gg{?Z%Uu%V8pmy5^0
z3<LkI9RJz?s|^mm2CeoNcYX}VxL1?`)o~x_&i`Kkd;x?0xLW$M<;4j@8^839EHyT}
zfAWRerv&>C00030|9AmplFdp3F%*T<A8oZisGuS!bm>lTV<|;UXD%AaWXdE{S~n4?
zlx_xU$CazTiy*l75p?fUxD;IILJ)7ljI~VQav<}adu}dMCpl6kggiV>+9)KCRmGA_
zXpahd3PGM+;zhr5|B*vAwT)!}#T5O+bjNoG1<THk@0y5Mc0FN}W|k_F2Ht+tpCc3-
zxq)grb_3r5DYfUjz?Uqx^E{lT!Fvhj3>@wYU_DrLEL(?ZYL0Q4w@l+<t2K>p#?h!m
z%wUD)`yq-=-b6LYl6fJgiupo2)H#DP>EoA|tNqtBd^aJ_WtTaSF|3d^1agzOh+?xF
zsDjI`qa_54a&`f(PTT?%XHu;$EdQ%C-|fG70+1nBvO-R<VejDS?GrgORXS0YwQH^t
zI|_p47()%~Hkyt{(e<z~j^bK|NY~-|VTXfusiGQ0=+!gi7WOiWV?qJ`C3Ex_00960
DNRwbp

diff --git a/SPI/db/SPI_test.db_info b/SPI/db/SPI_test.db_info
deleted file mode 100644
index 17c5075..0000000
--- a/SPI/db/SPI_test.db_info
+++ /dev/null
@@ -1,3 +0,0 @@
-Quartus_Version = Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
-Version_Index = 486699264
-Creation_Time = Wed Nov 16 15:08:01 2022
diff --git a/SPI/db/SPI_test.eda.qmsg b/SPI/db/SPI_test.eda.qmsg
deleted file mode 100644
index 27e5f71..0000000
--- a/SPI/db/SPI_test.eda.qmsg
+++ /dev/null
@@ -1,13 +0,0 @@
-{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1668613907481 ""}
-{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition" {  } {  } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668613907487 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Nov 16 16:51:47 2022 " "Processing started: Wed Nov 16 16:51:47 2022" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668613907487 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1668613907487 ""}
-{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off SPI_test -c SPI_test " "Command: quartus_eda --read_settings_files=off --write_settings_files=off SPI_test -c SPI_test" {  } {  } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1668613907487 ""}
-{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." {  } {  } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1668613908108 ""}
-{ "Info" "IWSC_DONE_HDL_GENERATION" "SPI_test_6_1200mv_85c_slow.svo C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/ simulation " "Generated file SPI_test_6_1200mv_85c_slow.svo in folder \"C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/\" for EDA simulation tool" {  } {  } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1668613908223 ""}
-{ "Info" "IWSC_DONE_HDL_GENERATION" "SPI_test_6_1200mv_0c_slow.svo C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/ simulation " "Generated file SPI_test_6_1200mv_0c_slow.svo in folder \"C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/\" for EDA simulation tool" {  } {  } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1668613908245 ""}
-{ "Info" "IWSC_DONE_HDL_GENERATION" "SPI_test_min_1200mv_0c_fast.svo C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/ simulation " "Generated file SPI_test_min_1200mv_0c_fast.svo in folder \"C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/\" for EDA simulation tool" {  } {  } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1668613908266 ""}
-{ "Info" "IWSC_DONE_HDL_GENERATION" "SPI_test.svo C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/ simulation " "Generated file SPI_test.svo in folder \"C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/\" for EDA simulation tool" {  } {  } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1668613908286 ""}
-{ "Info" "IWSC_DONE_HDL_GENERATION" "SPI_test_6_1200mv_85c_v_slow.sdo C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/ simulation " "Generated file SPI_test_6_1200mv_85c_v_slow.sdo in folder \"C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/\" for EDA simulation tool" {  } {  } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1668613908307 ""}
-{ "Info" "IWSC_DONE_HDL_GENERATION" "SPI_test_6_1200mv_0c_v_slow.sdo C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/ simulation " "Generated file SPI_test_6_1200mv_0c_v_slow.sdo in folder \"C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/\" for EDA simulation tool" {  } {  } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1668613908327 ""}
-{ "Info" "IWSC_DONE_HDL_GENERATION" "SPI_test_min_1200mv_0c_v_fast.sdo C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/ simulation " "Generated file SPI_test_min_1200mv_0c_v_fast.sdo in folder \"C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/\" for EDA simulation tool" {  } {  } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1668613908344 ""}
-{ "Info" "IWSC_DONE_HDL_GENERATION" "SPI_test_v.sdo C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/ simulation " "Generated file SPI_test_v.sdo in folder \"C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/\" for EDA simulation tool" {  } {  } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1668613908361 ""}
-{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1  Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4635 " "Peak virtual memory: 4635 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668613908422 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 16 16:51:48 2022 " "Processing ended: Wed Nov 16 16:51:48 2022" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668613908422 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668613908422 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668613908422 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1668613908422 ""}
diff --git a/SPI/db/SPI_test.fit.qmsg b/SPI/db/SPI_test.fit.qmsg
deleted file mode 100644
index 3f777e7..0000000
--- a/SPI/db/SPI_test.fit.qmsg
+++ /dev/null
@@ -1,49 +0,0 @@
-{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." {  } {  } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1668613894215 ""}
-{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" {  } {  } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1668613894215 ""}
-{ "Info" "IMPP_MPP_USER_DEVICE" "SPI_test EP4CE22F17C6 " "Selected device EP4CE22F17C6 for design \"SPI_test\"" {  } {  } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1668613894224 ""}
-{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" {  } {  } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1668613894273 ""}
-{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" {  } {  } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1668613894273 ""}
-{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" {  } {  } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1668613894410 ""}
-{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." {  } {  } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1668613894418 ""}
-{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE10F17C6 " "Device EP4CE10F17C6 is compatible" {  } {  } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1668613894739 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE6F17C6 " "Device EP4CE6F17C6 is compatible" {  } {  } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1668613894739 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE15F17C6 " "Device EP4CE15F17C6 is compatible" {  } {  } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1668613894739 ""}  } {  } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1668613894739 ""}
-{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ C1 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location C1" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 381 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668613894742 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ D2 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location D2" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 383 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668613894742 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ H1 " "Pin ~ALTERA_DCLK~ is reserved at location H1" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 385 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668613894742 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ H2 " "Pin ~ALTERA_DATA0~ is reserved at location H2" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 387 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668613894742 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ F16 " "Pin ~ALTERA_nCEO~ is reserved at location F16" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 389 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668613894742 ""}  } {  } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1668613894742 ""}
-{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" {  } {  } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1668613894744 ""}
-{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "80 99 " "No exact pin location assignment(s) for 80 pins of 99 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." {  } {  } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1668613895033 ""}
-{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "SPI_test.sdc " "Synopsys Design Constraints File file not found: 'SPI_test.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." {  } {  } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1668613895228 ""}
-{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" {  } {  } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1668613895228 ""}
-{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" {  } {  } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1668613895230 ""}
-{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." {  } {  } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1668613895230 ""}
-{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." {  } {  } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1668613895230 ""}
-{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "GPIO_0_PI\[8\]~input (placed in PIN G2 (DIFFIO_L6p, DQS0L/CQ1L,DPCLK0)) " "Automatically promoted node GPIO_0_PI\[8\]~input (placed in PIN G2 (DIFFIO_L6p, DQS0L/CQ1L,DPCLK0))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G0 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G0" {  } {  } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1668613895248 ""}  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 372 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1668613895248 ""}
-{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" {  } {  } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1668613895450 ""}
-{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" {  } {  } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1668613895450 ""}
-{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" {  } {  } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1668613895450 ""}
-{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" {  } {  } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1668613895451 ""}
-{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" {  } {  } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1668613895452 ""}
-{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" {  } {  } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1668613895452 ""}
-{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" {  } {  } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1668613895452 ""}
-{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" {  } {  } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1668613895452 ""}
-{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" {  } {  } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1668613895463 ""}
-{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" {  } {  } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1668613895464 ""}  } {  } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1668613895464 ""}
-{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "79 unused 2.5V 7 0 72 " "Number of I/O pins in group: 79 (unused VREF, 2.5V VCCIO, 7 input, 0 output, 72 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." {  } {  } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1668613895467 ""}  } {  } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1668613895467 ""}  } {  } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1668613895467 ""}
-{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use 2.5V 9 5 " "I/O bank number 1 does not use VREF pins and has 2.5V VCCIO pins. 9 total pin(s) used --  5 pins available" {  } {  } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "Design Software" 0 -1 1668613895468 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use 2.5V 1 15 " "I/O bank number 2 does not use VREF pins and has 2.5V VCCIO pins. 1 total pin(s) used --  15 pins available" {  } {  } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "Design Software" 0 -1 1668613895468 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 1 24 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used --  24 pins available" {  } {  } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "Design Software" 0 -1 1668613895468 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 20 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  20 pins available" {  } {  } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "Design Software" 0 -1 1668613895468 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 1 17 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used --  17 pins available" {  } {  } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "Design Software" 0 -1 1668613895468 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 1 12 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used --  12 pins available" {  } {  } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "Design Software" 0 -1 1668613895468 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use 2.5V 8 16 " "I/O bank number 7 does not use VREF pins and has 2.5V VCCIO pins. 8 total pin(s) used --  16 pins available" {  } {  } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "Design Software" 0 -1 1668613895468 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use 2.5V 4 20 " "I/O bank number 8 does not use VREF pins and has 2.5V VCCIO pins. 4 total pin(s) used --  20 pins available" {  } {  } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "Design Software" 0 -1 1668613895468 ""}  } {  } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1668613895468 ""}  } {  } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1668613895468 ""}
-{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" {  } {  } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668613895974 ""}
-{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." {  } {  } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1668613895979 ""}
-{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" {  } {  } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1668613896613 ""}
-{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" {  } {  } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668613896690 ""}
-{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" {  } {  } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1668613896715 ""}
-{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" {  } {  } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1668613898460 ""}
-{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:02 " "Fitter placement operations ending: elapsed time is 00:00:02" {  } {  } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668613898460 ""}
-{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" {  } {  } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1668613898688 ""}
-{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X10_Y23 X20_Y34 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X10_Y23 to location X20_Y34" {  } { { "loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X10_Y23 to location X20_Y34"} { { 12 { 0 ""} 10 23 11 12 }  }  }  }  } }  } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1668613899331 ""}  } {  } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1668613899331 ""}
-{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" {  } {  } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1668613899715 ""}  } {  } 0 170199 "The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1668613899715 ""}
-{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" {  } {  } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668613899718 ""}
-{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.11 " "Total time spent on timing analysis during the Fitter is 0.11 seconds." {  } {  } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1668613899824 ""}
-{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" {  } {  } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1668613899831 ""}
-{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" {  } {  } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1668613900003 ""}
-{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" {  } {  } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1668613900003 ""}
-{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" {  } {  } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1668613900129 ""}
-{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" {  } {  } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668613900481 ""}
-{ "Warning" "WFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE" "80 " "Following 80 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results" { { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[0\] a permanently disabled " "Pin GPIO_2\[0\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[0] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 23 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[1\] a permanently disabled " "Pin GPIO_2\[1\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[1] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 24 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[2\] a permanently disabled " "Pin GPIO_2\[2\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[2] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 25 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[3\] a permanently disabled " "Pin GPIO_2\[3\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[3] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 26 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[4\] a permanently disabled " "Pin GPIO_2\[4\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[4] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 27 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[5\] a permanently disabled " "Pin GPIO_2\[5\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[5] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 28 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[6\] a permanently disabled " "Pin GPIO_2\[6\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[6] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 29 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[7\] a permanently disabled " "Pin GPIO_2\[7\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[7] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 30 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[8\] a permanently disabled " "Pin GPIO_2\[8\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[8] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 31 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[9\] a permanently disabled " "Pin GPIO_2\[9\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[9] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 32 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[10\] a permanently disabled " "Pin GPIO_2\[10\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[10] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 33 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[11\] a permanently disabled " "Pin GPIO_2\[11\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[11] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 34 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[12\] a permanently disabled " "Pin GPIO_2\[12\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[12] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 35 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[0\] a permanently disabled " "Pin GPIO_0_PI\[0\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[0] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 39 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[1\] a permanently disabled " "Pin GPIO_0_PI\[1\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[1] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 40 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[2\] a permanently disabled " "Pin GPIO_0_PI\[2\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[2] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 41 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[3\] a permanently disabled " "Pin GPIO_0_PI\[3\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[3] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 42 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[4\] a permanently disabled " "Pin GPIO_0_PI\[4\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[4] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 43 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[5\] a permanently disabled " "Pin GPIO_0_PI\[5\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[5] } } } { "c:/intelfpga_lite/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "GPIO_0_PI\[5\]" } } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 44 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[6\] a permanently disabled " "Pin GPIO_0_PI\[6\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[6] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 45 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[7\] a permanently disabled " "Pin GPIO_0_PI\[7\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[7] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 46 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[12\] a permanently disabled " "Pin GPIO_0_PI\[12\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[12] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 50 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[13\] a permanently disabled " "Pin GPIO_0_PI\[13\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[13] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 51 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[14\] a permanently disabled " "Pin GPIO_0_PI\[14\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[14] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 52 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[15\] a permanently disabled " "Pin GPIO_0_PI\[15\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[15] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 53 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[16\] a permanently disabled " "Pin GPIO_0_PI\[16\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[16] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 54 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[17\] a permanently disabled " "Pin GPIO_0_PI\[17\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[17] } } } { "c:/intelfpga_lite/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "GPIO_0_PI\[17\]" } } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 55 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[18\] a permanently disabled " "Pin GPIO_0_PI\[18\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[18] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 56 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[19\] a permanently disabled " "Pin GPIO_0_PI\[19\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[19] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 57 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[20\] a permanently disabled " "Pin GPIO_0_PI\[20\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[20] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 58 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[21\] a permanently disabled " "Pin GPIO_0_PI\[21\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[21] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 59 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[22\] a permanently disabled " "Pin GPIO_0_PI\[22\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[22] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 60 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[23\] a permanently disabled " "Pin GPIO_0_PI\[23\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[23] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 61 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[24\] a permanently disabled " "Pin GPIO_0_PI\[24\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[24] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 62 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[25\] a permanently disabled " "Pin GPIO_0_PI\[25\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[25] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 63 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[26\] a permanently disabled " "Pin GPIO_0_PI\[26\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[26] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 64 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[27\] a permanently disabled " "Pin GPIO_0_PI\[27\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[27] } } } { "c:/intelfpga_lite/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "GPIO_0_PI\[27\]" } } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 65 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[28\] a permanently disabled " "Pin GPIO_0_PI\[28\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[28] } } } { "c:/intelfpga_lite/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "GPIO_0_PI\[28\]" } } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 66 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[29\] a permanently disabled " "Pin GPIO_0_PI\[29\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[29] } } } { "c:/intelfpga_lite/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "GPIO_0_PI\[29\]" } } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 67 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[30\] a permanently disabled " "Pin GPIO_0_PI\[30\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[30] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 68 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[32\] a permanently disabled " "Pin GPIO_0_PI\[32\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[32] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 70 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[33\] a permanently disabled " "Pin GPIO_0_PI\[33\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[33] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 71 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[0\] a permanently disabled " "Pin GPIO_1\[0\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[0] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 74 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[1\] a permanently disabled " "Pin GPIO_1\[1\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[1] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 75 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[2\] a permanently disabled " "Pin GPIO_1\[2\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[2] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 76 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[3\] a permanently disabled " "Pin GPIO_1\[3\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[3] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 77 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[4\] a permanently disabled " "Pin GPIO_1\[4\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[4] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 78 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[5\] a permanently disabled " "Pin GPIO_1\[5\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[5] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 79 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[6\] a permanently disabled " "Pin GPIO_1\[6\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[6] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 80 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[7\] a permanently disabled " "Pin GPIO_1\[7\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[7] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 81 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[8\] a permanently disabled " "Pin GPIO_1\[8\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[8] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 82 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[9\] a permanently disabled " "Pin GPIO_1\[9\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[9] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 83 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[10\] a permanently disabled " "Pin GPIO_1\[10\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[10] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 84 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[11\] a permanently disabled " "Pin GPIO_1\[11\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[11] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 85 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[12\] a permanently disabled " "Pin GPIO_1\[12\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[12] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 86 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[13\] a permanently disabled " "Pin GPIO_1\[13\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[13] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 87 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[14\] a permanently disabled " "Pin GPIO_1\[14\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[14] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 88 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[15\] a permanently disabled " "Pin GPIO_1\[15\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[15] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 89 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[16\] a permanently disabled " "Pin GPIO_1\[16\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[16] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 90 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[17\] a permanently disabled " "Pin GPIO_1\[17\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[17] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 91 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[18\] a permanently disabled " "Pin GPIO_1\[18\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[18] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 92 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[19\] a permanently disabled " "Pin GPIO_1\[19\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[19] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 93 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[20\] a permanently disabled " "Pin GPIO_1\[20\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[20] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 94 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[21\] a permanently disabled " "Pin GPIO_1\[21\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[21] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 95 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[22\] a permanently disabled " "Pin GPIO_1\[22\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[22] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 96 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[23\] a permanently disabled " "Pin GPIO_1\[23\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[23] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 97 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[24\] a permanently disabled " "Pin GPIO_1\[24\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[24] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 98 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[25\] a permanently disabled " "Pin GPIO_1\[25\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[25] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 99 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[26\] a permanently disabled " "Pin GPIO_1\[26\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[26] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 100 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[27\] a permanently disabled " "Pin GPIO_1\[27\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[27] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 101 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[28\] a permanently disabled " "Pin GPIO_1\[28\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[28] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 102 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[29\] a permanently disabled " "Pin GPIO_1\[29\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[29] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 103 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[30\] a permanently disabled " "Pin GPIO_1\[30\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[30] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 104 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[31\] a permanently disabled " "Pin GPIO_1\[31\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[31] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 105 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[32\] a permanently disabled " "Pin GPIO_1\[32\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[32] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 106 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[33\] a permanently disabled " "Pin GPIO_1\[33\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[33] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 107 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[8\] a permanently disabled " "Pin GPIO_0_PI\[8\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[8] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 47 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[9\] a permanently disabled " "Pin GPIO_0_PI\[9\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[9] } } } { "c:/intelfpga_lite/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "GPIO_0_PI\[9\]" } } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 48 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[10\] a permanently disabled " "Pin GPIO_0_PI\[10\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[10] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 49 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[31\] a permanently disabled " "Pin GPIO_0_PI\[31\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[31] } } } { "c:/intelfpga_lite/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "GPIO_0_PI\[31\]" } } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 69 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613900700 ""}  } {  } 0 169064 "Following %1!d! pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results" 0 0 "Fitter" 0 -1 1668613900700 ""}
-{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/damie/Documents/Minibot/SPI/output_files/SPI_test.fit.smsg " "Generated suppressed messages file C:/Users/damie/Documents/Minibot/SPI/output_files/SPI_test.fit.smsg" {  } {  } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1668613900754 ""}
-{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5515 " "Peak virtual memory: 5515 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668613900983 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 16 16:51:40 2022 " "Processing ended: Wed Nov 16 16:51:40 2022" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668613900983 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668613900983 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:09 " "Total CPU time (on all processors): 00:00:09" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668613900983 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1668613900983 ""}
diff --git a/SPI/db/SPI_test.hier_info b/SPI/db/SPI_test.hier_info
deleted file mode 100644
index a57aecd..0000000
--- a/SPI/db/SPI_test.hier_info
+++ /dev/null
@@ -1,210 +0,0 @@
-|MyDE0_Nano
-CLOCK_50 => ~NO_FANOUT~
-LED[0] << <GND>
-LED[1] << <GND>
-LED[2] << <GND>
-LED[3] << <GND>
-LED[4] << <GND>
-LED[5] << <GND>
-LED[6] << <GND>
-LED[7] << <GND>
-KEY[0] => ~NO_FANOUT~
-KEY[1] => ~NO_FANOUT~
-GPIO_2[0] <> <UNC>
-GPIO_2[1] <> <UNC>
-GPIO_2[2] <> <UNC>
-GPIO_2[3] <> <UNC>
-GPIO_2[4] <> <UNC>
-GPIO_2[5] <> <UNC>
-GPIO_2[6] <> <UNC>
-GPIO_2[7] <> <UNC>
-GPIO_2[8] <> <UNC>
-GPIO_2[9] <> <UNC>
-GPIO_2[10] <> <UNC>
-GPIO_2[11] <> <UNC>
-GPIO_2[12] <> <UNC>
-GPIO_2_IN[0] => ~NO_FANOUT~
-GPIO_2_IN[1] => ~NO_FANOUT~
-GPIO_2_IN[2] => ~NO_FANOUT~
-GPIO_0_PI[0] <> <UNC>
-GPIO_0_PI[1] <> <UNC>
-GPIO_0_PI[2] <> <UNC>
-GPIO_0_PI[3] <> <UNC>
-GPIO_0_PI[4] <> <UNC>
-GPIO_0_PI[5] <> <UNC>
-GPIO_0_PI[6] <> <UNC>
-GPIO_0_PI[7] <> <UNC>
-GPIO_0_PI[11] <> GPIO_0_PI[11]
-GPIO_0_PI[12] <> <UNC>
-GPIO_0_PI[13] <> <UNC>
-GPIO_0_PI[14] <> <UNC>
-GPIO_0_PI[15] <> <UNC>
-GPIO_0_PI[16] <> <UNC>
-GPIO_0_PI[17] <> <UNC>
-GPIO_0_PI[18] <> <UNC>
-GPIO_0_PI[19] <> <UNC>
-GPIO_0_PI[20] <> <UNC>
-GPIO_0_PI[21] <> <UNC>
-GPIO_0_PI[22] <> <UNC>
-GPIO_0_PI[23] <> <UNC>
-GPIO_0_PI[24] <> <UNC>
-GPIO_0_PI[25] <> <UNC>
-GPIO_0_PI[26] <> <UNC>
-GPIO_0_PI[27] <> <UNC>
-GPIO_0_PI[28] <> <UNC>
-GPIO_0_PI[29] <> <UNC>
-GPIO_0_PI[30] <> <UNC>
-GPIO_0_PI[32] <> <UNC>
-GPIO_0_PI[33] <> <UNC>
-GPIO_0_PI_IN[0] => ~NO_FANOUT~
-GPIO_0_PI_IN[1] => ~NO_FANOUT~
-GPIO_1[0] <> <UNC>
-GPIO_1[1] <> <UNC>
-GPIO_1[2] <> <UNC>
-GPIO_1[3] <> <UNC>
-GPIO_1[4] <> <UNC>
-GPIO_1[5] <> <UNC>
-GPIO_1[6] <> <UNC>
-GPIO_1[7] <> <UNC>
-GPIO_1[8] <> <UNC>
-GPIO_1[9] <> <UNC>
-GPIO_1[10] <> <UNC>
-GPIO_1[11] <> <UNC>
-GPIO_1[12] <> <UNC>
-GPIO_1[13] <> <UNC>
-GPIO_1[14] <> <UNC>
-GPIO_1[15] <> <UNC>
-GPIO_1[16] <> <UNC>
-GPIO_1[17] <> <UNC>
-GPIO_1[18] <> <UNC>
-GPIO_1[19] <> <UNC>
-GPIO_1[20] <> <UNC>
-GPIO_1[21] <> <UNC>
-GPIO_1[22] <> <UNC>
-GPIO_1[23] <> <UNC>
-GPIO_1[24] <> <UNC>
-GPIO_1[25] <> <UNC>
-GPIO_1[26] <> <UNC>
-GPIO_1[27] <> <UNC>
-GPIO_1[28] <> <UNC>
-GPIO_1[29] <> <UNC>
-GPIO_1[30] <> <UNC>
-GPIO_1[31] <> <UNC>
-GPIO_1[32] <> <UNC>
-GPIO_1[33] <> <UNC>
-GPIO_1_IN[0] => ~NO_FANOUT~
-GPIO_1_IN[1] => ~NO_FANOUT~
-
-
-|MyDE0_Nano|spi_slave:spi_slave_instance
-sck => q[0]~reg0.CLK
-sck => q[1]~reg0.CLK
-sck => q[2]~reg0.CLK
-sck => q[3]~reg0.CLK
-sck => q[4]~reg0.CLK
-sck => q[5]~reg0.CLK
-sck => q[6]~reg0.CLK
-sck => q[7]~reg0.CLK
-sck => q[8]~reg0.CLK
-sck => q[9]~reg0.CLK
-sck => q[10]~reg0.CLK
-sck => q[11]~reg0.CLK
-sck => q[12]~reg0.CLK
-sck => q[13]~reg0.CLK
-sck => q[14]~reg0.CLK
-sck => q[15]~reg0.CLK
-sck => q[16]~reg0.CLK
-sck => q[17]~reg0.CLK
-sck => q[18]~reg0.CLK
-sck => q[19]~reg0.CLK
-sck => q[20]~reg0.CLK
-sck => q[21]~reg0.CLK
-sck => q[22]~reg0.CLK
-sck => q[23]~reg0.CLK
-sck => q[24]~reg0.CLK
-sck => q[25]~reg0.CLK
-sck => q[26]~reg0.CLK
-sck => q[27]~reg0.CLK
-sck => q[28]~reg0.CLK
-sck => q[29]~reg0.CLK
-sck => q[30]~reg0.CLK
-sck => q[31]~reg0.CLK
-sck => cnt[0].CLK
-sck => cnt[1].CLK
-sck => cnt[2].CLK
-sck => cnt[3].CLK
-sck => cnt[4].CLK
-sck => qdelayed.CLK
-mosi => q[0]~reg0.DATAIN
-miso <= miso.DB_MAX_OUTPUT_PORT_TYPE
-reset => cnt[0].ACLR
-reset => cnt[1].ACLR
-reset => cnt[2].ACLR
-reset => cnt[3].ACLR
-reset => cnt[4].ACLR
-d[0] => q.DATAB
-d[1] => q.DATAB
-d[2] => q.DATAB
-d[3] => q.DATAB
-d[4] => q.DATAB
-d[5] => q.DATAB
-d[6] => q.DATAB
-d[7] => q.DATAB
-d[8] => q.DATAB
-d[9] => q.DATAB
-d[10] => q.DATAB
-d[11] => q.DATAB
-d[12] => q.DATAB
-d[13] => q.DATAB
-d[14] => q.DATAB
-d[15] => q.DATAB
-d[16] => q.DATAB
-d[17] => q.DATAB
-d[18] => q.DATAB
-d[19] => q.DATAB
-d[20] => q.DATAB
-d[21] => q.DATAB
-d[22] => q.DATAB
-d[23] => q.DATAB
-d[24] => q.DATAB
-d[25] => q.DATAB
-d[26] => q.DATAB
-d[27] => q.DATAB
-d[28] => q.DATAB
-d[29] => q.DATAB
-d[30] => q.DATAB
-d[31] => miso.DATAB
-q[0] <= q[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[1] <= q[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[2] <= q[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[3] <= q[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[4] <= q[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[5] <= q[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[6] <= q[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[7] <= q[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[8] <= q[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[9] <= q[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[10] <= q[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[11] <= q[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[12] <= q[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[13] <= q[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[14] <= q[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[15] <= q[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[16] <= q[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[17] <= q[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[18] <= q[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[19] <= q[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[20] <= q[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[21] <= q[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[22] <= q[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[23] <= q[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[24] <= q[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[25] <= q[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[26] <= q[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[27] <= q[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[28] <= q[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[29] <= q[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[30] <= q[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-q[31] <= q[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE
-
-
diff --git a/SPI/db/SPI_test.hif b/SPI/db/SPI_test.hif
deleted file mode 100644
index c01f133156ca856025528f1b9b406ca09edc6451..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 497
zcmV<N0S^AG4*>uG0001ZoaK>CkDD+MhVPd8A1v*qJtS+K@{!(XmLyaJQXpI{#|#@w
z1X6HTigx?oYamTlO4?Iz6(Hc98P7ZOd_VF=9b3F^<Q`B4O)KjP8_w7m6a4ik%N$$O
z#ANzt&__xP2PfZfAQfOQmrCa%!$H)Z*eIIMuTOZs=Naq%19*XwB67a6I9V|r&6dNP
zpwS2?N;}a<ZyVm6@S3Y#i$|j_;#K)weW=KmPr*4X;eE+%-~mIWq&lJYAX!`T7tm6x
z>V`i9%C~RH+Gh${HU4OP8jGs9t3BYdewKpOhi-iQirh^avDjU)*qcR*&`<qg_MbXc
z@D^ALRjYS3bgPez09MEn(Eg--jN2EKQ>U0xM>rFEYFV5Z7N?f53!vLA5FyZ1SISC3
zQ}OcJSkK4&uAdvQxf@2Clm-6EPkRJ|D=&#Q@yuh%(vAIa@h)=fchI97-Cu-J?6J&?
z{UBOgI?kdnN#o5dP5M)RhkMx#wUsDZpZ%DGkV`@sg?E#vN&1!TBxqt{*D-s&lKOJ_
zVzO!#uLShzdoG$<ulUCiI;m%zUrDb{Paa_*uj)UcCU;Pie~&r`!YXZ}<2`Ypm{@d6
nZF2$!HFLWlKupv5oD-f4`XBRt`zusY?++y?Kp%bqj!SF{FAnZ+

diff --git a/SPI/db/SPI_test.lpc.html b/SPI/db/SPI_test.lpc.html
deleted file mode 100644
index 45dbcf5..0000000
--- a/SPI/db/SPI_test.lpc.html
+++ /dev/null
@@ -1,34 +0,0 @@
-<TABLE>
-<TR  bgcolor="#C0C0C0">
-<TH>Hierarchy</TH>
-<TH>Input</TH>
-<TH>Constant Input</TH>
-<TH>Unused Input</TH>
-<TH>Floating Input</TH>
-<TH>Output</TH>
-<TH>Constant Output</TH>
-<TH>Unused Output</TH>
-<TH>Floating Output</TH>
-<TH>Bidir</TH>
-<TH>Constant Bidir</TH>
-<TH>Unused Bidir</TH>
-<TH>Input only Bidir</TH>
-<TH>Output only Bidir</TH>
-</TR>
-<TR >
-<TD >spi_slave_instance</TD>
-<TD >34</TD>
-<TD >31</TD>
-<TD >0</TD>
-<TD >31</TD>
-<TD >33</TD>
-<TD >31</TD>
-<TD >31</TD>
-<TD >31</TD>
-<TD >0</TD>
-<TD >0</TD>
-<TD >0</TD>
-<TD >0</TD>
-<TD >0</TD>
-</TR>
-</TABLE>
diff --git a/SPI/db/SPI_test.lpc.rdb b/SPI/db/SPI_test.lpc.rdb
deleted file mode 100644
index 06df00748447d62c3625085380b363150edbff41..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 466
zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4Lk=o#u6C^(g7=A<Z?8JQ{=Sn3-Z=^Ggs
zS||j2DfncTq$;?kWR?Kc9$^BTz>okjiD52;3nni?#VQ!*ObpCEWFT-{nq8~e_wK!#
zQ|>nk<~ulwuzY{CnML}JqvujjR{OpGCSNHMYPs0_W!?VWzwevMrd@0~v8==F*9xwm
ztF_$wyp+XHJ(;4tJU4i{#YJYJ_Z2<Xa)z&NRLFPyWD+{KZiB?$Lu)<4S6TguReE)J
z?b(%OAFrN|opQ`7bxz73-kS8R)y00bUpHw?RW5!~!?15{?CMwBvqCEm-49egy>Weh
zY2~4O>*aH_DsCL!*0VWRa_$1<nn^mog%fo?H~Pp-USc<KllraOPIJG`J9JjYMBxo<
z`ZwW267|P#{QtLJr2W%P;d@)!W`(qA{?p$B^!ES%6^u!V2`LN<|6G!P#p7^*<;Wce
znJ14#-oJnH^p(t^BLa+5?w|XZE;oTmGgYAFRJ(=M&mB&ohQ=NYu9v^p@8((}@uVSw
zqr-8TL&(lwJAUo@)w;nzgP}Fm=~MqHrpyV6lN@>i#AJk?ynHUlAIb|1?*IP*-h9Pu

diff --git a/SPI/db/SPI_test.lpc.txt b/SPI/db/SPI_test.lpc.txt
deleted file mode 100644
index d1d548f..0000000
--- a/SPI/db/SPI_test.lpc.txt
+++ /dev/null
@@ -1,7 +0,0 @@
-+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Legal Partition Candidates                                                                                                                                                                                              ;
-+--------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
-; Hierarchy          ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ;
-+--------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
-; spi_slave_instance ; 34    ; 31             ; 0            ; 31             ; 33     ; 31              ; 31            ; 31              ; 0     ; 0              ; 0            ; 0                ; 0                 ;
-+--------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
diff --git a/SPI/db/SPI_test.map.ammdb b/SPI/db/SPI_test.map.ammdb
deleted file mode 100644
index 46055fc4bff834e7f8d7332b55c408fdc3d36685..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 129
zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4Lk=o#u6C^(g7=A<Z?8JQ{=Sn3-Z=^Ggs
xS||j2DfncTq$;?kWR?KcvVaX>U?_*uOi&s`HA2}Hj7bRz2_Vk@{~$Jm1porU7;OLm

diff --git a/SPI/db/SPI_test.map.bpm b/SPI/db/SPI_test.map.bpm
deleted file mode 100644
index 45f3acd37f54f21532bf60075db14ee187dcb40b..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 1796
zcmV+f2mAOG000233jqKC0001M0BZm=00011WpZ<AZ*CwlI4&_RFd#y8X>4R5HZnCJ
zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*FWk00000003<U00000006}R0000000000
z000CB00000004La>{wfi97Pl!HD2%r;sv~is03robXQg1R#X;OG!L49n+H*7W_KoW
zaCc^zncy$*C;05U_#}uA2EhvoK6pV9!HA0JgNhfFU{qY|RM&S-F}q}mEV???Fw--q
zzjMBGPJMN{XLhw(trPZjQGCT7%#a4owY6UAU)^);2Y=w>*`BZR{uGyvc#eJeH*|2e
zx{dfor?b`v%%@qaJJvg!dXMWK*PS{Lb@2Ce@R)VG*uHdD)C=>A^L3JXi&3e!>;^^y
z^U?bHI(eBS^O!yM+a>m;vZ_8jzi{y3I(f;1bfP`z8U#|XP!JbLRUVT8qe1^J;uw%!
zXfckg)FAr;pbDT8p$)RW&RbLk%Zuy<dJTG8N7Ik*fJvt<Mu7`S8x0Jl==z$VjF%C|
zp!lnSsbIO5Ey$4W=M+a(h|KLM5cyV*F&XmNhd69`$Fmz|-wzD0^XtIyI?o}p0s958
zB(R`ACPfvLbF)0Gh1XEL1_)X(tc5$_RuouOmQaq$khNh^T?w}`g&PXdyc{<oZC2F*
zSa?}~Lyjz%ldz;%j-SvXwkW30K>rHdaumB4WL*9&-lA>Rjn2M?R{^g-VByX24d@NL
zpmME{;#=@aU^!(iZau8d{N6kb5HA{utXVYf{?7o}ZPw(NAZu3sm%yZ0q2Im5WbnEl
zUO6;_`Jox}E8^tH8av4F4!Xj}G0X*wW_^AJcCMb5zNwz|@g~Shka1x-8q397H8#c5
zUukYjr@#=I3th0#e?;gEAnZ<EqIy!S3uN7z(9*MMFU9lh1w^iZLDGg3qNpx1>*G`J
zWi-~O?J<VO>G|*~fPt50FYI#7`yLH4th~Drr$n4@ku%>XKDL%eEsp-9(IzK79y+pE
zCr`tcC2XTPLO7Etw$Xfcwp4t-w=v14?9M^FSG`TA;!8`_#50V0z}s{syq|kt6XbFe
ze3kJ2!n{sdt`3^=#GzB+xC|VoWM0y;!x_Q-312N7Gy@z?OC7+Ofa7+=tAvC0l&jZ>
zFO6Mi)56OfN_s$3#PJs5OZU$v7vcB;xI}WMJ<xDSynDc_#Mi<aTq3!kr#e`3nv5=<
zk$C%&OCdH=t;3~aqyO)mO$i50jm9Q8?r9=6nwx~v!J2;p4lQ-i40gCmdO*r|wnA)(
zr|jJfI(;9wQh4bJjC_@yg}}Y%aVl6})S)xsplRoLgI=)?g1taf$>D<Dzm3`goj%C9
z$liJ$Ip@;TeI930>C5%t&{Ern@D0`oeKCQ??*?xr9K5$Ov0-28Kvy)GTy3S`Zs2&*
zE97F7X#8HzS$u)h!9LpMaVF6HZulnB1A1C>xnyFar#!NmV4v@0U+L8e_?D9M9gLHl
z>2B&cf;#9f;5dRAi#@1I@x`oDcJ@PSA0S@Pd(2&3iLZq@UK7kP%m|YR4tqh_`#(77
z7VL7)rQX|6Tc9EM>U?AWbRlbWp7&Mu1`ZDF8J$Zm(5WsZ9?$3Co^lfT6@t4N`31A&
zEA${(bLPlp-KY-yMx|UAhikPx-8a`hGVYDW?QUna-)k=nmyfUZ29t67q5h!1G@P^_
zIef6)A1sf0WbUlg-KF;OaCNP}((Bf1ozbK}=?@2Eg4L7WcyeGg98TndC3UQHC&fWo
z&?~gjHQGr}bVl8JX=S+l<Xq?YWLO{T4SJ)_#B%iq<H_R|vF!;H&#_t`JzAy6>?z+U
z`mI5S|Jr=<?^GEb^mX8E8*p8Jflkj_@CE=~2#xeP{7%>15gPx0pl5s|sZ>;ob5sA+
zJ1*ipb-DR^xwoDXgo`+D8VMJ1-W0TF+vw=G869V&!$q7M7yG`#pIWWwY-6YQnY$c%
z(dP*IZ?=FQ1LE3k-GbOBH%+2cwwB6H9EY@S+p~7`?6*CUc4D7mPH~$z&rMO|v%byW
z_-CJe>5sWBMOSS$eQ9XXYa#d*M1M8Ws3tof0J6b<h(Ol}Y7hPGG=0SOxQ;~igu;lT
zh@uGf;66uDL{UUh#H}D2h&N5?MXMF(qw7W#MHIzO+6sRG00960cmZN%U}R`uU|?Xe
zTM^F)q?v$N8Hk+%eB+(`L;YMFgCgSt{DVT`eI5NA-Ccv?T^vIkog9N*nHVg9>h?X=
zxv~dH?*(E>s6G!*7Z+DQRPD?R2Y|BPx7Te1*}x3Md_WAcCBQK##52Ux-;afX1t<gq
mAjg3CnRz9w3<@w&APth>0pjA46f3LZlA_GKbN~PV0RR62-e!XU

diff --git a/SPI/db/SPI_test.map.cdb b/SPI/db/SPI_test.map.cdb
deleted file mode 100644
index cff4a2060259c339f188c7ba0d1735c3e28444ab..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 6955
zcmeHM=UY?D*F}(S2m;axMJb9@uc1g00tnIxf>Nc2NT?ENg0#?4Q7NJUR6sy#LLfnU
zmlmXlCgoBB0i+j!H~0SjhxgNc&OB$&*|YbWwf4-J59e^v(9o2!UZCD-Xr557zo^#A
z%|F1?$6G`}Syn+-UPL?4)5}!^taw{QUPVqpQBF}_L0JTPU&P4sshfzdtLIZ{tgs;6
zzYrO`{~DU+i~qC#+@%Hn*AKd|ZtwVwr!%nOSEj{>sqtY^fM>k1;9_nUTtC*%@{#Y+
zP^Q`4n#U?%6}u4ThBv+(M&4J;Wzp^0rUR<w-mnO+upH)Ke(?G}S6#F5r`qBYi;)Kv
z^Ic4jE+?ABSXjJH?>N{GtGjat6W|^$xG~*xtaQq-zp=4C;j<KWYkBhH^eH9$v9q&t
zk&*CQPv?29EKvIDnA<TR3zW9{+WoAycL57Cf4B2uAP0~(P+yl14D6G1+uKYJ+#yw;
zxOtXw-sM)(ufE!M-<@G>xIp&-*;SI#Mtiii5U@6;i9ZX-iP)|gYtMH+1-czeXH_Jt
ze*i3N$6wpN3mOOhNT4eW^cwOfz2!GfaaUUlDj4-N`FdrT1+E+Hr&TIlZd^1n42M^z
zK7oH+im}lu&9!>V!!u0SCcU*WE-kQHtNkzGzbgH=HU4`a{vS_`NU*)wkg&mv%~!$7
zl=MAc($%#OOP!GmW54;!T=<Tj_nownOH35l$cNvIB1AZkN5hubJQ@Oqof>$j8odp-
z<)4AR2;e*`Z_1=aaAMM4t(kOPqbqTIT{N@HQ*LbZxH$0iMQ=uki>tL~(Vx>d?!^Iw
zh)RI&pR68-U1wY8cd^wcQys-k1T)LsyV<k$wQJgv9I>jja5+QS-CHuD4aP$Cn|;Xl
z3zrq@LmK}uPjdC>k26wMCjAE)T?6Zlly|Q>rGB4mDeHk~Y`aIPc^u4Zc_DtnYlvB&
z!=>;hB)XdXEXVe#VB%u+OdE&^3}1p1jMB{wt!>v+)vhgHN&hI6K70J^=@-?YV*l3@
z#?Mx@sxc#U3VMBZGWLBN9ns}JfZ~(RNF|LrAn*kW%~jL9uG3z#(^O5b77tx{hl%d<
z7CaR{wYU+nUtZ7n?$by%D1D-ktb0@vIv5&RdJAH_(6EV<DYoR$#S7kyE)==Y<guzv
zH-z-F%qunbXwEQm!<fnY=0aXOiq@l(f)a#^oMZe*6y8f&vchxx5R@qAew=%j=o^vz
zZs#sboh_*$irD|-*wnPSL|AUO`J~3>MaAMH+982vCEa`Yho|t9uC)E920QGqhrjcy
zXyvA(&E~*0m!|gfnuamyo5@nckuf_CEYH8`4x?vQD?5oU@u-6`+V*JJK*CrMQ=c)O
zanw6uv!5Yg9FJ_Kdm?Lq%zQlGYXSTgi|gAK5}vxWuO(ww`icVQ&a_ObU=?0^id=U1
zCNtN3E5hM~osvX4FZU|K1PV-zQ4rQnV}`At!FcVpKO3_SET_InMe6lXpVqP!KmDv3
zoHjTz^x7E1-uv@A1GwV}CKC6zQV_hPQ3PuYewz1|{u8Bx9eYX|AKD)hg`ISb4<Vm3
zku+5Iv8S$73*N`t3q;{wzEnqOf}(Jy$?AwrHmym?PBg9rLfl`WhPyG5^v*AKzdVSU
zzUN9#UYTOL(CeYcyzz7_g-c#69;$qwiQ?`KH%wmPmP^x2`}Evg)A8Q(7EqSUgWzA^
zyMkWu$3pv~hrb^C^p9M5!8ou{DySd4^?6i*(j1i%FgpC;O(TH_LdBKMnX|0)41!<g
z{Q<`1Qv8%H^ZoKErJ^v~wTA+eGyBn0`s-8~!c<;u<3p%f-!T(d)}snYi%+UL;v9St
zvDSgcMF>UVX8A)&XH?o-{z<ByZoDsda1m5(l0r{hLTR^6Aw-<hy64FmwJQBoxO!1o
zD4hD-&yGb1hLRkp)hMbgq)bx7+}1rq1DQy;6m`Tbe-uuRTAW$IC>+JbbaED`HJKVr
zM4d1_tIhZ&n^<?kj8B>`3T5`Wc)&5byeZzh=dHgMgpr^3)`z{{p{a{wMur}Ys?-W>
z?*(k%vw&Ug8K)dfejlc(!Sp=HbQbQs^W7&W`Mar=noyNRxyB@S$#2LmV>`$+_X6#q
zkk_LzW^VIHdF|0z=e+v_BAHUyXLZnJyTX-jTj;7HQ95(`25(8t;>hbgJ$sn`*;VJ5
zP~5o33|fO|rNhG?LiXl(xs5Fg`%@#6J9F1mYwkkUc)@yopiymOe2SD^1@oiiA-XG^
zXwVIdptqO(Il3_q5N+g>EvW@*G#qM4*!wFWO`df9<?Nb4%gU?W*a8!dvsu-^9Kk&}
zeD?@78AYkbS?;)|HIcPj*|VM)4i+_-+~XnSg9JXE)I*;g(yxW<s#YW7(?bFyZQ<;N
z^2qYjpX9M)Y1Sb0qVNgJVw{crNGvY<J*|0yubrog@|oA-W4`!6lGH8L2LMrRk^u5m
zdpZZl_-V|=4z3Yk;@!Nja>Q7*U%X<&W>R-qVxzPba?SpP#RoKYTLHM2V$x0au0Xwt
zR0IphPsdO7^i^HpYzl7m(v{{Fp>=vr_0kGsoHnW>8D<svywJVJ*$VBM{C->cW@b`S
zT%2mgY4TrBv_fS*{qV$G=hAMUp7}A?oWbY_3R|mH5&6vtXJt%yha3I!$2q>IzlXH+
zFtev$S9MPlf*t-z?|of$WXvy7=62lBHKZo8@p7R-^7RhO=kIm$a;f;oLZ%7@yilrZ
zSSW(Y;9s8<7gyqOO+$lZ?QGLr)P`PGNa(M`tBy`P-adri%IynWFQE<}n_>+E>Q_55
zosAR<j#G*vc;`*#NB<FvmitIHl;S4jn*aw-8@@M9Z~kOAdEVetxMe})J5t-5U;6mI
zsk1Y_#)vXo9Xhs)J{_`+cy?Y^9}4o`-rtz0e>QLi??KB^W(Y=AjbcNe6^||wpV9A1
z^%sic?=MtapRJ+BX?viXef^-9H}gG|<W62(;kap<__yerzjvG`c&#M&dfYZg^ZV+;
zO~3aX$5d%v-T&F3CG-MS{#e=aFlqo8I&3^N<j8;Fgf%iirz_kz%GRfcn=$FA{Kpp=
z=#>>ZPT@4a{MI71rQiT{`T}Fo0-B3&TMpKKZrZJ`M3v<{Q1^mw;t;ll_k53|)+=oa
zWNn*tJ1_aU-LBGRlj-I-*18(LE-LcbIGIh4QI+m<bZ4p+S26t!x_6O3%sO=rrZ|JC
zBb_Jes{7rN71M`I>W6Y}uKOeXE4_P*t%__8lOJen8_rT6I@>LC9{;(__cRA~SAp~M
zM5pVSpO1uL#S{oI;6&r~+>eItpaNZyCe7L`WubV(DC{qJtd0i!1>RIjNLbY`y$mh9
zFVV+w)4FHzrn#cT0n+V}7st(VNqIk^{OrdG0~b3pmD2W%oaWOYN`K2oz&rlv^O%kL
zXMQywQ(iu~SLc3JKEk=<PbS~adp^vhlKI{K1L151O{X%Cn#Q`HP|BIXt)&M6>cYm(
z9eyzy5#k96Kvq0D8PD4nek0+iz>8VN>Ee_(?am?ZXG{!zfv~vY%kuYmySJUEja7Pu
zB^02&nltootL=cnJ<0gd<W)_YO{CUpQAqeGA9lx1{d8>bqbJ)?#7{M>(e=#pr$Ml+
zV#%3-DPw%d@h1GviJiJ10F&*XdG>jzUn6d{kxR&?And@=l}!fPa55kjmww&o96wJ~
zj9qP15VR?fKdlLa^l%lN)A+!$T6TpA02yfJ*%~&_jb$i;9*i||IyS9A{gae1OijWM
z{zJksq)%`YDwA&8<rF@djBnSf-Vt0XaqmU^>pC7#q$X%I{<=g8X4}bL3i0--oYJOJ
zCdy%rMgrn)!IqoU&?-<wN-_OI6mwxu#0}1d8<HmU%SIJya*WE)lIt8t?;K1beMpM)
zC`M#!;Ua<2^o7Zf#k=Ne8xBXCjRtz}<`X{!t;g2f6B)5OWbHYWU`gKcXR{~F`Zz~r
zE<z9TE8=R;RvmZeai7MV{MA*~4L^t4#}^p_L$|e^=Q&kzA@iIHxR6v<iH2F{9U;fG
z%A*GM%)^j`QuJYJVkz3Q*X+&U+N*x%$MuV69q-pe6dPbp(n4~IHNiT)uTBqnm)}N)
z5gHOyP6|C1PA_K%^;FBu{BCi{MzIgo_%{r^F-;10{>y1CN0|=0XX<QoEP3R5{_VtI
zV+!Thro63wZL#I!<fRhPpf@>`@yKT^q*Ni+$b_7t337ttD2Z&)TcNqsA+$K;pX}o3
z?8slblYF(~^`>Z%^x`l(JbqJDlxM^4HNQ6CxB9hw(ZhE*4bz6hSqx@vFyR`$A;wWO
zg3u2?o4@3nujau&3%KZhkSG6e<}g2^>t@^fT`OyhwVQnP-_T~4s?Q2?-F?xu=)!z7
z|E*rXOh@p`%Uc+izU6l@n`bpGWo=jI`}~TQC$}$BeluL`otv{h5?UJ?c`2*3IbMB~
z)F)?~Gc$2iy;)8JGq+WpQkQ$x704{nw{z+CRJw5}m=Y1<!M_C=@7vrw40xO=_s%oc
zX!@8kO9&VmPA&BuK2IC8qG1K*G?xk)+<VWh<#1bKEhIp7E~PRxK^th87c?5=_TcGr
za~1QIEC*KgajwLBc4pokLzsGQLis8u&wG{d=0CYch|dq|A43UvcDm+U;wu*=qupEd
zn36iOsVCzpXXtV6w=r@fu*+xxVX&ZE&k^IS?H_O`4P-e&e~`nSjE9Ny)fx*H0DrKc
zLwzPD?M|_3JUW`2+{vm23%C@Z>o8D|;rezliPnZ=4`SaEb1cph2(+(@Qj9HQ&U591
z#ixl(4)VJii(IlliP2;msI>5W$JnJ6k_1n1zjp0$?$5Kw_S_Q?LfETU@jwo3ZEc3H
zqM`y-M%w!E9xpnf1G?2Z+Dj%~0fXamqPKYuj7A~-IKfLzThSxPCUH5&*4UTkJbYp+
zb|vB+21;%f7%hg{<?J8M){i~{!mT3j%f2yGReZw9@YjPp4W7`M^a}#0P}9FqT_r4g
zmX<I@HN?&0M+^YdI5oK;!@ezc7iGL9&qksQZ;mjh&OwyYrK7Om2N&e2!fNW~RNsJ*
zKFC(WMti{}heI~yWb3Q9xPqmA^d$GswLS8iw{$`K%|FEOb?HlCoeFI-G{<9jR_a03
zkSP4$c&osNw@G~k53FxbNQsi~e{H=lVDs1aGFirJWTYh%UQD*u5t=Hp=>HfT#hzOV
zu+!%v5UhC|*m-<~TCS+J#8!Ck1UMneWd-?LVgu_5H1zo8(uzE5o_)Yvi)Fd25pb?$
z-@$%dBZhUTo*;iahV>*Q@PefDf!YTk$*!r}GT=p#dlqMUU<&IK{>jUo^xxmOc({P)
zYqt_J@j2ZKD<71xLO6Vd1sSza1nH@Lp<R~b39TlFgg^Zh4W$i2b&G!p&~?8{;QBky
z?1Imr+ZC9cA$LEhAMByVH)GOW#u$C8S0|6AR`3c-$mg5G6gQP4yhOk~rfrU0Q03qg
zwWCMkvcGPNZ@i=BGxY<<7bxw%l6J;f6{o)Sn|oL;`;DDvBlrV)WhAy+)J}P#gw+t`
zJNL9)wpU+jb|>{|fi;geRp^9+eXT+a>vCw|9En}ljv6fusr9Y2=8>h!U#lnhdNT3I
z?vY#8s9rqP%Qi;~LX?<(A~#ar8RjHlq!&DAVnyw0M@v|j<9z4z%4L65t*L7^*N&91
zdZ2ursMDBGkvOgo-`i+w`_sbrjE?v^Ipf>3uUA_w=rh>5vG*$g(kky}S1WYe%H6I}
zHDq4))>hlW(-y|xijZ|q#p74!rL0+^`{sNdAf7wR3}gvQ+*%dOvbE0VfT{1zeO3E<
zeCRc!*#>6m!QAd|Z?-=8E+g4zo~MVRfoFQaJu8)F;VGe#nf^6lax7<N%VHt`q?T&@
zcw><C(TQ70W6&mq9P1wp831w~Z$yxDnT;!wL1`=Xe)qOv2x2}#d{8XB+g3-vAnsct
z+jsdAXknwhPuVUZ1?`XlWrnkqZY&C61i;vL2?TMXH;HI9PV}B2rcV;RCy5dW*ex*D
z4UBCcyslSZya2s5`&t!2XhY$~t!k+5!(Jt5je&qo7(89e)*F(<$jyhn0>)+!db%(G
z8k1O|)EqxsYB!!0%0MBMdjGzTV2}ZEdysJ*RyEHMfwoT|N#&}p<oy@`1z|fqIzgOI
zQq3Zi*5uWDmP|5Gk^62!YYM$!KI3Gt8zf22z@3ciL+#t!PU9wtvLqssM0BXkGp6>(
ziRj9_=dCE*017#S+W!EcP+PD7>5%|QOMuh^#zMeYs+d+VwtRx<P?7f$mnT%2_pvHZ
zs5<W>HctqXSMLhB;|;mv^l$Tqgm$Bly(r{Q6ml4a{DDHYp^zlheiVT6<X;pj78NlA
z0b@kKJP@#fNn-y55sk_FSd&M={6l0v89<2wAgDQs07M~x@(F;b15oO~SOge5hJZoA
zSS2r*=>$=Bf(RZb&S3MXs_k)krBosaNN5WR*^a`EqV~%Gh!g<jJpfS+pb!9vcK`|s
zfXD|>3IK>$03{uOzyl~a0OBVK>;kcMhqU@cx4gxJs;F!9&oZHKy(sY28es^JqRaAO
zMj)T5&m?tm$;ciQjylB<3P;sPEgDtdGzv#TA^T9c4irjY7zLWw5MMLmR9`%&{wz2@
z54sTc))>aA#no9@Jp$K+BC@TL_MBPuet*(WF3s-qQba0cZ<u&NUTb+3U0{Y=yy9*K
zsxi+uzscP-tLA1Eix-vzCVE`f`pObwb=@H`cO?R4gf)hBxgeKMXU@;7e8AIR60z*s
z#o?P@OImDFV0xLAUz;){@SR^Bjibiv{C09nvPQ*RBp%+6&I-I=d@!An!@nlw%&e#0
zdW$oQ!xobMYcJ3j9&)=*+d^K_Z7fZ7CD|md^*yE3RqkOLRL*m}MN!b*qs?3|ZmfjV
zJCDhDGWPTJHVN>BL1XNAxonMOraODTy7;%MxNp})^>akz{S@iQl;V@n9S<>itJb0n
zp*Fyr-b=GLK4Q<!HtzR=-Y_WAD!%OIn+nuP^Upr|j^1@TFkk;2U$7gmUYy0ye$$BU
z4^8n~QUzN(#dq9aoxGzDwq_DN7U*_zZKzq#`U$tM%|I79nfkgz=b-(M6jLJ~dULL7
z*+jS+(1|(nvc~PiVkV|^Fd_QqbSbr2y?<jk@xLoU4{@)kUtusoA&)F9v=4+a7PNi&
z2#^%?H3s4*oz>i)KQ_xy`O42y$?5bUeu{V6Xvx(Z=^if0UDP;#{rzgD=*=gr%wl`)
zzq*BkkFSj+NHJgk0WLzY4h4QfEYeqT=46QReM|Gc_w7qol0rc#a+|$qGDG;gkUgv0
z-8)@Sk!L*G)+Su$D*1{dZ!fc^oAmSc_Jrd;7!_*MTIWQ(K*9Z<ncp;dBlcrH=yWKx
z!s{yN`Xe2_gOPC9?Ae)Y70;E<*_`9O%Mv;4A@bxyh3^*qR{k_bD}PR#3>GmZeAbGS
r!@~D&)P`O7O4dPNUiB=*yBtPO!wKcyy0Y+GZXQT@#}Vs4d-DGP-RsbC

diff --git a/SPI/db/SPI_test.map.hdb b/SPI/db/SPI_test.map.hdb
deleted file mode 100644
index f5a14bf4489d7e335bb8a836e4ea593c649f632a..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 15058
zcmZ|0Wl$Z@)4z>Numlh84hIPC!4ljxaBzokaCdjN;O_435Zv7k?r;e158wOufBV$d
z)t~8^sj1r9-kz!6T5KpNs7e&*4+{ll^>J~0sJe;0gN5yHGBzF-HWpSgQ6~#)V=^vw
zPBK<r02@1iot2G;OhuYZ*22+*Ov2d0@nbH|!T*+E<ov&tpn_2Ur~lbmzW=pdq0hX~
zJc%V*UBW{{N8wdf#RdLtBhJP<%oZ@)$8qp7`|VNL#^cfh1`6Z~72{`%g8bFt;Fz_)
zbh=82OGp6RTQyYY!%I4V;;K~?JI%gJ_fJ68svR8oT(|f4iOGYNjg_>O%mmEUZ{60;
z&IgaeQ{Sep&&JQrhF984OOI=K<>UYZn`ZpWzJT25U%2naoqXyZBk68#8H01G-aM|c
zF1To?ttJat<!jiTvr6an5A-CTXz$D9uM~&f?!xsj6AEME3qehtJI|v<MKr%pcv@Zd
zjq=k++zY>%lyt0~?v?RfB-}Psmrbb@n<x3id#Ks2F$$M;=1tAgmkY^s?Udhz%U|(+
zIzKFmwz0>_T!me2;BNBks>%(ULBpE*?(OY|7F&d8kREVuw9Hp~zOc-FHXRx;<TibT
zsQlW4T!Y-qdssg#e$vl{f04#*Q!VCY<nhmj%!`BYmHhU3D>QAW>3P|=%l5(JZrS%O
z)&heF^egB*r>HnY?Ud*)grk!qkM>b$d$GQ?epNt~kc7~T9x=tt;B-YG)Z+E;(emgw
zRW@id)M`CWjyh-0eJ!Sa+1IpXu8LpZ8Vt@MMM}8w0kaXq{4}(*w~EO_g~CWVl5Ohf
zC~0?I*7nN#7HJ_p0U7l7BJ)akXEeO}K>u@#Wkc!9Fn@>PrEDkoJLK&;hN{Ynk-eXd
z>^ybSQ*oTuKjw(2#U3-5kwUx{5v3M&1284}1+d&$tC{jfRruz_k#*<z>I!(`+a}!*
z-VMf5oO>cXzDtA|&K+=g@jVFZP6iL<JGT91_`ykn96%*EQj@kL{dTX<XrQvx>2{9@
zTi(<kr;#2Nlee4Iu&4Ct+7jSK=dM+hpK!}yn{U*4S!7HvDCZ$@TBqUOI?X^}qT*Ds
zmJr;bHQYI$VsfHsAeC>PU@~H_mTji+HZl>&JyRziGQmL0eCl{xHm+Xn3Yr>__n1^|
zI!*>nZE)Ab9iUqmf_7_H&0P*;wu?sH#yiS1_O(1>5i-vPpD$}kp93!S^M$thi{ekT
zw_YNN6QqAgFj|DJUDg(%gzbY<WCvmoe5UJT4<eS$W&{Xv3XWwl!8{{}xQIfCypTr)
zSkk^iIV%3bsVoA5rW7yQgglRfXkrBwXDZ1b*BVE8=JP+}_QbNngWZU;b(0S43`mUw
z9R8Y;uKG(o`sH`jk^X4UQJ%lnTqx(ez{z@)6s-KLa9fA*jBKJe)FgeoGbJQ>^}fag
z>ArX|Nt;z_%=R#WEg@d{sWHWlC8Q-x=%{$EXd`=a^sAe{VP;8^t0Mx<i9<@SZJNqS
zYN#U`aZJ`OdoM0AZvLCr<r?=$S4dkKhYH&kSlGlb*sJ&;tReXL#e9D1_w(Ml&%c|v
zn@&CjVEt6au-iueA%U?lwM8oJghQGX)nKud&NUoLDY>p{%<c+iOkHNZlCZ^2u=kuD
z@2{QW?Q9Da0kxg$hoYj!$ZSMbwv0t@2HI6nF3Zr*Lh~*z-bbBTkT-8o)|<kgUErGI
z_H%z;c}>cT=2XEG=|%lX>+c(szkka#1Y~dLXH*q*WpBR)ZUwZNoeG;Fp1#R$|FoBr
zUsc#9yuH~`wOlqEMQ86KxXR5lD#O^FIcu7l*S@^8ySNT^R)4>to!1LtE(bQ&D=Emx
zQm+Wt1lqAC+->7?CA^qv`{=#3dpvucFZHBPk!DQ^9paIKu6ns&=4$jPy+N2k7G^Qk
z3U`n9QD3usUdQ`<zMN%6c5~0*`J`sy`AeMf2|{MP=Nz^*EL>yZZN_fMBhVF^FihKD
zybSsaV$3y6^z>daZufjrY2Sh+J;zIYympOTvI8zJ&hMkL5jNL-xU0U8-&qVO^b39C
zeP7wwwQAA{n8xr-&JOe1VX$_1V}0~E_E;>%_Mr|xdMuMZBkjlJ$)<`>N$^D)-FA3a
zvAq%?uX4n^<o2UULhp${Hm)S6wiN<Ijg>&q$fcF(@1)Zh5<TqqpKa(x2k}z$awk8t
zy3s|WPcFyXauT8Q{T{IoQb;b}#bmWt*&h-7YpC}OX2Uv8jqX_ti>eOcyffv0!ROks
z3d11pJ91l<Erf-*&QbH^ysLe^FHn)nlsM5m4~x6PPpk*O#jaF~jl$N%0GElhzcm>c
zx?1m-1hV@;HdXdM4VLJxE-moS3(PM`c+uHT=Kj@*!matjvW73B!9UvuN#Ho`(I`Z`
zB9X%4rdoi>@=-zwZnGRMaadj#gVZ6XNzrsu1O$HliN#9)1GEa>C)YW-Hr^|IV&vco
z?a-7b082(eKgl7Kh$Dc%*)vYBcAzDXX1$xQ8pPG%lrm`?HX~cakKwllO0{O*(5fkf
zH54{Ki**ca{<Q8}8*tX(r(`N;6m6;+j6a+JkIcp77GqZ81Z|h@|Fam;0R8+sEP(AR
znln&3QCy07v4TodNO&DycP6W4S7dEhlyND$aw5BOAlphN7e*IMYIr9u!n2x?NZ>(%
z*~7lWOI(I-4PP7V&{yoge8KeH`aXrMsa^K-S|?5Dc{J7l!IsG{BZJkMm4S$gfedMc
zVw3&(x>wnRd1q2-%P6cb*`5_&Kf%!x6fd9i2C8IiR>@@m^Dzv6-AR2B{P8C61b4%G
zgM7sK)WcAh5^-u2bIsE!IKO*yXC5=R1}h|Q8}s<larV*iR{{0s6Ii1kjgkYp#)ApE
zru~EG5BQls{14hccxHzFd)gAdY4>kDOr~U6VFHe<x|q>TP<Q0?SQ@CH@z1?2erYbL
zBdcof)=>ti(ER_zTp-HeT!^jWmDoMneDjp6u8{1Hv$5R3C_1GY$1UAyk!zU2kI!qB
zl%br-BL~(oKD{d>&;H9K_a9t;aQVUMj4`r&En-{2qWF)#+4$89aVeYoyn?27CFQkO
zy3+IL#Cc~0Y0Gv-Y9|&wK2!z&=Kf`>QisP`1J(GB|3u(_LiImE#q1zPAd|{G?Qq0*
zr?Q=JOT%}`J7!JkAVLV@=>)m}NlI_2tB{=>@0~L^=2s)F6M2kSf_{W2pGq+f)68dB
zqi64R%hfy5EyXgJEBvL=9;FI!pkSdU+9==7`<3~pl)dyy&L>U#*6>tyj2@3!Sj~s4
zHzQ6Er*NnqoSQ$N{mLiZ$?cT*6o>dpoOu=N(E;_UKwC(nI7Jq3==jD)YVNo)p=fT6
zUoJ%uVeoeBqISn|Wmi6fr9CBl&LoC2JbK1C+F~@8tigk7&)h}or}_N{F47<Rfs4$C
ze&8be^XTMjKOEV`*Z$>fGRNCUg8>E5f0FS(ar~di#yD`G9wLjr^7iu+g~xWf+-5}e
zTd5e4Gx!(K-4D#HSaHFTW}=nnr4tCKI}+(lX>qnWyIvnLNDO!C#KkwL%r<N8tEh}F
zsl>PMjn<ZXt|l+{BWV!?HdM|lQ%f*<0GlEklIEHk=sz|t=4&Zpk#^sG26}Dct>n2T
zCRN9EM(u+H&+lWyL-_^f)Ox@nxv1@5qw3evJN5&(U+q~8c2kBEaWn8T>kHFh+3c?h
zI1DT@x-t^F;uE^4X<uJ5ZYp_?*X(Bjf2F!vX<sSKo&>ks9X@loL0^!dQ@6D9z_mPo
zz|RZU;_*TI2hShy^TB;YDE0q;4F7Lv<0Gh<SF#QSM7cLPvYQHZ;sQijsX#a4Pq*_`
z7Q$!!&&@fcTRgSDcf~bv&gNMu;E_;=?$+@m2V2?j%^Zvl@Om0>dm3<hnCN`9G@<JM
z;?%!866rrK&n#o^5g}t5qrz{lYZ#2$21&SluW<*L=o1}O7!sMPDre!@r8@i_GMH2%
z4B<umO>{uiZkNjD>E>7da@Uc%H9A=&3(l}f>)|E*=I7943Ug>Z2{&o3@YN7G!yzTM
zht~zgj%bmBNTCC6@^M@S{AmaUmnHmIixpT?p(G3Jb?wdj!R7|P3ok<vv}X`B;JZ67
zx}^X%4Tetf5&}E7LAzw*E9B9mUb+ynDkt~w4A=MYG)w76o{vmV@CFAF9X{aTA1;5;
z@<Hkcu2D3Uy}Ay=BC%wLKR;0XK=T9r56nLRec<|mZ)^_5mu;bSWGT(yDN@O#|C~{&
z+i>x~=LZ^UoG_&OI5}ws%r6&4e`1YErj0|GzDrQ_c_AgnM|us|4m{vxPx7w;&>e(|
zck21>PscuP`p2y4I8B|MtE6u^tuKOw!pl}&!{S#G1pY=Sim?YA%-gfRWKN?tYAmLD
zRwC6MtXvg9z9wG?71=Kr#S<z`kN5&Oqw%AUMr;AxscSr(rbjdtnFo<y$i57dr?kll
zbuwyqF0e^!rXS5+IDiBiTjH}GW`?$Wy1OL}A$8$^5{=dh-GiWt*rRsebyBdW4OvV)
zeU6kurCc9vSl#V>6N`w+XrCL6i9}47I#2Z!M<_NGS(-AY5;+-7z1h!R<P;6I40|_n
zp5uR_pw;~#@W2>KuYLlazuyotrY<xbfO3Dh<w<Xp4IWB^^$Xwk@X0HP6B+mU4xPs9
z4qZYpyZA2M5?xfj4lHN1ebfTaD5uUYoyvgyzH;G%Y6xSBJb9{bt2P_71E&$pf#opV
z_(Cjl>PS3$6(;M_wJ@~9IqVKAunz}92-pel370?y?1lei#HH>?Wu&OoOoaGXg*F%}
z^+mM7%(`l&ASd8KU4eHvwP~21QNau*G-pC=#^Q64`f==76x7I}R!AtJRvvHz^U0`#
zI{oTD?a;KK?a(wnQ2RjTgBkO9wnmQQJ?}8P+wOrD1mA%c$q#}*82SLg@5BEf*lz$k
z6!vgN_OBhuC{>XN5f6r;vb-M;h@`6^1I7+40FvT`-|2Dti)kOZn{?(xT_oZI7U+@U
zEqe2niM8}6&Q5OEW{I{J4B6S`!XwLUn}ZuS{>~y?h8?oaY}nZ#(|7MhW$n5<@Gwm%
zIV`z^p%7X1sf&G3LMjRU`$6RPZF#WdVsy2@DLxt}J?W6sczNyNc#yF1<gKhwQ)l_v
z3Jc5l6}`=EDc8lL7Uys3+N+0XkjibmdQ#e*ba0;3KaKtMa=xS#r*T@hQui<w&15#a
zRyW55$aFBLnXL}LK&`1~WZ}yW@PSotPJrl=VbiOuVfRahNo1eV^fm*)^js!~v98p7
zq#Zy}o`s)ITz8_@Ix9h3-pP<%+8M8M**V+1>6!47m|@&Obv$nuKj~Zn(t4&6lF6pZ
zJZ}MdTHC97sXy0^;>aJD-f7*8{Oh>+RJ~WW>wcNw&KcGK7$V)oGJGOyDwgivn08qD
zgU0o;0y&)QXxq++A)QqZ8(!#oBwmm<k#hU~<19(lY1a$!=>EG;SgB#+!@mRY10RX7
z|Cpgu@0}shWf}Pd{WpMV^nm(hu4U1~_XWBu{y80A{hjH1)vLLH2UD(oM+Ixk(VRQF
z@Y(O?q<E;Qu5p>xq;8Pswe`bBZZajl&-N`p`&9Sly_n{=L0~ohc#m}N5eaCgiaTmZ
z@|qIQf$1(vJl%PKbDfv0we4(H{eJ4(I&?aFQ~m0XUk);h<^T3b3Y1n+oWYpnSbCt#
z0ri1PsdW;?jJC@#xnniataMoq5uwW-R+GV7p#A`Wih7sslt&-;ZOtzmV<;rhUz|ir
z&@1L1c})xcQn{t{?xMmgnHW7psBJ<6K&+5V-z81DDpoWMMiH_Zal~(ux4sQ+)Q!TJ
zO82@_SV?$RHcW7;1Fi^_3u&P@DY?VFZ4>#1@r^g=V)%X@edcJw<XVU>h(1YW8<P8Y
zhJ9s5bDKfQ99`+N-;b?V8CNShXQ|7D%5}^biJ2eGj*E{HZp$(}b{5#KPc-)zfHT+|
zj9q*k@ws<We=6)}YvpGdSB+cqOj>2%!>)r5QRcX;GnWZowfoVdc+4{p`SVG6kTNk+
zzIlguAL1+<hJ6yCyEsQo%CMLUi`(`M(s>M}#<h>2#swKrsg>+MwnQtx1#9&L3#bHH
ze<6fIpX<}iQMZlFlnyNaRaM4R$z!ElIilBMMnyh8?`IZoB6wpB!Ny&V@+=Vi@|G<S
zVva{3u1oO4*^pfCS0pvA<+kM_meQ0m<*r)&@ms*?q_Q9-f0?Y9f=L*t+lqk7sm~rP
z6BSJKplq?k5!#d%WuTF2!u_w^{8rVuXmt~b)!-zJz3wwx{pNZmyhU~EiOuJ#jXUd$
z3NDO=;NhV|o8<w*pMvR#Pi?UZYC?f(>_AfXsutq#0JbyXuyb;qsjKLoF1mIEhsK23
z3Gpt1e*nL3mUsLc9>xMUdh;xt1C6u3$K;x*mzOgkgE!SUAM$_1kcp{UVn2a<j2?NN
zjaB{vVYL0Im%Tmfntr|QbfpJV{-#0*%KZ)AW!(kolhx<_dip8bn}5fDXlu^HI@aG2
z+IA6Kv5c+(e;wX`ovnoYWmh|F6UKR{1Uo=I#4WK~YZ&ZeE_Y7*)t?hIO_5EhamI4K
zBDo2X_rD1KokF|b`q9NZejO4`jWH{vMR=QYp2hT-I5t4bG&#V}+3@Y0Fa1uDHo4@~
z`2oarTw;x@qUr!?FtgSfF=RP~$a`2j3N=}vKR}dKfWMPL>vbSUCz)R+1$8-%CJj0k
zx{dly*X9mZr+ts*e?l}WD}1Sv^mD<)c$}N0go;Nk)n!aNoh5ak{k~-Qh}G5L^f8<M
zq^2Yk^%!+YNy&5#gio{|5k8M<2y*Nn_`iA+Yq2k?thFP%dUIqnlX+UVWkaP~i;d&k
z$>M0)VpH7X{$dbi|3p7!E$w^O!>Rft8;#usVhmp;0WX0{axOB`pJw~L4!6V1PF#Pw
zjd)j6yWIw}{x(iA!bNmjg2Hm|sHi2(!{};Zly*9LsC&Wm{Nz@VhqKWJ?yC+%$g4@_
zXBOfY=-ZQZl!AKJq`%Z^bcY}!_P{KNFIBPc{F8xrkM<jxr0VCfnq;|I+QmA;i7Mvf
zaz$xtXT$SXl8gz>C$(%kZl_@?3KQl;_J$PlJcWTG^>7O1MSJ~B`RXVduqR$z7{}S!
z!8Ex5c*tYJL1<Kml9MU6A10DY2z9tLWc3@&idwBTww*WH9mBMvM;1NP;fkcU8`r3!
z0HQs(RF8zWszI7m<0-93<tehC%iNxczWvHiv5KrlPl@n{OfusiS|cBg5(ktU{j4WY
zMh;6u`fnds%{SvCnY0ei7K(X5jIADGMp#y6W2ulrX1G^0yBp}6goj1md37KVPhTa>
zzLb%>tNjZdu{tnR(5S;@B)*2KF#&X7;*NqY0LFXl1>6O6Z(wxcfL8NUQaV?S>(;r*
z1ejc?!KT{+>8gRr)5P>>TM^^E%cDuji~sT#n;s*y@g^CY8=0*co0)V}Er2chZ=MqA
z>$HT9!a;$@Sj*|0(s?-X&kBauti!#E1F&zFJ#Lu|EuHP1i%RDOl5NJ8y-HxEj#r1y
z>FbW^AF!2dn<z>Z@uk}@)*@HI-3aEv7LAKtE$QFLY}iLG5fPn7WRjg+ta|B;Rpv*g
z9oIP)P8F}LiJYy0t<Ij-4fBm1dsY25bF>SeATy~T?dim@5`X!qIp{+qPOlM$IQDGz
zK*gQg3+W2ir$o{CTeRO0LN@;+aQ43d9|DjEwvwx{NbZZmM&R%n0F1G=eN*MHS6RDB
zss1`*z%>8PfELotW2Z@K-=f^VU9FDZ0HFa*kNBXPzi<vNR>x;T4W9P+z{Ue~7u=sc
zR@-HWI|aRTH_iieR{|&}atR!Yi`WpwG(uEPc<3Bc5Z;uzAK1P^;`w#(VnSe}yZs#k
zD4_~zN0%F<5Oz2I43V1QD4^Sz^CvB(T{fztTa#24TfG^o@;%6BDi~AGDI#572x{Su
z36N_+mh$6aMW5?>fnjlOSl;+adxAJ)nAF!a=#(Wl=I^7QfmusT)T13zPYl8jbl}J?
z(Yh&A6TFTr{ObT83{chICKs2Kr&UA+{8$<pXA`aMYcJMby`A-iT)=FGyGwf`SUH22
z?*Zcld~fcQqOLzy7x?};iG@}k_igj@*370}61xd-r1&;1<#?D5Y@xz>;RC~?Wg5d<
zQ3$>z7=Fc0di+sS-bVtG?Y}YuA5Ej^WX^=W2{enAx$&*N^~t4GB<!SkL!O~%Pj_@r
zV7))R;DI{^huLxwujA1jzZ8Qt(?!yGTLd%#lHKr>hu^)kXgac>U_&5J^nF$l2%8uc
zu%1>3o;}1>D8t{md2-V^Mga~1ddT}ukbQ4R!YjDiA>>q+8;*x^eskh@+N=$kRuxfr
z45RA{h@}C-!Nhz_Ag-Ri+{J{$<%jsA^k8-wff+xD=B2eKp}7?Rqg2pqC<m8?XKC=q
zIDYut;L<xIc-Rz?#Cjx?9OJ-*kJNRPj%TH<2jfjr_yJe=q0rZ%Y)Q}N@`6AlgawWA
zx=68QTp^_Sv3KhNaq9%JdmZBqUHF0j6}<KWzWf)Uw~gr2O!^`%{NS;^y*4vJ^PF)H
zGs%8^q|#r{)V96*?V`^KlKuh~c9_lduU=|+@ut1`DLF<85>;uc+KzeR%-E&o!6v55
z+C}fyHfq6Z=^bWgx)<%SNXW7@TdE^5ZU|Gc$lU+qH>9^cnDh91)Qq=k0exz=15Gi@
z!r@yAbbTy}??86#gw}Ep$+D4XRQ+=>Q)zWAg<Stbt;nxFei_IX>}cEgU=<VC4r-@5
zHcIc*PZ!m?x5;@qmxj@23*!x?=N$IOq8kTyhETI9-)O<p40&7O=e89TFQ#eSkTli9
zzIenJId2e)lKap17Sfjx-(8FthH)!F7!Movua`hQ1Ywwfo$t>^IgfvBqn6q>)GD9o
zI2ysU`rF&Sh#9xtgvuqMvfcJro53Mlj*xdy$f@MT7L@!cTGs6FK3{3-czVUX-sHp*
zJw!kBBaOPT+s42C0^D5sPM*44#ZFy93&Z?T*OnF(EW|214=D7jT9qnHXh%?>Q~Q%H
zvmx{3E`6^1D)VX6=$R4@vJj(fTdD1C^2639XSJK?v}~-rIvyeZF|k&><!alK1ozu5
zyDOl&HqdlT%+;ZG%|;BqfK-^-8y_STGdQdPVH_fUUC6Susp<6iyG`OgS}<pwF8QhC
zw(*!&TOHRI<v0aO{=BZ(pME1IJGh%uSi8jAg65BHsXjBaD~vNDMX+A|!EjvS9)KJc
zq@t%`Q{v;tu#X)pBX<%q`?x9k+#|9yOCTu0Ak?1!@Eaq0$hcKH-qz#B8I4&a%0GJr
z-CMR<M`&U^L$l8~ejM+|xSJ4FDxikdY|LqV1$mBQ!JfmbPlu(KxSQQnePgIIpGfy`
zn$d295k;^SkaZw0fw_+7W`CJ+Aa69-x@%THp1gfO<mjvsw@eZxtiyMxTX7s}(FmEW
zYunlQdSx0o?6y|XcaQGqUhc|UOT>1F+_zJzSHYd$Uu4f2j`dGT$42t4P-5lI!sJne
zY~`a!dbM^sn5f2O4!AykCYxyquasM3BBX1IC|+S-#$F3=Zi=&cWKTC-Q;xO4HvY2o
z3b*u_rIR9_yrBoW6(q;~(e}>(%EXULLARY!m)AmB$4Qr_EMNdDLSg5aVp}uv=h@bp
zRnTvP_fFCm9^nVRhH-YSUEgx6`yUZv!log!JBeipFLJ8N<#ar;G1OQON#-o44&y;^
zm**nC&P*`h9Q1j$(=Sy1K)NAV?>~AXnqLisw_P48HND0#yo*U+*1RE?P`|kZb{{<>
z!#l>A!+iNsXrT}V-)IgAgSFMGf!GqS*NQL7)(3hQvN5Ut9+ttaxI3<+Xg4gUmnG7p
z##s|_$JRYO>Puw-9q<D4zs9@^LRzT2Q6GB5jpD7?v&ExegKDf#bUXQ^7-AA?9H4eP
znoMS1q&e_^`i|22okBMhQklZCGM!E=)FJ2a2;6Esx2^G)wLn!eA77l{pOrCl(#K+V
zeX-_oW;s2zCS@8oQOTvr_=V&|qS%yZy>F`T%9k;{xy9Si(%!sVklS_l*2^+Sd6^iu
z=im*!f(NBS2DgQjYWI2W_`b-nt_dnx7-mZc$;p6x{@15m7KXsKmd_`3q9x6+CdlXr
zy<FBg)@|8{1}7p}(}j)lYKiL!e`<{JU4p0giHW5``6m7UjTz!s_!o+QoD9qiQi2W9
z6hutEKZlD6!_sj&d+*9}9Mb4ph+NN^X-WGmqP+6v1SHhaV27J%$(%Q^NG51`Tcg>I
zhPfOWqWYsthEzcl2b$bP3~5DOz|U7W<JJMhN!-nKMvn8D_TKBtt?JaeNj-ber<6Ul
z?2i8JOsj;8X<&zBX%o3?%a>?U2@xy4a3;x|a>*hp3#9C4l0$veIzXd6`i*98josz#
zE*8guljH5b*d!xcSUZJ^-#&%Bg6xiva&!VJZqd=13IBR4@D(+F?i|7rR@b?`+L?AZ
zQ&iEb>HXMFAZ|6}TNY(qRr#yjf14TcTo(MB8Lz6SDN4%oRnO5c2$S4X(C(NCi9Sol
znA8i@k5n1s-step8)sd=fYV>Whp>}LmuOh0+*KLNE#4fa%yzL0PtB|QX5RtK=$#cj
zH#W{CBf7ST8Rd^&uPQ0dx@k+nS`(X=8e}N$t;CkIuf*h@-lQ7pjZ%HQQE{cjNy+q)
zf!_dgLEeyNDEZ_;^)G;bSl(=4qUP!2lvPCO*M-8dwzX#&-Js3tPPlRO4AaG>t@eiQ
z&SJzdRO)rpM4AM*rc9C<IhL((>1Y|)fb&LqomiQS@pM-mZA6k3KSYfJNX6jng=J}Z
zv6sa`c(PPVbM7qVY!l3+L`zrJ;2455?8f;RiiI8TGB}dH!|?@O;e}uDYE9tk^51~o
zc9>5y?VB|C)Ooh6IBR_KmxAfLqJpW0-%6K&(}VBuKTG5oPA43XyE8BF0I;f@OY^)M
zeruqF`B=NHV(UQ-)tB9))kmD#mZI56YEh=~eyK7}V@akEV_vS%>SX9R;MQ`z(Mjc4
z%xU*#yUp(D=LU~1qC83e<}mcIUhmi0Ti}rb)C75R`66d%@dJVfA|I$gPL<7y;#x}8
zT@#%B0?xh*c&{gYk?eUS)*S~Fvlz-`zUq$gGU}{uwKI805!A?fyhUV7zklLc!Ap1W
zL6~lf>gHZZSTQ>tIdix*zI$|MZ~r>(Du(rCL9M^+r$tS-6-(6@gnErC-@m9ufW?%J
zl#`YD^N*SPPh2<2?k9IRtmT)3yOrS3ZhzWG4d~mW+U^6y_qLRa=4a&YevCI}z{cf`
zsLz_h?WHU7dllXjm-yP3CwZHb+vtSybK0aQ2v_gAiC4}Xjd|?K#4944IfeE;G}DIm
z_?9pAv!T(!F7Sx<rc4GaReMqc2BLApY4H{vh3FZ*wR4c=4S9X}zhenZhwHS?HtoxX
zufwgPZs`~(&Q0Y=2bn~GaCD+D3rqcH;&?hw2O?3+g>Eub8n@pT3drvH6?U4$&m$=X
zat|HVT}1t}u9e-^@<|v*_VUXVl^wh7&ea<q1e}85dHVq6syGE7q-`fh%<(|x>KfSB
zXaF1T^hWv*>&-xL-3k1J1b$%bSog}Lear8;ehux~XWl=ME^Y2sJ*Q8J=&XBVgY5i5
zm{39HwPDZ1BE2{3tuAr3yh8TD&Au_aQg~zf4Kcf-|L_n{SZoou^iIzRj*bSu(FFl1
z9?d{IAe>IjR8&?K>y0DWH#|~EH%w%AAMK-D*29$hj__gFdk5{Cslp4M?>#Yfw<aQq
zUKwo6xfA%x1OmagwS~^0)vmp|WAyH`y$$eLz<A>neprGAtov*ruucHaV4k;pz2Tp8
z=0#mRDjO=erZvuwv}e`M2WSbCcZSI68b{`PH!sXGY=h4kan-jLP+T%YUfW4nZ1gRk
z?q9$zu#<=BZI+&?#x<(raKcb2JBRy%z$4P9uVA3?LrjO`du=u(8w&VPK;qM;q0upk
z;T=typ~rEL|APw(C;+5=3ADWlxQ3k~krQ6R@FoEdGB>X%Jiz;2A1@qrC7)55Y|a~W
z%~Ziom3ER0#)KslyJBZmhySxTe0_Krm~Pv?#j>JVjEsppdRz`=??xejz~d|=jGSjq
zGjVL86uy9&CB5@ZkQCt2w@K=b<%aOT<tp67o69lKU9%OGgaWZAfC;1iRbnVi<ir7=
zQXqmV@-<^ND60qD&61?GU&1A{xazTqd!u^(#3#B5WAtHn{{FKj2@m8=lBR=inR;Kf
zz%4#nm|LF+0}4-wE6??u^_es+DHLYSo_WG20anY3fg5@vN!^I%9?+aJ?l+j0Z;+<0
zPzIA(Lk0;z{z1yu&e%W4f0%M-WJ+7(@cO<xI^Pko%b4ESTmDX)K`CpEo00j?MEqyQ
z%2v<|p{qm4SGesGj?2|yu_|N7$8I<QH%pz<&2G8xF?dgez$2e)(XET1w<5eJbihq>
z$FvKK&DR;Z+$S5r_STiC&k~APieCTwhRnl#=d_DQt`9h<3-O5P3g$$!jmW`$(`}{3
zd13l1;SWQnogQraODGh1s>iSR8YEJjU3(@0=fER?N3Jb2U&w2M*qFu(xcOj~c%ZhN
zy$ZjsB67=#<xXmjB<B&lhaIAP|0irl*-6dOF656Ydk|(ZJYYzjg>-J#Ue>BmwQRdf
zWopOLr&Qpb&NHSd{!s{M?N3$VNwci=f)((|YpPC6C5qRZz=x!+Vk&FCaC&F0Al<Lw
zDAQkI>^I*QMi)G&3NJFN`M2lmz;xM{UcO|`V~DAuJI6L=Pig;G#*iUy`HD53FIY_I
z%6y@=@;c~zUFO__2IjYa8uQaGcZwfEvt_-xU0J6efxEL8z?V1f&4;ghe7#W8jr4=^
zio{W){dNK%WsR-R!1#*AGS7|TCP$=UU727=-bdoMHLGa@=+{<+y|07Z8o(BUfIz~o
zWW#uGAxZ4T!%)weIuzfgK(z7|JG-@~l$&9REpxN)uTA>P%GMzKLUzf9ohOZsX=dP2
z^k?0(>t#V;ofYs%jokfdt~ZXqFOq&oQp~Y7M1K)x;yalGC@$|SE4ywmtW1kE&oz4|
zLfyT@cLlsnn*;9NNWEeeF*W6|!4iF~XoZVVr$PpK7w^hyGtY&iRX<L%DH}b0wl?pU
zn^C_BLK?{_pirCl#Of7yhjbb9wRCBYMV^;T4_C3W|G6^Y1{rw70=NkS9wl^4Zvc--
zXdBMFY~SYQFI|v_IXC<Q^jm8?X&;b8rs{sboNdw*uLxUzkAB53`pV4hmyeX^d({ld
zHd%rF#LI-iyI!&lD#i-IArB$sAnH0F*uIJFza>E5vfE+Bv~Q8`-);x%A6sd5@)_Gz
z1`h%@G&8=|wP^S62yx>Ykw=tsFkQG9)e0Hg6$TH&{$}C|VrsI`e9_~^Jq%%LveXp#
zgnRdQ{bM23{%tmHYL-u0It2!7h=Mg@nmPn^%XtR1A%qc?<Pq06C~V;Z#7xsZ;2*7w
zW3_WMmaWWtwNh7~6Q`gsUQC3Uej;cDqb^$EpP_NQ*0Mh3b%05XN4Cpi`%`zw_%ggi
z(O0m(*thWQfr@s>1_Z{!`WwkZ76{O%5D=w%F=~*yYMIKbQM4k>H9N?R4O06O25-qD
z<~W%ih|n#Vn0_N^RZQ3L{EZrnB9GW7zy&xP*{S@^)9c^)TvL->z#T$lNq`Pmu#d%H
zm{D94L#MUmNnHP9XZ6=GEO>A%Be8{fuST<fds;E9j<@PJh89t=fgQdk7~maDgJx&;
z7mSY<(65WXj>B#~$I1hPI=d-b26FkEo3{<3;?aajhq-`{2zk%Qit>b-!)9?^EPJR^
zT6pPt^mE1ZuP6A;-q>22lauH3sl^c%{rpcK1}u7RKHUwUBARE*P+bNJ`o2Vjc0n||
z0(@QKW3Aq=w<AO!B9P^xt}gK0xy@gOAYo>p&h5t-?pR0Z?Q`xdd1_r`GZ}W4U?V58
zUZ`|*E36D(vQ&`CW}sf6G{%06UC7og=t(s9YgPVTQ#KI~0%<*Y7IR$b4lb`2WFc#3
zOCL{RO<ADRr??2-%oqMw(z%yC56d2?J-DBM5%HfMDmvdy+8s?Q*bG`8v1#X={H$Rl
zP>(RYI@PE0o6K{4=c<sY#N1t?+~r15?Q2UCEMYesk>in*NV~WXu6L&z-!1K*r>(LX
zpMWITTj4JsL&!Pwy{v*9z1;4|TYI!GI>HZb-jE4z$n`5259$KbMa$cV65?p>oNZ$N
zoPIX?t%NSapj{WM$+oFJ2Z6I@x)<O$D~$4r3%HR09!0zocuOH&0&!n($z>CzY1%t+
zK$E;zUneI`h#w^78@p)-$(UHLTIUs_#$Ld-*Z;4A3FHI68F*Cozc}SqAa!)03v4PO
zl3Z56#@Kx9;#GW*78n5#Wf5|<DvrZw`)STV68MYb`0K(Q1?{58$Jz9Dpc}(A>*2tU
zoUvl-GN_ABd`eGqz@8LohI#08m}#Q=Bk51eTw96V!0f*8%Uol)<qD&6yp1=@)r<R3
zVe9^8+^IPgow<(i6($I0!7V1e7WG+2@#@5T!kRSSDBL;BoYqRQ<o3wz1_!-SOtWBZ
zGHrD4g>79FzA}sO>zJC*zvBS5o1c*1%ZMk7(yD5Gzy&^woc{!!;du;AdVO{f--AeB
z{;QdN)Z{`yW1TQ_Pkom?eFEe)x+kwAn+SDavi|bHbWV+kcO$d!#LvB=i0}1HX#ai(
zh@LLGk~m?>Q=TLvW}|PS9||oYIzc+2nw>n2ZMF5*`Bi%{5sFkE(sBuk)I`e+J{5Wq
zxuq_mW#MoQ*65p{6q)gt^_m-sY!<-$b0rEq=FKI*jbOphS})NI4GG9b!F{M7Z_w}+
z3sj%2^#PXn_M#hS!TSg?=;npHu7VL3pmO^n&KRa~ibI&HmOkF%?`T{`Z~~4Gc=_?S
zEA=9lA{>OdyAaGCttFN7GwsEING>TBK9vqs9i4HDy20GlroZyimUfv#e*jDNv1k+_
z3%Q(C3m~4+QD`_hGyec|LA<0y{jd=x*Lq_4i@-S}J`^HbvtER0IKBtu5@RoCp0sJ&
zyxCdL^jBMuQLZegaVRLeu3n#VNFQcH@ez@m=UH;2?M!A`Gy;uI@YMg`XW@r!--ON;
zAFaL^2-cgu@B`xuxEh8CW1Ks4eSz1tPcb(rkn)wol@r_~G`;tnFL34H2e^1~Q|Ca6
zbePX?B#M7^u~dexV&DvbE!2EckY~u_4eK-1y9@Z(>6yJDkKPb<VOK)%-E!{s<)ULN
z9)Io{`*ezH?$$|Umqz}hmq>d?J<ida3ffzDX2H~$$UC(8OWIE6LZCy>5+``d8TW?q
zcB%JXWS5yB75u!my(fRHh1N1Q2}5)M$<iG@NV2*z8ZWHcFJwRu@K9V=pk@v$vyL5*
zyPvN|StR>&k(H65nytOl{uR-{h*x-i;6eGZ8_O*as5(hTftGcjwRb8#E*vayh{n*J
z{r6f|N=@EvJRd7{Z$g2_`y#9u(XIAnVVU%CU~iB7{TpwX_1v$A7`XL3aXB?;-bn#?
z>L+OffW?79F_JcOx&mx^+A11$hmsWsdw;J+xNeNQB5RH(r<Y&SkI(3{XnuN;G>$iw
zal>J%vf*e<rc9}3HYh%#W7cf#C$|tCeNm%dK+}apvBDLRTl2Aq3isvnFXonxcQPkr
zgH^-UxnLE%E+rnr{q1FKBWwhLe@bw<Hjd6pgD1U?LvG9Iq_T*nIvDaI<7~JB0=D;+
zx+?q_l<>tWrgEojlXkOa$vgPT&K?5PbR`8VB>c4UE`ai>vc=K*IuckAq!!Kyf(V<9
zZPgs&HDr1z?5boIeVgM#my;SeZ`6ddEXLl52S-~+Y<Lr)D;?e^^t^7`$OovI@IJ0r
zUVg3>aWc9-cZZw$N#M3zO>kb{)n8GG_FEPIwx-$nP?0B^WT>YxTj>_^Hd!0e$?Pns
zuD#no8DQJ>XR0;RXf8$TW1fV99i==6OD!AokbCAM0Wa7fQj~x24SRotG8AKE*G;{s
zw}+OTUQQ*`5&VmCKt3)WQ+7%)t%yTTYK0g6JFOS0Ea@`2qt$pbJuLi`5n+0_gDQZG
zdLbD`z!b$;!*a~Jwp2G}-mRUm&0vmluKKw6ESGy*9kt)DaJnCk@?YdV=`AF}>!-9X
zxG<QrQ!sJ@qv$ZcPY439yc(!SG`LCsf+-SR|F1<?<R&Ff`PRLqcN14S5>4AVG(Z1N
zZgrP!=0zmDV7mD(j!K+>uFyi7&11JnUNqN&l~`74#bB1Q#XBxm^%t<3^Ix3k8Dj%>
zyhT~#6U+(e-(ew>7%2O4bCgRr?o9d{q($K>9BgW3{9FCPG|}o(<3Dw&#Q(7KdBpJ_
z^(zOLDe0zg8i&dKe@HRz(`^6pAjQUiWXN@|ajpeIc*2ycu&|+x8*`AzW>@H^3WNR0
zK2cMF$qV7W(-wOAxf4+cIll;m#|gmHz9f;D>;W+C>9VR6;W28!#je4W;OG~h>t`=|
zl5fh27?;J^GHh}<1!VO(WD7R%Z!0&=BO{c@WCf-=<y+Au+jgK0lEfW;b~+>2WQewq
zY;&*)ZFt?eftFn222t{DL|uAc-F{xA6+&XIXyV4_<V=>)svj$WFFKTYXKk^+8|m6{
zO?bR$Y;jCvMBCcMwFpN^;5GvC&T?Wepq3>Y?+sF8o`~s2Nj{CCW13(Dn}med>E%YU
z|Hd;J&OKv*>>B+{{GQHXGyEO2_WAKrg}m)&rsQACNdbjV-s7W8)DJxAY@QhThLVE8
zmDn2E^yrPsaDs74G5Sx|1vS?#&FJpSh1iAo0nQj~iLsMjL|=?=bjT!>r@7dW5HehQ
zL3Lz<{XkHV{dclx)U*PUGeskK=OXt{)L}3FRE2P#DJ63BKT)6%0l29LCRMj^NBZUC
z+Qd=v`u<WDYAW{le5Yy99|t&pYA<r;o)75jlGNswi;|rDjbb31J&aX)@OINk_3erJ
zSGfKDg~T>~F<QE0@zVtkY1E?S(68N<&#0b~;VXu7#nDCegNC=$`7^r*g866a7)78V
zhu<1?PuZ3A87W%yXBgEhm0b>WXIb_4Mt8#{4_F>iX}dS@6O&gqV$!eAeZg`ry6LMQ
zdheopxzyi$S6fG-?2nWFH9LlLGT61^x=G3iy}tgCRU#iGm{CZAZ~>Mw6VN-cQ|EFW
zm`c$dA(6;uZKTp;{j@;viuZsNKpWHA-m{W(R(n3PbBE*^9^Fe){6y<nV0#Yuvr@QB
z54zlJC#|pEhjW2Mvf*lO{p<xartdn2B=!A{`7PfZ>Avh{tWttszlAq;uuWq0k`>v?
z+&f`0wMb%~WN0hn>`52VnTnBt&-qFurX`c>DnR*&-8*+=y*On3M1@Ny2goT}Z5rV4
zl2(KRa7@6+oeSy;W|fXa8@o)cR6oD~v$!e|F`m<OW2Ttr#Z=nm&TUqb=+2Dpc&Q9R
z3it{!G)Z^sG2opweg}y=ESbHB#|j>uW%_wRJcHEc($MhtQ+~uxks(T&+Qjy5UR8?5
zGa{`elMtL^D_c*$xMS~O5ps}IbCJfhm1fQM*OQsAv&<%ISsAw*_JSyMOELJQ49uRe
zi>AA=)h-W~`u|2J2$Z_Bs*n2X-^9Yh3z&8H>c*5BOV=izl;TmSd>&>Q-lU&^`NTIN
z(EgKCSWQ2hAMUe<l?mKRlnzF%GYLFu;t9&R3hgi+#W@X!vTA}uYU_x9qHVx|Om_j@
z8N45(pxbgG9QM!wzw&rXk)V2cv35HJGP3AsN$IP<<ojff@e@wC+{(>6EELrHyDPM3
zij_6C8n&-(wlW>FA|3P8Ke{HF1?8iF!lum3&UXHqZ}pSohTL*Q$ZG3<RG-LV%W8*6
z)x}^W#jpb`L@VYc`|@`%^1^Z@vCs&xNZ&2fb9%)wsnvQF1rE>FkAF9xQ*pcS-?txT
zcmQ3>YEYX(+3tEnbfZ{nJD7&ldx`!%TMHV}x0y2j8I1C!x|ZcaV%$d*&Kmdq`U4u3
zic@Oe6fTzOj;q7{Fu~)sCGclgK&p<U#YzP<5LGW*02T|Hk*H_JR7x`T7jFd&(00>f
zkHK()H<DSZ*ItYB#p|YW%;-^{^@QGT@pWDC&Y|1Lb2qx|qzF;x0b2y6hZ725zl|os
z0<{F4aoA^rBC`n(F~pnH!LO>eG}qqgTS4h>B;(CyhCNyd{&mh8H!)@~)WZYhw$Z`>
zP{+NKw5WvF(g*sr$I}IV!awn-I)5=p{i)gs3*Tz$z+ATKSA%UWyLxcDL#SQOH@ku*
zJpZWbq192}TpEild+vp0Bvuzrq!s^lUL@UADtsu)B+)Moey$qnw+XwM{Yb3Xoe;^d
z$u9q(me-fX>fWHOo*tDP`gjY5?{fQp8vT<*rkxdZnSu+*3n?<?1TFs(&6j=Ag{=#H
zg=xLsG@sjoDoQ;ps;?}GgGk?@Ry0S)R1B*QMrxwLHd?mjin^sH7x?L~H-<0!i268_
zg@_TkNz%MA(8y;#P+qHrFgh8R>D<~;s-0NgQB?rfG?jQRrU%h&hH8WoQ0a0XdKm=G
zdzA4<4CtwCmvneszi6=GNWlLg->5mlZ`x`O_Fr=MEYZslSFOW|06{Ize(^rXe<Bh8
zoPqeQ4c^b`jOxYd`E`VrRh+I5Ey>^~o8e;p$CpMDV?_xC+xDfkxi#WTfd)TW!P}u$
zA%g(O?3t$F$!{tES|lnCvO8>OzIY~%TY*jXMNCpHuE;>ZT2l`h3OZ@13pdS*a?(w^
z1y(zh&eB9oa3F)7GjD-7SHk?vWT_S1SLB%tvQunE(-53&@~>?C6!b&5b#0fo;mCot
z58`T1yax@$3)ohSjSEx4ghH9{P}11vtmL&Bfq@D-PteKcx>P?!ki}|T1FgKPMm}*j
z$0>a2N0eyoq}Zlj-*$`jLS%&9b?G$!q8?u}dL^KYW6_!SRm9QNy&5#Pb(*vgiuTn?
zVuIIQlA7K<x9$Qil;V1Tm@>r4jZ|_np{U8^<DZ*r<4s_r;0%$^ixn0L7hW&~ENfMZ
z<)VUP6|@f^71lt;N^8M272tB@SOMcIFpq?2A}#t5lym}Il|b}K&#c6!N9WHa83W;5
z3I0mYUV*o;D!`8ORD>@BWZ`{17hL(9iDEiQWh2GKf*PCfu?<MtNfb>asoQMQ{6{C}
zsY0i?8+^+_!|4%CCWS+tWw@}RL!pk@PI#Ar<(9>a#QYe2C^FnGN|e06aNgb8>kZJx
z6ODsO<oK<azg{2z^_g3CRqASyA@ibo%98RlpRfI{IsUa{HTW~SlCpP~UYwgCKf-0#
z>+29ssxn;b_D|Plzm8wdyXYjLQGFs&WnUX~qmV(|#DaHgGMy>VB2oAVaLk*Q6GfdC
zT0q2`^}(bX*Uj}!G3xA@g>+_nLJu|u^&EOlX_0M7N;bU=3(v18BvDvSKZwO=V4m_V
zaL?10@(Nhks<q_iFMhNMl6)3pVDo_l1{c7)eUo74<FXEe3UmM2T6`4#n|vu+xBKgt
zJn?pWK9*HLp_7o(PJMge@Q)u86X)%;dtqxby_kOf$Vc{n_&cH>k2h?KLdH+ggc~1r
z6%-zVq~lt?rAq;5JMrnE4OL0M8Cm+a^*t^DJ0ceI5C?`4y=;3!oUprN$Myg0JNWEM
w+4tb4AN6JB>xIl_gl`eziyzL-<tq}|a_XJ<1tpG$|3u)ydTV_k{Vz@be;g^<ng9R*

diff --git a/SPI/db/SPI_test.map.kpt b/SPI/db/SPI_test.map.kpt
deleted file mode 100644
index 82a0a9187b21a934d54cf265f0f636bc3c3b39dc..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 1068
zcmV+{1k?Mh4*>uG0001ZoYkC7Zrd;rh4(oHp#xYZMN$r6dr_c^7G0zW&`nSnYRt4k
zVp)-tAO>{!%5iGDi5uWOxiGnm{)U|4H;*$kGQKUF+5CqnvW1&OmlvOAjjNl%A}b@Y
zzV+y;I$su(NDgEq?jN$Md8l_+ziuvwiz;6@@ojTi$k9L!hT_9Rem56Z88JMMrHa*1
zj>P3>@g;AZ_&Cp-?%8O1CdByGt!CviFPeH*yGAstvKvh0Zd~PxYZr-MxvRQ6<s^!q
zU)X;|<nwNH(e|aEdEL0`<+1L;^~|Pqna}FG?9t8gqHeP8qw)P!GW<S{pKotI8E?ON
z(1s#x%-vnKa&yE?f}6Q6nkx;>MKk_NArpq1hk}e)W*!oYdrH~B;xXc!B_Si{%tVxd
zY{((yEiCGi!d)ep5r%g#B9ef;vvH0Yp<tI7?UKO#{t8@M6zyQtDcThn5d!zl2pAC#
zcWePG3ikvwLg2p6j#%Us#rp`eLGi9IEm0irU`#096_)1}C+}ERQJlVmi;EO^aED4E
zFR?Y8P{?BwNhpDr7BfNt589xB$9PXD-Z2sr4tH>6QMfBGBAmd3>z5MvcQ+Axe?Aw<
z|E`;xDl6(ualKbKw-ND`n`O0d^S7UQJ7GNYw6NxAJoB`uLTfzpwD9uKc;;!*tf2AC
z)564RJoU8jen#WDr-jpk#&b^#?`Jfgds;M8YdrO|@Qz$_xMLwP1nzhz%uv9C?Hxk^
zk5@2;KpyWR8H#z(28BGhku?<ZV5`bd$b+3$3VE;#YAEEfzKEp+9)rYk0*|d<O9?#K
zYPFQYgElyU2SdUMJh-+f+QB0t%LzP&yX9~P1JB@2oOY!Y@?iHRC78$0qEb@i!P6*;
zde8<Z@feUPr|}poDMkIe`_zLa^tM{g)^+!$jy_N{|NYo@B)8?kWA8urU!G{P#)-U`
zyQfJs+`IXF`&HYltd>u!Xxfdn-1|!W=QCT&@y7Jwk?gks;IZsV1Zphf_>iyuw*yE1
z_;0tF56FM2U(k>1Kog(?6Q5RKhy?F?$ftLp4siu4fBa`dT!7Le4c=96Avw?^4YB=#
zd7>1U`r|+yq63vj2%CQS_(Tr$NQ7;_9o2~t_DF@(e!)DE1N&{gj++BRtmJ$W;UH6>
zM<TrIu?FNo>63;8=|GQCpbaqvCjAC|Tnz~_2lgvJkLtiA#9o3&3($m^0+W7&KCTFd
zm;ybLkTk?jg7k>sG{|P4M}@En(t#dna2n!yi1bK9Oo*)*kD94ANdI}%Nw6UjtUNkX
zPeZKZ5|1=Q_oLxNE6^hi(IK9PD37**I>bs?dXxighzNVsjW!_??9nF1gxE>&NP<m>
m{3?%HSvtfrM0wQ8(#P+_yuSAG)Bg2~>;I28J^KxtSz?GnClaRs

diff --git a/SPI/db/SPI_test.map.logdb b/SPI/db/SPI_test.map.logdb
deleted file mode 100644
index 626799f..0000000
--- a/SPI/db/SPI_test.map.logdb
+++ /dev/null
@@ -1 +0,0 @@
-v1
diff --git a/SPI/db/SPI_test.map.qmsg b/SPI/db/SPI_test.map.qmsg
deleted file mode 100644
index cedeba0..0000000
--- a/SPI/db/SPI_test.map.qmsg
+++ /dev/null
@@ -1,21 +0,0 @@
-{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1668613881605 ""}
-{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition" {  } {  } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668613881612 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Nov 16 16:51:21 2022 " "Processing started: Wed Nov 16 16:51:21 2022" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668613881612 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668613881612 ""}
-{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off SPI_test -c SPI_test " "Command: quartus_map --read_settings_files=on --write_settings_files=off SPI_test -c SPI_test" {  } {  } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668613881612 ""}
-{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." {  } {  } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1668613882179 ""}
-{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" {  } {  } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1668613882179 ""}
-{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "mytestbench.sv 1 1 " "Found 1 design units, including 1 entities, in source file mytestbench.sv" { { "Info" "ISGN_ENTITY_NAME" "1 MyTestbench " "Found entity 1: MyTestbench" {  } { { "MyTestbench.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyTestbench.sv" 1 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1668613891804 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668613891804 ""}
-{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "myspi.sv 1 1 " "Found 1 design units, including 1 entities, in source file myspi.sv" { { "Info" "ISGN_ENTITY_NAME" "1 spi_slave " "Found entity 1: spi_slave" {  } { { "MySPI.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MySPI.sv" 1 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1668613891808 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668613891808 ""}
-{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "myde0_nano.sv 1 1 " "Found 1 design units, including 1 entities, in source file myde0_nano.sv" { { "Info" "ISGN_ENTITY_NAME" "1 MyDE0_Nano " "Found entity 1: MyDE0_Nano" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 1 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1668613891812 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668613891812 ""}
-{ "Info" "ISGN_START_ELABORATION_TOP" "MyDE0_Nano " "Elaborating entity \"MyDE0_Nano\" for the top level hierarchy" {  } {  } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1668613891845 ""}
-{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "ENC_1B MyDE0_Nano.sv(47) " "Verilog HDL or VHDL warning at MyDE0_Nano.sv(47): object \"ENC_1B\" assigned a value but never read" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 47 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1668613891846 "|MyDE0_Nano"}
-{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "ENC_2A MyDE0_Nano.sv(47) " "Verilog HDL or VHDL warning at MyDE0_Nano.sv(47): object \"ENC_2A\" assigned a value but never read" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 47 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1668613891846 "|MyDE0_Nano"}
-{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "ENC_2B MyDE0_Nano.sv(47) " "Verilog HDL or VHDL warning at MyDE0_Nano.sv(47): object \"ENC_2B\" assigned a value but never read" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 47 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1668613891846 "|MyDE0_Nano"}
-{ "Warning" "WVRFX_L2_VDB_DRIVERLESS_OUTPUT_PORT" "LED MyDE0_Nano.sv(7) " "Output port \"LED\" at MyDE0_Nano.sv(7) has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 7 0 0 } }  } 0 10034 "Output port \"%1!s!\" at %2!s! has no driver" 0 0 "Analysis & Synthesis" 0 -1 1668613891846 "|MyDE0_Nano"}
-{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "spi_slave spi_slave:spi_slave_instance " "Elaborating entity \"spi_slave\" for hierarchy \"spi_slave:spi_slave_instance\"" {  } { { "MyDE0_Nano.sv" "spi_slave_instance" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 39 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1668613891847 ""}
-{ "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI_HDR" "" "The following bidirectional pins have no drivers" { { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[8\] " "bidirectional pin \"GPIO_0_PI\[8\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[9\] " "bidirectional pin \"GPIO_0_PI\[9\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[10\] " "bidirectional pin \"GPIO_0_PI\[10\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[31\] " "bidirectional pin \"GPIO_0_PI\[31\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[0\] " "bidirectional pin \"GPIO_2\[0\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[1\] " "bidirectional pin \"GPIO_2\[1\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[2\] " "bidirectional pin \"GPIO_2\[2\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[3\] " "bidirectional pin \"GPIO_2\[3\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[4\] " "bidirectional pin \"GPIO_2\[4\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[5\] " "bidirectional pin \"GPIO_2\[5\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[6\] " "bidirectional pin \"GPIO_2\[6\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[7\] " "bidirectional pin \"GPIO_2\[7\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[8\] " "bidirectional pin \"GPIO_2\[8\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[9\] " "bidirectional pin \"GPIO_2\[9\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[10\] " "bidirectional pin \"GPIO_2\[10\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[11\] " "bidirectional pin \"GPIO_2\[11\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[12\] " "bidirectional pin \"GPIO_2\[12\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[0\] " "bidirectional pin \"GPIO_0_PI\[0\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[1\] " "bidirectional pin \"GPIO_0_PI\[1\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[2\] " "bidirectional pin \"GPIO_0_PI\[2\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[3\] " "bidirectional pin \"GPIO_0_PI\[3\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[4\] " "bidirectional pin \"GPIO_0_PI\[4\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[5\] " "bidirectional pin \"GPIO_0_PI\[5\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[6\] " "bidirectional pin \"GPIO_0_PI\[6\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[7\] " "bidirectional pin \"GPIO_0_PI\[7\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[12\] " "bidirectional pin \"GPIO_0_PI\[12\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[13\] " "bidirectional pin \"GPIO_0_PI\[13\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[14\] " "bidirectional pin \"GPIO_0_PI\[14\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[15\] " "bidirectional pin \"GPIO_0_PI\[15\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[16\] " "bidirectional pin \"GPIO_0_PI\[16\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[17\] " "bidirectional pin \"GPIO_0_PI\[17\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[18\] " "bidirectional pin \"GPIO_0_PI\[18\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[19\] " "bidirectional pin \"GPIO_0_PI\[19\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[20\] " "bidirectional pin \"GPIO_0_PI\[20\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[21\] " "bidirectional pin \"GPIO_0_PI\[21\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[22\] " "bidirectional pin \"GPIO_0_PI\[22\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[23\] " "bidirectional pin \"GPIO_0_PI\[23\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[24\] " "bidirectional pin \"GPIO_0_PI\[24\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[25\] " "bidirectional pin \"GPIO_0_PI\[25\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[26\] " "bidirectional pin \"GPIO_0_PI\[26\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[27\] " "bidirectional pin \"GPIO_0_PI\[27\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[28\] " "bidirectional pin \"GPIO_0_PI\[28\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[29\] " "bidirectional pin \"GPIO_0_PI\[29\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[30\] " "bidirectional pin \"GPIO_0_PI\[30\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[32\] " "bidirectional pin \"GPIO_0_PI\[32\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[33\] " "bidirectional pin \"GPIO_0_PI\[33\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[0\] " "bidirectional pin \"GPIO_1\[0\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[1\] " "bidirectional pin \"GPIO_1\[1\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[2\] " "bidirectional pin \"GPIO_1\[2\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[3\] " "bidirectional pin \"GPIO_1\[3\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[4\] " "bidirectional pin \"GPIO_1\[4\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[5\] " "bidirectional pin \"GPIO_1\[5\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[6\] " "bidirectional pin \"GPIO_1\[6\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[7\] " "bidirectional pin \"GPIO_1\[7\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[8\] " "bidirectional pin \"GPIO_1\[8\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[9\] " "bidirectional pin \"GPIO_1\[9\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[10\] " "bidirectional pin \"GPIO_1\[10\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[11\] " "bidirectional pin \"GPIO_1\[11\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[12\] " "bidirectional pin \"GPIO_1\[12\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[13\] " "bidirectional pin \"GPIO_1\[13\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[14\] " "bidirectional pin \"GPIO_1\[14\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[15\] " "bidirectional pin \"GPIO_1\[15\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[16\] " "bidirectional pin \"GPIO_1\[16\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[17\] " "bidirectional pin \"GPIO_1\[17\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[18\] " "bidirectional pin \"GPIO_1\[18\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[19\] " "bidirectional pin \"GPIO_1\[19\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[20\] " "bidirectional pin \"GPIO_1\[20\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[21\] " "bidirectional pin \"GPIO_1\[21\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[22\] " "bidirectional pin \"GPIO_1\[22\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[23\] " "bidirectional pin \"GPIO_1\[23\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[24\] " "bidirectional pin \"GPIO_1\[24\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[25\] " "bidirectional pin \"GPIO_1\[25\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[26\] " "bidirectional pin \"GPIO_1\[26\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[27\] " "bidirectional pin \"GPIO_1\[27\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[28\] " "bidirectional pin \"GPIO_1\[28\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[29\] " "bidirectional pin \"GPIO_1\[29\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[30\] " "bidirectional pin \"GPIO_1\[30\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[31\] " "bidirectional pin \"GPIO_1\[31\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[32\] " "bidirectional pin \"GPIO_1\[32\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[33\] " "bidirectional pin \"GPIO_1\[33\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613892180 ""}  } {  } 0 13039 "The following bidirectional pins have no drivers" 0 0 "Analysis & Synthesis" 0 -1 1668613892180 ""}
-{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "LED\[0\] GND " "Pin \"LED\[0\]\" is stuck at GND" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 7 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1668613892196 "|MyDE0_Nano|LED[0]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LED\[1\] GND " "Pin \"LED\[1\]\" is stuck at GND" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 7 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1668613892196 "|MyDE0_Nano|LED[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LED\[2\] GND " "Pin \"LED\[2\]\" is stuck at GND" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 7 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1668613892196 "|MyDE0_Nano|LED[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LED\[3\] GND " "Pin \"LED\[3\]\" is stuck at GND" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 7 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1668613892196 "|MyDE0_Nano|LED[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LED\[4\] GND " "Pin \"LED\[4\]\" is stuck at GND" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 7 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1668613892196 "|MyDE0_Nano|LED[4]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LED\[5\] GND " "Pin \"LED\[5\]\" is stuck at GND" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 7 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1668613892196 "|MyDE0_Nano|LED[5]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LED\[6\] GND " "Pin \"LED\[6\]\" is stuck at GND" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 7 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1668613892196 "|MyDE0_Nano|LED[6]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LED\[7\] GND " "Pin \"LED\[7\]\" is stuck at GND" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 7 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1668613892196 "|MyDE0_Nano|LED[7]"}  } {  } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1668613892196 ""}
-{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" {  } {  } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1668613892253 ""}
-{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" {  } {  } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1668613892619 ""}  } {  } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1668613892619 ""}
-{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "10 " "Design contains 10 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "CLOCK_50 " "No output dependent on input pin \"CLOCK_50\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 4 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613892653 "|MyDE0_Nano|CLOCK_50"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "KEY\[0\] " "No output dependent on input pin \"KEY\[0\]\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 10 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613892653 "|MyDE0_Nano|KEY[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "KEY\[1\] " "No output dependent on input pin \"KEY\[1\]\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 10 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613892653 "|MyDE0_Nano|KEY[1]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "GPIO_2_IN\[0\] " "No output dependent on input pin \"GPIO_2_IN\[0\]\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 14 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613892653 "|MyDE0_Nano|GPIO_2_IN[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "GPIO_2_IN\[1\] " "No output dependent on input pin \"GPIO_2_IN\[1\]\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 14 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613892653 "|MyDE0_Nano|GPIO_2_IN[1]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "GPIO_2_IN\[2\] " "No output dependent on input pin \"GPIO_2_IN\[2\]\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 14 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613892653 "|MyDE0_Nano|GPIO_2_IN[2]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "GPIO_0_PI_IN\[0\] " "No output dependent on input pin \"GPIO_0_PI_IN\[0\]\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 18 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613892653 "|MyDE0_Nano|GPIO_0_PI_IN[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "GPIO_0_PI_IN\[1\] " "No output dependent on input pin \"GPIO_0_PI_IN\[1\]\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 18 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613892653 "|MyDE0_Nano|GPIO_0_PI_IN[1]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "GPIO_1_IN\[0\] " "No output dependent on input pin \"GPIO_1_IN\[0\]\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 23 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613892653 "|MyDE0_Nano|GPIO_1_IN[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "GPIO_1_IN\[1\] " "No output dependent on input pin \"GPIO_1_IN\[1\]\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 23 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613892653 "|MyDE0_Nano|GPIO_1_IN[1]"}  } {  } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Analysis & Synthesis" 0 -1 1668613892653 ""}
-{ "Info" "ICUT_CUT_TM_SUMMARY" "140 " "Implemented 140 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "10 " "Implemented 10 input pins" {  } {  } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1668613892654 ""} { "Info" "ICUT_CUT_TM_OPINS" "8 " "Implemented 8 output pins" {  } {  } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1668613892654 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "81 " "Implemented 81 bidirectional pins" {  } {  } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1668613892654 ""} { "Info" "ICUT_CUT_TM_LCELLS" "41 " "Implemented 41 logic cells" {  } {  } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1668613892654 ""}  } {  } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1668613892654 ""}
-{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 106 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 106 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4777 " "Peak virtual memory: 4777 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668613892673 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 16 16:51:32 2022 " "Processing ended: Wed Nov 16 16:51:32 2022" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668613892673 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:11 " "Elapsed time: 00:00:11" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668613892673 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:23 " "Total CPU time (on all processors): 00:00:23" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668613892673 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1668613892673 ""}
diff --git a/SPI/db/SPI_test.map.rdb b/SPI/db/SPI_test.map.rdb
deleted file mode 100644
index e76804913bac17ed8cbc40938bca92d9f97537d3..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 1341
zcmV-D1;Y9i000233jqKC0001M0BZm=00011WpZ<AZ*CwlI4&_RFd#y8X>4R5HZnCJ
zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*DjW00000001Zi00000006N80000000000
z002D&00000004La>{eTEBQ+Gx00J>w#AV?Lt&Tuix>A}=vKO`c7P{G0QCkI4E#j$i
zW<05p#~%68Wq9I`@LS<K<1|UPVZ|#5tFArvcP>8XJ9ggb^?DzoU$-pl<(Su^xp1<v
zE?jTCK4#flSo#G04*I+35d8|n#0cGb<>?;HTN5rN&*!nOg=?|Bvt=J+xl>4(aR1kQ
zbmxY{-2Oy+zndWze57;fgEC8cA>vZ{ZfD28Ec+d+dpr6L@c&Ey(Fkxkfi_%>Cvqt?
zo!OuY`FE$yvb%5hdPlZtomyT7+B>>W<KgIl=-33Q>Bu^iuL#PT0Zp`~4(7>8FWr?a
z2l>x7I*MMBt!~SF>#0QLE)4~1weOR*OI1)6O~EQX<-(L?QQnTct->qeB=OP;&QldU
zO-^SsYJ>|0z@#HzE9rL<!n9;#XkwsBY3ZqQw#E)tD}n*4tdmR2b(HTZQ|MUoyp&f6
z4>is%ov3SGvhYRKQFo_K%I<G$<idMfC=p~yr7dDDO-M+{DFZ8Y`sAa?UmHZq>y~_6
z{344$d9a-~LAu&^ju+OHDn(=EX$t);xkM-M&mg|}JT83URKr-BES;2WyWgoxB0ofg
zD0-SXRm-*D2AXpm67>1(#e|M$J3xH?lo8Q1S`}iUC1GSIa<MVHj$xl(sc?zd168XO
z4E9O{YOl$G%NvpD?0XA+O$4tJX|_Y3Qk!z(sTL+yq6pC;){8bzo--$)gI88(;lP6=
zBEk!&e&fU_ADmjmV4WWj&9oG7-pLB|StVfWaO*>{k07V(_*lvyl=g!>>wSvyIky4T
zRLk1BmKLygv!wXBOOQsH&0fK%&(?ca3i*MW8<;<E-}k--W?C;~S;GC(sDnc6;qBo*
zY?0Jmt~YvFZTG|`o3oRX*Y-NV8(vbRDXv8B0XvUH0isq>(?0zK7tZVzjh^7|>EqGU
zhhtm{<8jjYC5D?sdnug<C)0Kx!{}fz8Vu>XsF0>7<Hs~Sd@ve6=q{G|_uyQRG)<&W
zLj218h)QHNbSCQ6eZ{Zfe0F>h@Bk(t8$Y+rzLr<Gou($J&~6EQfbG-v*>reuCX7uY
z?_qc@YNc1d8$QDKQCnzhPzx_<nowV0NU=;Dycu!ak-bNwgyc*ST0$4LUMSO5Jo37}
zlM<B09v-Io-IdrgS)!)+_DF_sDS@nr;Ficin)A=1WaSMYK5_zWNX2#!S9U*N@82dL
zKNdrDv<*jZQG5&a4UqqorCVYfA2&qpw*LYE0RR7Z0pez0WDsCrU}*Yiqss`SnShuZ
zh=W|5;)7fR{DVS(B0!1!(jV$TVFnf?d4DG_SLYCB1__}2qi64%K>9&g2#7)Y-TZxA
zT!Z2v%2*f@fO2^pzd)+N$|Rx6f<t|M9fKm{LmZuaT%oF283cgJ^n*U<z*GxDRr|QQ
zJNiIXu`xsdrMGkZnFo{vn+a2eY!*9%1W-18|F^3kRkA<~b09>OtB<R%t6vBQg91=W
zCM5r;43Gv{3v(buS#U^@r=NQ~R5d392T%#u60LTSN)Uz_>h2io?ivhrAs2%MP>MO}
z<qB3H%?89Ew}1i%s?5bP#1UpDH`vqn?(7f&ssWn`iW!jN5Z8zhsEGgo00960454N>

diff --git a/SPI/db/SPI_test.map_bb.cdb b/SPI/db/SPI_test.map_bb.cdb
deleted file mode 100644
index f87e016c593be6e316c61c363472104b1f883753..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 2629
zcmeHJ`#Teg8>ibj)se3p8Zt{4b;w$|7wgnF4v93^V>Zp*98NA_vr$ARlS&;7TbVW-
zjNHz_EHWZ%2OD!|TAIt2xifb5?fezr=jnMbpZEDZpXdGIectzZ-tU!^l*;!jE3%Rj
zT45#%bPtG(3W*2@K`e|R#%Dm6VnV|FL1t%7L1!$%kh9>kXCM|Jm;=Z$Bsu_e#Xlrk
zp#|*P@uh{vw_iYMap%AIzkFrizjd7Q93K+l?FMMSW$?80spiS(iyAwRq8`xw47?sW
zsJ|$7K^?Kv2fVwnsRGD3U;So}Ur^v4&zv<Sqc8#?;f@qIY`&Vm2+%IobaUSJXjrzM
zMhs6kOK^C5%*%mUpY$!;ImVcG)%e4$QT}-PmiwH9j)2}Dzzp*}yPL+?(9XK>6{hZ}
z5jgQ^DijW$es4!_@2M7xE}MCfYnmSOCiD=!<((xNEO$pb!?@z7MUl-0rAJ&0<&UCg
zBCbuPRV5z6S~*FA>651W4(h}_KXBn28_VEw3eCov6-+q6AqJK85q)>N``$wuSH7py
zJTrKn<$twXmG5;|uxr8{vog#Bo`NN!zY4#O=j&4ZAD+SuXL>tHihH_euuiK(N7S9g
zf3<E>rPU{rNx2j<mQe$SqT%pim5PqZphF`ZK?9hNj8iFWW29Blf+hk6#ch`m)a9bu
z3$vJQLQ;)Hj*gMlSkdrB^NW1C?fc$Mi9fjykJ#Qo=gUv}B;MJ&1>Xc!U=MF5^QQ64
zkBf3ooO_c|9bG_Fo%*CdzTgMPCbXcL8E9r%#TJH*8It%Io!HiLn_Ztrj=`AqTZE;J
zKG<0H#;wyUSUZDNF(=L2T(Fk4Fxi=awz0_%XNPM}H9IcN8WJ(6>&{^V>TfV8SLZpd
zdgF52SQ$K?Q9(t-ANAlGM$9}jU+&7o2(K}u3JOMVD)B&o{jSp!6b_2ea^)3w4D;4+
zngZRX3PPK_Ggbp5K5{x`pDlp8xicIDnm*I9zH~Hdp?I0L02fm5kkAJ`&jG(2qTGaN
zYfuVMHQt03={*f3F!NenWn7@trK&RSXK56xRbbi4(b%7Ea182UWJJm+L$L-}u*Zzk
zz~2&F5teVEMS!sBpwxBWr>eK5E#WqANu%4ZMAN6>gzAUp@f~@EQ;@EHJqmU6AwZZc
zaJ&s>n>1zz5=XC|V~bzr2CnuxR%1h`gA5ZM!>D~roQovnc}1>nw|I?sV!6n}y1H}p
zkG(GD^$B)FoqS|H4sUV+s$HNr-z_lwFdemlTbbvtLP=zTvrR$ws>DhB-r32Z-Xn{a
zwIK3uwlKBS(Q7)`H{ON_7nzSFTqP6hR$?q2<dFd$>2+2mRH5y&2271xD6;WfHqF7K
zArGPJL&7Gi;b^4wovdoE8wEEsG$8I4mfXP6I8!t;=v0G&D4x4`7D^sD&*^=&qt<mk
ztEc&PjG(JR^OqR>Z*tCTU|)~i>*Y9DHjfqR50q8=Y?fQxXZou)Dk5{-i>-Sov4aYY
zs4j0_hz@0s5KkGu>-y6I4l80RJ^{HrPB{U_L974xJ&Eh?mw19V^mqDv$vxYJ!nfL#
z#=Jpx&emhG({P!7^7T{7dqZzL(p1H0v?Uw-`1$!-I%1b9#$C2Q<&t%Lzdqu>#S`Y2
z4Tj932Rnvw672Zu3S;oF{p0VB99s_3FSskYtI{&}<oK_BNp^&GpJQqKH+DgnGPK58
z`2p^{*Z$-}qD;12pPCWg(nYWh^v}*RtILxm_1AYvyPW3C99m?h1DAUz{;EL%w9gOP
zBPx^nPM)!z%&}QK2<iAF!@K2`F*hq?PnXYESYK>-5ye~++^Jy4a_3$D&~`SwTfM#1
z!`N$OTJi0HQZbp?;F47NvlDslwds{$pXX+h<1k;KA(UaXyC@(4n0GGzQ+iTlCaWpa
z@yG^ssgCM`VX5(Q@b|oYtX9>pvrKkCr!c9LDQX$<FUEp}H(AP&z}$@Pg#fl$YHW#D
zLFTR?paw*kzuQ<-kdo|wI0V=E1n*g0>kDA_voU_Reo_%7zE(o~uFc*+P0h4g96R?x
zQ=ijMmp?ga|6&tt>2~L1pfzcfr5~FnxGCMG8VkkIUzeyIXqD@@cowGJhkv$>TCV-@
zJ2kVOSSZZB&Dw`f8-DlbKkKwGzbAU<3qgZe2@~{3&cl|gknBCJDC6y7FQf%Yq&alj
zlhE-42^wD-my&;x^{f`1$M_sMyy5Kz{*K1gJ5E#MjN@~!O@PI1DF?G)i)(9t{`yS_
P&t6sLn7@|zWncaQLr-C_

diff --git a/SPI/db/SPI_test.map_bb.hdb b/SPI/db/SPI_test.map_bb.hdb
deleted file mode 100644
index dc5670f57eeecc248ec08a74816d7ca28b75513d..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 12093
zcmV-DFT&6i000233jqKC0001M0BZm=00011WpZ<AZ*CwlI4&_RFd#y8X>4R5HZnCJ
zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*Jjk0RR91006cr00000003GH0000000000
z002EN00000004La?0pNET~&GZiJ;)M_!m(T^$-Fmk}z{7nS@|t&&-@jPMA3}og0FW
z8D^46O$bTM4H7UyfFJ=QL>o0|R8+Ll(i#;ZLd4V}B2q=9UMd9J|8Gk#R$5dpGXMMT
z+25IU_Fk8L_S%U_ob}9}z4uz*`>yZ%*0<MM`+APe<#LlJ<#MmV`amovftQPkJ6bOL
zvd$}5ZmatyPFwyIaM<)=ITsU$=oMXyJoQr-6QAG(r>><;cx1nxweUqTCViwX!MWDL
z`zF}SMfRiXq&zOU16eLQvkuvowXR+%<2qVS|4Mm1Wg;u>6J1NWYn?~^>LT(colNDX
z`c-aji=6PBi99Z{@2XeiWDRKUSS-EW<-s*0tJk~?+tce@Af9_I7IbU5Ph)Ar@}i0L
zgdZm2VB$EK=m)C(z&!YZ%cSS<kEt6=U$AxH{;`gUbohIK?Py!xj`E4+_wNH;q(zUx
z7eI*1g;-vjdm;WaEgM@|*RRjLA$N2r$JI;1rE`5-sbR1(w0s3~*~z>VOXvDNOzDP4
zmM?r4vpFYwF_zUUmkh36HneVpnUqx-pC26^C2K9?KFsYjjO~z)F;bRk4hqFKTFZQ@
z(1Lu{_zY^I=oMIw!V=-9$R#UQU33H1HFc;3wVJ9WWYogqE)y7)Gblk_X+v(32umB%
z0#2sYq?UaI1uUl=x0%SnZK^_lOTNYnq^dE4O4eG<91W5xCqS}-NyqRXmip3zfHXsY
z6-Cn~<vt($AJ30?b-ZAFi+b?nLnBJfXblY=<EFU}W8v8jottLK11HNAY|G-}5;?9Z
zOAgUP)+&d{t9d#(wOqDcaxC{<q%P6ZwiekWYtbu-tjLH@WnZ_ZjQE9XRbR@>zF<|^
zXdd^aT*~TQ6CYh{95z{#E~b3ZUso=9T+6nqmzpQr5Df+<9&t=}jIDzI_uxPA-@>Bf
zq~CS=2)C50I_S1R6X<Z&D__vSPT@W*)aL;#l9<mc4(~nY`Cr}<W-?Dr++T&|xMy-V
z63c-unkYl=|3&XYnLDsH$*^;xOj}d{`%#{|67Zaufam{NcnGIHx+MA>jl!r5?frfT
z61f*Y|NK$n#~V<llld)l5g8dTqCBJ(8E)%%j#QcF6#rl|nA*7fLtijSJ8<w5|8Zgv
z6e{K1mvSlNTJYSSD5n={Q&#?AKOz2QY)i_D|79Q){$~$?_SYT1%o82Oey+s_P`m0Q
zT{-h?_-Of|po7>UHb!;yE&oHX(bq>Vd=ek(WTI`EL?)_BH0E_2%(KOPlp|V4RL^L+
zZ{MW$J=9gwfr|MF{xJ_s_;+lcNN?DVkBRa_+duX8KhZvN?COr*Mz($JMK7XxpE{cE
zM*(}XT4_oV!QA75ZC;^LXV^7Y%1{<dBqbmwNM2zYzw$L1xp~9#8sw`B&y00v$AVX7
z)onQom$h1^ZiBw&9zXY)D8qCr7M|6z1t!*ZKk%v}FJN!OB}leBeKreAURlKV(k^lZ
z<Xe#8BIU%$c9J#aRZNs$<&ZMwN&RYhluOD~oM4&9^a?B^i-rbQFS&T_vY|CgRxD@k
za-8@ci#5lwX;>yA$R0si`-goRJ10rJQhqdcIG>a}t{JV8*jE26JIb0EmenL?><`KI
zhb8|9>*{T!!6nOAuNhjta72Z2`y|E%(*EH8*o}<2-Q}*qhQaPK^<jOJCSzkc#Ca$s
zS(XE|BcW^(zNieSgLK00;6PmU;?DjcXUx%63kJ&-aq1+R`=nw-D4%-;Ng{4wg#k%p
z6;2;Kqrjs&9n5_h%xJ=99NbYKa0DDvKk9%@=oCd$qM0_5!Q_BK)d4Su=(Lm$2<pMV
zTQv<<Rkoli%lk*ek=lf12U)_jKU`D(+|v0~Z2`@l7UFrlg<`p%YFeAvj#66{OJBSw
zQnxrw*6e8|{Q{gk{M2Kd-l~S-I~p6`skRxFV|q$ajKoml9S!dcio$;)DY`LRBpVY;
z77|M~C6=6?SaL>U$>zk8Gvkuz2cC}j69JLXpOn=26OjPQYVeGQY64nCSi_8VRNGV$
zb~lzH>~1eb*xh7`u)Eb1VRyqR!kV@-dt+9-q0Pc)JB2wE2Zv?h9gXfIO_g@Hp(?Fu
zM8qdgz@R{o*jbEef^IKCIMvF#wW*cY=!4i+`=qKoNB(;B3FPb0Cy>|Z1AnMTM6EvV
zIIop=hkC8NJKAgI-2q=K?~eIed3V^?%DW>!G9c-LUxph-XawMHu?WE3WD$V7%_0DI
zqeTGjR*L}K%@zT;+bsg9x8Vx<hEtE6+8PS(Lo))Xx1|g9wsfK1mM+xW(uI0kx=?RR
z7wT>4LcJ~BRBuZ+)!Wid^|o}Arlm2gJ*Et6e<Z^iyZK}zTMVMH!!!|VOfXVaryh;E
zDPCmQQH0&zQG_*~F*Cd4UtxB~z9Q_7dqvnC^NO%L-W6eYtXC&XXcTv#tJ0e2h<I9+
zb~mCb?QTU?+TDz*w7VTuX?H`a((aa2rQJ=bO4rqv35F+yJHgnbO4rqv2}UKAyRNoO
zFdnJgb+u)Jkx1pPt1T0ZK?-+*0Z5gu>$prX^r+mL<1#YtRHws@$p)QTdA;sDJ=%}H
zg^AaMT06R0&mEl65M+e^c<rcf#Y*noi%Hm9!falc&-ya*!RF)1z(cTUHWs=0U|P#f
ziF((0)RAVXx1Ox!U4Af?OTOqM`?8k&1GScVvR;e6S@TBuq@HNZMCD{ZD(Bi4oM|1!
zoAimwx%RW-an&Q`Ci_hJf)hPuZIX}X*DEi0saN*1UPtxHDT%jDUls0u9P5MK|4!65
zcCTZ?4-<aiJ+Fy4I5=^@sWBhYlEnQ|_w?3Mq0rtqv$a|Bxt2Q!ocr>j8^X@Ol660Q
zp!jHmB)%xgrzSY>Wxs#8#2e@6Y@?&G<Rc4qtEm9{<dr7c7`2Zad}xa6di`Ck1RJX`
zZvJtYk><GF5$Z4n9C^dy((hZqaS=J$m$i)hvOW{CTtwcqhDbFng`KpIiT1W(Nn`JU
z#<4wj!l#UFlU-9##wA)V`O!5*t7$R(z(+Qjcnz=(OBQ~(C5(fk!6$l2dm*cuhEYGS
zAv2lc`c`o9f<ivw0<mgZ0Dits$3%{->=T|{p`9ggi+y76!CL20w{Dq&eBI)!myVNp
z!YjJaA8NV)ZNb}BOs8OJ!;(d;9cer~=5Iq>i9flP*pjtcrk3X+%f(b4&4*|;4WNDG
z(J1P0p#8cVHnP4iVxe7PM^s1IXN+-?eOU`u_HFAVvf^W(wdf!^+twm$T8kd0a#Oy}
zS2|hpoAeX;Xu0HbO}y-@y2`e!MJDZ9ttZMMb;#OO9?j=|lvg;S`6A1FHMQfo;qk(>
z6-yhIiGFRu4-;`PaU2|seqayAQJ%~HEBc+&vD73g@PzV=M^MO__M{py>@X8dwTYQr
zY7#SkotP87WA(wwfQE29b)6uSvxD(3WldYXt^zVDE@~~q_On=S0^KQCvn<K-GsvHo
zP&NslDzg{dts(c_*k(7NmjAxCKL%a+O)}PhGq!o!Rj)4mV#@0j+&^QVU)3NFABbnW
z*f=*r1|q>J_oKfVlV^kycc`qXpPG1iU{7e<p`xScq3S8yM;)_vA-8$j%hRoz1L&OG
zBw%?%oQtG9HkxLQEN*Hp3>Rk3Xc}oO%vdyYal=T%j2W}0FKQTCw6Gwu>Ka}NUQX*=
zj}IK<@H{6d)Uhur%vyYQVb;P~O*7AKZXRlAY#u5!FPyn}q%b@@(y%a4SY_rm*~fZ-
z>UZ|W>Od!;t7CD~$neaj>5E318-|)krZ1jRSTv(~czWZES;IpOL&G)KK7aSyEj%7Z
z*>AFe7Y&~!-)Nq;aQM<`mtMRK#;|rrV)V<Rd=QY=8unv38Vf(OhgCI+IIp)<-}0g&
z`;lP-kaWB?ZHRw`WUPy2W-Rf@izRjqiZSpyb(L0D#d)xwtY*|~vy?B_)MQq7SV~{$
z6H8-*OjVSn^7X^9%sJITf!DK{xC%NX9xdGA!byDf3$fUqNE04N<N-z!rx-^mYxWO3
zV43#?#Q6Z$5@@<L<C*#Y8Lo9Qro14ML;layfmd-R?lk$rE9*2ISz<(wFT*!*Q%zz$
zk|@Uxfy-?4p+OfB7G8lh+p?>@J<N%%R5-WQbR~8?;G6v5SNXxO^Mh{zoc`#l;GZ_x
zJhv4H56^7_JbmS#^Fn{#PxX@!n;w?G1#r%VT8bUTN^6Pd8ro!Y{+ZxN@4cyB=xJW)
zvw+q(?|5WOvKh{YBhwpx4$$mGN|j<u2k-xAldb+9FmU*2@9*f)f{{s6SPOZ0md_Q_
z+fl%aj;-q#E?cpDBwrrLC)Xh$3utl|fJfuPvBI(cF%uLu764v5Eme`~c8o<);=*J~
zEWnI!7hVWgkU<T*{NP{kgMSflYLu?$`)RVu83NTXP~7^Y8_w1xbSJ#GRO7v0JM|Za
z9bj0lVfamAhV5WjrD6E3lYt&K)&C68_{-ItqeeRc6Zlymd3U|i)zew*$hUTNc9%Pf
zePwfViaQ>JF|#$soCIAH98wPf-mHPY(GQ+a1~&!N6u|M9GMY~Xlr7PSMVrKTkHGkX
z#`rnT>V?7NzPC9UXr9UZ78E9EI}>~`gm-kEr!^m$w%RX5AqRwFe_vM`{1EU|Z7i14
zFz~Y-9`-K`;f>R3UbIj(frQcfi~QjHLJp%ZRozR&x>L^m`H3A5$9*4gUSlbCbmY5>
zee-%%mqoiWQ}q1+B>0OQyHWIJ9D18Hhu%ZUEHJ@;=m&pTtGnt2T4t*JM<`5k;F$1_
zg!tBCPf4v)-DdqJ&;J;OZ2gpR{}aGTZys}4x(*GuP1A5cMPa%TY!w){YZ!k9Mvq|r
z$GR1wc_!cf+)tTD{os!Q?$@P0?x(=N0nW?#91}V*FKI;=aX$9739?2%K9LpCzk?{z
zAYVXuJ)#M(-+>@Ocx?iFvj*M+tOvX|8Qc_(eaYY(p!`;i^8J4B0YCV8e(<*^gPUA8
z2sk6u-p!fNOZ_Up$Pd02a9(KPVVG|(8-G`h_L(eL2M&%>4f*A(vC<|R{u1EHZJ*vj
zD3EGL1n$#B;H9A!wn?UNw1DGY4afQf95zcf0M9y7PPQ)dgJ13k-{=S53^=EHJ*8qt
zxfdNewzbLTz-#?<c%L8qIzRXpKlt^2@EiQ#@Ardm^@D%F4}PN`{3bs*Ur@nc+N1u1
z$>4j@#m>jid+_j4(}w_OUb@leOUWuXb^UzS8GmU_|5+m4cfs-n8q4=5LYq{7+zb6Q
z(D+MhILAv5_{)>QcS3Bb#&NGs1~+-`HGs3Mv(#4Z@5F{SaVkxzXuLK6jla|vgQoi_
zKf?_-Y2FMdt(j`P3}`~m1QLH%n@!kR9@yET#^%1kRO>lTBt2n@khcQu2yauxe*!4W
zj2F91z&QZoFO`qq=7DViHl?ktZm7-6Kk$S99B|T`gTq$&R7{~+Ui;}8WK@$4eO8EW
zGB&df-Qb0OE6|PxvsJ#_5B?rMI4>S}DF0bM_>Ta`U#_t*ZN{n9hK_95oX7hkUIhOD
zG%H9Kv_A%#&yQAkuAA=W_D?|J(EyJp(*F_EP{8A$v{X&MNLINC{!hu^re6JD0H^o*
z&hO^sI#n@s+t&X7mdJdQ0#AhK!VI-Ob=y|=zk8wo1L%6&U3sA3KLI}#niiV!ot^o4
zrD9*cw_G_le_pw7UcS)Kknd>k?#vg<J?$y)vHc1a@QL3_SEZDHQ)^eHx70I$>#75#
zH>(a(w`0yy!tF`WaW|c-`_Y2+I!n=PAcQxhyWKYESNjm)d>4+7ui(RNtv#jAQl+oh
zF__>Udd#JYRl|O2E%bw54LG$+*KuCsh2CsL^GQ=&hYOw_eI60R9|u<-2f7ox0Oz{P
zmBF5w*a#AZc&SM~e#fV+i@m+&xfQk)MfmKF@a_S>64@-ysl3JN6ZDj#Mm0Z@U?(Tm
zM&42-=kX9BpZQKZIW5<bvlFIAN{!X&6XtRec6_wakG-|AdY*&MAj&?=lf7k}oo3d0
z1|Nm=)4gq+ozALd$480n?0m6I=XZ(3Vs-rCrE}iJ8jqM64sKJM3U^zTn-N~i?eYqr
z!`A67@iM=$O3nj>*<(LPVRwg1<x(|H$Rn&SCqL>?wm7aV9|$Dv*l&@fQ&xOXY-|Ek
zkmy{Yb!ltzfzY;$(=ynEwvaL!gtj;y$9#E3Xq!^fR)ehCV#g`0(>+<+tDb$O!s>fK
zv{ZHF!vNxeW=~x^s=9L0B&@nF6U9N|fi(?_E)w2~5sCeKv6AW*_MiB{=lH=_!ZOEX
zjRUUE?oX$Oe#Q-@$62ec=5M2rT2+Gs+cn7seiO`PdAhj}-%ED*%U1b60?X0bcAwIQ
z|G8iFzXCkH?N9nuexKhJtMB;1@Ard$*AM;x;C9E$QO0;z=Y6f=@jbWF#u8l;C@WAC
ze?SaPmK$hoRkPJ?6SRZ^s2rS7s`Bc#2|G8e)^Z=*1no`_{Su(mIPrT2iYdE+rQhw(
zAefZ?vKRU*K-(jOrKX;+4JNbftrfg4F-I|}X49m31!x9Hik9jE)ULLv((VvBd2)@8
z%8m*7XKr<EzUuLV_qyRG#rxAk2hu~&PY=ByJ@g&vq3^5{s$5}P04V-)jro<Ul}M`D
zG_}qkfSj}Tp5NP7>KrKblsmfS=I6B;uW{B8G2+5>VV(L54(p-x&|yG%CR*w08|?2j
zzb#jzwNmvGPyF)qs;@{7eYYEG>kC%<!Pf%L(*Zu&UV3|Lsk@Kw*tC=@!8<m1;|38_
zZq1iEf~$x5uKvF6{=Qzt={1|CmRaXk(geN44Yj%FQa|{5Kllc~dHrMcnpJBTu35Wk
zq{dsyj>-CK-1VEFo6|$DO%Hva8)|E=>j399o$j6zu2Waq%X1Yc)ohx~-r`ok1iju3
zwdsCC2%p|v^QN1sHEVtnU;fz34W-k+2s0mEZ&|fs#hSsDL#x&-ksla89=Q~bUoApr
zHJL1023}68db|32T1!>&$c71hF`%!4Y<EYoH8}hSi<P#)9`pg_O0ssLyTY^_WZX5M
zteVtV0c6U#-O4(lN|U@BP!2|o%`=;)H_WJBv=@71U8A*P@9Na{t^tx)b9zdF<AdX%
zquiR<>7p6dYeB`!P5s?%#lEp4Dkamp)aG208o55yzPAr?SL|sU>@N27m2K~S)-;CV
zhs(f}GK4M%l(fbxG^RS=lfKT4fTlZE-kTbEMQY@gsgavfBd<!0yf!uRIv^cE#75hL
zfPiGMC?S|DqA&qmph{N~uw3B>zY=g#n!8_?nkwJqSNT<d^SlI;`h2lB-&2~~-%;$z
zms7n(do{>T7$@uQ>6+V9?Civll*i3P6ljypx@%C#u3q{XEderZ9Gf%XxE36|M}#LT
z=600w<<8<<<CtyJ<$Wmhh_o$$bGp&p+0x&e@9iiKq|)Mg&|pTC`U3F{p7{5B;<tL@
zKj4YK(G!1@Cw?37nH{tr%#!RwS(5!lmSo#O#(8`<ALAG(<?--RIo%Ux9|jY9xpJki
z)Kdw*e8ID!{vPYMF90(3;U7UEZxt6Ylk`&UqnR1GBP*3|&P=6`WybXJtc=^4nM$9?
zjOmk^F?}jCrdz<|G3<R7aQvm5A>HbQ{v6O8a?6!+AD-3g>*{UAo;FcM(=_e#DD?2a
zU;4qn=m-BRKlp8c^Xs9QUyfa*%(wNF2RJL7iz^o9TaJJk{rT5^D*X-Mq)#{J{t}>>
zjla9IB>QrfWM9dW?5kOl-3~Hdhe1S@E4b>N@9QZ~#W_Ns`OX9o#$dW5Te>^5G<8pw
zWM9wL(7UqK?QW1|d-Av!jQC4=kbeVEj~@FTKlnGjbidcH^85VY-|^D@elPTQ{ZxOz
ztMc!8q4y!$PStm6V=r->S1O*H@5Va=Q~SFir%lkn*v<VDD$qo9^fOncDa^CLl0My-
z`J5N}cY4)T7uYQ4ga7!mJ%eI{kNUwU`Q5xa+7Eu5AAGAH`~!fe51JeOD!&PEUOkjE
zbv0bnZCl%K0|$?Y#)f?7ybDy6x@}|lAQ-H-DQw^m0i51{e*v^d+;8`){KG)wFV~Kw
z`byolnf?)g*?Pn?sW^4p#_`b%ICf;faWgom=gd@Ad@KVEJ`N6#P~e9i(#PQ^{3`#X
z9-egI`V`>!OMSWf7Ek=Af#+Kg-2+XH4TXGHPrlUI*qH9c^v{5c?-DeOC2VPv?U?wi
zKgF&76rb~__`E;GU;0z<+cBOk@&$j2FM@)Xw}Y>&wUv8YyYP%*s#it+3QQcXsxSUU
z1I!j%xA}no+6VkM0OK$9LB87)|7B17S3L1w^~B%qiT@h#zN7UW8F1X00mq&UIKB=J
zkMZ^{z|)Vncl%Yo*AM;;KlnX<@NWX1y8qe-Fx{T+1pDuRKAn9~>_T_3lJBqdc9&Yq
z?d4J%KFlx|Hx8p6tL)o4*n9n)^X<^Sbm#Ej(^sB!9`^ToxR}kg&Ghg4!5;#g&##s%
ze3+y!pUwq81WWqk@?kIZkNh0)h#&kPymbGuU*$gmJoQ1n;)Pya@k&4VyZzw&(zwSs
zvKsL8@w&#Z^0j_&ezx7i^h^BUmja&NbL;&ozYK7`olw26#m-TeY#rw1_36OQQBB{#
zWv}!OxW^0qO)vC5FZADfp}*yY{yQ)9y<X^Vd!g_1LVw2#eLv719mRLODu2KW{XH-A
zgMNnpy;tQw@IpW2h5n%z`eC5!h!;rLr0dbI@`}&uWs3&iwRX6sOxdw@%{PF8XUjut
z*Q`j&5mn<(FCXmjLVw*0eHYMm_@9nc)7^gXy>;mh;hOisc-F?e##z%+{$@=l(0q7h
zj3M8#WX(vvw5a-88A@Ww7D{}sp1&;L*f_hXY4(glK3~DLzs{CYPrh;bnfUTw!TQb{
zZ3!H#czG%E9PPu&com9mNf%+m0gV~+rvlF9F2yFx>o_^PXZ)uf*8^E^<>PhdHu%9e
z0?s+x$fYY+tXea;Xjq}H*^D_rDSa=tJxsV7aO&``g@Y^Bu35QujY3_s8Ph>|zwUZS
z@@0oHhQY;4mW`~g0V_K(9%h8;Caf9ge79t7M;Cr+6;ECF@nyMQ5s9v2bc(=PD4c@*
z-gC>{gLqM;R4MV1>&o0g?3ddI@iP5jXIEQrg++nYY})vzhNq}059?_m-140ZTkRV#
zqOiP<$X6`83}{E#u$V{ew%?47uEW^F%G7kZn?lu}RBCF(h?ZV|{@_u1bdwjlHAJWT
z5NW4Z-4!qNq7Xg)kw&#exUIGrx79QfqSM`oTn01;0psAJRvitNYXNkGs|t^5eh%v9
z&92Te-Y?@zr-NM;^G|!pP!(081qIBj<FhtOCt1Sb{zmGsV$sO5-X#}LEiPL#vT7**
zrr!0d*Nj{|FtTdNvK4>+W=Lq0C>5Bd*w+r)I+arR=X#;%d7;Z*==omgbG^_VUg*w5
zXz6|T{?F*>d<?G9V7w2l$k)Mpi=DxXn4SF{_<^JITg{&k7sfqctbkDoq97u^ga6Dk
z4=BQLI~aO24EHBy*bRmO4a0X6Gu#G-3p5N5BxcwJh6Ngi?}5S5*N7u-1;wz2;?d+3
zw}4`?hT^g06gxq&R70^pImHf8EZ0yxo}6MkC{}4G{%>-MZJ=1Ep?De;$s%(r7&d4a
zo=L967Eo-|P)tG(oG>glgJP40;swbmHi2TZP$;9w3qgVY13!OS7{E%KBsw>OV~cPo
zlE;7pf93}^gkS>*wrU8D1)A+KtNQI;g+165hIL@rCJai4^OBIkJP{FwRbbdI3`#XG
zP0X+y3_COoF9QQ>!KdyO-kMEOVkszgYA9YEQdp+&La`VWw`eF{lbm806t`+9PE1a*
z02I446t7E8aRDf9(@?wt6v+<K0Wj>=FuYOApx!JNXZC>Mb`3*5xfvBu?9ou1oLGtZ
zVA!i+m>eq6=#Hy)Q0&uCoB|5`)gGZbN3?+8UJb#Nqy%$7aKDD&R1m~lQ>VggFg&PX
zI0Fo9FUzsP3Z8jtbo3RtlcaPG!6A4vCOo|0St;S`5~XDC{6*(T&B;_Cj7wC74!~hp
zF8ui3AUcXh>}nISCY}m-c#UO%7aSVTKD$ZFfJ__7kNs@<l^^^$z-eAbX`s}R=nO9E
zOd7|$X=kOe{y0Dr1e2)+W`>oUr?ynhgr0>$j=8<<t^H|~Kig0F*~#FKJUKdAz()aS
zg_;`BbkyGUac9BP6KX0XiyRYtv1j#v?yg=&g@@pQW_TcK!Mi}gJag*{!-HU$tzlT=
zWFWr@z0_@j4So^eY`__Kf?I7Eb=!tt7FJ)d|1O$I`-{D7U+zSU@9&52=V*Mt!pT76
zP3V<CCy9O&ewDL!(f?lP-=fifwUdGRo6u{3W(|%})C6DaRrxx<$}jPQU+M>6?+4%D
z2fqyPByneIxXXcOT$uYTThzSAt-OToK7?(%CT!p9rl1BU_!WutH=(ceLT~ayU*%``
z)gip2-}=M#Cd;n@oO4cm3azcb72hc+^>kuan{58y?5D=He(?AC!LRd!ZvmXA4!D0<
zthCy{@L+0(>oefEAp?&0XTY&F1C9@5z;Poua3dy%$J*01%1s$4u?-wS$J|pYYL6;p
z+77G_dg4FiiT^P0)XzRJn8Ny_Ug#Ymditz1p1V0jH(AdgO`3nq3;l5~&3A_Anb!HY
zN%K#5p+5;U{_M9+O;vv?th%u|O@rMMRy{op_|sw4>EiS=Ug*zyp>Op<e=ZT)bh7nT
zFZAtR=&yO9?*KZ<gx6&Got}7ps@L5wnrgob=me)(CivZ6mGcYo9+iK?4VTHj=`8n$
ziS#$2A9gFimNCJ9;s^h^AN)~2_+x(X{UJQTy#mvj;(vg`9{AGwrcvU5+%^csUYsq?
z*PJc>ubYB8n&3}qZ8#pel57F=bh64#mj_=6?gWRQ3H~C$T^*me&(wb$8>)~X3`|GS
zi$gVRR|!Q8Qz*Tp4h~Z=y)-n%a=}W}Fh#@5>QG}30<xkB$d`v|B=G3%py<(1{4pr-
z=a^}m(8q@gSo}b86Z};uOd3_YVZeaKfY-WJkfyi|6c=bHPE4Q%%`o9#heDQ{XMrva
zUJp2LX>^v_%Ke?#&?aFqx#DE7Bz33BmdRRM)J{sY*adGc(0KDSErV*1Fx(1;VGYBX
zP6i0%Oz5d7WDSm(G{GC(aM9o7fj@Oq&;S#B9tv4*4ksIWJ_;S?i~c4T>`G2?3z~4T
zrU}2`rl1j~&hfvK!A;%s>tUh82dv!$e}f-<3gD!-x1Xu<Q~fGG%@2OMAN<XL<AM3y
zdF8ggd4s7gB%I-=!CL@#^?|mwKhqOG75MaxKh24TKrm96j-0c!47&c?G^uC+18Z^g
zpC)*tAH3iPZ}Njr_k+*ygEs^2j-8*P%blj_a<B42U+smyCK38kXnux9^T&Wra?Jh$
z_|r7_UnZ*Eg#K@!@n?&77IS)LGT<pU1>4mGe*uhlXkdfC(hYYR@SvLl`cL9LyVms!
zm*EGG^W}kj3A@2PD6YqnRzwOXL~31k`1ys`Djpdf9p#TKa+|LkofvNOLm50sn9uD$
zQnqo>R`VG|l)>}fqr`Lj8EkXjAlt_&+k972^7$?Zw`T*)o1fg~g~hjEJq<rox$e2K
z*Iuv0e$bWjxTz*;CmBQ@cnQ|%TMK(eJBM3>?Pce1`y+U1mhKZ5k~3&=$zY57*5cM9
z3yGIzpy{if96{@=K^Hj6>Oyx@x;f@kF}2#jW7dpmPFwg(CHA&;tDZ&61?F3AIoYWx
zM?<@Vvasc~PCeZI2sE_@%<D6##jVGoBEbhMe4}vK$&t`nYZL|?^+~vo?6itmBPb#1
z0q1a=&k^6=`dQe*IflKK;Q4rW+qymG=CDd|#$?wti*b%~IeRTxc>VC<I8@^F@bTCY
zr>S~WW-$N<-$Sr=7s0@%R_peud&cJOKX~3|KlX#(A%$WpB#YTr;18A5oe><!ZiuyA
z+_l6c+_TTEPOtME+TJeRP6>BE7N~AD->paR7^IcMeb(rn^_}9hHn<mI)x&M=!I4Nf
z%drNo`-sY-^$(6e+_t#&Sm=xoHwRyCwI6c_$EPPd^>Evwmza$MjaTHDT5w6uevTY)
zSfkJ_!JiBDttE&LRgdGHq`|<aLSmZ6I_aQotq`|Ftd?MU?nxTcG*-*O$p|{>*>HW}
zhp<^|+BW8(;E`*q`3Fb!6t%=`Nx^ZbK#n9^4i%re^@ts}e}K*K#IHw31GCXx#QdRm
z;RzUq1rm(N2fY8tqFvlWQtSjTHmvFWVKqOPo~sh>fiI|Km(nf?s~u3<C4lU9a`Y$=
z{b{7CdKS&#wuLp;3|ekT!iL%u^~Yw#{Gb+9LT~M+C`5HoOw*WRZsUT3aD$?0FddB5
zvc;xHaQ>n~VzykUb@EQ7+S9cXDrwAGeFpUp>c=|fj{q`Xu_d@o`lzBuaQ)*9MI!H1
z%<W{ormAr<kGUa-nZ!25$tP)j${s;lF0DV_pm5~1waii4*4+mMPJRi#6fBAb*Djt%
z#7oAxtmOnnB7i)pNCXhIv12V48w!FbR3QO}T1yagYAs)*9{i@UC>A^csB(-|iyV=-
zgOJI15JNH^XT~Z#Q4z3X`<MJgUz`Ia0*Tp@MMglLb+s|lSVk7AXTcHcwgOETpe@c|
z10glwTzxyL;{GbOQJDA^IQ_Ox$>VGC%-f*k@lyiK+o<I6(+bSnq~!6F6U^JJ<ZTS|
zwkUc0stDz_DtY|M%A*LbZAu<LJ43ncN*+I@gT7((zm&WaLb>OaycZ$w3CNv@1r$Mg
z0{_X^qU7xh^V*fXy<y&bC2vod*Q4a^Mjq>+KG+Nr2b2nypb@aXin-j84DJV7NCp)3
zmMD<KT1)V`H5y-2t(tL)Q;#91#5SkIWT%ANAHi(dYOR~&kqq>3*Wx3=wqd%2&#b8p
z6_8ppf;LtqFpAX%-B2{cO&XK9QJNsAj)Y8VwFyT|6%wl@$dH=Gs)*F$mWcTyR!hRO
zOI1@hX{?qM8L>06*ytZ4jVTsn@L#YYK8)F7m59}%&v0{iO1LkH>J?M14J6!p#2~SZ
z7{_8bcV9rC%Y7Bko7(&2x3MW=9PV2Fa3$!h36G5*$O$8PBF3s+--O3%hv;D7J1pYk
z@X_>0im{0vxAu6+qHTjIob{MHlDz(ubId;3DdB!rEsJ)^g2UZ%?taYu)D(X-Z(<%a
zF%M!GNczJ)E6C!wo#4oRoOAbu6JF2W>qnAL-D71I*0SfckEU5fauys}SbL~&Y<GJ7
zP|@^A(!(bTgUk77wN^TWL;)F_k!fQ7G2xG~NN^u7K2az^=dQ&p5%l;__G`RjoHCMy
zW9kubG>9!*pHA<O<n5w=phxXL-0zi{+cvo#!IKjyTVHB%U&{&Z;8|-4yl<7*>5Q@G
zof2-3b#S_6)x*tUmXH{8-xo7;h}Yc`{6dV~mS8mVwDtsNEpAQC@gW|2-LtNv@+%Bw
ztu4ZFO!O&&quAIit?}vaF+()HjGAg~snPVXkO<yiIs`Oz_jFl2Q)7<g<Spku;|sVv
zeVPS_`^e7X>k3(D>h`JImLo~RJ!Zd~BJ7Z)Q@WY2J6eOzJruZ`atms-*W&(YkUQwy
zoq>DsJl(13fejdGmtS)N&z-=zvQ{$^zC>tk+l02L)dr9(GTe%(wFGWZGk%|{$Mo>b
z2#l-GADK0Sr|L(N9>H*;`a>Uds>G3Ge#{pAJ5X7hpM{eXPV!XMgRxT02u2SzBjyix
zhWiG07E{TX9x>Hp??1*M3A-G3r*sHNu+i+Zi<tSb4ENk2fVizyBgpOKEUI;Lq;OEI
zFLY0x62AZFcA-_G#Ku;gJT;mTYumtl6%vzhX9P{@$>FXgkg%GcP~w1V+UfLq%-Rd0
zkRYV2nz~PD^sfl2q<6F0m{0#d00030|9AnEmuqYkRTO}4pYkZ>Q7A1h%cIz?RZF1{
zma^^a?A_ggotbrJ7Q1QUh!k;aQt5+0s>T}sR6~NsM-+o;Lafw=1`zQF(ZpDzztEWY
z_=jzR1`|n;R0TuvoU?PMyWMURC%KvK-0z-q?s?tYFw0>J7-JuP)ZGj(b`!=b7~z25
z)}xl9Yqp|U+z3iC&t*d}Q+eQ%$sBm&D29QgCaK(v0+Pqxflu##dUs%ngA_T4$z_z5
z&zj)F$d$=c@FE#29Kg|LNk)iU1*{4_Z`yO@GGe<67+ai%6|!3Ree}(b$BXikV#=KP
z05_C<Jm47Lysf6zcb^My0He|FEN}zB3tadu0Ox`00AA?A?eoJs0AA$6x%0z~HBG+7
zE}TC<To00q*fM~gX}Zw?FS2<#yfHA2*G*g2BTGo+Kl^W&V{9;VxGIH0sF<O?XWPdP
z!;3^UI#7sfHrHaR9gIk!=9Fb#FMgr9nj>Aki9=lnJ1P=geZHlnU~11B=x&l#;>eQB
zkOtZ`OHwp$ETas}EuMZ^a4S<elS_siw)gV*a`p{OB<$z5oCOz-!C2~orV`Rru30Ns
z83b+T)cI23IFZh#9y4SPYSpN&0a?O+f!uljqVF%ER+#6ev#qGn$V&D!0Bu)C*JBQ1
zMy!TGX|Ee9l!s|a8VJT!tBCzOe6tfd9GNnaD<w{y_#^j8hbwnvs$BJRBVFoh3JL)9
zpj3=ts;|q)g>~l+oX1(rFHu%zST`)P%?|j>DI#shhX;gtqCBm5lmoDa?E1BH_<In+
z3SlgSfqO+@Eqe`q-TGkiaVSXIo$G(YkmAIwV-+wb9#n%Wdiy%O-rl~RMAxD9Wa`_m
zpXnDih^@~K5a#0s_5>^+933tZ^al7%**7bkNZWor5Wk<b0wNw<wiyOlaCm>Br#Z!z
znv}nN73>^v-Pg|!3sqw8a#)FOB&ip>roKeUNQfo^siatOJEHgv$%xxgNijB&)%~wl
zO(2YkC`v8BF;VT9rdXS)SAOyN$jLkaP@?E@Nr_uXNaVzYA7F~+OW}=M4=ND|7LRf*
zz%^OnW+nL)F?>*z+W?YY!WEva>^qnlyn4rr7GVg5RgUM8dLW|#v;c@&GaG<gCtk0t
zMs27AD`w8o2&zcY^VJO}KoprL4h}h@%X=|$)fsAAv#On)Cs`YiC)x3S{Bxt&u<)Q{
zihf}y51a>C8|eG`u`|{1A`{m-`k_t92Df;6N!GA1%>Oi4e^2nD;L`fRL$s}<x9(vn
zkyz0?(lF|&53>PaDoeK>JLs^YFtBCKs5j*>SEWbDrzbs^-UFCn1_@e~qP8BK;W0|J
zbe?ujpLUCG9jw5p&PCg@vdukzun1DqebxcH9nPP+|0&(vPL1SrP2y)EeT`#I$k1aM
zd)-0Cd^Y;;G<H)oMjQ~3J`!D0lDCI?HUyIeLzmkT!XZj3!1pOKZ(zsZ+qb`8y9BQ^
zL2fvb-fblBR$RM{t(4A6)To*v+tru^n`rCt-(Kt!7aj})f);PHX45+}vb+K4xr-}l
zan{`SQpx9Z_vK^kF~6}FqhbY`f~5o%ZtNoFt5eM{;XJxS)E#vYMGNZ985ZpVY;KEE
zx<pp9c2m0_?eTa(45?h?$TK7@#C;UW*!YMg0wn4o?VO@>w}o6ge&u>ph!X9}>9}Zr
zYewf2B|f`LcqH0tVPXGWAy1rM3YG{IOi=e{xWnt1pV|FpdPr7p$kgJGKQ$2$Dn;VX
zEGE4H(u<K2Ahzd6&A7^`D~!GJ!X300Js@rrbcur7atyCMW-!C@xi4;#9JIwEI<V*H
n*P#rjVsc|rIQL0UnBsV&<w7q^q-9oAGQgSeAH#nD00960QK$fX

diff --git a/SPI/db/SPI_test.map_bb.logdb b/SPI/db/SPI_test.map_bb.logdb
deleted file mode 100644
index 626799f..0000000
--- a/SPI/db/SPI_test.map_bb.logdb
+++ /dev/null
@@ -1 +0,0 @@
-v1
diff --git a/SPI/db/SPI_test.pplq.rdb b/SPI/db/SPI_test.pplq.rdb
deleted file mode 100644
index bb748194d4d76101d8ebb16e4b542b3db57ae70d..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 911
zcmV;A191El000233jqKC0001M0BZm=00011WpZ<AZ*CwlI4&_RFd#y8X>4R5HZnCJ
zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*Jis00000006@R00000001-r0000000000
z0055z00000004La>{wAx+b|Gz7(xt90tt|K04dUVUnnh<M<{_Iq%J}r@m574kt(1?
zfj#Y&cm4tVfxYZc>~F!Hoy+X5$vj$7pm&mU_MM$L-+jK=nG`~Nf<odrVixK_yW<8I
zVW$(P?*7REd3&|vOn?c;&q0}j0^=7z;rOHYy8AtiVyIko)0=BBOId_#tMS!u9QwPh
zO3ObDwwt?9UCaDZIdCI&XM6%QK7tCu^u}$5m$B^9=i)dx7eA;r{7PkSZL_hF&kDyM
zeEH_?gq`#Moys(f#kVReGvJ45;;i!*DSA^6I_2UlK~>_o3>7T0;c`R#8v}_sAcgV>
zl92_YP?1Ks*hOI;dX8xn2q@ATi0fpt194@1K^*;}rBIR9!dNAnT^KndJwFi>(xkO0
z)W~KR1?)AZ8L4<N5rm{Qc;Q>G#13Bkm@~YD*&nSTx=B_$MDx81Z)AkU-ldr2mL7@@
zX)SVfvT@{I<CdDDW9kpkY@aC`o~5GhMK@JVbSc5J$mhD3fG7j*b(OFSGCF}F7!4tQ
zJ`0T!9v;IPOCwuYjiNByT=pQ(t_*w0!%?AeZxX<A8YKjXO#&oI-K%H6GkOTXE}a03
z#*3kGYjS>Y<V%*rkKX0r!IxP*|F7l1E(wgxhe`7O(a8TYtF6f3uUx{zJClc)l)e6G
znO%A!dRu6OW@fXTd<ktHOxi@@My-H0?9yrT3eh++xnViO5^nr5xq(N{0&cKN=LVxO
zTr{>!Vh;{)3Fm*CoX4Lm1)OJ>9%V*jxM-}JoF4?<CjbBd|NnRa;$UE85MW?nX!>ZQ
z%Lt^IfS4PIgIt{AgIojrgF=8JK#Ba)AL>A123{n2e<v?j=MZKF384I=XYZSsfpQ=-
zgn$^N-_760#Wg4%qKt(>04R6w&JGcf5)cMi3sM&18W93j#L5r>l-bVlXC4PskswTw
zqmz#-R23V81W+`6|F^3^ilG^(17>3gSe2`ftFNnH2s?uUP+BG=|L92|4YE-Zh`~03
ll?8_cdHT7>LsfHtRkN*roxT&QS`0<Cr(Xz6DF6Tf|NnuKh$#R7

diff --git a/SPI/db/SPI_test.pre_map.hdb b/SPI/db/SPI_test.pre_map.hdb
deleted file mode 100644
index ba4ac9845e271d0992a3b387f78c9057f7ddde68..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 13832
zcmXAv18^tJ)5qgnY~z<)Y}>YN+jesC#kOtR$;Gy9+kW%B|Jwcbe5z-vtEP5)wr6Tl
zfq;OjV1R!t5Rlc+#r)H%nm9UH*x3>=u+uWo(h~@}SXdhqurjg`&~wl+Fw!y7Gq4jV
zNfJm~IGYfN7+X01jAiisUkFwD|BnP@0{g%AKl_XIf9wu?;RBPYEs|zO_M4KIwi^iz
z2MmqGq4+osOeZTlJG*dF`gw(iiO{|-%NPPKh?p3K02tVVl7N7+`cG-;N&fkpu*htj
z7X})j;zeCVWY+7s?`pH+B0OC1>6_2z?7>ZT@szVf79~>p^GJHJ?Cop&c~MP|M>b20
zk2Pi72WVIzd7mTh&U=F6-h<o|ZT$sswV&@ik>YVs1%H&lhafTR7-o>}!7V<{eihpo
zUlNaYNHslrFM_mHpJ_2Cd_R{>g&(P+dHeT>qC@m_?`|~I<V@b%q|$n-lUy&2%!3^E
zUfd}Wka0t8=1v_wBwWP7*JAV)CjQKY`{y7#cwd2?_m2_S@K?lbJ|6m~ejR{18gz}l
z7J_xR?m+HknBL!LE+&n4$Wa_SExJFB)N^3=OHS{Jh$XsVd}}18Id1%QYsN_-q$_4l
zwD?KL6*2kupb`3TU)%7@>pJe9kmL0D<?%iy-VR{DLv)O1@@yixYT_{W-W_+pgS2jA
zoxa6n|6Jv1KX#?gM#*XTC{5`&brd}F;AJP9$7_UT;+D7a6&4q2iJkXU&g+SQPfRw(
z6vV%Y3;{%4Hte~;8*1;~!CnH(P&i<|*_Q7VdH|`fp1iV*no!K?G+|Fe(WC&IS|86*
zDiL<ExUx@x8c85oC&D{9A=(1sY>4@h$V|8$e1urhNs~^S?8v;izyO7i!Vn5=A1Md{
zl0rzZ>>Vr-i566*f%w_s+K-FRc-qyKON1369~qml$xtWTzjZK0<&!s>8y<V{&>i0X
zj2+j)WyPI>bEuFcrZY#i?jY~PBs)wtxuP*D`cMObMCx>vLbXxCut*JAQ>4JUd`gDF
zVkE;PvZ#AP=@?oHTLfn^;;7<|nc-C|M)pOvk($Y1|4w3@QK@aLA?fEyV?7Pmb`~a0
z(7Z4d)a<f@4YPgjbN#*lB6Lx;!KB&eI8|;NNS%|64hMb|O~23XT!>ixE<27<-+_cD
z+@ik&AY8<~mS-}IcfAoB6!p=Um_MONgW!)S9p<;v80pc;SrEZ*QW7^SNMhl>tB6yB
z{_$zcni{%j$)&^#OM*)_l#-pLkNFe=7h!629_1K=hb$B1gEzOYHvdhm+C$p4*KpGt
z=s%?$T$(%P(VfdE(%CW=O5oI&B9%r--*pqd#17tY?ZG>(LTH$LkNFnfCWD&I5a_lg
z32_|pBJxi2Hf{K=fw&(aNgEBQx^;8-u=W_(`?#H%?#Vnh`DW7jrcQ48beQF((V`|V
zL1cJ8&-c-U&_Hxm808~+&-{5+J=dHgXVhP*^}Xvxu|bq@;KbX7h^j%qMIwC;=+3(J
zJK#I_+`PK23ts7NT4wDrRz(}wUT5H0eOdviMFu_J6n($OqGP;jeefo<cu3Q?VZL{4
z2vOiXXm4@=_!7)3@vv_Q@mpWU3scPF0d_c8Wv)B;L6mB4bmAprX}UW-;_B4fT=!V-
z&jEH`Z_Ut~^?bvr;5nxN!ix2eQ9A(!G>6Qu;;->eS?-hftT75!nb({^_=PmWDcLH;
z=Q}Y$yoR-r5II936u4Jf@}s{AGvtnk2nsoDxB6QFAmQxMp}!Rlx%K4Jeybj843ly^
z$*ZBE`eoCVN+Z!FUuU(2lIkJ4s*Q@g)2-<}E{D$Z)kpK0@it#>YfF(m+Rd%L@mr?z
zcunaNh=a|i`u1JBaDqeeeF!`EP$J(dYUf|Z+|#o_{ne)xIuHBpA%f^(S}ubAH62RK
zUWy|=nz7*<Rq%<r;6I`whr_`8E7TiV@Q@)#Y>RJ!!w7=e_~t7l#ov$Ghzif&2n_PP
z6Vt7^pH9EP>y{kY2h1<8mkgG)<xZ2Q1@-a+xhK|iYk5+VO<~%kUej4w6`(**PM;%N
z3Bu5D26o%?VqvI`4m}Y|1PgQ8P*kUBVdIn=+8hOapP3TGc%u-%h?1n)P{N@=7;XTi
zfd?CWy5|51rSigB{g7&^r{Z731D+Xke#xV&s)_{8sSpm0bPzrz&eDsBCyGujUM3IL
zR^KoIKcbQqS5MN<_)d-fMz+h<=(OGpnk6!KtNaU;rhSWyc#dT$c^MM_pJ(U4XT-tG
z+p2CdahN(Af1c`+hHTQmgQSj}d6q_par{DWHx-I6H!ck6fo7VMP<7_`Rn&yR&QFAe
zbUcN8JOg|B#qF@gDRX%(Um)2dlUmGW7%!Rc7gGsxTZcJbNIHtIZ#8j8KyRqHefQ6k
zGFq+^)7Go(CEj4cTR;OUz@Q4S)Z48lx{Fp&gIQ?%l7(jMi*A5oGyr2NT<d{@D~b9b
zT&Wioz|wuUo39a$l7*L~lHqKU@M)Lx;7=P)Ovyaj3cjR~CY+6n_V+EqFGvM)<I6C`
zC%kKw?eFEV`q<QZHU^WJxcD)0p40vk{gGR=hu=jca;SJEwsTocK36V0_(|V#NDX*s
z4iRM<GXGF@A}s8%i%qFcrC}^m({Uus)>1+&`~)+eN(?w-V^8_9ivyw3;EN5~e-qB5
zS)^7=k2srP7gr!N>Lf){3`ZzMpEtQtz&qj_<ntajG`Ugc*!<J|52${?_dlTif$aZ)
z<_Eg}1KJ<h`VZ)S;Ojr2{{j4?A7J<a-v59x$EI9YReWunKQ%uEE&hoSvDhG^F$GQW
zv0iXa7vdlD8tJ7;uyR*rY-5T)eN?lAmj<B<UB3!X3NU5qApG>=@8ZK20_g&qxt}PD
z<IoabSih9a*Hiu*4Yyv{1)G6ZXMK%+Zcoc)X0%j)#u!MmoX;e+d^(Y(TEg@!m^HOg
z<;>~CH+wn}T{EA?qfx@tQ7mir#3C`A=f`SGVocE3@^^Z6i<mdBOU7H!tKcQ*N%a=$
za&ix4ZGDieku6d#wb8`TRIba!@JI;MU@kc<tpOG{p;>yO({Sg%t|4F0;X)b|Cf;*g
zBT;S8ytZlkZNW)7+{^HW1|Hm3W%@~@jdk*zdMDF{q<CDpt;YU$ToJP94OAWzSKIrE
z>NX9L;T7Vn4t*}xY-6H(VY|(`QPJV-S|8it4evzyYcuk5`zQRgv>dF>?#F{LNqzmY
zd}%7o3elB=CDxJmwSPM93rZlmf3k$HO`(9GaogeYg=slpQRmHrX#PGgU1^hX$oIpv
z)I)G1D#HnKy-!NAl!8_8LZgrm;X{6Otm;F|xj&<Mg0kDlquCE0?fyM<_3P6Q%tiAm
zfOyF(^=Isj;KIs9#QfuCF(qQ7Ls==j*tUpmQOmy?pq!j_Rs5HE&}5<3K+1DN3#!q?
z>iX&SBQ^A&t~hnauK-6ZLkASX-ujo7zZd3JuPhj7w4ieER(RKM6ox~}(Yq~z4A47S
zu=fF~r=p4Z%rP~jlJ5&8?rUl!;d8vN6q4`l!U}68d?FU=X}wi5@B%^!^)3xjtV#kJ
zyp|-2*cVW8K;wVR)>TlL69`!CLj)Be1+49;e?<2q#vif#h+V+iyu;mUWtlFZ!N+Bk
zV-aTsMgsjier+oxer@LOjU2C95jR6>3Ht?!eF=S+P6mCK0N|xLQw+qD7bs2?5W^eH
zi{B-X^A{oLvF_a?b?fTPz_X(z^z;%QP~7tF{o{E0aU6#N>i@Pu=7xxIT7c=>)&1(-
zGDiB-HTfIJt3U+kNi_oKViFyAWt|2@eBB<3HnJZXS(`u%$U=*=58O#ua4lKZ9vCk!
zwz&vJTV9N~(B>2jW5oapinfsm=$!x;_{YI3lrz-$<it?*i4cj~hoUiR$_i&#Dz+h5
z$<`;0MvdqxBh@+5Nc8t|326Xge88#sy=TW7a0phnc@19Y<BT%k>W4A#q(Gxiou_b-
z!X3Z1gNGy~W$8sEY3W7vBc>m5{YdCXQa@6XJX5ww)AvN~M46C@=Ty-mO-&-c{?El5
z->KAO5|Ac-C%c#obwtp|)dxmgrt~=8{a^1ERC52uog_U)(CK8mktPi7^2<^1no5*`
zoMTf_kPYj#$9Pmm)%(y!=}ti!jvE0ISR1mMbQ_(>SOV7tBNfDfW_5CUmq=1Sdvt}T
zw(eXxGead0Xj^n)<ZG0UrWSbdILc>{V;=olM?Q1u9aaU5;+#CX+mW=t7MUgFl?Q3S
zPSKwj9LcaPg1bpvRA3J3VI-fdkZZGp!M^+J9sLQSxA3U3n4$2Rv1!l>_@v=f4@T29
zVxd_I%~+4-^o%Tvs}<+FsY#~$jAlnNJ~uS{#Ui=7V2%Dpnhx>nC8EU5tA26;IX^b|
z=49?W_86|j;nY2L>A8<m_`6JtI|UC34@%BpDP$=Qi4zH-$ojB>(oS<~i&WLa_?WSX
zAqn$*%xp1}a;M}tGK3_u{Z{We=RGQq(#Ab-bHwbR^VX7gvj?%mf(VCZ-fr_Ak@vRB
zbo5Wt>le7=?RN$QrwgThBQ_&H@yC2n`k^}!YKXCQwKaD?YGeztYLvyy5MxCAkIifz
z$ak~Cu%(C<(B8mLf~jWSjfgAAo{~2#TAyQy?(T!Uho}sq#qcbKm`*?3VD@sV`T%=?
zbD=G4B1+YL&Nzq7H|k1YhEqLnXoOSoqQ5cXl?Wcf!}Q;4)vRp7k1)<dA1ul@gptEy
zdZA1{JXrh<pV-TFic5z3?@iFJPJT0j7~4guTaJO6?fX8i7@;*D8O<fJCIlm#7;>6d
zc?7I4DAt`#Ac(UvXT8Y&d-(Th179yny-6auCgAzT8g4ZUW60f<+LpCCg6Yt;DY`#X
ziEdawC4EuHoXp^u+JXme7PXqipjwugQL-5is$7v-iTdo&pkzv`qZ037+FhEMuOi^6
z%qf^KDqp2;Oo=&b@27B22t7avjW}}qcP!ir`X$w4k}DUrW1p6R;Sk}f^^h_6mEel2
z9{n2W2I(8)a2(7o=%3cJcZm^{`-iIIq{P4TkT@kVuNSr!0WvClP-kR=j=Ff#DU4b^
zK#)Z-Eh4eIEKvZx3YB3bKU5gD{)7-I@S*|#AEAzwOcUcH)hf1fK@amz)Ye%$pKw@{
zjn|ew6l8L2uyQfBgZmZul*F_m8Rb%`dhf)yG_`ogy-e#+3fA4ZG5ck1rvq!E9t`x(
z$LYHK3~>W9>wQka;z5%6drDTyHG9&!#C``h3R>ZH(5r=Y{eIsu!Pnn?M|%U_oZOvC
z50a@imA+2mVLATqfS^W+7m0K_f49+NADB7qsgb)-Djyo>w0oB}2n*YSqQzjqidS>*
zCm{1481ZfwN6NZDmOBRJF!b#~E6y!D=Ueum4(B&CxNFfO$hyRkkjjwl@IKy5a0&%N
zQl^jp^=>!K3avOcXbW!ipbgv`*ku#lP-Q<{>3(-<M?FV*EO~A8EWaK%@^ArugA>uX
zcz@OI%paaauZ1tEt_ot0qC`lI?XfnLHwYLUXex-eBTpF61}fQ;v~w&>^;N!gCZ>)$
zAY_`}wXFm(OK8kH@)3?PpXS=TAeG=G9JQw^bryE^`FSpOCCrW1y`Z*#A#hGSI6T1x
z7o%M)`_faFsoVd*w`G{*halxoh(viiVy!$m&&k5MNW;oJ=QGHq=b~@T>v7XR3`xsQ
zgUcgZSV*wX><vJmtVi>W3T#-g&}wn|2OR)HkH3vZo(T4jYQ>f4hGvPK@Ss@cpp$Yb
zppMBY8L_=j9a-#Ke{#aVD3j*Lkmgt^G$hhZ4H+dpIzt;a70K}rDR!INK~pPznwH65
z;T||$)I>$^^)QH+61x-0;^rN<3r#zPcCX@jGUPUDR)zZwA)1j~;c#i5O7bVb@PO%7
zGkb9%cM8mFo#344u+XpcSn40%ZT0mf1$OyRsXaYaYR}rerFKB3puLjFecTWZj5aBd
z4*`aD%>$14{h4P7$E<==fX4joTG>@AlgG3xu>&h>h}ogRZ69@xBDJ&O+h%NUQ$Q@}
z7X(IB{UunyO1X!`N>Df#hPq+J9jVnK`i%}<ec93Csg$b={s>*1EUc(w&ho+-+y?Ga
z;s%1~ekZ%yY;?MeYj-nd$&r39HIGJvBLt2n{u*-F(+do^5hhV!#M>hX>RF=AoDw_V
z0wYOF-W@W&;Ux7#`5;=|0f298v5<3@KWDl2<<hsGcDa_;uPC-Ak$IHbG`v@GdYPY9
z&e+&rxuwnY$VG1HjiP@Z_~zcGz|c^d3>WWxAO!<aqka>`dViE_R>WWo-xaOB2%A*0
zYlP*DptAYyY%=BVJmDIvx5E;)>|?zffPQ$4?z9Z{-n+723bQxG?%EsE({-;2r;82~
z0U2r37?aH#OX+XQ@2aT}BKx4AvgYYoh$u1SkBWpC7^|;QRCmh&I90XCIL4fDADaIB
z`_;C$U@S8??u_dSp{`kXSb|JA?{ETl^|*N=<dyO)F2RUL=CXvx2}!<Moa~YBCDYO>
z)B{RR_Z4nr^cuEzkRHMugB!jl{is9Vt5^6fK`eC&6c}Rb3<*thCH;bT0!8}rT;JD&
zz`i;hgO?Tb4HVogI}7zK1kj^g;;Ob+_qdDO6&uOj#Pxn3{u8frEZNYPjDvk4%RfKO
z>6Q;oYRh+9VbCT@l1Vv!Grtf%;Bhk@PazN?oYXpw_vXaE89Lap3I0u$yMeH;&KvzG
z2&LoK)mSM#yn2ysOaID7p9gdf_JJBm#H-%|MDF<9=faA)<MNOV209JLa1(+zm5wA!
zW1QM31eIskIh6aN%=i)&YaJkG7FaV5!8Zh7vRdlBU@gO+hQVh&_<9yL8kGL_EO9lH
z^~W(GV3V3pGfj97bFYyX&7Ik=nye?-4Ka!k-V3|-sZPXWwX|<s_PNMS^9E^pJD73T
zjigt}Yp;N&3rGE<&00Hq7oKMx&oi0pL6E=gqdX<TC<y|>s4$AKx{S^-<&)IyBx5rV
zqeF<!AyoGa-Y0_Vfzj>c@M?x?BhStyRQD9#Cj+m1s2mj5XmK6b%;Pw1SD;_~9;#!f
z)%RJ#?L@Bft$Z#0slwMl#ETNg_2f!6B#5Md=nk+!HO>KRp3?Ub=32^_XyJE}=E_bp
zc-ds`g8-6vf9&p2$jA~M#4yk%iZJuyv_^d@SKMe(#Tbjsxlo<h-l&V-fgDqD9uDiG
zlZH;^DjNflT(#6ToiXuH?<{UmlmdEsGUf+PE|co1^jE9t#p$j{t#}an-7#jluA0Q{
z#BDQ=4|HLY@F$5l<3P)Bj*EEf=~(KAv0fbs@Ni6jW(jvS<FuIva1Q+flK<FceZBK1
zLc|f;$bIUk-thxlnMCpfBL)yx-gz?Y%BU&S06?!!u-vp<OrzM-9Yw|)VS5^3NR8P@
zHBmm)T-kBLZ%PmRAQI6twE6mMsLK+ihnwzuG%N5zJBP*4AqsKRqJ_RPnC`ayrRTf%
z^5VWBPmpG+XHo!w=^6?Qvim4W7aLz46GxfunfU|&P8Q}g2GyfNsFzR=u#+!iu4YB@
zJsss@d6c6M_IvpJAyJWlF{d%^Z0U0Vn%sPb3-nal5W67G7xJ$>o>My%79~kM%ZM!C
z#Od1`Bq;B_8fChel!}DGI@5snR>J!xjU(1VWwD8893{cvg?nI=0ut?TxTZXeLLq1u
zI_V&9h-EPLmtwq@y&=n@ov)W;2YAx9Fv%tjJ^vsKa}C?!QiNZ39$kh73K}{l=kCN`
ze2QC<W}k`Au6ug0_-({2fJ0bb3U6(@>)Whg#0=pPdxD@TT%`Jczk`xbh=T&DX;iM@
zk~tf#)S-DFSKBBhiV{5o&k<pNDNs;#5Sz5p1c!^9)t5^timoY|57eT5Z0MRrY$h!9
z2YCGT9qHh*LBOdUscnKDUwtC-hkc5zh0SPsF}6bf_EfPW2tqKJHLL>goLUzbs*pqa
ziui_iR3TkSmU`D!aRc;;-k0chjFqyz&A$!p0E)V~w`g7&Pps?YPyIGe&WeNGv9n&N
z?}i<Kn_KI_ZaSj(UXH+I9(nugYvkwI-a9$;jgG~-5YSBzBOMLXTB-IJ@OG!T;@0($
zv%_2!yr)y~n8XsQB1e>El7vG~r+jryEo~i9->bvaUmUxr6=Bzed`icV&$NrhnoJjZ
zMaLs-=>6ENq+^G1M`xZ61M_!irylsg+5Ll}SbP8_o26n>jc3OORU6ju{li(#FBYxe
z0x9bdS{lhY#7gKqsHaN_O_OD3%An~>F&52BxaXFSO@o})41&Gy^S6fqj`_MrAf1)$
z0HyS5HYuy{Ma$I={<l9_B$0<zQHkx-gC1?VHF0unjm;dIbUmKXdPch2xMk96*iD>c
zPI}-h{{Bo%N+IOS{qpR(0w}j}BFuhG3>tKv(eiX`3Sn!?UCOqx{So?_XYuyIP?C4q
z<*KAhm3FL}?f<OAbT@NTXUEP=-|LPt`qt+h6$VdjXn2*n@8*jXi<WSy<XWUZl;{uc
zO6Mn)Q08Z`EiWoC4dHa#a*EUvFGUMvRin$4DJLD+X<B3U8EVGtO)42rA6K^j*m3UH
ze+68wEEqequJ$JFi`(cVm2YL}E*=9n7pns(7D<<c7Q@y!nK3u=y<s23#BiuQ$9&;T
z!S9*fP_hy3_}5K6@@FtFDA>~7Pl}qWP2$T!_>z5ic%D;2T3b@1rFR)^^Hp=KJ<C*k
zo+1I_?L1w?PnWJd6E?3=57w{MN)|lMmC2{SKdoF4UP)Wid4gcTlV{QpdMU#iZfE4J
zxb*YYb1L0+ykv^B>6WCdI?=hIn1gJ#+g-ZbY)s!jNFQIXN(fUTCn`w774ic};HrP+
z_<uB)T6)B{%x0`0-VJ?D5|Fr9(F^=WjpP;(NU^vdXu#RZT*g+@Do~kOl!I`aia{|N
zjCTXyrdDN0H|j1`RtpW<9Uw<YGw|pG>MpMLlxbjzlQF!y4f#hCE5`aJZ*B}f*6+|r
z75Gzhhb$-aXewbJhb+=uY4!0Gdkxl2hpu1@FQF~7rKirN*YKL=pRz%UJFY9%50P*!
zhuKU@HPtN%J3+JGQkJ;c59<3(^V?s_QoxGJ#GT4S`zxsqb>Xjdw?0pF5H)$UNt;uh
zI?(|7%{a;{GLW9`^`}+TyDnZM*cM_`B*T2bXHuLK*;KW3ETY&R?<}P&bc<XCDb<pd
zP>2Rcmp<YZ&9{gbI!K|OM7bqOidpprxU`}e6>6fEb1eouONx%B{EIi)E#+4Z*9#cJ
zy2Cjj$2I&)K|IJYb)ZnS``%DS7FLW+Kw$dA9J>@M(0Q0Lfq^MHkT@VLbN4WXIw7o8
zMN4PXc1G$Hw6q5;#Legyt)fJoQmsY}eS8{N88onJaL?iNv(xRwYe>~l&9lPxEVmQP
z`$r+n&Lmw7E$8;V&|eg54vZEE37eD7m?I^w;qH03SoGj4SJbNvSS}u6?=s2_FI8z$
zNbAmq=&KTW$yl6NSKZsX+cyTF8yIzP`{DCy|KW7>+qW_*mU)>T#-NojQB~;S4!wC}
z(ngED*V)%ZRY<atSqZM+D>Cs#+7xrjT4kxGTRXxn;|__QubDQn&ZVo+N=|ZdQ@*H4
zs(<L0TEEQ~91qD8KAYOdoLW<x%3Ik~OI`o-I@C7U$@6-En(#rh8|8$<rXP#Ks8H`h
zDh%*W@Q<uU=@8fmr9i_W(KczX{fCQj5gwP+9z+wZrYUEvL}g1d*ad^Nc&R)yCxXeo
z_nu4@Rb{g`Nv~U17o-h`9>JKf7MI57tB(SN5wKM+%ut{a;KOC=YWQfL$VR%{a%HEO
z^cl;bX&vOcTmV}Q0p}8HN0ahlS!t_7S)dG~99dOvo&W1)eWekodR<wSIXW;|fj`Ql
zg(_U(*kNU{TYY>To-~SVBQNKMMfQWSx*TFp4n)djV{7wGne?H=pEbr!QUEbE0|QRD
zN>6tXW?*m8FxYC);e>J~<chG*VFo+bmQwCzq#r)P(U?>AsA6;RFe5CQ#imuLWFv3>
zYUc53#%MEdxJ@WV4r<W8Y9sjCXNPV%W4l@CP-LIo%@Slp=4$9|$k+oHrVd(F_LYSw
zk3)eGh5;G$(n{%!&V8y?=(QYy4!)vELN_@2S9PATxRpXZ1(9z=$C=h<UP-Hv+s_Ts
zj@#UyB;V~VUB=BYnlckgrDA6jqBhsX;?~W5WD|KXXsCtBEk4vVQg#KMeFV?5iPt`k
z*N!8<rM=0}<8wUpnzeomaxRSQFx1|w^AvnF6Y*1*Ifiy)$!`%4f&2HE;WH@OW1Ce)
zYzFJ?!FMf$KFOhiz!5<e(PJ<$qB3-I%B~!@3T^))hjjh))IBYJAW4EfZinGPke?F5
z9Jn78#qS_gG8**kj(@ucJq|=-3-b|O>FT8^xplahI;;yMX-Yb6#nu6`&D2}iiW?Yl
z#{K#i`4aKx7>y8rTZ>0^N^R&<3q!G4a`^Oy^v3=nKLYr0@qXatMww_ElS;Ov`&T;{
z^$e)uCaw}oJ2U7L4OVT6Nw01;u$KtLg%*>34OlZ(*0s&1;lq<HgQr*vrVhAh)u+jY
zzg)_F>!~i(H7-Ss3GOn+HWV#x7NyFDQMqT%?Jk_<_f^dS!Mwx97xqSLobmt-xLMNH
zVp6!yCY(z3X*lJwYw&nSheF<Yd;XYL`@h33o!z_cYWp^PZQPPV1dwpP(8W=>sSyO=
zQHxr|D*JK2`X%zwD~fpsoyUItUG@DQhfv=IytkICnHb=)8e}89<@R3_F$=dlh^qP(
zfn-^QlggY^u71bWW5d9MIe{(SAhp#KVL2D*1#&JCvn3saCrq1$&M25XO@|CyK7-s>
zpVa;7IBb`RPQv@_X0O`M>OS3CiyduRk=-+0V?K3SoSPt`n;koE$gR86dHudv^utK5
zeRywZW<GXK7;h|YC(BunU7J|1aBeO=r_>KxQzKftB4VkK1xq;2=VOCSuTY&MP1csb
zIjPI;TX#>@^lfkEPT=I{dHVQ3LM1tzqxd+80Q40VmZR*|#R%;}&#gi!t#%8S$M8He
zc%Ero503nsxO>oUd3NB5T!v@Rs_g;N!)=2}z4IMjUU&Oe(Mi94YS<n)^W;ZK!?bA7
zZajWAtu9dU7o&8u*d#$U4j3P@*q8CB-{iKl?A7Ida0FU^)kDZ-vxaxKlaTyeL_b)1
z8_HA*mp;}a<G0~Djg<6`sj__MKX|SLx2-}*)1<c`$-gq2O=_n9`0&+wn^+mP*R+(x
z)-2v1-fnF@=q<bez1$oYXRGp$VeWZ<1g`F|83+22<9zh5?)W9Z`5Ljlh}HM;L9@3Q
zbWKx7D`S&zUuZnzJ25r+Y2Sa-wANC)cW^*QgZfUOy|`8P#(@6iD~Wy^g<X*|>GkCV
zePY6@W&0uY73zkgW5zwc93(#{(GQG2Zkg}hze?BF&d}ZR^c+KdSMl0+;6I^rWsRn)
zfaW?+=~%z<M=%^OW>^g)7C)5H5FV2$3zF`Bb;CDcvlZkA=5og1z}h*n4XJ%{7U|LY
zj8ij@rXK?)<W*#b98^A|xgOy8UpFMd={olg!@S=p{Sa_B-j<)U;`r=qZV)!}zE3Kz
zV>W`nFy%|4+a719gpOF<PAINsF0W?r{<OdDbau>SUCk){tT=5#a*zv?k{uht*}&vE
zzhSS^><O5HTl+324(i*Si276Fc2#%5%?{Ku<61b0zEha){J4m|x3D~ZHYOEQBQ+dE
zJy(&Pc;dq>=WLn5zVleGG-d}an^bQIQ-VF`k)8cmuRLZ4&;HUUFuAb7QuJRAbQZ%$
zt4HT<w!f0X5?Xif6?;7n*&CdEt&qKyKaR7`y&IVBV5UasK+<NWGBJ%?2l3vD2HpZ@
z-hpzFCn~Uy9{0?Y=KXFRaK(93X9SOjStq9t#~b?CsO9s6+1Yj*hCkj!?XG8ie8dn?
z?_MdNs)s_yAHD6q6<(;#`rf=-&EyRhQTnFpXk;a!@Ibl%vSQLC$hsqcr`fMS#uT<U
zuVxy64&ThL9&EH)rrFHc2y9>-Xxvy!@fLXj@?*ZALq|(l_v@}xIN(G~#9E#G?oeYd
zK<+S`+y?VUZN}H`VS3~~R5#9syvRMCl_5E^gYy*$AJ8J8Z9|BPV)C7+aDMDlI960B
zAI1W0;dWi_Io-V;CXHbj!|D4?NOo!hy@I3cTjL*6?4h>p8=;(?79BW5e$aO4EF7TX
z5n8bfkU1rozL9&<T$#!32rBnO%!F+iOwk!r;AX}-O!Wsu^L~eJ8ycpISRCg>>w3Is
zA<yv+UtGsT^V<_^u*7YeWPeJDw83Hr!S@?|u#E3SCEpXQo60}kcJrZO19|QhJ3VQ1
zwQ2fWUP)?is~Vg#a57|dRX4c#T6{>q^M|VO?w!cwrfs$xJ<iXg!Galr%7?yoLKS+(
z3*{vRkZPuabQ7R-MuU7+NFUZ7PV$;phvo_f|LJohX+{-m`7XabsB3xBKC@)rL?C@=
z66q1(9EW<o<Tcb6##=acV4u4>qD<|_dE<SjVe|}~26gkGyy2wHY)=Gzq0c1W7Ag&1
zk3oEUu)fgM_gzmxeE0n1G0?{5{?#<8H<aic>}2->Fs-S-7-;lY%yu)4G!bKntnM@B
z&Mk%?QxD2Z9e=&I$w?rqA|%`-EFbPp!9E5Iwx$3QA1}%q6o13)jTd}?8TqQj3zLs~
zD2Wpp`*n+neOh2cUrrXB@2{Q8%=McuZX(UCKNrTW-mHrVlf)nNdhRtD)7$|2k6<s&
zxo|)>xHnj@X9Nk_r(rG%=lR|H<6<w1`mSSOjph)}>ETpPPCLrDrCl61*dGAI<(|N9
zpSl><kbLjB-*-Q?jPsLmOn3O83L1ASB*SFH{gz6_TOm*yJO#r7?JE*A^%0D~`pAae
zMxGx?V)8?Gmt_~@9V{88jGe)jqi@|ZrXVFklLR~%wTw;h3|jZhivtO9BMnmvviOvL
z)a+d12t0|<N}lU%c_MU6TwB4VyuACQeWRvx#M=`;iRI>L|MGwzPFJXVu?abl9fJ$m
z3l!F1&y>K2yw>+JsO;mOR!72J24kL_vwT;T#}#q!sy(Q?r>b#id{*N2MFicz_g`JI
zm(kO6+D=<3&&JY~CFv6zezV(`%e2Dlk)UiyP9eMXG>Mn8)u5bYIA2fJmul;q&D9+<
zpzOipDX=Y{Oiv-!!|5pqKC*->yNMuPHk7wcYc#&?(EVlffgLnSS8M}1pv-eo?=N%X
za^|$*Wl?vkc}&jRHu?-~43oef3Y?G0)g27bDHFb{6ts$>7q(fh)$4fLo7P4c?yWg+
z{1g}e;X|Dr2XOZtN-7NRgq4HEEbPN79r-=Un;VEj&o=Y;=}uYBP8y=GORU>#u<W-s
z8r|(AD4(X7E4@?0HY;yuy$cotvJSlrsLw0ubiLyOHTJ5u?F6XLpJM?Gzlgeo*{$P<
zD?De5+H;@TPuiX5_x#O|2hg+`z(i0tA<COj*lfYsKhIK|?P+4c?eJ9^{+7PBjGr7i
zTs5072)>vG&N8mUDS1EEx%ubFR<f+S@EO5R);bWb^p*VZFZi@OQRXG3p|2-J;`!PP
z<!j&iCdVXjI|=UV-ug!G#eO{7H*@-vYv(DLANP%~;q*&yb*G2r48MztfAicj7WMNG
zVKitP?4=IkrNE#G%;(dH;pP)qj&Wvi7jPcY!t90n;n3~A4zG{<^(XRW`29@FmTxG`
zPf+w$?qQHi^SbQM{&c-(w9=PFxBYNCAKndh_I;)G9>Ns)*J|%Ro&Tk-(TU46c%obI
z&^S?2S+o{5TmF8Q{*Jx5;Ac%tAHZ~hkMksGMo4}IfsQ_3g~AB&K+wFdo1KzJvsM?v
zIRJ@@Si9FNzF%fq8IB}ym?yr8RAF&{re=)vW61g@hB#Eu`esFsEHDQ=v}*#$k9Lh)
zpy4{&9}o}c%f2(SJ-4|Z1c;M)fvNA)ONH|#-f<t{YN=*qY%5;9&F8EBqMIu2lLC@s
zR2c~4qa^ahy19z#;YN8Y5_NXYjIQwbIaNG=Sfo>>hTqD7(;b1h9*4M|h5(E}0KmA@
zroAWVSF%!m`A`pWqP%_le9@2#_$+2Vj`_(>E9PfDNkPB9$>Mcm1irD&KUP0@;J?<b
zZ;DFYAx`CF;d@r~+w9hNYbTJm<RZQpi7F`q;)D~4R^j4FywlGUvtAf3v$$AoEN_R6
zto#X-Jmmil^y}aofnV3P@lV@gQi{qG^h<F&f*JG>xsmw>Ci3Y|G51J1)rvO&EA#qA
zY8B;>&s~5`9M=TjJrWl0dU>@@DV&0eq+jEedw1vL&0VldZ^KaYRZTxAeKnd*OCjb&
zya;qMgc@H(eTWURlhDV~n}i&z<9XO`z%eW`a^J>7QlST!hkIOmO8&B0!^*#{HJfqI
zO1le<5JFAoX&hUv_%0_`>L?yBK>QNr7iW;E%qlBxhu~nQ3`UU=uY{;Ozon)^kb(A-
z>S$1xiLo`lk@XO-RBeM(2)F&)bYI(&nI*<X&dk%vq4T8Gff{X1NaTfZTfA?OVpy_6
zjyu=S%W04NOW;BgA35BXxnC%MrGH?7R~r}0hNG&&s@#gG`iMUBT<A*w86m2S^`E&X
zyRrG#Zf`&Qtf&o~qs9WiHc~J(E7D|bMfL4XwoTlAXtV1K_WP?s-Bw7#LEhpZVZVX>
z!bYDh0^}ew1$Io70;mOQXEiiSQDAYXsE4^?XC&uZ%(+>Jfo`WuM;>#XTybfnA~O-+
z<d`y!0rOxZuUSibmSBQm4%DSIiwp~e7g_)LyIB82_Ly%&$eL<J#Pf~H(9$+n(tM%0
z%Pjd*R%B0M`vsTk^4ld*E!I_Q&F5E^DT0#{?XdTW1~gG5D@!^dmRPE7V}y_7T(az1
z<B>dv4U}2V3pa&YxT^%YYlJxlf@4$Ca2SZ8-mF^z)`d%6O%N#!7qNj*7G~41Oa5Cn
zs)RxH<)VVP*zyu;gWA-25Kd6>mZN-vqYoKR<6^67$})D8cOK%qAW?8}C{N&<*Bz48
z(@kZ!z(R1wOxt=8{#Md)ZSF`yet|l=YjJHyqA3HHF`!_DCh`NfV37THX|hw(Fkyh$
z7P*OLW0eo=U8Hx7{ALG;Z^%wvvLZezD*geGK%Ne>6-|i@_}W+Ku#n_!rmW;E_2UZ7
z6%}ukA@eW~7{}O14$%Sq#+y8r8`D0}#`8@p(Px60o?o<Ef`|fl*KPB@(=yaZ_P8CN
za!P*KrE5rqpYwu{QMrjZ%`?4;IcP@lY4iH61lJcqxmv(WZ1;UOj^!8*ZPyu8UgY++
z{eir#Un31b$!O*Je7SpHbm3-DyhqfVGVD5WCKg8+U$4es3+i`_9X7+YaNKZ9b!v;q
zfzIKv4TgtzLzHt#ICuBkaOdXlm>RJy@T_TM4{x1B=i?u*XoPJ0v25J4Va^qPoE!b!
z6)@f<5W22A;`gXs+sJ!fjvAte+lxow@9TBnEh^zHn~?(8v%o?Ob{iFk(j(58Hq>&)
z+!T_)?YbLRCsbn{R7RA^R>pJ(b!H(HE4`IDW(t+%LU$~)xR~R!whar+Bt^!@2?CkF
z3>=lIrU(uA@u8v);Dh4fK?&hO=F*o~nupBd|Hi4xLbJ+92ML8bh-ZuWqRNU>d4%?7
zqhQ~kbl3_9?)8lrypR0F?c7P6Oq7Q1Z=@m5x`gsr7PgQ&$VsZW*kLhTiTH@6FAX~c
z>aN+VS-r3D7X5JW9O0u(&0CBC@vgJp((9o6oO{!b_7@>M=pNj-HXUy5E4&-cnl+8f
zgIXISERmZduFxGTw({Cd*U|L1q{<PK$TY<3ML6j1QhP{tf;C`E5j9necV=yn2F&&O
z>QAw(f$@&3fW3#mRS_O+YD*&PQ;{v9@%fkb7Kk>h1v+Y;F7clBLX^e_kOf%ji45?@
zKXXSicHiT#(#ZrzEqBy}$WcL%sI&WRZ$}3<n+owHAI_5@*D&6GgHhiFD{`B9LOWg%
zug2Hxm~N=Sm{@Ek%rg0pS@m(SB#D~$NJF+gaS0Y41~^KLjj?ezphq9a@yjY7q1Qr3
zy5e$hR<@KUuI3Wc0yJakxnToZWpls##5upL`-MDtu&HC$*%e>2V}&pRHBCzvClP;l
zvbRGnFR4#x&uEjr{_`n;b>?Sr5;|<;Dbja1RDoV<U|M{bNwwr|H(-b=OWu{i#v^lp
z%U={Jm|<*eU-2+Ub(Lw#u9B&#mZOpYO)B^_(O?#;=+<$8%QrD6T_FF8XB?_xU9|MF
zM$K>PhL{b3xinPq@;yeEe6{=e*Z=$59oSpd${JM#wVQ?}Mi3xRPBRUzX?<Q^Gy^GR
z!^P$0=5{hQ!O29k8OyF(-pW$;AV5%BHw4Hh1TG*9?~6sGS`i0=Aw^~`#{M)|NG1R3
zXB8+2BbF==(BwY8*jU}PU6-)Ey4tYozTdoWXPc;B5gc0Kx_)Bm8-T9I(gJp3-)VU)
zEjmfBGDl|%h@WU!rBE+hakBUgV~Pt-k60!_$yNZAHmn<}X6JpgjYvyq90tnJ7HQ2<
ziKLtPX}L!%4W@nsglm;-9MqaLPPzLyl=WUia53l+Nh2FLX~uH%-D`F2mkt>)D_hop
zzY{Xo2O9lSOtY1K1Wwxu%J1~Y3b=CyJZ2KE8uI2h#zhWJCtR-wEhfnXVL{*eO6LlA
z|JM=7GVndJDp)QVj-6}2@J<oEkv?usMsuauUD(}q^|}dWh9KsP3N*9;I5ng$Z`1n*
zZ|dLjKH%V1Q>QAOra&Z$g~YqG=}<fK$&Ma3g6@{*I$OC;x7xN?Sm`ToG$SGHLHHEo
z5a!xqjre?rg1?OP9r|bB{#x1LOl`&^1|3nu$@O&lgtmM-ywJLBV4!aPip2BEg6U%{
zR#;Tv%eFb4@&PykbP>+1kFG*T`l?Z9*aQ))F|lfPQLNukxy&B6oa^D(QPgH~y`IO9
zaZUJ;Ey$*g<)<OghX$JQ5CboZ(Eq;BzPiK))U7#2!+Iotl|+9hf9CaT9NCv^KROX*
zxsZ5)sSYoxp?m6!9wB3*24F6kh5u0u2UN}l&Uj;%8Bh?}EoW~#0jn`|2!p2^Y}T6N
zxc2sh2P}ISyNh24E7zk%I097`(|jy2ebC4w9{)~NKm}Q<HM+T8T1P>k`-4TXA&(V;
zN;IK)<o~=U0Gp19dGYiL0r9@y<+Y4-d8;;si;NwVQap^T<i_K1dNX3r5R@cc9hlb1
zOmp|Q`O}l&$e;=c2?2W*ED-hLJp7rh$o#hW!*;883bgt<<vApnzRr!KQkV_--2D0g
zlaWY}nQVk}Fnkqh&`w-*B3d%ME=(EV+2)Abm)ej|35x5qX1k19k-2t82FT(eY$jbZ
z2&fAX|1C!68%k`hKrAjF#$W56Xa!t73eCorAcqu!Ah@X;cZ2M!;DhiEp$f0pvo91_
zR*pMORL)qS)(C`&m%U20@$md=%wj1tq<MJ+%To~E{4K5WsgV2IV=pp}sC^?OuEJD=
z;Qb{9pjC>GqOSZxOM)CrLZn|2Pa53nU>DM>%0EqQ?<qRDw%8fQ`t}LHIReEpZMku&
zhguC&y5L=c8qc}rR02n_F=d%B2YBXK+eegOTz^u-o-e~MZ=$&?q+76j0ok1QR&|j7
zF&7b%3{)tnHW2z#M!xEOlOBjh668Z~v6a}bozxurET^pJi_&W>lB+^tdEQ5izpo(`
z>O8$*(ZapltJd0;Hq%^bO|rac-NycBjKTAFtHLOdV5^z6({z_M<Znx}wZ3k&sV+`6
zAXDJGNt)iul4|EQobSAiSADdJM8uG-?A>SxWG9z{9Eyv*5juF%5s@%ju`n$uqRmK0
zIi1xg0^EFF&`zPaS65fWEuYWxsB!utq&6feuwF5c_3Ez-I#*)Hb)M!6Fh|JXF{gc2
zkktZu`3%btYc(y96=B)%&yNj#3?O-&Ayj|#>&D^&zyx`kC;zlIV_4UuCi&!oMg1Fu
zlQ2Rb5fB{JOawJaseM84M4y$XJZ^D4G2|uus5~(rGaaYx&EBk}cTSeIr!KQV9M8f5
zDov>r)|ng7=ERv2_G+cp&3qX1oSsh9o4jszznQq^-*K!X?<q%9P-)gsjVkccae#+6
zHxRXjM*IgRC4H$=eMB}QKXr2GL@IWnBj58}gB!hFEkoElq)yw8?PhceF;ik*Cva_A
f^gr>xG4XNYJx9SzvZ$So1SwAMm0y7WR_y-+Y7;KD

diff --git a/SPI/db/SPI_test.root_partition.map.reg_db.cdb b/SPI/db/SPI_test.root_partition.map.reg_db.cdb
deleted file mode 100644
index 5db08b38bd4e187bed26a5555ef3a969f8030680..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 222
zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4Lk=o#u6C^(g7=A<Z?8JQ{=Sn3-Z=^Ggs
zS||j2DfncTq$;?kWR?KcdVmdJVCaL=5l|XL-Gj0#7?Tnm7|d9Nn~ySzaPocV(f8EV
zbDZ`@AT5C*5v1n-f1r}2gp`bggoJ{rwOeKgdKfcqv=Ol0ZE=)+w{_c&W9&PB{c7L2
siy^c8`~!jO3m#O6tE;hzEs&C6c=?$1(WO0)&V1Q(MWpr29wCT%012K!v;Y7A

diff --git a/SPI/db/SPI_test.routing.rdb b/SPI/db/SPI_test.routing.rdb
deleted file mode 100644
index 04b6a0da824cb9ad98a08f7d88eb30b745fadaf2..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 6900
zcmd5>3pmsJ8|OGuQaY;R)-kz6%I2EH=;|IyrNa=pB;uH1jYZK2<(|9AVoD|*(%km%
zEVPLlM#wFTxy*fL%i15E=lP%0Ii1sU9y$MK&$HjVJ@4~=-|Of7e4pPoK0dzUjr>dB
ze0*L@AFZY588@iEr>~E!`hK7~P)+u5fTy>s>^==`Sv6gdx&}x?O?|(tjhU>4C(KRu
zn5!pjNw-0G<BADAsg(zx*hh;iKjwb@56(Z{^U_zkVY|>z_mx9N?+7A1TlrL4wvp;`
zX#l@w(jCFBn1oypc@rA}oU4NHH*;v;)MR5sSK*k0-?MaMq{!VJU3(@5-`BFEX4+{W
z+ahWSibpQNV5q(*X5%aX<cLNFEyi{9g$6IOY<)wOLk()d2RMu=djf8;4>K^0GTSF=
zuflF**NOT@@=$%1%nph%(H8&)d>9rL;-HmMwSOd;;*KQ8=9g;Sevf>5?q#R{d=}W2
zf!&tNC#ZaopS(lpf-cq^EF+JXu}QZ{q7A|BHJn%IIIrS=G4V|nkO_~aAuQZ1k0)lf
z=F7x&I(o}oiRxYCOjbD&B-)9JbkWF6tM<4KKqz97%C0NYNws}OsD*Qfn4yji)dq&$
zAx)PEkT~4@R?nD((sX-RO}(z7Eha`)<_J`^(s3{=v4~RlecVp`_YNg~mLw|OC8i-B
z5`*j5kELCNmDZg%!5!=H%CdoKSH6C$uF#P;rro?7FXiApEs^L&VM+}rLv8{e!$nBt
zbi_@6Gn|pQy?*^6rJ!ymEvN&-(^~AjIYx2HBCx0XJb>m-4wnq*q$!%+Ih(I?GKyyR
zzW@hwlQN&|<u**ajie46;&(AJ=1vRQdDlw~>(e(eNK}c0RgU|Qr|;qpk9oB?5x^gy
z3kqYt`+Q$Bz}1np$-4UV&MBJNi%uWKRMpaxB{VaoM?GdhdzXAiQi`Q(RSM+sGqak+
zJ=xC#_u##6q#zV+4<s<oKs&|uFwJl<x$a`xBgHeLDUgw)kL^ir<`3HT;9G8ZS0pyx
zK6{U~9Jd;m#JoNNdKr4a-;*;6g{8+fF%DTpDv4v7%Wgvo%3O*QV|t#inkbb0=2`xT
zRSD=gA%xpQ075bYFLBy8KWj?#M81;lPHNPOE<Ho4^KrE^2in-Jg4iBz>GQS=w&2kk
z`hFe_Jnlq@VTGsP3YS4z0h|2_%4r(;+r3gC<3_6{W<SxY(H&1>4l*0iGK3IB2pfdu
zAp)lvtiCLC_9lQHvOCr1$|N1r#M1|SP|Bglx933GwG@@`o1Hao*SDOVU<rnUJ(d9g
zQjR_u_RR_c`0vPl_lGG@fW+N<anBU$U)eUOo;aqSqN{D{W0{K3cVA$$JqTCS7n>=R
z(eQyG4s>oFU|uCvLwZ%l+E4mZ$oQ-=BPh5)1G|gVG;%Vo!35WEYN$;Cuj?>6EkR<Y
zk+U)sbUuSioX&jufKIQ&&E60;lS(gX207N~2I?YOw+|Y4lp*y0vEe@fyw7^?{uVkH
znVO2R+BZo>jy6@EM+|`j<y-Bb=`GRaX28`J5pNN5L!Kf${YpsOyiboUK-le#=1us6
z)6i1s^!CKY<TI-)1}ud~M;ixMO{9!bY=4N-O!ho}-@>!bPEoun!BZ8#iXzw#%n3^6
z=_A0^4lHr2IKq`N45q^Nd=sL8ed{Zv@?(iCAu%8N>&xjY5T)HkrMK}8WZ3vkdaM>g
z{045?Zxm57i73%qS6CoS&4~(~(b|cFJMLI`S-Y9-X;;C&zG>k_T19c}Mk9qFy40IF
z!Pp70B4^M)!f{(@{?;#85PE*<h}l!9P-)~Nb{l=brL1DK7LjyuFz3<>^MATR{{HB#
zsTjlR%eq~`0Zs&)^2?yvq%U1he{cKKy*K}OjFL)VU3emCupdzq7(@^AkysbZFQ_cL
zbAzeLVX?&c2nB^T5yeg6P|i3?8k7Tr>l{lMJ61f~+|sRUPNTxtS6Tdom9w()8Rr7g
z{c>+)7M|*DB5oe3I53&_BuJ&jl2pK&^B&g{2_!#Liwz``nrt&$gRBe*)7%T3ehM0h
zP#@|~>vz=|PnOoa>^~WoshL3<slsGKXA`jO8SK_OWfz;_67$<H6wLxhXP=l50fN09
zg?ppNKWvr}B?<&{COyY5c*RbPQU!YVPp0qq*?C_GyiZNQfb`um(G)gW+f11+z^Z)D
zyz_s?seb<>N>04o2RSQ=uNpCy{KcS3oy?kwwwV6*y>~GBbh&UD^|E;WL-T~H5JsNd
z57p?&jm6(w3g|uNUv8d&DRF?YrW~272o6$4U)YTz%YaT5N>}N(agmHdT}*~}PYpp1
z{AhA_Q)pX8??xk-p?sGq+L-vt^fWTrZ^lJa*fvy0qjIc-d)^;*L<bib?W`t(a!$Ki
zmm0xYsQ2J?5#~#{F`zYUBWd#mcHp{9LMC@kub@B~%Gtfp+LX)WvSF=~`4Yc4M8A3G
zg|#2H$`lDLI%uCAL`h?uqye3(3QdG2JqF8G^3_BI9-EjckBNpo%x+nH_YT-v+t?xX
zfh)qxGpJbB2=cUy&JYJ55_;K}*uvx0NFgCTbR6Mu9}LH4Au}C>QLlvZ!Hxow&uamj
zH2ZnI9~sFSO_C`*Ow6FKE0AefJ>kPSVc=ivqEp=$;QhddUQY#TilCmV!Fe9Of}wCR
z;AO#p8P~!7nre>S$@;FRTX*m1jhdK=4U|lAXdd$#j2cDx!1+f6?~2E-mGhq+C1COt
zt<Jq05^A@_2qqw3q3(hWyOu;0sb&d0U~JQ?vn;jg-B`zeWlMF(OX>GyC4yBTv0_8t
zF85pHBjGM(+D1VDI~{!|>oAuzeo&$Ha!JD03fz8$QkIf)bP&<<1dZ!PO9);tNOM_l
zv&}PyrTMZLb!TZ%n@&f|iG$^Z`Yzj-OQFsTJ7}rwj)u&|?(oLGX>i*6dUsGx5wSb*
zqq1UJ-t%KGpFa)ieUBPwF4hdN3j&n5zDU7q*b+sa%tx${;I*w<c!ZMGV|!;N{gL#9
zk)%qX0KT-e@{V*ZzeA!I==H|=Yc>51C)W@RzI=l@pWGmh{4hE4GsBT(N-m;qVdb?m
z80Y%p-m)+bUAUyuq@_3<heb5w=cH@6UdzA+s$E;y|MFngWMBWaJ0aF$Ir`lOJyc9%
zjUkdXH!CO<CqssS3-O~06O=8$%5IX4HR|SI{>ZxurQoNI55>m?4GR+1jBWg-_uCZ~
zz4eUWwT`tX1sMZAXd|Z<tU7e8XcGm1Ndv8T_wIowkv<m9v+$$FLeeMXFGk|dI9{4z
z*M_+7Julh#y1}bnqh0hUQ3Q^^zUD*t)2#?lj<-^NHSy;vzrj=E>k?ef2OQB^(0>t@
zdU2VnSRY(VR`-{A{v9|C1S>vRI7F0D$<Q2K7r(+MLV{-)BQ<?F%U&<R_3zZzuE!tx
zZ$Rhx$lHM{=g2+#OSe~Dz4rQS&#Rlw&RTg!ZBd&w``z?~IoRB{=Gvm$2W0|?j!{jN
zO+8a`&P#hn>|fb4pJb}l6pnbLiVuP2f7`04{D^zh9XpPFIiwqmW+abq=n8*t`pUA?
z3f<%%iQKpN1Ih(Ew}re+cgcm3v3F$!Lm7rI!U!y*l`8ySqW9W0!*2jeEg?c_jPW0w
zjN*MsjrdMOjlk%8-MlupvgRcwGM=mpDjeUY_wMP$HlptWV0_AofYSqa-ZEm}3xNf3
zytwx+x!EsqL*Ky@{{|EJq(i=ZOuurf)l8Odf!@Ks%)-IqguLhFcwzqlc#nyC#O`SQ
z>Q4Hs<VRkVeE3(Q#7?m&a=x&1pTQ)4WJ7`ES64?3Xa0^V>A-S1dnEnca4%9Wl|%8b
z+_F`#FVmCLS8W9yx5xjc%wJO+IFdZYPWm?3@K{3c5KPZhsL>>Pr^Si8*^kiKEf*#1
zmaZp|d}Sy_6FQY$ar)Chks(7d+0bG9foj#x73CAxKC;D-;Tm6wi8lvqumJ-pFL+Du
zb$C2Dzl+B?*DdGHox8xffN5NRH$5y(Iw~BJ^)?(Luo!+gOzz-~#TLNmLFaYq3YSBb
zK(@nM+}CZ$UBq}yW*+1mI=epV5T|Dm34w&a-AO(xAr=O;%@ofOzp9cHp)&hZfXH-!
zNR+4_Y{u{UeRsDgzWcq+(;hO`-@UdzRCv+#w6}=ZLCPGr4|nZUaaQa@sXQ{Zf!aX@
nMPwi1S61Dc7zz`#-thdoTG3AOwIkQ`xo-yV++Thx#pC@K>HUSM

diff --git a/SPI/db/SPI_test.rtlv.hdb b/SPI/db/SPI_test.rtlv.hdb
deleted file mode 100644
index 37bec240f7c38572b03bee7f7e0f775754e25976..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 13797
zcmX9_18^oy*Nryj#(a{EZQHi7v2EM7ZQHhOCmY*#{=ENJGw1fH+ox{T^mN}w&7c4Q
z0ad{O|5zX(i=T_>r&KYrcQCWD#;0eap{Jq47jQDOG{k3NV8*9or=@40WuT*H!&j8R
zmojrS!WT9)bNtaJdHpYh0Nwvb0^)@IU-_RsWd0v_1-|ft$<z`~vmyOM!9&xHgo+J@
zN^Dnr90&F{D?2;8a7yZVm79^kwkgXH94?TE2pJz3$&CUZzgvY*Ny%}}$tyqqWb`V3
z&j1xrQA*~1z130aQd1uu9)I!e^R{?;omsx%B$Gvgk@hx~R<8K?*>hb{)9s$g5#w!0
zlj6Y}El4%O_~-C7)#2z~?t!BDmUn(c_%xOFepC~8lGFz;KKk(Y0Mor|Y_i=Zff=zZ
zG3B^wcEa)fpH3t8g~G6-B5qYKjEcrRq$x$`sQID81cZsjyq9scwM;kpZU)&qIgF#Y
zBMe@aUG?c3F`TF{2?uZMkq?8oD;GAPF>bh#0ozW%2xK%U`o1tH)mxuFuNn?Sqn$3C
zWxv5#&TWhy$Vef8(JS*XmWcs3gi!h+wBR$R`y#{~$0Wro4q!!?a_^OQoDb^(D2kH0
z47tFk5)L1siWYQ;xw&s)9}GFnPU7qxq30Oo9d?OI^hjSyqt;BD;6I*Y8+MV_Z)?%B
zo)}&!y$~g+GuS9Tik@ODnW9gCVH>lX+3xZfW1hU#u6%{Xfm&wcxtj5K{=+LOgJ1&U
z*F=f{q9zmeoaYI(cW-Aaj;Sx?ztCdM`wBgX)K^bdSw=-5>TtThr><aBfJLQ?YcH7y
zyHs4+M?i(dpZqt#GdUsJ4E$`E>5<SxsQuR{k%EH;trqE#X>)-dG6A_h6zV=wAi^(l
zL4mS&j6@_FQ0WGuXZmX&PF}+qXJ<}f77sb7s923U8d?6$y@_&f1W`PQs55&mP&Ox=
zutpBcP83{&`FPQ7*$TBgxd(<BA<_xub&-*~%8>Y?hYJ+Sb)vd?N~o%Qc^2j4;#8)C
zDTZMMoue{`AmXU}s3YNfWw-Ryj{;FrPg0HKv|78@LZh@Yt;4l(RD@wz2z@o}d5I&m
zkJLF;JDlKs?5|s^LAUQ%jtZ8@<l9WgaxHx+Gh)#pUw6VOw-}wXp^NXu`w*%d&=5o$
zjMtg>=W$Qv>C{8*&)C{|-Bd*;2uKoOgo84B`OW0Io|KYCq!4S=-y38_u+g6sM9ILt
zUz;*D0~am16u4nYaLM|TGIMk>pMt-H85<qNbwdP|@&FJ_Y^-bHhT*|x*i-$V9w1q5
z(DM9uYI13Rwp9-WW7~Enilk6ewOz$6GX2+{c`}a65$Pw~V7=BhNuXy^`#5cg1MY=C
z@;}i&P3pd>Lhbm8(MMvIUpm;lo4NGuzFc>Xb*As@ztgIH&?Yv%T1|73snHS@LsLJU
z=6I+Bs31E^4|LkSN=u|i8kt})bkHCfz=$m%jbMW9jmfc!(O+f|73M<Ed%r1%dFqoq
zEmvGsKf1eke=CdMzEZzzyx5|zi8Ro@*3z(ewE|Fz^m@Lb`XI}{V%8VB;g72Dkf#8F
zJ~z#=VZmHKp%4&=u`I>GKOw|zd>G74(vA9Bq9T<zZ4m^LDmu^$6%VCoZ*+*LlCLvf
zBR@U*TDZM5gRIwb3?}_&9%N&etiBFfa#O?EWPB9AjkHPe9zJCbQ8J1@X!#+|CJ{|a
zRLVSF1@ofStqcc9>+-^aJ<(Ad2E|QL+U`P1XEI#rZ1{nNaYh8=O6{|0OQn!1?kWuu
za6C#Ypu>4*(3Ofq(I=j#HU$%CAv-D#@;}ipYu?TSP66s8ISqJgPd3#>32&^YmR|VG
zQF?F&SPQhh!gC;L*a9!Rr1|e~N|JVA&iHo&i$p~JZLn3O;RmSv2We$!>My*2_?=v9
zd}15>cjo9PML7sURc@=n7et&pamb_*PdvA8x#LL8+1S2!Y;Ewby2vU=lxQTJ%6t9I
zHF(~TsH?sLum_}%Adnoo)Xi~=$4!OCdzcS_bT=PX$}J)8lri%;d37(LzT93<jymYU
z$#P^Q<birQ-C!I&HL)D(s3i%D3{dVyE2Y%qPNGD=nE1-<(#3S{sW51HSjo{dIWj5q
z7*4U${ubM!5vKQYXwOUX9Zvz9Yf3{SRQX)wqEbZu>XS$%P}n&=!#@8+XyI5&&WQ_O
zttCuyO$Mrl8U4I(LeCIq>ka4J1~HCoXuVwF6^|gH_Tlxrw_ZWDn3Na1{8Yy7p=U5N
zf@bPk(g(-o1+cnRAsJF7t4gllreXkZb!b30i-^OGR0TT$+EhUjabfH<PvApQ$U{kp
z8qTCrO5cM5$HQ{Y0CJmK1j!>vjzi9t+c<g0hXZZCB!$j*lFkj-VBh`ol(eSv<c#GS
zTZw0&kdU2nZ>}8Gzg8pdB@3vbEZlvGLHf+24upkp1k6crtvj}k-<5mdi(W|x%$>H^
zfDP~@tQ@RmjK?Fy_n(y4yBRgIa0+dSP()u9+{}^pjrt4&Ax~y_8Kv;l)nC#5U4v{y
zKyPHDKaNL8oFwl)A0j&xyH9x{EFqaq#v!v+$m#LES>eG&@tyO>n3G};O|c<8kh~pj
zVVg;6N@YF`ZJv&qHGaC07H;7ykmXW#z!9Hd!H-D_0+|6<YQRxgpqO%=?r&P`={S>=
zDzRZZ1*T#&dP(-I#kB&?0aw3}*SLwrwGz)pVAp>{<%fL!N7Q}@_rwp;_#s~Z5v~6;
z{}Ju~H2)FZ|1^_7ME^g{f5ebyL#eAWwlT$zPMDUG=+X#ZYJkOzma_27D5$UtHqfk5
zdVK=A%w3(pj22W6%PQfiUZ7k%xWb(VR7oxZH@iYuYQly;Rb->^CrWt=YWx!eV)1M<
z?f<Kr#XT@tDD~I2iI;b^oTrB?jh2kSHLHb9lB*ZeIICn#u7WtS+B8ockG%62(r|T3
z7(MD`Oq`{&rY|hwqXoY$Hzh{-Ol^>I3cAES1w1ld0-r=~p)RZTkk>PYNE=%sWKC@_
za_Gz^1}C%Ke@qB?e<3w8qX{M(iB(#v+fXn4PgUe6c(k}CiLvK0`*36{xPU{>Zg)_6
z4%<4aiAfatB@P@a?la4H$yMf>Wf9ScBFp6sk0?JpY;js#Mt;fkv{m*RGwV6h>$g<n
z=C6&qTSM$LQup&{X%>#Bz)J_tqoaaAH(tS|^oHlr$&bmCr>YVuB@j?aR3nW(HRgVj
zmS+C#6|}3tg6!WnI2T0jtdc--JF`}Z#P(xIxv3(Ami(PH&c_^MepuSALCiaTx#l+*
zg#nr%QxeRjU==*j$YjG{^qYospk`boUBH<vrO>VV_qv1j9X-0W{IlU*@}M7li@r%F
zuHK}eC?KFWG*fM*Rgyv6>@TXvDi(!(AVx3>>dx)hg!3pV@&m!tr*<~Pv&mIm8zc7y
zc+egMbr*ji$BjaVqyvE__Vx+u3!08rw3J$5x!5b`9+e>fE%g88Rqjn4r~i;469Mi_
z(9@o!*a}rhG|ncGcwa1WT~{RzpXYfcmw0a%l3y?36*f~#>#drF=NC+<cWQ`YQRG+W
zF(+2Qx`2`en(#H*P)24-z-O@y7EplTx3r=90qqYMe!%<#HhxRf4p)oS6<YrWFQ+l~
zCG1rgakTIF_3hyJ_1VxHSsv9QF8b6GwhLn061uLx8FXFzfS2Y>Q4n_?pg0jg3{Mab
zUKf8(C_><4-Md@r_SKo5dq+#i=_Nd%xaHmR$MN*zI2I)#x@Rf~7UeJl)3vFC?A<m*
z^6i@X1LRR44D_TD0dz5i2E4jK4I;K-3q=z-@cXwGz9^8HCP&{d2O)v=WEopv+_>20
zB4jN&QKCYtQ!w;ZJt!!eMna%>d>r5(2ajORaN`prebpyIB+lI(WuH_&KkQ?uX6Pfy
z4uA^LU0Skpw2?6Mav5n5d}7d{`Mqby5^xAsw{`ui&dU*b(Aful@JXIpjVe!m(jiU@
zPtrWVMTm;J>@te1?6T?yQ$M);0UUYRCG`)a$;%X*jQmf<Z)E6L#m|(i(sWhgJO6XN
zirvX9B|{ni8RJyeBuNbby9Ps!EtFZJeOLIYbt6@ScbK5;D?}`2J&!h{Zq?e)KsQ%q
z4(1;7`NaTk+2A&#X}xK=#d;+23oy0W8o-BoAfZKn*o{Iids;hDd2^sqot)k!oHW1|
zUE!{!Jzvg5U&#&H7F`(m8ueF0^H=c%@@J8K9^HCJK2zx(W(ADGyd0X#QSyFh_jtbx
z;oaWOYl|J-5t3Jz@S&)|km}*FpK{2!!6X+Zqg8y~2@3V7{U&*4MwZ#ts^j?d6ytqH
zvpxEj$3noR_<4P>Syj8(^-<Kg^>cp6{KR%pKoxNe*YrO8A$>6$d;$}1c>tG5x~G!1
zLUy>!Zv3Ev%y0L3!~)Ad^c1vMl32ycZ3hK+`F6k4$%2pN)6O!D4#orz$Jl6cn6h3Z
z@F=QWfw2NdcCpUJzA!)KUr+Qit72%^ZRWgbD3gVI)>yp<UY~g;2XNjOUsk790Sa9w
z_>py<P~m=ePbn<h`!$NKGD;3u=ehlUr7L+~P-gj$`B5qQd1Ky)CYpdNVadMhFz;Z=
zf9#0$WC>h}-F6Oz8g-0EanCUZh@wuyDu_=zjJAtWe)joJUy|%4xbXhmt@I@44uxzc
z8n|NMyF81xy5Es6)=Q^$-tV)jrHXhVu+IWCtcI9-AE!_|eUv_H-C6T^jNkvbcV;3C
z!0%2}x=?aTULHMIT#xO<I!eSR-b$bJEccO$hyiB=13vxWn_(uo*%Hq~Y+Tp1-#BM2
zC3j0)cS_iF((mIANg~dOSHWFwsMCDe2#r|?0n>>tn9*GDMIH0fL*uH8Za7&~s%Ar~
zS)vBXCfq2pMJ6R`bHhUtDXsR3Jcns_X`<c=fdAI&1X20Qb>oUmS$i^#R0$ym$RQC&
zF8#+st)O3$Jw~~*Q9HJ28R&Kq&YBMyLthEbIIi$Vj8~K2X#3L+c7Y&_K4Y2yNPb{d
z=ee0X*9mbNazQ^#T>v~5YD7n@8=sm~#_@0UT(BVXLI!klFGcbIDh+b|SYd=P48u9W
zKj3RN+<}7a%jxDuXR7tgr6N6d*HPPN?Yu%^O;#S;x=;|wu|Z13Saz;gzox}y6-g<U
zOVxTOza^+bU9trIY@=uy4^sNLz!;;(u}uVDi?V^HbF$!mNi#?qFn&{ONNa~R`zX7;
zMlZBxF?Cna%k#gX)A2qMbK-e|@5-{#K%gJppZ=OoSKZ6hM4gnh|G;>d5f{q{%qe{R
z<C@~R%}O|R7)j+*JHNu0!mg825qHF*q4D>{uA>BI^ShrN(2Wo1rXFND8@vaT_imN#
zL3KNReZA(x>mbON(^b`=uFW#!k~6w+=Gq4T>za1QH?{_N!8_gXzw|Gpx1HE^wOm;s
zHLdxiH^!|N#eEjtN}7@nlGFX0@5kf96dl5?e=L$57p&Qv=l5FF7J}Ql*nt(VD&!XX
zSe40HXH#37SF4|EQ{6&G*ReO4-Ind=m-N}d&DekqgFgpS*`DILDEF-p<IgUC)ew|K
zT1`>8*;;QiRNmK@mc8AV*1t21N;-|oVwrlrc0!J0BzskrW@P`frw(qT#?}cq1!JI_
zOEb(|Wo^+D*7?5J)Sa2!(fSSp4O;W-gZu0PrDUCan6!lC{#BgKvM+?{*%-V0g$h3{
zQev|;9Yzib-RtV9nk)AkvPNkms+U!GKQK0fMeqJh6=qmwVvX+v+&~=XGk}>9BH3Pr
z4k9_-vs!pcp*s^RMtOOGJaj3U4H#4Mm7#;YR_ZaPNTAIjc80#2g(LiX7(Xw5=aJ1W
zF#8;ydj|JS#N}kjciy~-;tNwSGpUvL!nBAISeoX6&b4j+qDt&WM9L=5Cf8-DSNFTz
zFKNO90zwu3>8VO<ZKJ_n*rz8yYPkMS&rTSCCzP#?1$yX3kPS!r29_)qoI}X1>IQ_5
z{RR$wBz#FBEkeN{_Av=;B)7M!dm=M#Vu1jCo%%}vA1>JacfGQ%0vs?!%x&FiAbe9D
zEl51h#M0i4T3Z%CCg=b<>6~l_k&}a&1x=)JMnLU@tOa@`&v&J*=r1;_%@#vSjnr|%
zSWl5v{eItoE(5W@UFM5wK0cScc%oDY8{}?HJxs~{Tsy0Pc(G9{0cL0P*gvpuTb375
zpcWT6=$JFFkiT?fk=E`pxaCyMDAtGKc;*`y+7;7y=^T`f*qQHM?u|DOyhI`Z(^xOS
z?8`(DT2j4%qTQFAAJhja{%fjolL~+~`cSgzHf&tYuKqV~9J%#JXuFA!Ua$%Nz`PM;
z8(dB%9!qad60ElcESF8lf=r+y3}&<t`2AjmbfK9e7c^b+ql7bqbTim89IAJiGSwWb
zfFAN&kqQ$kVY-$fhDdNx*5291L!LpCQ+$Ec2*1uRvdCCU8R=!BE~ybc6W=0KO>YXM
zpOcyG9qoTnJdG}HF^NPAb_yn2c@DnuR_1A>Z7YruoDUnmcY8H#EXg@!GSy~c_oI1a
z(@Ot<K|h4wa)jy=;pt3IL|O`F_of5?MQ7{!`><kvc=#rGeXP!jzdXyEo}*;l@ZQ`O
z>38izha`~#Fug-z*Qfege89-ooT{0yE!*<?u7H?6D;*%pb@!eOda#l$l={o+VR^-?
z#4;hinbLkYiYbM0ZsqZUE_$hTPW-*;0UiIOi$2^}qfMNBT#=j@cg&o+x&lx52116e
zmFbnGW83?5hVlL5LaSvG0IV%~Elzi%1pu{K-L1sjT@(lw=S)O=8>WN{mn9DP2Q)_V
z;>hySZh5nRVVfQ`E;_XgmgsgnppsdWohVgW=96;)zXe6cO1?UESCAx56h$C&zThi*
zf9!sz=~^<2u~%CHY5~wpOo_tkynxklFZl(y{kSAX_ErVqY9i%XpYQM+%9$8GOuL$G
z*vvEO5Tpf5oTzbcV^cBHr4w1l*h_!=<FaOB8`3?8>t4tC@Z062=xUa=O_0_hMEeT9
zs~`8x*ySYYYPMxH&%Bajluo4!)i&8B8}kW6Bt2&1*T`dsY^$J;<&x^sa-+_p1LeBn
zTCJdqDgzM}CT`sKiMIN*9$yFSQB0FyQl8Yifo#)&8M$?h@VN(MZYJ-@1YuH{9Z@8~
zG_$+!aL$6UxDP{-v_+C%;%uIC(PGhK{c4h{)?Zb_((~~~wYqC}s#?pYX|HX=V)P<G
zXv!`OF;UeoY(BI8#SDSX@}Zmr+Abz)mDLoF&S)p<Ho=~K_)qd&Yxp`?*5xo9u`M>*
zhd8gYIPU3DbkNgPI?c_z8mEw-r1I&@`S9+$htM*Xf`AjWim?aY1TX~trtGzjei6if
z?bS_MiGZ?c4|o5Bv=yCcohWCt7@SL_)F?K33HnP%&_O?!zSGk<uqGEMO8kwT+qio0
z24!s^US!Boo%Ow?amjYD#Zym*e>m!hbH|$**8{>J{T?17@&(8h<?j&C@%H5EDb~uI
zWTjYYdUZ+~V}2EomG!oC)aTNvTolE<g8KxYd^PhmuhN+2tx>9A8Gkgppp;38Nd}KQ
zjkOah*alVMlD1hUXEVXthqQXJzz%#W?2%rSB6ljKb_SQ@85s~Iy20#H=;G3@mO&dy
zhq~Gio?J0b+lW=brT=Fm1%;|G1Cbb&Y)j6v7_1!&Prleihjd4-g}k$a?X(#_fUpGd
zJi;5|KsP3>R6g|vP1MOZVb3YzRTwjKj*1;Menz1=LU{WfcSpi?Ueeiu{H=d8N?i6z
zW^%Kp{UzpQLNG{z#DD{t$0!<DSqqs*%mKBFFDaSi5l{qwiIwa>W9D2fp+H`=y~6<p
zDxfqzP9u(KEt#hu*Is!RpBUGSf<;gDi`Q&rP2Yv|u~ru^A8>aivoQcp#b8<0Z{PeW
zh9AI9L^WVc&9jz1*rUCS4Y@0*)~I$KBFvFNZiYJUrxTa6XKfM4iA<qmNjU?tI@<k6
z$+(tC3Rv%1-3UoUH}xFaF7BFQ3IDXs;LcL2qcwcM8|q%Yg7D<hth=5V<*}X4H9|n!
zeD4_aVygYv|MhI`RJqINvaP0?jCm1%W#D(ERY-o-oafGNsvP3QFi&`RDp9sM=rm^7
zww+0qyo{!r5|`saPa+`0He|l%F)F+C+Seu7RJIKJ&U(szH#Jxr92I8oR@m0AjdR=R
zDej&v>UV5gCqFFPsFcxECcfOYS*e^6wdd;A80|BaEH-=C+^3R!NII4%j3C<nXlUh7
z&avcM{J5W9#XQok;bm0^uNjGW)9vWlmYZ3c(gs9JIX#k8d_FawuHU5bL=(GhV*+L1
zW<gkV#b~Epy<%~oNK<7My*xpi(~lmr!YWF!kR(zSeYdH~C#}0PIhjZ>{s@T@tvV~@
zX^1qXV<oj5kxjTbA+40pqD-5FeQ?8-n%qvLrRN{tSyG-9#(bU;m0W$Z9+$#OX53ic
zzUE!|N^;ZOsHJ4*t{E|tSo7gnrc}l}GQL2K{0#=%#&za+zc}dF7>xB!5v&%VdR20Y
zYSb}Tnvk?lktE@OBLj1_?>bq*poLi><<9-Yx|1>8TG^w^$-=CTW6ffF$flsFT2%gA
zsQRexTSdMsD$x}7DCd;l3=aq1LI!~NoSPdC&#u=AQt#uM+6puY;F@h#&n9C6_ZW{N
z!E-OGvPd&5-;FWSk(~JqBDkV1CRA*V%qU$V&Co7is^Q*;h_{xc4fE>wi{PN?&CRXZ
zeXgW|fLmeIF7~alCD;vIZH%xh;79Cu6i_R%XUWC1xDKC6woFQ?y`rs1z7p{`k5%(a
z7937*qs2PQ#yVq-r$^kY_+5OInDE{_Oh2(yS3H2SzXZRBx?Cgs!0Lg7C9u=Zhfz#?
z3ri{%@)x13+^o?$*X>0x>v7ZY(y}S4<D+74*8TpVTHV3lKGq23$fHzRilrq2JvRDr
zL1Q&-I^UYIO6>(pX~Tq6kIp<>n1i?|9u%y!fIBgq%kdqF=HT5XqnPEy;Wj;|h<6p+
zm{V=Li+h5np*p9b$0(BG#-oXt4AZwM;P}nv#7eudX(xTndj+dX=DAF0na=T3TrK+5
ze~gG)9g5Ha@`{G;2?jffNp>|M&9qnC_g7+8+BC@P5^q`)Be&|&XJ^KNpIoaBs4BD`
zUwd$m21yF~I=~OVa1W!FR8%$grMo(@7Rpu2vSP=R4i^W-?CP0&3Q^Kop&qNn{kxE4
z=grEd&~K+z3Zxb-_L)tw>b6hI+8lDtSfjB}oL`?zrSfa^r~B-e0p)yn&?2fJzf^0#
zz!;cW)YE$VZS%Ek7R!ZaU`+)0#;t{-{jf^eh7oIkGm?<Z9nskAEf>*L?Xc$8Bp)>j
z=5UTQ>eY1qRZ2^#3{#GHfTorlSu9kHq5je^$!}eMCR(?x?@Mb}$VyFrc*%zAUZi}*
za8e7kD&l}MnD-d))JaLFg;24qT&~4>atD8vR<3idNR~`cb23O(k;q9%XV0+g)YAED
ztskVGMiZ?UBB!?dxTVLYg;}=9-Eartw~&^!L<?`=#RH2zQt+wPx-zU>l##%kcj;D^
z2Iyl^#4Tx(p_FE63$}naC~&fB(7-mEqChV?!o@@Vpd_a9rc+}1Je_keD2??XY#niA
zL1Qd!Vp%D2+3T{ern{Ntegiw|4sS8c0*Avm9F9<~+=f)(?;hh5Sqb05zZyskkNREB
z@K;SQ8pe5ORN`+x+6ZM8X?+=LOZ?t;P~@32mg(sqSe#q0iKO9WmRn<tnpHJ{YVZi*
zH1W&PNdRvxlrIdwje36S993U8E+c21JKH#R!nx)%YuPyPC<Zl)K*zZ}xT;?;w*Ot#
zVZ2V%QkA4pqPbsqopO>i3b2n)I$Ez&#(4O!-7EuiDgCvxF#Q=9e8)Pvh1*77;cX!2
z!+!U0n9i{B65j`uZdvq3hi1-X;1|m5(X9+QB#6U(zF+BMM1`xLTBk;(Z512*-(Tyq
z+(c~f8la2Phfn~wK_rD}sgW_ywvKjB#<{v;IG2+|PRDiJ_HA7EPR<7~mlH|_0eUS;
zmt7CQ%f#bR%cY##9NTnOI*@%rM_o6)I^KvtrGJv5_mr5aOyZ<K<RIUBdEy&PHsh6C
z_t`+ifVow?Y967#1sUeNMxy0-=)b+{Hx-wYva4C#tJy4HnvhFd;zU}2Dut}n9r44i
z#FA#lZjz(TR2FTF8#bX^-6|S5LcATdeR_v~Nr&K!ZOD~<$Q5`ZtE$VS&A&D~@8hSo
zUXD1S%sLv|6t6tahd(YS*qeDaV2Kt2T8J+mM@WRfO6-=~SO2~cU(HH-nSKiq%+kfn
zK|3H33L@=S><4;9b3Q!!+Uu^=94A>z=qQZYR(H(a108Y&@^k4}9@JqoFsoD^Q<m}a
z+VWu7C9IkRZozEYV*y64wD3696g1PKE9QRf48ICr;)+K~jqK7+hW0?ZY9sC4b}bnk
zdKzu^I1w0CIA+4z03nFdwqwX?bIV2|$THtW)$KW+MdV8Mf^g$6P0=eCNHXgFO9b{%
z2fsMPtW`Da?PdpklF;p#f8Cy^7O}ZRD`;_wnA_+pZR&~SzB#qWW8I?M%_2N(irjzO
z<LnJ8P%H}fkW#*8<mu$U{P&rJW5^M`@y{QdH9owxXs2?XvqPb-qCIbX>971@dbO>a
zDl3Jfm8z1Pi)w3U38mKd1}e>pU&Mam12Et1aV<yNHs&ioK0BOBIjZ%m>@pVd8n+Qg
zb%i%16^_M+%hha5tKj!G?wdT{>!V)fz6QGAYa9n2PCvAz(@kMQW17Us+7)0^`4uDg
z#o;D726Q=z{T6vk|6$CU{!OU`!>e60(i*IQw3%zTqb7BvE5}I9VZS)bKH3!Lm@4NI
zuVTij!kzW!d5=3(+h`pnOEUUOJ<zpuz*s-n9am|AkJ$QYS_OM9DzB@q1bWWkKhqn!
zTi6MEuBxwCGlRSrFx_A}Psr|d7u^G_|F*TV@cN;*B}+>Sg%CU8v2uO>o`loJ>H;=z
zCw(E^ks}YOzBMs9_%wD>rp6pua*W}0tl@MFdl%UPzM2i#%1ilK^g}F85meivzZvtj
z5f)eL7Ez8mW@>6dadmaHkn(mPxrW7_vOQ?}8mre3C7-hGXaYMnEN%D(@)o;+tCK%C
zo8(;s{q|{-2OFZs8c}|<0XBp|nm`;XyJ!30WZbJ65ADHnWmyVeOxH<}zw7XIu{^Wz
zDq65f@7ef!;xRc(lrZK2Je0H0eBp#ydkvagV<|25{P=`&*W}*KwY`z?6@hgP0qEXb
zT!0q)+4{%$;A4NhS>7NR2l$X-e_Yr1*^Gk!Z<l<`Qu&-V6em#-7{YzNZD3ve6l3w#
z1A(6W%Z637&#pJ79pt+Y^@Sej_IOdAHxBrH40cu4sMnhV^l2D&bp|NIX!*lZ<l~rO
z&t^RIo9iap;U7AXlcwg@1<gam)hunBAo^yW4)~(kWZN&kbk@(IBVN!~t!UhJ5zD&t
zobtWAZ3Ux71-!l$J(Yc9B}YW1W4nOes;l9baGjEei6WcyAQJWaj|j~2@-epR@_)`D
z+wf#Lnq@mds-0YMvO0&?{sEfbZ`q7@?^mc_ew+_FS&yH29kT%7vqkzAo=vyW%_Dg3
zCEWHc+;&hoRzRi;diw&ddphTXqss}VZ@ao#O2;fC@S$R0A2%|*VKE|WYgUpLi;K14
z%WsBFiq4(Lk9x*CG?N2f4#Mv-%-5OeQO&G49Xk>4CCpc5lLIS0j%xLuE2;<V=}{XF
z!k$CzIUhT;H(Zm0%jwbU9|we?fDVumnU?=;jE6WU%M6Q7gM(nHROTRDP7260<w#oA
zy=KBr!5;6i)^SE=T#k!~H}Ghn^U)ywz|>Fkku0MJZbJYi>1r?0)u8icq<=-k?DO6A
z1)_2AHk*0V==@BvdVasD2iu@>xQ|v?-92J1&pDlQV`o(BT$p*Zs*gS1WBN?kVU~{v
zxC5Xovca6At?cY2P7qH%ZNc>^;%)>)JcsSj<)YuNoI|qV$W}xhOe{Cry}H>ufXxg-
zE!tN6*5xnnfr~CyEG@0K*n6ywJLTyZ1nY-5BU5rC5qw)_r;u5#vL&CQQ(l7RSwUq<
zj|guoM$BI@|8jM4jMd07#ah4;IDrkp%-x9Yb=EaA^vR+nR=g}nJfFg3s)}T|+Eub^
zW8XTXf>9+#?srZ01Uj4)Pk5CMWHfis-<8}L%O^1UHMai3bhvTz-yI>Ft{*Tf^N?q@
zkwT95px<vj)v^_NVkea3Vfa+_9?3FN$%<>>ssB7w)N`f9JnnJ$@lRDw@x@m-frN7O
z3j?WZ(aCI}^OM*VHu8?CRIK};)0FqptuoRMRjlj{Eirgz=zw7V<KS#*t2<3J8k)Ly
z*f6=9vA9^L=XDu>*nE=CXz6}4mBSD$HNQac#(3<If-XWqxjmCQVNRP(k~&E-5~z`m
z!86aWqT<$|f*nTATQY4we6Es>X(~Fl*BOH9(desTzWY^g=Uo~C;GmSGNF!;fsI?|#
z-Q~g?Te-<`yOqq8IoV*y>&b@tjFLLB0kOiA<xu{Zw!FzP3i#&2{;0OR`Obp-67AjM
zzUsE@Pthfsn!S+3-O)#LW!pLVezDr<XZmAsa^MsLgy(b1de7+xfFhbu4dyW=bef%=
zycyu<;qb1@%|k`GEnv(8fHGmj^&I272FGUw@-BtAs4=+s1LEPlO<7(R8u}1GGm{*!
zw{whyCa`0(U{hv_-*(di0lP5QPWNdhpwT_HvXUCNgbltiAZj*sh50!Mj{Ub*uU>EF
zJLZ+PZGEcL`%w?}$1}29enw3#Vt63E2m#Kwxi`9%1+WHWJBK~rddVal9`&L+Lwn`W
zc$)#KM?-Gc<;q?PzEUBH80IM-h`-S8gL175uUM~Uc|j#4-T!GfX=AztBPSKN(Alu}
ztp0Scq>yzY2+-u>W`PrE9n+82-@n(B(=?%oj(dkq%_Iy%;t4L~I!u)%f;L7s>zv8R
zI*r*>sN03TJaT_GT|Mkt?DYg~3vw+mp!l|=wj+NGM(*ic;(M1?eOmyPxZhT7iN8vx
z&62X0ZZC7WFzi^i0&{Uw)(eiwjN3d7q3`(!)gXT)J~*Lkw-9q{C|O#NJh0?8xPClI
z&AS*1%z$R)wOmdTeKcD3%g%uF5@LI*vb<bb-Y^2s>^YbKU-QUxv1HuUOn~!}CS29b
z1oE&Vzja!o^8O3iUqKt(L6vaE(xU~+JQwl&GBqq`N*h@baiv_q;J9t0%fLc63g{ug
z{)k%JK?j{S;=M{ittfh7o#R})j;Fb4ZG_?4Ui^iZ;^a4S_;<(dm+KA%CAw$A>cLVL
z*5TA&xjl)S8}LK-Hq-c-P8p6)V#2Q~%-d_A?6)>*?SE-dUP&=mI;Z+=6<&@y7tDI3
z9Xbh6pVd<7I_CwdY*lUlvY<Zka&OUnBI*+6w$CH3a2?HR&pqcpX?C7p^S3@8KGS9a
zqk-K#$Zr8*a|LJp?xj}$WJv}7`L9v)we+=NFBA+Nu9?gi1YOL4WEs|Bm%JZq-SpeD
z7OiM6e!}pPwho3XejPpZ2c34OO24Gk_w{6mKVKUme--=;2IGK#X>eZ+KkVIhBHKH2
z<`dfXT>u~cQ)kWb+luAoI<lP?s*R%7c(JP*TwK}rC1xz}ALPp|_{%^aC%?|ODeMPd
zZvw2N-HYhk9`zI+gij*vb~7kO2rn7o*If5o@<xo^9w0&f!@%n{dfDU8&PzjO_I{#I
zJnd%Pl`J?{#4#6j#)}|hxDUt8i==LcDwg}!&s_31@Agj2sC++p81BTC6pIZfTkiW@
z|3(z!F$SK4-~kckX}}@URCyc&tPN44k~UgA2CXs$I7@dB8Vda;`@nXAQCV<o$1cXO
zN?hrY?O~!G>c96pFt&@1&Goc0wqr7&N6Xlo6UHJtd(mOA6JitqfMR=SaeQXm75!<M
zOv{^N;{X608{XY?RYjy!wV8A0NleA}eS_HzNN<7^s@-19Sf~zor^i0+OrUoj5-x7Z
zzWFvN(-()=V+3kMz_W1xdVSyf&)v`mQQrpvEPKQVV1{)*CKdq1)J+HS@FKtY51z<k
zFnwdriocyPIrhx?et(A*t{BYrfMfZv_{0Q!(_nj&Q)KhM?I#S_FsNN=I<rwV1U@h1
z_e?@sgzFL^9f~;z7((S7aX68BOL-heOJ`_&+^%Zik1FAz{JGUl8By!=u%wx7zzz;a
zLK(gJjeyI)iQWd<!#@t7GfCGeVpl3$1ER>`9j=;>K{ay*Il5mFczr`$xasQBIxc<a
z`!n?nugvprM$XI`C+Vo<G0nR_=lRMDc+Uapx)0ky>_~gYM=4Sf1Qbfq*fn}74q{{r
zQIUE8nbxgVpCGh2^|`tvaJD#$01LqbJZdG4+^3aIC~r-$BD3p_HiDtaqMZwR*9I&Q
zWnpkV&Ai+qcA!9kZ7y)o9I*pWw&8BJ@TR4aGh*rCL<IagF=Ws@X#=t7Bv_3T!DC|0
z%`re=VIX6{sHaJCcNC4G{u$AR1J9Y<89KV3fcv5Vl++J#kme9L??i-=3qPD&OzLuA
zXl^cfp}oDhon_A)exQx#0N(j~Hr1RkvN%LA_y_>cb%AKLxQw473^#}>KdO&B2itLm
zg>b!w#_nxu0Z~<#gNu4@#U_rY@fU{y2V8Ut_u|P`PAVb)b`^s;R;2H|Tuzb0)ZH~P
zgk)%<wBWPV+Zf|$NV5f70{PuFe4I>}@h+iKstHL%QR>{mxnhHyiTo*Tc3NpcDWTqm
zp|jhEO6t1og4Lqbs;S)-LC47Q(@T+EI$Q-kO8<N8#iD%T%fPAX9}if@?vuyH^*^&G
zI@Uw`$&l<Kx_(o|0?c^3z*CWq)e<7B(?V$r_@sqo+o!OZ1p6Y>ltBHKQ0H5GRdu&a
zBK#**FV^%vZS*$@)A@SA-z<yb@*Y~0<=5hIC`aqss6md7R?_qyhn+I5{HABr5X|H&
zPV<7AQ5H^aNpqv<&~-D+3a0L>jHNxKfI4G@nKNvmzpYSN9m*%Wf8F3=!nw#f!j1Gy
zpgSbZ&>94v7{j;vM`!3XA_@J?*;PGoNL&x16pDp6)<^JXMxP;<x+r%_An`27jbd_d
z@FAd}FZ0zc*sUmLpLn_O6vB@k6~;|UN3891<~)Y^95x4H3nD+%1)_Vckdy4t8R7fA
z5MnszdA;A9j$K*RQHBz*ZQO*fG=SGUUadk*oV=&-o?unKXD<jCnTj=n|JHd4=D}H}
z7jIY(kY2t7lxjom4ZKZz7?+aQ^gLX~KpB1Pz7>SnL*!e%reX~M>vSAhvE~ZQh`uH5
zDT;fR1Uhfv-@UlQ-OHf3c`k&yk%n<~I}LSG|GB>O-gb@~VcigONgv&>@R{78{?Q#|
z&AwX3jjRZZyHt<vTQr^&dR!yA%{-r8p}B?pxwf92gV)^+;(n3!o)F$f_~`T>?bw>Z
zMsfRhcdz~D&RQ7HNDe^M)oWG}F37y%Hd!Q>1l&c1`4Jt|l2L$vo*$cLI-E2YNtlnB
zuJ+!aTr6JJ{?qT+usvVivtUaMlqAL$83ULIh7W4>)43<z#qh9(P*DiUf6Gw(&ZloO
zF-=;)hoY@1#B(lAM+t$wj2FuIVk%D8e}at?Wo5Y7aM_CeJrfW$baVPn*tJ_UnIw%l
zGr)*j`V1GeEcY&Qk)POjwL_=18uj5z*A#IHKJ>NUx_;AUqxAjPag?1aJ#Q=Ci*H@{
zp56)}@;tO>w66~PN$ucPxAC(3RR7&>!@O%=8{Ni^aD~p9aD)6pzk~nw>C+`Jj5SZg
zGTD@1jb^KMRR=l771^D*R@>7mDUNr58?qn{b*LVq5i>Dy;tQih)bj6SM_WD3y0dX7
zcOr^ah-8521m0!)d_}@y0JZ`F;dPj?slqT@tT-N)aO`dvXp^%Ynq29)8K$QSH_=dc
zSZ`MsqL2aBsv!B3BhM7gdB4u+69dW+VSWb{f~5B6>bP0B(U@F%Hs%r&%!1kydX7r{
zOR5R`se%S08x<H6-qz@BFwB>~)#z(YG!IaT6Ma=Px+rJflTdfFK_Q%_#=jYRN+qWl
zkAj7;;<vp5HMEth;Dt3e(@XsjDg{Gpb}KpeP*%`uo*)%)gwPnLfzhW8*>%2}sMep+
z`;_QEl%z_oHuIAp1P#h>PlAX3>%**Oj+ukZp+8AMU}E-6|2R*6m3hg%nWd|pr-}$p
zDf%hJXb!gO&3TDAG&wI#uHeOD9HV7jsp9fhK6LpFO9-2$F+%zBH7kSsu;=HS|L<>C
zV9#U=OB7`kUmG1R2{V|W`8%!LA?GR$Xs_DE=7t6r7YJwL<+QbVxG;mF@G9{l1Q7lx
z=C4|;-*i~{7_d-v{!w7Hx_{&i%I5$gQDJ+$mdH%$V)=y>7!k*rS)3gkR-9u~TUqZ@
z?8n(FT?ENPX!OI|ZY?#KBr35}s}iXLz&%x>)WR#4!VRrRiH6xzG6NEa-4hAwbo*q1
zeuX4un~@;#1KJ^~Hl8>C5NQY$cJN73<TYq4{LzoQv$=jD15e=g&KZk~glf7~LTlTs
zJKa#|(;m?^6TxZrn(uz+t!#f&0boVAji%jwu_ZVW*oIkcs>-a?Px>!`ra)JKJ4b^?
zjzh44v@iO<UUx5njyvem>0&UWn5C&DwJ@Go0a^(0FlzLCvMgH{{$V>tbOyROH5tv7
zqRH{2J{&eF2A1KvJF4U;0zp*h+B{9qAHb<%N(G=nt;P=2#p`{cDCRP+lg9(BjVcbc
zF1a2)*9L#HueSS=|0vKkohwJejZxSciW`f8TBQ?{W3h&lgEqjF=pb%}D@`B76NFrD
zadk&}LBVW2Eub3gBu&uSqML>rrb~z^wi#2K811a%Dxyo;5uO;uO&8-a8p4||l~x0*
z_j~(yx?5Bp()!L%IxMWIESehr@&H-ptd6O^XWOJmm9HqqrbrAi6UTxau2K2@?(!0Y
zhh$K9SSa<OERo4^>+uv4J!vmmJg&^kI$u<+AJcpG5?uZVVUny31w05p`Y}zP4|51X
z<&@u%JaVx%F`?z0=At9CBK2=R$W*PhS|c3ij*fKSIU5H9k@FvlwQx~(APZ9%-seb9
zh(BUS`l)kdV9WLUH`mJ>2?(@-n8K}j46x)flN?8W&wKpf%CNA`ub!X*pIcq;D+#N}
zHJRLhED^QSF(sw8;0}s*=i+|z*Fe}E6k*1Ru0NgowT3hS|H1pPWQ48X;WKgZaR{eo
zChyT?sfX!({CwLEl_P$l2}wF3iRW16WR8xHL!5%Dm#N!x7P`fbM`|gE*S938i0RZ~
z_M<zhEFA|L$!s=A*H@O){CwDVAawxZ3(LnPAySEt9j%l6H}JbE0t|`*2@);Fp1PM=
zefJ3Mj$HpGm#jsVs54N<^;+*=|M<-#Cy!F9dD6aHVL5}lFlFz_&7}5janB5Cg*-OH
za(G(9L!|lo7vmD#2p>us=`;p={zM18y|xOhan8#bGECJ77#eD~Xc(B`802bsp*Vr{
zW`>?F_RmU=jj`b9!kjsb<!vAbdyd+TF0dv+dQ>J;|G5rc?Mr`V%{<kV(Lz_`bN1aZ
zK$EM>_1Z*Ft%pU+NA)J3X~Fyhczrof(*+$;im8w(63dAi8>F<}abnkku3$h2N)I4a
z&U#3vT2yuLnXpZ110tpYRwW^?i9q5OX@ZM8&%I!>d8Y-Vv-@ORV5Pn$Qr)rUZU#gx
zY9M4=5DyHpn_aiX{XE+c8oHilS8EgCP_xYp)Bv>m3P*=sN|{x5`c>Ees>?i?h!~7F
zVJk|Ac|Uj3v#>fO-_4eak51f<Lu^eg?Z`OJ>E%Q#z$WAc?%MI^9`}N-^Zlp=TUA#A
z`9OpU2?+43*I}Q<I+Pn4l)2n^)R~#2H>>gSg?0wc8N?ThUI9|0b0A%u)dWQVbhZIq
zpns2j;hX;qpzamWmd~hbAUXu?h#?6@v1v-+bd!$91dpr~AK=_!NEQ#!_>KF=HOrLP
zEhop^Tf31Z4tcR1g}SuGNUaBXaLU?C!Xw=Q=64oj!KXIuddSfs=N+s#jGa#~M@~cL
z+HyQGZwX79`}5ogDF{9>_0w2PUbVlw??XN*SSayLET$?u>g{Vvc2__a{6<u(@~kkl
t^(1}gTWTNd1h(IlBZ`QCXJVw#+aYzLoy?9fh!MMs#P#>juUFsS{|A$j8U_FW

diff --git a/SPI/db/SPI_test.rtlv_sg.cdb b/SPI/db/SPI_test.rtlv_sg.cdb
deleted file mode 100644
index b31f18fb948a86fe116504b60334726844dfbf1e..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 5746
zcmXYzcQo7I|Hr9TYNoYoYt2%`2tlb4TT`XO-h1z@G_k7Gss=&rw#2Ggd+(^xk`P-^
zQi>Wi`b&SG?|GklAMg9P&-*&Bd(QihHxn5d8JdQiG?S6JkscWmpE~&Zxp;Z<g5<?P
z;*z{-0WR+LykIF=UP*`qNJ>IV5+u)Sq|2-C;_tu<wRiC+Wq%(2e+9DpO#cx}@gM)q
zzAXQ}2b1qu7`$d4xEVQ{Y?qFZ=jWq;d_!%YTN^POm*l~~cbSRck+^PWuRa>P7|$bN
z)1|Rot*X7;NiM=EFVB!H&l{u7sl7%4pntmdoKyZrA3#Wu8X&r|M3Gt@!v+2w5YYB>
zOHTUii{mZpP0O(`Y5YY5{)v%=#gw$yQO(ox-@+c+u-P%r**99P@Uopt{y&ilgU8zi
zv;q42qK?$2=q%%99~>BKki7dkDaob>)SxmI8K)U9GR}Y3iKS98Cb@JXFq+y4mzJ_S
zlF<<dPDj9!$K*m|5P4$;W*!Prm{jln{;4zLPjLrKpIszD=WX9(-X57AdwwtSL>@B#
z3D0a`bv`q!&Mim>=@%7O@Dv%BRVclEyDb4zX>_5r<~)yXXx)eU=M97Jf~gj~TWgG+
znu$+gcCE>gIxjLOF;cil2KVx<6HAXt)<c?zl-01`ZCQWF%#<F^IY(O%u%E!dhD6Zb
zJ8oRI`&p?+`Q7AfFTPr&#tRtFa`5%8KGXCWS9F;dzlK@<)Dgdj-m@x`FRq&qBxI65
zedz4mM8i9l>1F>@y4D7lpW9p-Y@<wFsS<maN+)))wY2mBe}jpyWYs;k$TX6-q6Sjt
z08`g`kY#FhYoNM=;&@x+v#e$8FzxsgN2FEO^64<Ggt23=;XssRKhD0x*Z71<xBO7V
zcWgfq#O8=y`eUk<QoszCYXABCMrT^6kRf$CQKwRV_3Rb>(0oC?s0QkJP^!SkyUAN5
zTY<}Ca?{R;yd#=C8DOuIv2aJP(4W_Yp#(UTCXCn4)Y#JTj&@W^fb_9D?E|w5C|F{~
zjto_<u#`+tis_cqJ<o9Ds1_!Itqs{dI}7XPqo6Kd$VU*fo@S7nj%SlkXT{B(JEiy<
z=JIaLdBEa{4MM$vbBwkvM5-G65h)g?<{q?wnElyGT8EJQfv-o<dDNcB3*}EY7z2N;
z{ct>wuk>60Rz<g=6g#h+(wQ;bE%`<@#FMl-VE6_oQTg@f{h<IieDX~&tuD_BNcbXd
z;Qb+2?sny+L3R{fDZxk>Jz4fBy98EHr<bqhf>e%~l!~A(arwifJ9j9O(Z6M6-1yK#
zoA6tW<IO44H?$E)!fD$F&s-ea=q@y1ds@>fQsR?Y8TjXZXaU`q@K-<DjW|3{+XjE<
zt`3;yUPD|`M?cN%of?>{6YunahGhH>Yw7L?wa!93M;26{eiVDqO|7zIxy{}*3De$g
zc8Z2>mK^B*<wc7+iARfGyzFedwJn$n8$8Re86P_x*cbZghByXWGv^!P^^4m-cKrQ4
zk!9RX8D(aRW|;$eno-04l$yB~1Ls}!a$43;A|?kwwOP9p$I(_h><ZOEBL!SE3SsIk
zu|Upwsa6jFv(ZaRD+4nvz}JLgi3yCvaner6%XUz<&*#PfgqDAv9L3K&IPU<82(P)8
zxPFje+`}uQFsRI6oE1n0aL9?|>-yE-PSNt|@Y-<|fbt#vZwY#n2BU<mwdAk#B}xhH
zdZ#b8ef{c;)$I#3UzrQYU*h_@Dy7zhfAmQA-fY4(heQZ>8y(nL3DF0AUg~q*W8GhJ
zV1KTG?%_m-KwW^X@^5T`hD(1_H?0KrYVQFWD;5Xjj-Gx68OPA`t$(BgJ~+>;Mt0D<
z&%s^MAl#!F81(s?9cOox;%~l${Nc{vNjv+2XfA+alx5Ck%9o_lMm=rHSj(=-T_P^(
zwb|2HJKCp|q!DXNB5B0h&>p?4J~1k3<zLNwt9Pv$CdIJ6(g+oWM<zaH&w`4gM+@IN
zI!@>+es(S?FsYlI6f<>kWw99gUMKo^z9A4aTqugo4x}1x_@`)K4Mg3BQVY*ok7u>A
z#M_LGXbY=a=kcnGL`OUQPG1q)-DExM@H3d%?WHL7NV}Qnh{HZ+$E^FuhF6t6%FZvc
zMR*LUOc$!M_PL&e)Ht6nza~+c#8)ILkQh%Qn8aA}SfBJ%;nHv*kuJ%Sf(wY2k(%=>
zc}wbWl+>XU3tC1d@u_JxRMcSXUx$vvLEj6r_V4=(m`&-QTF$+7H;`Tr``6`I#%?{S
zcNwF0H#3qVHQT2188qqCL6DUbbL^`KwUfe_-74Mr4s_0ea{LfmXpaz&z#>rj1ourU
zC1Uz@Nb+8&Ql?Sp)=h7Q;s@UsZ?a|{H_-9SuVo3Z`#;{Ct~ZF*%HBT@EaSp8a~Ooc
zFFobfP4s7{Js73-EgoEry=>ke9}4=gL!%%hUw-DtA~4WXh)2Y*oCv`jLN#-XMV<M!
z?sCGv>eSLX^I<h>lg{kKmj1xQx*OkwFIv{^<}3Cp#((6${!2VeRgCI}ipwgOOdLn`
z^X^+**k1?D$}Ubk=m=fL_IZqj#sIWiN$m7eM?Lsl8s|MpdDPMDuxswOnvpyUIwhCd
zkBXSS+Aq((7*e3zRHN&(icYAO{@U$*<h586G*T7lUWWgP(2bllW#`7(>J@QdOESSX
z(U2C;862+F)GVyw`}e%^`ufxQhNEyadIC->n@kq^qcHP(^^!G<tD8rqxR-57`AF_8
z;m5vEL-QBTS0weuHm7`~<ew5DDHKUrR;lUj2ZS=4_Egn3hqh7U1wK6a@{e)<<JSME
z_t8k<%jsh`KL^WeG$C_=bV1^g-uM6x(X9+`+0WM$2T_RiXl2}<5#U9Un2}%k4l-Nr
zLuRX}zN=nL#F9G433!BFZcE#BNDepGusa6-fUpdF++Zdx@|SU#VYcl=bLOjP*~=_{
zL?Z=0aX~w0x^?S`7Jg7tLB&aLwB#5XieB~)^$ljgTrZYvZu&X^ruqSE)&U;p-0!?U
zxN4_0gte9ybf?~dZ%Q#KG_>VEB3L90Y$@i<)db%HlzI+(2;8p*w9bTf@;krC^~s^-
z$f>bL$}m{k=)kQj3^4Qd!93&VHzGN!b}Sq0H%t1e=+Pk#7r1BNfoO}*o)=&L%zUR0
zPfYA~f7AVQ<^H?bzRt~uj+(o}nezypP`D4jqPBu|V5cX8U4a2T0|?A;ruz$t63S1b
zp;hDP@@~%1CAxdkQhMoLWoK(WY<(B_r>VKJ#=v`py~B&;XM}+MB*!Qpw(FvpVsUpb
zD?w3Zh$lWVaf+jefe^_~<12^{Qc&4C?_^Ko;^*R5wC+Hvu<TiL5Bnrd<nDvgawLPS
zyn<J6VQBo50K)6+DdW+n8B@`&g2dmFCj*`@mmeG9z4v{N7y~W>mGnz*b6=H}7)Z@e
zo&r@r6PE1bz(2xn-=X(ol!O}_{?UHa9hi{OrE4)XZOz6p^RPR<KYhX0Lk9b>mTTfc
zEtm7k!s(6kUAopBkJG_+x%m!@LVbNPQ5SG3Rcy;Fej=*#;seWr(P^~@EgAg+-YR5I
z8Jc)RMXBb2<!`V4e8Q-E<(QI8AUbRrk=EG;c%ZXnsW>4@Zke)aQh1!rcu&3cUTj3X
zTz?AR0=s%_upjNM6(Yz!UT%;iZj(eh?XA0W#m5RvB?h5Y8WU2+4LZBugmKFM$Sm?7
zpZt$+MTV7ws|+Tjde3x5*Ya2341^P~hcjM4dOXPBD2;qH%s&7H-}=IS3>w+u1>AX}
zFs7&3^th9`xXuW_vjpv#B`4e^j=#TLM!Id-?b+H)lM`ODicN^GZ`kFLM=?nHX(P*z
zupepzk#9f;RDO^Hr6-Y!%B2QK(figc1$G%<8?hRqUyjy5``Nlj>OaWf2FcCx9bVIK
zlNUlwY{*#`C|`Tk`6P2I^)OOvSB#$r^0Ts4^lLAkxLgsDmB|GTT_f1J9wdL`$O{lv
zC<|Vf(2Z_}UY(?0pff`JnrnvszDkjY&{2?de6*yVMr^ui+i%XUf?iV}JO+=_i%%4P
z9chhP1n}C3og_>f%Kt@y9q?@ZiE+QM=2qQ-44Q`-hXke1n~09S<aA;&2#}~9xaYmS
z<l%MM{D4(=g=ZE{I5Yeu{9#Tj$6EgHWmhZIVynbUy5a#FjohZf!K-U;w;mK<b&QS^
znNqc2YhQ3^Y1d&LEu>KR#t^6dtYYma&Axe2=u44wtw&TnUmk|)HKg~evD54&8q`pn
zJ9AU*bCx6sIC;vrRhFh*q%>0?!D9kBMBF{r4cPs^GJcGW@H3hwg62vygy(>x()Lf_
zhM%0%MT>nNqu?Qt-lAI1Dr-0+aA;K}H8l2nRUBGrRN!@k^pRfh1ja{!ji?GxCP;Fj
z;(K=lN4K;`HM>!7dMo%xOSDI?bRYWe!arqQZ5u0vyQLEKg+_%^kwb`P#)M{rvIuJI
zOQLv0k{3Yk!>jpm+xZhD@Z&IQFi@P)(T1OJ+lKNEXyf{42m>4$F3;eHk}OI^_8=fP
z6R-xzsZ?YV0>YDEqJz{+MP5TRGbCggAl*`tTZmJB2I(xxpxdBE7c%`C<&K#eZ}?qI
zd~dim5|WA>L71>5KveyPY_fSwSi;jDx7MwP1cT4ZsL%3}nBiG7?&0ZrFU<v!*~?Jw
zCiyOyqmd6be_??7x14j4a)qSV$@7Nbixtw}w*xw;k#~Du$;`<N=I~YPHO5jZv&(d+
zpE-X_N_0uuq8E$wvyWx-2ge;PYz4os2+#1aJRHMqimQhh-r885TGDU(>6fwYkEF-g
z3!tj9`Zp`=;E+HT4+&GNy_DC-GRfzB_D3Aj$=t(!<y^Z;$2rfu3BBxJkOg9SA6i~t
z+=7iD<$R#N>0q{@JA{%da2i4QdppY0+WS}9H;U65F|F0Fw3Sc!(NZ<<+?+C*kX~h4
zI}RJW?pQ#B*SX@9dzKR4(6q2$#In-q=nDV44x?L#OLe?BABd;K+tch&`QP1k?6qE-
zy~ouwWpq1lleUa0BlrYz6Ijv=*x<tT345l+<7$;T5GjtT6|R@U{Z+sn*#;~;4?1(T
zNWp|NPZHXfR2Ku9rd4Duns2n&Tb|()oC~)nhGw&}BAPe^Q1dD_0VwCHii)cD_a*g3
zgein9cSX^s7gs$$F}$0~b5X~rT84cbb?H+9>PYBFR76)u?A1SRY<r&X-b%4^5ZVv3
zj}Q&te?NZ&JQrWU-)WaS99lAPkRLIL5NsO^e|vakJL<l5i#uMOt>b;N-iKcJw-82=
z0Ep+V=R2Rx=p%kXoU85K8HycMW&X=NWNneYi+m4T`dKIQr6T2q!-4{D5aeB@<!AqI
z!P{!jt#G=q=ushQE)Ml^isb0N?R^O9;f(>y`XILsk4xxJql~HMxi5kE&Jl|31D$=?
zzOPsG@W)hn17C>exWvfDp-_If`5<Osxe&!8Q#)x9_Pja=TAgUmSut9XM(J@&bZEIr
z;IcK};h2K>D3JCwo-PyL@rc^tFVen4v&;_?v5|Wm<bj3zF8n>YWb_x5hR-TV)yTiN
z@j108XsPj1yQnx;htOdflrA<TWW&1$FNP`?#x0LReHUtvM<~hxnJmG~1G4!56B=Oe
zc?9iaA2lZ}Vx(2sXH@DU;8|>3TIJ-jKTZwPV~&=+m*&UkV=1wuWDntavu3V_lB1E=
z65F^pDVr~hVS@!8Fwm}yrCVIOacip63USlIorYEsTB_aXST>C6O3stc=5&!Uy$81P
zGdHbTqWsF&dso>&D2{mGh6wCvEc3vfUx*u{Zgn6pXNoaOMdc)Txsh*Bj)aJ%F^1o{
zhT0a}DA2~__6F5OF@Bbq#Asviy+O56egZ}fU=3e-#>K2FnDtD*5Pl*5kb)?`gmo0p
zP)PjA!o4MPXUN%Y2#|5{+ZD{UOg~fp4dGuF5=$trfA>Ie;l-BR%`j$63Inf??Rt-|
zL$IwikOALF&Y=*Ld>M;3rUAvH;hV>cF}a3{$UJD%#-#TqknzEeOfnC0wJ}D$&Bama
zFEl1xbCcG>6Xj=^y$g4>%Wgwpj2qvtJUq90UTl|&+T$Tz!6>UXKsfC{%!m8l63P}5
zr0JV?2a|pcCBtt}(a0C)cZV4#=)pOtrSVMxhH`Rt5{-BvlWAd#^?(>EzMl3`bQHRf
zKfJxL;UON>Oy&KMGK#^r2O#hmSoIK^p8|In;I=x`#b#hiltsofv$)Q>Y7fW{SIW+|
zeoS{14nRf(XZ#w4WX+T3^c>oi(=B_s_lR}NN5%8&O>Hd}gz%?4bj!;apny5BIu5kl
z-`AVLMO+y@<~z>EoS@|I?fLw0njC?<GPddtw3Drx+bW|b_OjP}b;Q1v@|`z5QDf8N
zvOAEJpr*4E>HX{L(O1LtjQ;oO`(8#Hu^2X^$837bp*$+nef44^dAk4}W9-e(dejbD
z=8;K-P8AU{hJof3I@At}^WIn=0}+vo2)7=G0ogCbj{+-hfw6=d0w2i*ksNzk@uQ>V
z*pXyeh)%)I9lCd1Zm2XhEKt^*lq6MDAyufMlpv++mTOn@VoB*DQs_9IKc<$)t!by}
zVJg#bWGiWpyOgxYNcS$HSmP+2geHw6BNBoVcD!WGk8%ZS^F2v%p1UH40Z#1VX9Nc9
zIN1CsiR4LTICSk*7QL}EZwDg_mTQ5TgO?9`9IQ-kpY_F#w6|&;>5(ewB<$>xPQ(b*
zcFcHVSu+Nc!&+w8!&N*Z6Ly|e?8NPzSsW<wJd1p^tNNFRjO_2<U~>2LaW_W88v|tq
zpFVEwYSN*d#V_wt-(;ep^m)<BZw5Y_#Iw2xH&JIcTvwfV_41Xa#;>#@*6}A>P^d&n
z-j2$W%KJyE65Kc=s92;^&KNj5M&k1s;easKnq^=Fa7frRzZlj8xC{A{N$KN{sk0f{
zMQOK!htX`qtjWIRxf$epHpDKTSi7&n(~<D?HHX&>dlK#Ksc@<j{)1~{@BSbpjZvk0
z_XB-T83$JRAMbbxKB_~MH*415psU`k92d=38KZjWsuNDW5E3k8;)EN4mG|ixIbFTy
zm?3J|%(6g0%$o{#bLt+hu94!}h_)3rsLdb!^+F9!msd56WwqD?Dt0ZrRhX|<bDihr
zB6_p|k2CO5uKeX)-}Y6FNH}-*Ah<fV2f6`ELIeV1&u(OdTnFp+q?FW_is1<hOeuxl
zZTxuh1GAR%SI6$E7mw=VrnU+czUR4m39;Dpq~GaJYdgG=C-Jdmd&hxJO_9pnp#4px
zb-<q)N2y}dv%XUuK+z-&MkbDG_wpP18>e2;>J4}~?UZj3h6x%nc5BRVTQ>GevFxr_
zhyZgx)R{demJUmmYUl(Bf9N`oJh{_|==rT`Nav`mGOq-hVi9>#`q-bmQXuS<8+ghh
z6SXV+Dn?I&SOO8NoR;gjB|m^L<s15{tU$SWG5TwpvVtn<fgykUy$&&a|9E}=D{nW}
l#qfun`}XxK<^*~rF8!$=iSu_-RO>CO(=F={S^s@B{|77BHUIzs

diff --git a/SPI/db/SPI_test.rtlv_sg_swap.cdb b/SPI/db/SPI_test.rtlv_sg_swap.cdb
deleted file mode 100644
index 497131c6b2f44d5937b05c7370eea33a25d77eca..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 913
zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4Lk=o#u6C^(g7=A<Z?8JQ{=Sn3-Z=^Ggs
zS||j2DfncTq$;?kWR?KchDm@;V3^JXp<5v)f;khJAz~GbZ>IbDA94_A>t6J6UFWe3
zk;Urzn^ycN{Pg;PqavFSuOTlZpNp$!@Bef2lfLw8oZGN@%BAv0Qy=&lZQJc1K4bZ2
zkxh;QDH_W)o@*@Ej9nubvEZQO(%J7lKS=qB*V){(vwN|xKCnps!`^3gCj;xe?f+c(
zIJqcTLiX3g-$|Q(AG#ZFmlv?lTmD1(@dATr$;oFf{*I7uUb0;vCs;3dU67mpTZ5`K
z`?vP7r6=wd-h0iuH*7-U!ats8tj{bjb<h$z=)XboR9f!yc?Wg=7bZH!F}pFd^1jJR
z@Mr!lrIGc(fw?{Nz*V#C%79%00lNhP_6P**6$scT5U^iB!GXg-fki`Lk(I$J=EYbE
zjTL-c2N?7FmY02bW5zB0&RyQdJy(uLt@6ME?cY7enKK$|c^THEF|0{tSd+rACYfPP
z62qEAhBXNcYwoi=@Y<a>Uy&(my6J)n#RXN03u+V>)G0bN2qZXg1Sq(aD*WcLm{8l+
z@yg+wWYl6SAoJP^zLfb3O;<7BOi$>2W9;G}pYn3oijNa^%wj+67{9P8r_N+s-%slU
zLQj8YR+JV=Pg^y$XsggPZ}X`eio*9jkk4*$Tkihf-{x8V$CnSnFCJdMaev*VwmsXQ
z<j>urc;9+o`3~(G`9J>bUzP6vboHuiij7m3OZYsk=gcJ)*Int4X8t%6XI-;3{ha5e
zMc*IojbJWRKazgV*G72$-?MeVl=J_81>>Wngp>;j2?;0GujNc(x#Z)Z!++$Qp5MoV
z@A?$ieRN!R?qA(OMaPBb6hAWlb5#7euaD7(N65i+R!%i<V%3kpFD&iWYzD>~7>*_|
zEa?;P@c!IoeED-wu!zxTuLudP2BrP7!eLDzjX7?nN6+6sI(6zp)&QGd&-wEva4P69
z9pSic`TIB9kKa3gwQexvU{KB3C;68lC_<!ZE?<$jbu)9oL8<w7O}!kVBs(0X1l6kA
zcCh^{GDu-y{qFbUql=(Nv&bT?PwbkHSlaJg3pvY_zJN(wVH#6Xi>JbN$0nW^`xbcU
c6*S1q&A!YL!dj-NJ%Nv>?+Yt1oBaO|02<1D3jhEB

diff --git a/SPI/db/SPI_test.sld_design_entry.sci b/SPI/db/SPI_test.sld_design_entry.sci
deleted file mode 100644
index 1d07f50023aee25013d9cdf677917eb9c483fadb..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 223
zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4Lk=o#u6C^(g7=A<Z?8JQ{=Sn3-Z=^Ggs
zS||j2DfncTq$;?kWR?Kcx`7Q~V5o=E#ZVeV-G{O(7?TneSU)g`{&#0q_zM#J{~sup
zmXNaJz<~n^xAuhg3VIkb8k!5RTUQ_3y@RcK*Kb2Z4Thfv3_*vPj~rFi3=2D|>N>OX
u<(UN5Xa9SH64^YMMH_fN@E3e7{>ER_%g<L(`mN-1Ng2<_FW;HL<^up4vO@6y

diff --git a/SPI/db/SPI_test.sld_design_entry_dsc.sci b/SPI/db/SPI_test.sld_design_entry_dsc.sci
deleted file mode 100644
index 1d07f50023aee25013d9cdf677917eb9c483fadb..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 223
zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4Lk=o#u6C^(g7=A<Z?8JQ{=Sn3-Z=^Ggs
zS||j2DfncTq$;?kWR?Kcx`7Q~V5o=E#ZVeV-G{O(7?TneSU)g`{&#0q_zM#J{~sup
zmXNaJz<~n^xAuhg3VIkb8k!5RTUQ_3y@RcK*Kb2Z4Thfv3_*vPj~rFi3=2D|>N>OX
u<(UN5Xa9SH64^YMMH_fN@E3e7{>ER_%g<L(`mN-1Ng2<_FW;HL<^up4vO@6y

diff --git a/SPI/db/SPI_test.smart_action.txt b/SPI/db/SPI_test.smart_action.txt
deleted file mode 100644
index c8e8a13..0000000
--- a/SPI/db/SPI_test.smart_action.txt
+++ /dev/null
@@ -1 +0,0 @@
-DONE
diff --git a/SPI/db/SPI_test.sta.qmsg b/SPI/db/SPI_test.sta.qmsg
deleted file mode 100644
index 299fef0..0000000
--- a/SPI/db/SPI_test.sta.qmsg
+++ /dev/null
@@ -1,42 +0,0 @@
-{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1668613904438 ""}
-{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition" {  } {  } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668613904444 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Nov 16 16:51:44 2022 " "Processing started: Wed Nov 16 16:51:44 2022" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668613904444 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1668613904444 ""}
-{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta SPI_test -c SPI_test " "Command: quartus_sta SPI_test -c SPI_test" {  } {  } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1668613904444 ""}
-{ "Info" "0" "" "qsta_default_script.tcl version: #1" {  } {  } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1668613904600 ""}
-{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." {  } {  } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1668613904931 ""}
-{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" {  } {  } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1668613904931 ""}
-{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" {  } {  } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613904976 ""}
-{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" {  } {  } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613904976 ""}
-{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "SPI_test.sdc " "Synopsys Design Constraints File file not found: 'SPI_test.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." {  } {  } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1668613905169 ""}
-{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" {  } {  } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613905169 ""}
-{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name GPIO_0_PI\[8\] GPIO_0_PI\[8\] " "create_clock -period 1.000 -name GPIO_0_PI\[8\] GPIO_0_PI\[8\]" {  } {  } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1668613905170 ""}  } {  } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1668613905170 ""}
-{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" {  } {  } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1668613905171 ""}
-{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." {  } {  } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1668613905171 ""}
-{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" {  } {  } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1668613905172 ""}
-{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" {  } {  } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1668613905181 ""}
-{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." {  } {  } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1668613905193 ""}  } {  } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1668613905193 ""}
-{ "Info" "ISTA_WORST_CASE_SLACK" "setup -1.327 " "Worst-case setup slack is -1.327" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905197 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905197 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.327             -40.855 GPIO_0_PI\[8\]  " "   -1.327             -40.855 GPIO_0_PI\[8\] " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905197 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613905197 ""}
-{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.360 " "Worst-case hold slack is 0.360" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905200 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905200 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.360               0.000 GPIO_0_PI\[8\]  " "    0.360               0.000 GPIO_0_PI\[8\] " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905200 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613905200 ""}
-{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668613905205 ""}
-{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668613905208 ""}
-{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905213 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905213 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000             -41.000 GPIO_0_PI\[8\]  " "   -3.000             -41.000 GPIO_0_PI\[8\] " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905213 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613905213 ""}
-{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" {  } {  } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1668613905236 ""}
-{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" {  } {  } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1668613905255 ""}
-{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" {  } {  } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1668613905579 ""}
-{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." {  } {  } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1668613905604 ""}
-{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." {  } {  } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1668613905608 ""}  } {  } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1668613905608 ""}
-{ "Info" "ISTA_WORST_CASE_SLACK" "setup -1.141 " "Worst-case setup slack is -1.141" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905615 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905615 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.141             -34.310 GPIO_0_PI\[8\]  " "   -1.141             -34.310 GPIO_0_PI\[8\] " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905615 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613905615 ""}
-{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.320 " "Worst-case hold slack is 0.320" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905641 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905641 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.320               0.000 GPIO_0_PI\[8\]  " "    0.320               0.000 GPIO_0_PI\[8\] " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905641 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613905641 ""}
-{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668613905647 ""}
-{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668613905659 ""}
-{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905668 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905668 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000             -41.000 GPIO_0_PI\[8\]  " "   -3.000             -41.000 GPIO_0_PI\[8\] " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905668 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613905668 ""}
-{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" {  } {  } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1668613905719 ""}
-{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." {  } {  } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1668613905817 ""}
-{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." {  } {  } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1668613905818 ""}  } {  } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1668613905818 ""}
-{ "Info" "ISTA_WORST_CASE_SLACK" "setup -0.818 " "Worst-case setup slack is -0.818" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905821 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905821 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.818             -23.965 GPIO_0_PI\[8\]  " "   -0.818             -23.965 GPIO_0_PI\[8\] " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905821 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613905821 ""}
-{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.192 " "Worst-case hold slack is 0.192" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905828 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905828 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.192               0.000 GPIO_0_PI\[8\]  " "    0.192               0.000 GPIO_0_PI\[8\] " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905828 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613905828 ""}
-{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668613905833 ""}
-{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668613905836 ""}
-{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905842 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905842 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000             -43.505 GPIO_0_PI\[8\]  " "   -3.000             -43.505 GPIO_0_PI\[8\] " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613905842 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613905842 ""}
-{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" {  } {  } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1668613906289 ""}
-{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" {  } {  } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1668613906289 ""}
-{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 5 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4775 " "Peak virtual memory: 4775 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668613906353 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 16 16:51:46 2022 " "Processing ended: Wed Nov 16 16:51:46 2022" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668613906353 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668613906353 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668613906353 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1668613906353 ""}
diff --git a/SPI/db/SPI_test.sta.rdb b/SPI/db/SPI_test.sta.rdb
deleted file mode 100644
index 388407b7486309c08d5a879a8ef9f79e6f45f04a..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 22653
zcmZsi1ymeO_os1p1`8T=u%HR9VS>Y;!6gKTpur_L3>G~2AcF*V2`<5cI|PRWch?=>
z_xtwj{&!EEQ(b+#<lgE!T~Gg}2Y`TpP=<{N&k+!8;fWu9)HZi^v3B@G$0PWP=M^`d
z^k-|kk92Q%U(<05aq;kS@pAJB(rGBrDOtOk(}6x(yTa>E08szw0{Q(v2LwB$e~-_(
zug<?^55)aeZ+9x$WLk`0=jTy^2Na7-X0dlXDjJ*`yMz!eT`l03_{O&Z`7#2mA28}I
z7OU}?b(0XjYZV25ug1s~+K0K%PL<nx>Q}h@LEfLQsJ0ySOL<ta&1P7kj4f?UjVqGT
zM*C{FGLZwOpddMkyn}c<$h`BjG&kS!K0f+!j4x4A!tRgdPlJaaw&8p9Q;<CKUN|FM
zKl!Pw{1G$p@$K~$^7Yl<<D<S~{Kd(qN+tiRRguT;z3i^`l_38yTBBv$tDv|mDFFW6
zO9MT4XZ|O5?CkpE;{zWX{RzA)99q|IhG#<mE>ZNY^)Eu0WycxA!^RuemdK+;)$Dhb
zyQ~F0sqkK;_)!cqFWbHF8aKKWcwewgmw|WHXG8_c+eu#rF3?`Kw$3-kDyy_Ac6zLW
zPNW1+3dW6msFbAfBQ-zY3r7_$M|&?WE~{}z^numtomj0ml}{o~eAYoV*H)z7-rZ_~
z7njWAFMkJ2h~Wz#U*^g;(!NB0)hbSPC0m2&M;ja)Ai6xxxR8w!7AQq%LP0a~?!#1d
zmB1fg1FV9{A_<&z$5q_i$9-<9zG~+CPlBOFcw0<(Og_#iiD@w^Ej#<;6<vP&h7g7T
zW;~WK4a64OX!N)8xFMSHe)`g<he&NaV^<R+`{T6|6f~xWM?W7KajK34KrW{&{$j{P
z!v)KiA3eyKB{JT(2D^UMa&TyO@BUF^U%c5V$S50_mp5KXEBYdY<{m_l66o20iMw(h
z6Lx|)Mq@*b$HYURMc~b%Cm7lhYej#X{cdb|9A{GjD_Y)bKyM(S*quDe(pyR*OZm%8
ztja6-O(5|YT5?ep-I|nKAO-Xrj(>C%h04aj@i<2e^JM5>``9jo4%IF(0@_{#Gsgia
z0RzTgnf?vBsGv2gg~mkSv;-=N0&7(RpNJ?9GfRPUj@Kp2OS%3}I6l{|q$(RrtYr<i
zvS4eHqzmPX(Y26Dj4@olwh&cbI)3iOPDb+R;P13FxUjLvH2Cs*<N*ky=oRenE14sV
zUw(?tePq$72}CDLAmGqzStJu^t;;i^igD{h1mcu&b(B6bT!&bU^ZK*f_EX{cJ>lKk
z7Cg$oD1D>=97W}tRcr~QhtYabE^!_i@ngt>KRuL|w;^o_E_v)$JeF6q7ap>*<sqbs
z@sqiIagL4N^{4Ua^Y^f~zIWq}etq8?{gh*4g}D1ZXQ6c-<4><uATgwPQlnCnG&9tg
zF?(9#OGOFL#1qMhP=MHDH*#~VVI^#cGN`Y!5#QouWctpg(&C_DRhkt9wK>FNs5KOn
zO2ayxnwa2Qn%ZUaAnGz6e~cg^I`<8>@x*88^uT)aZF{rZ^Gce<-OO-EKRxthzh7TO
z(xv?k=H2*)qjTU2US8koB7t4;D;$IHw?pY&!J+#B+$hW4Wo6Wj3gXn)1^t9qcNz$U
z_>Ru{cdl=6)?!V$vtB5ZKP&~2iida9k$3Dz_;rnxp$j-1^sjZ}V7j!=(yH9nnBwF~
z;UoTWI;O7WLrNeJ)LgwW!SqrsSt#Q(k(j#?x=irFnM>GV2?Lp!5u@#+kCArJ$Zpi7
zFA7v2(*{2{V&DM7d`Xy2$jpx2Ol<H%&B#~W2xtk^wxvlxx4N{~<;=<oE{c>t>BgvR
zjPk@f{S`>S9Liegw7-9#FY8v)5&^)RHyAaoHNKVtq4F&}(Lp~8!zO+C5uQ*U1M+++
z9>0-tS5++^bg#-UB>qMN;^TMpV7xrt)G1s(@D_NKUGol>ZVP!qcQtO9Ky=JJwS>=%
z>}XfGkb_E*TB;n|_2}q;F_qXZjK$Jz^+hGt3eXJsx$1YB_x3m{htx|@R?hv1JmV1T
z%7e;<>to_t3T^NZ#0!qZ6bqz8U4YQM97$dM(8+~HOc2Q9WsKnfAuim0@xU?8?NJr9
zMpGoDP}hhW<G;@7b@y`4AcypprXEWj@9_q~i3Ni;<irWX5Y@&`_yu`PfRe^>;EEDj
zY82NuueUo#s-YUS#@Bgb$BxI|f(Y7?Qc8E77)69p^uTvlTD9lQjXMv>JIz=NqaWy&
z<Y}q2F(^cLYPye+@cVJ_oN#i_LecrfQ3B8$2!mhbF&2>_v?CA;ZU+_H;TxE49|{Vl
zLagMS*~iiHIT5tt#(>-Y@fhgNIME$?wdi7I#~3b}8^!^@S+xk24-Nwwt+^7|etkH}
z*oy^|Oy!(U)!VQe=!T^IMCTeOi^FQTB|Fw){XGLr3#2>>z>U)+q))DJD|tH1E-P*E
zC7_KdZ}%lY3)*u)Mvi07b1zrgAO;5oaYt2nps!B0yKJe|N~9YRZ$&@4di6%C#&o%t
z_pBvce{XE_da$PuPIdPq5yktsL*gL31Ft+O|Fp2VeLcCvis2pQq`L8bl6`iijm_4u
zJ)e>Sb>o5J_%U<n?9Hp80<K7v==D8$C{|qG<0H_=R}eu+Bs`|-Px^8v0TS-@W$4xU
z1iLWQZa?g#EH3<LS*(fgOZNR%((9Wub_f1YvoYuu?edSk){WMzrv!lxBShE>0vzh-
zK;olJ_m&}(-`ztF9#3y4%G^0SPiL$jEMKrMoox+`6{4KZz${b#Orf6A8zsbav<%I1
z8F~S5Ray#{Vec<<om%S2sPJT2W<^gt$*E+*5D5UH*p6h(-C|m{SOL)Q8=jQ1JW+>)
zMbnRrY0>Dm4^{x>@&H__pYWZC;FG_g%J;WJ!ws(tALiL(a|h^xz0OqkwlUvjcL!YA
z99{kyb{AN=o!2_r9Tn9jj^fmr_2rIN6QnkdXx*?vQfF6ZH*x5rkyB^1Q_CB_o}X5%
zph87fdP^>LEvx#KBLz>7j<SvXGwD^y!OU%R*`dB9(d&32>DR!<q?N``VvWpGyTx{!
z)2*$o4N%)gTmHX@Xj1O-<J!blJ7PavSCQM^-0yX?zbXsb3}usb@QURgymck*HT_PZ
z1+t=G#Fk|0K-1Sxjb1*dzZQ6b1xAUoF-K@Xhbjh1eIi*c5J0J?(|FgdI@RM2SZ!$t
z!=w0^LWfU4)%hzt`C1LbjqHp@gL}B6n4zNpmQO<CrF@T%?un^S+TGDa;w+Kd%z+S{
z>>P0V1}mn3F77tnr256x&PBDl6~@{O+9NuBhUpv4G_s25u2vnn)URBI-pb+3$wME+
zx`H2|XcZkFv2)b9^|0S@<4SFHuOUzoHU_z|v-Yl-a5<ZF{F?e(Y#FzqFK{9OW!MGZ
z7t=E`rgjXGwLuseRS*ROkQvqo>YRKkXC%mD&?@dW+U)|@IHq~8^~@ZIs1^NSdiGnj
zUiv;09w8KJUl<6amv)%~9<|OJ+_ogw5z}q}pp9)gg0h#J_@OR4NgHc);*Edm(v(-}
z4Q$_jbQH%xYDqYzw(;`Ne+cm&eN{|K85<a3rLBxQn{U*1fpssM2HD(rouO~4?ib~g
z$+w$!^?kQ*v+iTfq255UR^`W>YPE_t=}_JjBO#1&x~}cxR|bXsJSki+Yi)B$!}|nr
z##14Z0<9*;B^1{M^zV>ZcHgt*a2N``w%K8U{`!)P7aGe%5`U;)L?^d!UV6Msx|%3Q
z;wYpnxr9TgB88ucWOcsWQLJ)WKLN$aR<S@KTrrtKa3^GX%TA}ZY%549<&rx=_tR@N
z9*vue_1%7SF2Z(kdE)+g*IN_VCjt^7LXvT>2`IrpepaQO;mM-XLno9cWy=ti!ps@L
z(FfNTA|)6agVI`HWl$j{=!v6Ef`xkUC+Y1swAhXW5(YlI`$-`STw-Np4%(h>2Bo5I
zs#s44Dc!ZU*q5*^QzTU@Ud^A=80R|`o({<2RRUBkE);)-j+vJ6@`pTbriW%b(bId@
z!*Uls+u<+=<_jGwt<J7KqHZItzuzSB^CZa;tt-M?6_x&kslA$Fd3K9v1%-AZ_?R^1
z2ToChIjm)TS?*^zzZ+03r>5&{DeNf8U+L(sSe}$g0a04W?!K>nA^3UXX;Q&q*XJ0+
zhawz%CB6gp9kc9g^D1$SQ3J}Y*lt7_gT6*=TJWV)my!uR@fvtK!98PQIF_~idtyDu
zf}E+p))$HhJSnN<4vP3OzE5D~xRX@3aXik_P0peN)YYh7vByGGS^ACtMM!)(p#BSN
z+dfdkUki<V`=fN_n(_Occ11ny@)j>t(6)jP&d8S$c`FAh(Kd087$2ktn7e<f=8yXV
z_ZtS+%TA2&t>9P?rtrgAyufm^^8<r=FmG7L{hmYjx0=?s9f7MWO}U;TR6$?D#ztTb
zvE`9CLBA-!SVeRIl8sGxkPE@7>Kd#FI6la(>ZT^XI`k(6^yk3@?q$<fcVZ4Wsln(q
zRVicihq2|oojkzy{@fuWx6eAeWzptCerJOh=xpuGXW>GGbTOJm&O}^8{RCpxjFjKJ
z!yO1y<xmInKfYzeoM@NKgY5Y>stE3P-)8=DZNbDv2$HEsBK2h+A4hqA)KM4oMV(1^
zbMqdE!Z&lg+~yVBgWiShbWHP3cG(`(YX8e|Qx0wWu{`&*9NI-sF>nvHu^w=Bg#0$}
z+On4l*-J4Ba3H=%_Q&ry?|eG%#e<-;HsO|(=C7K{yrLcZV!RU6o*U_@8nnj=q$(;$
zn}s37=kAO<lA)YCd2rs;iv+vUGdDbyOT<Xn_!+c37Si5O>$O%!MJ8>bNQ;x>qaZ|G
zutHHW9B?TUvDKHo7u^q!-ncg$`P829JTB|Cpx+!j(vy{CWo4P37)g+P$$w!pUp$R6
zB9O%-Y_HL(^XK&jvXH5Q_QLf(F*Bw(`~h4HRyc0bM;CVw$mBxK{z3b`_wO6iU7_P9
zLv-;5V<Pbe_6?W6EjJmwXJlp#>0FrE=}9N4FRXJ$6ECzD4~(eKo37%Io8D)QLfaeX
z;sjgYn*&|7INJLk#Nlsx6<szlzO2@=jen92iD|o3|A^$!-G1W&?|?qnb>S11Er*=d
zO0pEAmaK&N{X%80BJSk)a<>_Tt?4xxWl(y1$qShG_M>&D)p-|2Nk$r_^2tC)RIny;
zx3B(`%cU>$Q0ODMirvPYKxAK-AM{#ip2U>JthwYyuy+Pw&Xew~>@mpPabB)oti=t>
zuZg&)E6d*yrOljHp2llJ&FQ$L%;5Mc)NIbuYukSG9l`1&Zh?=zk4LQsU6^biXvRl%
z$5EUv2j6lA*nIw@I$319op2zOQ|V1nKd5I4ymG5cvUq$Rg9j_|$J9EoYev^4#lWDI
zDo0K}<WxUFyw}`%g(ts+L}X|0qtZC-k7yr6uB!U;AxNG-6jg4H-34J0NoGcv(B`)g
z+9kj?J!ouk(PIO{fy$}TX>-H?airR*hb_}(o(y8WNdI9)0Ezq;{%e|&l(j4^K4<D^
z;|?xTrCw~R=#M`6u~P`6b|i5etP%|G50q&;7)M6dt1=HQ7~k@F7x{f;-_aG`5fD2|
z&%3ia*(MdCXc8NiZ5r#lW<{vNT0*XypW;ouug17WeH9weS{fEWWD(*w=z}jIFOKM=
z4;3pECLbVB*>M=bG-Vqpm7kKqa99!EWcwYNjVM+ctxx?WIO-VHeKTwL$G6EC4+e#~
zKbj)xE-#ge?2lSxf2Cu#W?&lr?(@OeBF{FHE~8FI8==x?C2p<^+m&TjDylPPVXg(M
zo~e=Y<_OsnO<GhJlwxvDs5)(zSV@;DXMLFXWtzy7V|m~pp5dJKktatRLn{?*yFrpV
zwr)RKtUVRnh3rqB{ebe=LfM(+?eht_9uvY+*g~oCJ2ILQ-2Z3mdsa?o#t@sho{2@p
z_xq7(efgn}rqzJ=!pWrYl+0wws!jh-%4KWSUm{&3t>?7UpR2d>25%YvTdcG%o+#L^
zpR2F5H(l(i2Q3D%Jyrix46#>CdIM_ctq-xser~uxqj@u1Y>${67dxk0!-nVH!uPYL
zr#B9^9!%w9@Y1z<$Bc|l=Stq?CHQ9|6Eg-eBOwMU+H=Yh*#-nm_V((bAxMf37IthD
zx|<vUGxTG)s^_v-i6NELZoK;<Cv5#r`*TfRhXk=^DXNGVD?deJ9-e~r8-9Mlh*76R
zb)+Jqs&uEoQw_CzeKP-h=#*mzx)R2xxrJ!APRJ`*V4>{O8I-JL=n45F2<hdTrRhA&
zTBow`r5uqDWjoG40*ssmHk5Sei+|ys!=}<jE!#*PWbv4wr5ZqqRqI-mS*?8M1O;SN
z?ceu%bXIq<+;5EU{b^5f$mzIVwZ%NwFsW}>kUXxFSjR;BgPrw4#9zO|2m31ChsPt(
znCt|VqPn^Hmf_k=$aVSB_2d+Nj-lY!3F==B1Tjl-b^i7T#NTs7O7j6%cO8_W9QfUw
z5^m$VCt-3Yo@g6E?K2BZrWJI^uwr7arNBw%=v($7<a*Kcwo`m4c24i#F{Z3N1f;Yl
z!4~rS*GCY~?{g#xXir=QMd&k|<6Z+I0x^MWADh{YXuzxE*BO@Y9uJRx{oVd^^saK<
z%Ob=5l91|4x$*efl*|)OjG3AxPS;xFa+;_%;m83?g{dBH9Pf2G1)o=~uPU3pr1^bc
z(l-<i)KUyP>^Z8_sE!2sJJc?`N}-P~{eQj=zfAAm8h$B9c*8OHwwO`rRwvC<F#0BR
zGWY%oy;%`-gT9`WrV`{s$rf`GK=L6%nuSFtC;2^4W&KxzA%`l5>V%qEN~WQk+q`L;
zImm>l5>QEBY1>mi?%DJXYQI?n{Mn9EXUZx;y}{Dgt@r-dFbxOKqh?DAvi1>K#U{%G
zK(AlbMqkY-3g2gqJX^j)y#oSmAa;U4G&FxE^SgoONyNC`Co1Eo)4UNQH$F+DOn^$W
za(6r2w*h~?-@A5@)N;}HAyQr>{WUE9=+FpkjmkcEuE$sjjX?G>K|#M(LP|!`M!`D{
zp*HzXxNqNt+2O&cS1AH4gHwFoA-JfBM<U&~e_600T)7RD-g9o6@17?+DSOxqy`EXn
z)}-1%Hl7RS!PLRhA)G5VFHFwVGe`GO-;|GI0c8O^;e``-6Ll02b2f;ltt#9hy1*X$
zj|IA=QBT9=e?3{ny5s%VlS}itlpE?}a}RgRkRKwc-;|V=`S98h*^v@fnUazCu74iE
zo$-&NZ*O)cdE9I*@AdX=^M9(E-S@uAl@jdoeHi%5d0lY1i~cOJ(Bip;jhBmmd;*e(
z<t;fml6$E-6x}UnmRy}MxpbdA-ba%?p)Ke>xLjwusTn?Osf@^cU?xi#%2cm*t%4`c
z0)#!xy@3y`tb4rA=l_Srl>L`i7d%yeLn>t{Wr>6Z|0SMzP6@pL6ERaUT@EJE?8>a?
z#PQ!=)^36?^8LKx?ZE2Bn;S~(%8eZLi`IEVi<yw`Z`dUt0AD=2MArtqc~Es!byP_e
z<;PRG4P#-E@MQbHZ41q$trj~U;7Lyj@t^V3)u(m~_Wp-|^7^y6vmA!cDU!A0*-;$@
zh~AQ`Sn?})D*xY3TJPzd-@3~-JJ*Y3`ZZ48_n3?S#>BW@$)37D?3akmue{Fv#@F=C
zpcmIjt-I)djxw%&QR=VTtOHM^8ecAgFFsZ!W=!Ai-u{n~YqiAojQ_{TmUV5-;)-PO
zH2VSTpLt3qvez2DO@W8I$COfj{;AMEQ2&MY`$vlVo8Cs+=)x05@|f-bcS|4s$kqcZ
zBGus)*EQ+Z+D|hQr!xgL-Q6b6>t(4GC3&3o=qH`7kIl_TCb1p`i8JC(RXJFvTu`K&
z(k_xLd00&0?Moln!*X_SOekIRFKQ$yOtKf-?k6G%w{{ciF;mxXdh~-#_wAo`#pUGW
zy~c5?d(7XFK^sd1hewwu;=5mpILliy)Afxckg90#KOr4i89FGp#hvWf>R%llzA^T`
z+_!h&6c@r0QycR-5H_F<NigOA%G3rF!lMcE9^V{GXy0pLc5pNH4!uC{?(3FnyxW#Z
zzU)!Ov&{4G;1`yM2*@}I&f&Yuc3YRG+0=cc%=Pi&{;l}w`t+wMcXW3IRWZ^$A*XWQ
zd_F!`O5#_&FQ6}Ry+6kDj6)tgzI*Gwm$N(>`1F)7p}t{A#z{?$qM=pEij~{iYE53U
zoK|7@!~^!QB|>xBJ_v^Ehl>=b9Vu6)<l~Qwf-N{#ck7RbfTMkyZIR_P6Nm@?pIq%g
z>9Qh1#Jw*mHQh_H-6vDF0>!9`YiCC9_x(2JC4yCGf@Mv}rXvNV@*j}~6V;|1j-nVq
zC$H&x_P1HU8;@#&m;I`(>D?xP1Mj1Es^Y;|Kx@xo;H#XDfN>Ij14`N)^9w!eeUGa&
zM)9d94a941FDeClgq9Uzk1Dyq`x?)rOh*(u^gAwo^b0GZ5q29>De^bc_sD9r5qU;t
z&5pm{uacqu#I3%!BfKji%;iDQ<A5|t=j)h_glb0^)2UgqY^I|0f6Wl>a6!B!1k11<
z-Hls;Rouz=dG%s;(ZeGf>E7fgP2QXGtR*Sfj{U}SS8<wpVGcaR?d$HI&uI{&42XD0
zhrf*@pthlqB*Fwmg&!#lQh)ZIQg%<=LjptHF^_0WH-7ZZHC~<PJ%EQJ7p{>XW5;!9
zWQo7I=?y2gR=En$V(DY9G36JeiNX~3w6}<cv;)gz+RU4{**i77&D(N#hBtLnn?4(H
zvy+__k@9zG+*xrKULTE3J;rv#A*{^&poZE8>e3u5JyxB*lDu@gI{x_zja5QR3ltH?
zw4BE+j`xx-p67~AIJkDQ*6g9Kp<W<@&NZv=H-WS4?ThslOY%;JL~nAwp<~Upo5FXI
z^tq(&s6_%(v446C>F!GI-AKF7X1Y!~&c?}zfMI4w7J^tRUyS5rqWr%aZ9{M?@3piD
zu|(BO3bhw67kjOU$UBKeqE_Xx&@?%)-afhU;6!o8y`UdEr~6E%^5JU$d2!&5oH7Z;
z)99b8C-n!gLX1<l3amlJ)|Tg&4b>bvmJC}9dih&kneYY$vi#tT<lLelmWbORQ~xvc
zvF~Za5k&Mi>SDv6PmDX1`SiX$O?-RQ@LRv1l|Mz)iqB0jRf)eI^MnB`>R(JSzDgFY
ze<AH!1baFfw7IWavgtpU(nWQ(<oA<WOM7P~)UO3QOUGIjy}+O07gTaywd#};rj5UP
zb7#Eg!*wfdqkc`PG*@bmIw&^O9+Y}kX2O5E9yFH67p8}KB8l7k9eIWz0$L|tujnJx
z(jei3W3<GNM<<2H4y5rCmnHnQH~EB+IaaSlub(bm7l@=mwcFAd*us@b1G1)6{7W1S
z+%rjyb89WNI(Eb`nrgf9f{;2G)bq?}Up64<|4Pwa!1;LV=Q)9sgRe+x(2SlYYLm%q
z4&dQak!RdPjK+xj$O_(>-y5S~!fP~{oM$|z3GTOF)giz)9l)Wgs+sET`2YcD>ER*1
zS9ayjq4r=!DsVtHJxR&=#QY-Y3tpl0_9K@<wUi+BVXS+Q6Sr`xG>LNUmbneS^H8N9
z^FeTY0aakJtKr`3DN?3(2hq2-0WsxkR;}fE*-j`OD>?>d8g+yoUEdYzunPn&R^MlM
zv;p%Pcyy$vy~#aueGRP8)p*ydCX3HTRS|LSxBYp2^CXkfvFRee;hn@F9k1bQ(qRNA
zm{+}O&I}VzFG5Rw(jkxC=Onm~9X|uTBUk$XA*4GAVSBK(+F8P!ODaZx6hTs{D?zza
zJKt1J3^Cz8MjFN7fBjT;-;7wcw{7`INmyfZrO(H`!fbNLjLJq)`hh;GUZ<?R%()S=
z1cc_%t(~6dtp|-blTmP$X4>B%>2mR+3~s|L0<p75p2VU=UbACa%DZ@YB41XkK+qNF
zRq|BY?iFvxF_Qku#L4O#<$I_E<5(<YoMvo0s@#`M7NCFNK2w&i8YqX4f-0Kii6Epw
z??ut%hd^<5G!@nF^x+f6uv2colw(HG``>>OzD6+$sr-7^<hIf8w7z8ci<%_;5Hc+s
zD<8KZQ&%^m&+w|_R7I>W!b=gcFM^82`S6^$_36rdF!NDs?OVi#j8EVW(@2JMM!yq%
z1<Sg4pDUn$FT(4DfNaG>?4(wRkTld3Q={U|!=Vxc-a13>iuS~dUi|e)lg3EqX@=mI
zpqd10-X%%g$6PUUzgs*?pHp-Js(~Q0^E1vB0iW2HG^`A?oF|&*YWXy;I(^m6RFhW;
zk!GF!*i?g$#T&ie-Y4L5Y~Z`LNsCrQQ8Q3|#)|qG^dtKs&4v*#Jnjp3CvN#ymLUv!
ztzfZlqw(L`wF@;lx_TIIKN3cK!9DI2)Abh%;_Rs@ZV;pB!aKn49speCLw>MdfBVLE
ztVbEGoww5}LfJ)#u;({x`^m`u=KX_(ZTI5M`{kvV8A5?!KNux`inZBL{>A;xdAsLG
zuiq=%dl-5r^(2$Izczm7;rJ`)_=Ld8gSMmI>89rW>gdR2=8|B>&uSF*<zR?o<KV%n
zqo8nHuSY>QDODy>^xLD6uw_nNh^14};Mtq1-Liv`8P1jVrCs~7q3?6$(th}t(J@n<
zN*?872QP6zGx4}x8XvQY7_kXAwOuhRGlP+J14q|H$yK^bDmgzhW+Q7HJP`hFdW}aD
z?K&+H8h9W-g<q&K2Y%)M7<T+OXnu`BxrLT#7AjIDDvi?bN`8(HRlP}H36h{ZW#?Tp
zI+mfbM|hC!Yvw8q+7B81e*d=ZrRgV8JeE$s?csu3S$_l=9SY|Sa%tXT)~xOrcUq*e
zQkAY?2n$829Rcg@i$R&~2l^di+0{;#;=SU-INoDB?Yx_``_J{cTn;9nQQJ4d-|404
zIJ>I&Z6T!1pY*3e$sNoe1>ah};+G2vb0i|X55Rx?pr7%U@zwiRo!N^C$CJ8(hwK48
zv`~zGERT?Cx{Au6bjP{aFrpG06Jmj0HIO8uF0MR7{bZLENd6_(<{KJJ#yz_DyLCxA
z&*<Ubff`Yg0(C!49CEGD@wwxt!5@#J0%jdwM00e89!5+?{h1Y6Ct&c54!q<3)^S5J
zc@$2R7<^TK^n1nr@YBkT)yBpXn}QSch<TfUqhF<l^{|YNXqBdN^vBg>g*k<G0Foid
z$Sr;NC|9fjQmpJsmh$lQWNhSJRH`cG0$k=R{ZSog5=<A)?u1#hyf0_84qgd+*gM5y
zy+z=)kksfE&!0mUU6G}XrIifW62*_8R_h<G@ukZaEkS~;24(2lzFzRd_f9NIi=HWn
zcilu&nq8lPG+%SAE8JI#6>_Et@~YgV4=%`>x3JQX=mc%3NFS}Ci&UfM_vjVQ&uzPH
z5fCtQ8!p!w_9OPM)KM-mXGNiBXApi|P{q^|kBFjaBN3x(TMX+YcB%?w@IufS{RoV)
zPh08dBczpa0{TN<-y8j?T23DlW-DA_TF;)LzuDM3wnQ17=~*^G!?%*55w&3W)g*jx
zm&?8O@kSVWacIzrKYe+%wq1!yg>o5c_mjEZ1L<2!WKRn!WpBd?BK^l1Hia$-^|*oD
zW-M;J|6O*~c9idc_P($DVcl$!PyRR0pE0C(gJ1$7plRhMlr1ULt!7eZ<=!wpR9jYg
zDA4ghC2z^5IUen49hPG=fE+ZZ{wkdgy7I}c+?|?`JC$ohKQs6yIY?ThMT~0nGwtw<
z3QlT|3GY{!;GRDL8u8H@hJpUkC&A@d{7p_D@N~;o0FP){mv#Y?p6GZN-u0)oDQ`N;
zpPssa6{^F75Mqx_Y#OmCfs<efCt^HI$}*`p#t#^O_dIXtghMyb&0Vb6dNVmaqhCAd
z%LYruIk5z#ERV*Z53@XG;i1b$z*sv3Q<!?0jQ|)eIji)qX+nv=IUz;uwczKe;gpLc
zcA+m>mD=Vdep6j;-C3gP;^4o^s9Mnnw;;z(BI^DX7;EjN9HXIGLwtWMwZ7E)`7G~G
z-@3XIyI<a(FOX}TPT*zq%Zf_pN$)4N*0xN8js61kuX<mnc@%ULjH@y+Vo=%Kw3_?Y
zm!LNM7eHL7j(FP(xr`gKG&@e_bUH0@SU<J$o9qGYP&<2OeTwSO-|Wo$XUwaUUM>nJ
zl;O;KDb?3}#nqss5BXEGSKy<xZoO$to@jQY9G=ntfz;6pcd!cieX{d@cM^>1hm$#L
zUzUOHU=`o9X*3D;8_l~b(DmjORg;+qQ@T=94Hz#)NegFu{r)I*YMPN+mJlZ2XWEl0
zC!2DlYo0<!!+t>2V^(Jv=#hb-1J973GqmRn^Ety2BtCJp9QE;cjVxR=FX}rx;GNpQ
zbf?%oiTZ(a;W20!>LvR3)mt%@E-rZ1!zCq_P3%1cj^%sK%%b5fs(!*-=$KLLI+a%8
zTnNtSgnCiga=aCbd7Yd?)AvFVTrvDy#mvEzVz;Wnhk2KYl3M@1Uv)s%Pmk8g4O;rE
zs*GJxsNMx0DMdha;)b21?w}Jhlw(T}8=UN%fe2I-ig_HBY1(WeISv*&=Ta7qp;t(V
z=?<UB-w@2@-x2%@gqs-QrneDrlg_j0+p~#zFg`|rGs|AS3!}#;hFL+8T9z0-IpZ?c
z6HfeUX|7btiOm16iHX`lk%L;fRwxa~`T0LpEa|Dm2BQDYF@^Wl?5BpO2<wLmAi`tJ
zvQ2@~c(Yl$oPu5aT{aH8_3=OLFaK`7J6n-k*if9kj<&~MnX^!LX!*P+uAv%^FQjmH
z9*OD@-*bgmpJtn`jBnh{xy=0v72sg^W>!zx<BYB)liFD9l|%Sd<4SA$#o5v)jpY1~
z6e|-u-6h{!^NL%tSv$xEAMR(k&S=61rbYqVXwDp-1%3r|C!6<6BD9HpQt8DQ$||A>
z6mJU<bd#wh8>Sk0IXNY2XVkwCNk>{J<jK?g9Q1us4=qYX%8O=mO#D+Hz`znUBsmHo
zx8E#{`REtV%DvF9%iMp@s9{nYm%ugppMv1XzXjqY^MC7LI`c{l02udv`5lI?{Bqz$
zwP1iTP21(y7BMd@{$6U+2&f|&PNX3MX^cY^O6Wiudf3){Fp{`88hT{#!ZZ)bMY4s~
z_soeFg*uHi-C{Qd7xrltYd6?JF~k_AY=xz2&8M(9u(+SdlaU&zDFWkE{hkTgoUf3}
zdiehx&XN~-*V9>{&U58*c#E(tZl_e|QMrDcm+&~$^dzF+C`|TP<zaax&d4LnTKn=Z
z0LvoZQNk|9tnzB{Uf3tjwD(Yq_~UUgq@*I%#7)H8yiwQF9Db)T^nG5=+VnLgD`y!z
z!rtcyThgKvNp))C*cj_u?#$>07B4d5PL%@Y<w%J1m-l$$G+$P2Jx~+NKc7zid+JMt
zEboclXP1vfslN>3jZ3Vr;?H<BOuL|Doi5$l*MdI5heoII)uxa?+e*Z9FCq1i4^`sJ
zd6QA`k1Ch5$HQSte23b7c9m3GORUG=RtJ|$lp;q*UAH9nivzmdgZy7vuQl>O!807#
zxaOyqjKb&j@6?`%8^7R}?}|oEFyYg9EK2!6XPhcW4wMFh7E^`ugB3DKjl6dxWP$5v
z?zcmO%MB}j>|9^RPc>EuR|g~RGsKbdx8OZtv7AJd#+F!#n2L(Tr}!iIFUP(^_6%uh
z=X()y*C}@@SG9QsZDB1TH-5rV@Z&j@-LMwL4Bc=9uL?-~y8kos{8ioJIO7{(+ffk>
z<2cLOnj3uO0ZE^E<0s(R-d)YygoEvJ#qDn9<&bM%>&@u&djn}7a;3{|on`4$%G)oS
z{vOWxGon?eDpWmuAj}`<3yM`R_n=~%9q+l}3?4^R+Rj@w=x4&WBstl%GRPNv{Akdu
zGo<~!Pu!GO_)3|*Ge>AVoIT(1yVMBqb5??K;<+?m@)$Y%O*kfhwHjnD5F~i~N%|r|
zi|PXF(c$^$O2{WZ4khs?)|*h{eU!?S^>69XpETqvwhQKu7a>19eoSwF`aXmLBD>So
z%>h2Pa%es^Tv>8)hJG;9c;hP!8MO^qe-d;6P|v^I>VG<X(Hpu?w-!#)>tBir;ToX~
z;S$NGx|w(l`8+SxMaT}R7^MuUrcESWX?!=XJT6Tk1X*t=R|96s3Fd-(@{MOneHcP=
zVRo#r-uEa8OWKQy*m{mzo>qFbi4V|PNAXx-rXY35nP)x$*55O^7a^xyK-r8@2G*xs
zbI_U(4Qp`MjcEM4oKo_w{*;*4bt$KHdfEWvYyn8j{@00h%2}Ygk&2=&52WitbV5To
zPKOiL1PHOkUe0?AY5$Zdo24~E_}corM}FC+<TyQgZv{NK%@#GFHH`006gSXu-=(pY
z-@!uFDR^Z6u{oX?vL0cp2VUH%U@rlwQT=$ABbOjo7_SXk_jiiwDkS}F+>q<xwG1rP
z9;#~{(@h9w&<2zrfOwuWw2%o3;n>D{GCv!d9X4wi&|neUcqceHvb;JI?vnRfp`GRI
z?t^dxnF0%uo{pMe0~sqonTaKvNaTXHKgoBwI_1x>g#u>2|M-p?_VR)zu*jhCT~(I!
zxY~peQ=WT<&~`0QR*xEl4e4_FwQLQuHU*ss<wO}G?ZbLEbIGy#c)XKI2ZwT~oTzHt
z)27<3K9>Ti`u0)PeAaYc@z#P#Go3dTXMu^m+N3ShRk_!<@OikCvObBn1q_Na)`HQp
za<9v50dVgCgOFjlcCP-UCGmO1v(n_<cf%IL(!j@AJt#Px6+n7dbO6(uSYMeAB+WJ?
z!1~T$tQ8Y@tEaph)UaS<lp}oFt`$QMV+aA?FX}-({FZ@<EAm385>4;Mlc}v1=j(H?
zv*D{o8pMFuN7~X_{4;B;3({4E$`$^9Wuv*^Hqs=OzWSQh)Kif~Z9t5@r4(RvX(5sW
z>-D@^j9`7HeP)H+eh5j3*<$Lu@!y&nhl$4>PKOOkuw@54tiGm|Kp@p$KR)1jjPM{K
z{M0SwR&N;BTmlGTkx|$eZ*Q#yGmagyvBO;Rn@op+)bRVw&nK0WpES`0@1-o3K$tpY
z<F^A^Z*%T&FudosnuEgq5TjHmyjzjQ;@1HM)V+U$dEM+QQ~vCP(+e&CT>5E0ACQbK
zg4K63!hyAgs}UnO;$Hud*g9ql0)%Y%zymODOpfH|OgzZ1y`FfDwWZ29VGTOD@XP~W
z2Ei9}AMo67B$(7D1_t!odx09Qk#6|xZ-qe~^hB=48niZdbUv$5Tbbv$?@lTMKl_z?
z48s67!B21ZtefDS<id;0-toZ&Zz$8#*;Z~?$FcfqQrnjwFD1;v1jmo24pUj=v3Bxm
zFL<w&lD|Jqvj0dh<4PLt8u(_xHT>C}adYVqt!Z(G)x2P>qdGUf6FMdRL1)&J#B+XJ
z-#MFDm?K?#z=T`0!-4@H`L+HK8X5%-t1xh#UD#>{oChMD7jl62_Noh7%OA4Fvxb_4
z=k0Jgp-v7c6lWk$R=zaf{u+-i9af&TYK>7@n(ibVY7{G}!0n^5U1|4C2M_u46Dz&Q
z-vgM?sU%vKEV@lnQSzL3P0Ak=y5{-4xS?{D18`*JeoxjK>Q3!!UV%Q#{q`ltAjMrc
zyosya34MrE2tB{DB;h=~5otHn$4|FuQHy*Vr^;?ZpgR&ky-#k{btg2g`P^V=EI?tc
z`p`cVk2O_O4f-d<S<ITd*$(`z^XqyDb@%(=TR>dq?&ZXXmP)hhcoS&&e(StqO6M_Z
z=fC~G3JMrW1jMxpc<=C%8xaVD9lDm&TWbMmTMOfkVS38Xk$)ghG)+wUAsi>0GMZv2
zX_TMthU0pYp{M-p0Bjp-AhIi@Haha$jvURLoP_Iq!<?8t;d~Ho;YUYKE%o-Q(=?p}
z^HLDw{9~-e2#scraB5C(+xKzHB0pDg!1OSb2v#J^hR>9&9oKLUa%#<+g^z7Iv-shY
z^Jz8_aR01%aAX8#eUq@Dq-&^aQU9^f%q(INT`U7FpW|}j1{No{3)C`ja?O4&m1R(}
zX*&4F{IzE&)Q{+`=~zlO(e5Psb5K+`J>quTzSz~y6);9O1@5tbtn}n>hLJtfqND3`
zCj?NsR}!AB>Pb;bBZ%?ee3qhLc&3x@;XcT`d_DRqbsYWzB1K9x5&9sck@8Ctr$>^J
zd>i02LY~+PB}Utd%DlLo=#`FerF&=dw!#A}oWUNdoLK~Ob!1QAY!%ZW;_Q^%acm=h
zGbO438{_2id4M8LAoiF|LOMCA7jB_g5uLLvsAEPunxAG7ysa0&-}jQ2dtZS}@~m|R
zNvR4803o?RS{o9X97{G(WK0@A5OON@P7Po$#F+^R6n^8ju&Ah*Yb6g(Bpo%+xovNi
z@U~WiZ;JK#Abs!O0MyTw`w#Pq*tugrrSibgqG68g(qEvQeMC2G@hG9lE+dA6T@AfN
zd;w=Qat;K*t<_r)_QAdefIq~hu(h=oh?UD=-_Fz<4ENy@05biG=I+nxrv~6kbnBP6
zR9jSx%;j!@Xy@grF6ICS3&Wk&2zGww3r~zsVu4OPFKXp-=+5K{VN|M+KxVuB+J+QT
z7zn~JGhcd-q$mZjAV+gHot@$`aFMaJ$Zy@=rjAc!*dohwu{I^g_{}f9C7o?1r8bry
z@w|6{)WA)ZdDlOMWTUKbwz_QU+T3F3dkH_w3#p5zrVSC=aGhJwb7sPKUE#uzDtQE<
z51^B2&%8>#vK3(vqSV)>VGOHrZ7-#*z*i}YpC^pc*vUd$B>|ek2f!>%)yPRTpnK3n
zu8(>Rkh5sIS~lFZYEH%5+gcu=U83c82A^?Fjf?qLi41+^ThgjqgYa+nTnh4y8%{8`
z!?W4|Sgkc7f0TazEdC-*o9E1i)o>Dy8Zu#~5IKtBzrSF@HJqeE^o74p&<4JvHVzxp
zaTfXKxKpa>iB_O;X6(u72`5eG);gxQOU8h)muqqzDLKhNCtXbj`Bpj1UW0@UH!9E&
zzb|0h??@nc`z<<1a(=zccwOKA78!?2S@K#CGS-7&iF8gwg@#^ZmWKX9**GxKU`%NG
z!fs}Q^{<9nW7aS)Fws>Vesx%nnl00-E-KeiES==-n%5h}ys@EMO<4n3P#K<+K(iY_
z^?SqWU~HU%8cxWb2O~aelFBTfxARBp_yWIJrnvV!OuV8DNb2G|(PkrUkYd<-HCU}{
zEeT<QT1i~cx=Y}|Veq2jP);T7f|fXw0Zz!F)}*wtMogk=Y>G@y0U2Z+yN_yI@fRki
z=9IKrqPri~g!F}%Fyz#FEFPGcSy+3$@LE>00+Vy<v(oKnd#+kq4`Hm?OZp&b<$y#6
zW1_*igM`*vs6mktF?=?CT$X`8^Dkkbn;uswz5m#@(ny7XMKOZevRFBAc*|ES@(7rK
zBU-N4v~!LzgA{x^WL+x?^Bl!uKZ1+s(k9kz`-F2-Mv3%_gpzaN8y;lpSGn=3q*`Nr
zX7g+?siBY^q^s^=O7R!GjlGBlFzvDo{s#xd&0z+ciJrwuc{z#b3ahxF-22dQHnl`!
z74`_}d2HdD6#T8ooUy+$j8s**g5|%Bz)k(o%AX8d3GArWIUlNmNvVbG2IEc@M^M$k
zi}U-sYKf}uNiwDvTyQ9B^+|T@fsfh>425U?P%vffj@=)4AMG{8lTbj2i+7xKjxmSa
zGoel0+3zys0pYVG9c#E3P7UWcDe!96TXRJTCO+94roW;kFazNh+*p-uBUDa;kZB`9
zS<^vOXeDjNo?yzxp3!U0^NU2s9;a6<k<vG0a0K|aG+P4y$mkz5(*@WR!0$@nabH$v
zbqeVu;R7Q`IucH{xsFsdceew-Ce;LE=4*gcEz;H}b+$3zYA6=4pK*Z8Fi%dOlO5s!
z=kZf#ASWF2#3MA~;52I7R1mVOwHGd)7x9yUi%m8MCooOp0-Ko_U^55<4m!*Z)abDS
zEHqK5#`|!=fUO26t%%%vwC`+cK7aIHO;D-f<WaG<V?yaT6Zx6pWTNIb2~K)$S4`CF
zGV2`oM^4{OZY%fRqB^h^><rz?&ATk``*{A$iY4$6Wa6q<y|~ywYYT3>RV=mODvIYK
z>D&Ki7T_X}VCNqA9iRBt4+l5fMO~bKvR;?I=Y=?2rTNNWaU>=lnUl<mI{J1s#-+W@
z!D6FxqbAQW<Bl^N4rT(8@M?(+@GIiF`tM?N)TrK<GYkfFu#!3ZP&~i3z~InUQ32x_
zC$#+o$HCcI)tt<j=Y~Pjw5ozH<5z`OioK_6$7TV&f8p;W4RE;d^ijY}blo)~1`Gyj
z0LsrYhc)dADp;{*Z&a3SrLjS5kgm^d8rghFQ1GANT*u6#DK1wb>X1DjOSF)|6E!`b
z13g*w)OQb4BA^qPEueVrSIm0)8K^2}^dq$Px=bIyxl|HALIp6+<imQn2f{+k+G~<d
z{RH-bRCk%E@E@E-YJiCqAfffSBfA~T?{%)bELA|PK0iy+kk2$u`|kC^ODTV}ly0G+
z6g-sYgNHWriZJANBXZVVW-Wuo;KNkEy3CgS?F7ecVw|A@ewDsT75uJ)Z2<!fL_=*b
zFL|QPV1Okz(DWf{%yb?_0}Xktx0$B#Pl&Xf^55!Cd9=3>yf!K)EacZ2fH=e3eOUFp
zGdT^h5qPKa%7TkCNGkiolTc@+on++~y!7DL)V>@PpS@B(e<n4hqfaw|B2vI0&zL0L
z!c9A2_eR)jlBf<tQ~H@6B2B@Bxuw6y@#vObfQmmH4IAm<yp7#1_$gU-Ry{BhB}#y(
zGuxv&9#D{;P0U#pJ7<*kHcXnl$lPFNU~nt|Xtq1;J1~C8)!)CfZ%SJQXC&%3%AVVA
zw7y-x?mWhAs)qYF-1#*(h#DE!7fAoiCg$8@C@-cp|5Jnwnv?DE^2(p*7BV<v3dW%~
zgXLdOi+}L8#-qkEg)TJO$))9V@9-8Iq;W+sgE8y=aREK>WjA(60Q1p*y9CDu&_9pG
z86tHvJ>`;16a6nj4RQ|-8fH%Au`1bcK10-y$(ZSEa6e<~zm7?M)}Tcy--dRVe=~u;
zzg*sjg>Y{dioZsKy{<Xyf#Pp#lAr6V6n*xSBAADx8>dXz=dQH!pOR!9+xw>Q$w~_f
zSO7jiQUTOOp9^Zjp2wuXp&S$kb0w?y{tJjN{T$v>Sc1@fF)j*akhYVa>%7Fd9l+N0
zG*sQ<-;m@?em3Euf$TjS3XzpUq*&YvgYo{$mutPDBZh2pw^Up<6icTcG$aV~d4Oao
z3%~}~xhZ5-Q|Qc%56|{2y1FoUWDbt@ob>qVx7YF@^yq+zH3J?-_!q~a+r4nqd&XHd
z<?NoX&PAMQ54y8_!7V>>{^Aefq(BhExF#?eIwez`+Q@6G3cjzZ(|y64()lauzn+Z8
z`4Emeh@7EWutFp24SN-Bc)t&`&e5DL7U;P+x8)$g^7VTGQWM8jCkXM&i+q>!n+lTa
zv#JjW*}c>RjI#3)3sH^hXQ+Urme_xw%qc34cg_Cr0m>G+m>rE8=@Eq7V2RCPT1r&c
zw<H)6grv5OfYg?UUqwi7)v(H1vCTiTh#KJC3u@~e-UUD}_N?#+;pQ*Wiz>n?$*Sak
zCc4N)Tc{Kx^QNZi4P^%lnXq!lVjFA#gYz(|n-;Gau--O$3<)H8!Bz$iRB?uQ2QZLz
z)yuwOwd>RPT=<9L8U(1mBpN@=Gl$z8hY1gKto3wWCpC9G<7MPTuA)#bxs1?hor@lM
z7ldoFX170OM2&pN{~AfC1ir7sNVhPi2}K|k8I$xOxlAJY&QionZbG$i+zY9?4xy@2
zU{Nldzk@%(a=EFLJxV22sT4F0;E(HhV3>5fO_p=;tMLU{doIp!`qzcK0zWhWTayuR
z;PW<>J<0c?&T-CJu`Jq@_7+Mcbu}INXDal-B`{U>0-#F9WZ(k!!#iBa`rK=**7aP$
z2`#AAVOQNsQ*p0aj*i2C>10O4o|UIYA(jegO<099wCl5GqEybk%3$26fug1C=aOes
zyl+vfwV&7#cB!0KTl$C6V&)@rJk~N8SoMkqq7@4Flr{>QxKY}YRl?v;UKb&2fLBv|
zuH&c9x&iHpVqzuNH|*^?-$ZICKjB_y-hKf^<mOqrDPT9aux+jnE8`wxfgjEX60JfO
z=W)Q>vfd<|`@r8L7I2!&9OeKfPJv_K1%i;5r9d)mz-UC`+=GF)b$JZAcq3|vb0{k(
zyl!~l^(ysUSKH!zDG;lnMwc`s1_dY!zwo6i3dQDujro^G33o_A-w;c|_&W>Z-b7I^
zMhAy&vy7zNE$DSiEz<jlT%Qlkj99QyIA#-1qN>$0RT0BCCZDx5xCr9oxeS~-mxkjs
zqV87)KghqkH0UK@E8!fjqNJY{fZjjvsV%lfUrE_u30B`pKsT^JII{iu8H`^3H*QL5
zk&=@gYxYbIE;Rj(!@+_zB{G!%C2XzZiBtKH-Iz$XE({6&wkaGhmBXLn+;btCE6~CW
z$0In30pKu7!x{?L$lw=Ay^9T=DJ`Be4*Q?RSRmE*`_Pf%EwYPKel1+><>j#wW(b_~
z2DvV^;c&of3kLu$A$XAg6l2)4Wl7}<Ut4gs&*^_99UN71?SbUpe{hfoU`-bWqr;=}
z1Wx6%!e=N!lSt3?<JDB4NP`~M)DHZM;xg&~PsWdB3+FEzR*kgnaFP(0k|gPIt=gQ+
zuDWTP?-^MIA!1khex3BAZt?S_g|xQ{U>&~b(Fm~yS<}mjK)9Tx0@kUq<ub?vPk6vt
zH_{8$S`7nqV?OiDixy=>pc|%qINi8O!Bw;e;St*lFMi~u$sdx|!8(2}a#Aq>X*Ivp
z&q4q=QPh;T8rfLPQsn@}S=2u?OkYlj>J@$3I^e)EA7_1*bh7-mEI)LX0oxpvBNP2|
z&Q=pi+doIqCj}hNs|k)YUqW)OzmwKp{|N+x+b5g)Qj%576^mVkN8B&f=dX>1;KE&7
z4IQMya42MOiBVf|JaDl0Y*1}XjxMiwrf56F765-bs5M@8^1;b#Z2_GN4ygD>a6qDM
zA;+2(_dp9#fBC(*yme&?qNOU)r3kO;rvaR~pxIK52!Y?{?YAR|2L`h=beY3&-OJl+
z_V3QK0EV6WUvz4q`#)7NCDqS8aGk5rJ;Ii{>KU(wbHVJ|#2@Mm`u1-DnZcxRantPb
z1JJ-SkryZ&PXb?beCW4px6i7lF#&7UUq!g`SPks`XN51kiD?`$-p->XdS3Wj-$>zR
zLu@!okx}Rs2`1Tmw~bY;NMLd{g+D7?zP`(`6i~qK^ZVQE2UMTzis`Ca(It3>BDjNo
zaFHzLK}GVUx&{6YgfEdsNUTt?ukpQAQ>AvtZ0~}&=Jb>ESVGJBkHKKDtdB0UId>84
zbBI*m(2Jn7<*a9cYJ1QGjJ;QA234poUAN%Q--e2EDx-gvM@EG7k9c|)+qn^<ZTS~+
zi!!%BC!Y6NJ*=R>bBfN&{dGyW7UT(a9s9dJG2p7(#}yRN|5mobn9axv=UMxrOib*S
z3?lfa8*4(({ygM51x>~0onO%uye_r0<bd&ym=Xymquo7X@;1iSSCnWR@#rFGFYwf5
zE(sSEQ*w}(3f?hI!MAT$3LMv$n?nEUF?eXw_fbZ`)cl&M>VZ<e!60cl*jJkf-yXQ!
zBAma^*mQnjdj`h<A{)5F%{XXj;(7lmhLXu(?QlGs(}G?yC2aDpp<XN}8x{@qGw#%y
zWl7E2F_ITCb5LSga1*@T-+mj)H16Yj&83V9=R1uhqcpfI7K%G?13+sAHtai_!)L&V
zK(5U%f%ZbL=)L)WsEd^vpu1g7SNHpwVuZ-mUFllnMhpw&iM-7J1r^r?p3x_(u83;3
zw(tnwe`%+eo1nV3E>c9~J&p|XqVR!=h9-Qr80u60j0NDdm}SXev>f}&BYcnM!q9r2
zL^v*H4h~|X*-#Y!qxvOxK^c=r2om}J9W)%lRk%mI_H$_PyKhs@i~q=i&{JsamS)Qs
z*RKM?-j$SO^dwT{)<Y(H!Z*N|Gf6&UzHrq{{39HIUKYhIfVJfbr6rPhnBErtm&WGx
zv=6r}1ByM}6vN7IUSN7WRW6%J<HGcXU5iEkk)+zl&&x>_&<81=Wl7LxP6=O)0u4W!
zLYPbM*tCN|&XjwksbRJy%g)$z1%G~vV+q;b0&f8~*eHVw?STe!5W9igOSxOSyX0H#
z_X(q75z}Wu%Bu@JYp?LQd9S>Q1|4HnfJ05cE&-~TU;~WU3@$IR?&sB~kb+Eq8OUM3
z?u2jL-BG!~X6J$__#@pz#X{p*gNK2yy`sPAK~8tR1_ICXS*_O^NP^(#lWs{{*IG-5
z)yiL{2K<|l3p}zQ1DRf@U*Eno|6g64XH*kWw}x$ibSYA!NQZ!QBosje5)zt$(4rLS
z5_%}1hyoI%3W0!hX#yI0uhNm;qzXtAkRlyI(;M&o*7xK7Icv?FIcH|gS?k&Fyl2l=
z{^xV)!I`lY6haD)lM*C1q{`FLx)78-bT41Xp|@A;DhaEV5*F(emBX$JBoz8+M;5c(
z&>)%GDaB>)X3UXMEbPqp<(**E9PelTPkU%TNTYKk|M``)AG8l`c*czF0W-sdWgr2Z
z_j|>!uVrhFJofSjlHe>_C!}BbM08ka<^eKb5ecab8WtiP{1ZI|ttjRQeFS8E>75zp
zR$d(d+7sG(?;C>%RsEqANy()|wym>xbzH$mE{UuGpjoA7$e;1Xb(IqJ8pz?~x!*p4
z+i5K1A+fwh$bZfSp_#?(W_e#0$!dc{UGR*yMJz!{wpot`Rj5Z(K@-S5CfF~)@9JBU
zM7xsE$Djs)L$)eEFf@>CnUKe)3o@wXyJU-+4KLCS-kJ4jB=y@lUy4zaT&GVE)t`^K
zh+=Es0L<c!L2X`2qdH@cP2GAKCQTEHb%`hv{b~oZNfB4Uj)ZTo{tVSDZDBn1WOA3w
zJB+;ZWty<Y-fZ2+k57aQV5BRBy!>q*pf-=R6!H@-N<~5W8->qad@_2bkY8WDu<6IW
zf?zJbk0HhV`y~1^8j)_p5<rsmDgQQ}{&W66ol;z+Pv@t*UYDAQiT?sSi&6;bxnR`)
zJHX;c5GBeVlsLxHmF81Cf8xDlsdQ7|y6M?<;(^PJr1=3i<}}R6l)pq8wocBKA}6l#
zl!;iu6xO<MBQ;8Gr+vMJ+G^+c*k7(O*I26vUd@u-lKF!=V`UEDdxBlw8kk&2qQ@>@
zLR9}UJ(7qBxqL#Ojd2DTRv*91+BQNvR|sn^Jp|mv9>Y=xs*J{%qNhYIZzAhiqjNwU
z^ylSOG<go`0qRC66!BGV1e1tT1N_QDKkP>sOrW#kWc7yJ&Lwl853nf`p-XOW)V24$
zAida{x7u_qY&a%#;?$7fFsxPQzqtw-c(+I$Fiapu7oTL+B2@<*{a{5sWPgUk?)%iQ
za<zNalugr4rli(uk53OzmOM(Y5@;m4n<ZJ&PCZMj+=nc2%=Z+CSXOL<(GS&o3_xPx
z<<+Kdh6C9-K=F}Dl!8*(oly=JwJrB}1MJivvW?h*zlAWQ1KCD`SnD$$Kt8y$qSXbH
zudn0|BlT8qKh)wIyE(|1-bJ3wXk%Jp7?@HZiI90>7PjS(dLUk&L;1W+%-~{5Q?j6L
zdQ3L<IMBMCHOi=>Ty(Qdn~p9Rc>c2BMD@ns5c=dc=L&B+#f>Ysr^|lF-U(kpZSPJp
zE#-8RizNs&jYdD<j{GroBQMqe$=68l9I}h#B!;v2NNI`Xr63*=KRQ#@_1=`L!3m!`
zga|v%KkB}0qA^#qMnnCo$i+cz1@z)TN+}P4F7^4t+!3oJpdi%J0m455rh|wDdTZM|
zIZ}RG0P}NG<mdI~57C&{M#)VX$wq)bkwbu#@+OMFkr<d2-KqwE<5U69A8T_&Tcplv
zXD2xD{U#iai8MYH106~yx4L7Z-@~Ez1`WWc!Xe7QHta^QS4epdqX9l1wJ==bwhl?w
z5AW%HPG$dzswfJMMc@?rlhs7~bPvoBj;h`jc;t;)?I|Hv3-_RaW+JdJ(ImD=7}3i-
zcyGc{ppY;8Jujw5yX~B&=j>tKhZ#7OJZ>%4*4y|A){SE?cwjtp-4lxTIUj`FPtrBA
zDv`(=@}Jj#+VXZB=AOr>C(`XCX-w74D_mUq*--p@XR}cg5guOA+3b1>u<kW4D=`$m
zT(JG_w-=J+g6gFIg419)_Dgz+css}w$dBSx`fM!w`5dpl)&H)S2!|2|FAAc#0C|%r
zqcx!>^NWT5Hg4>B2VxW;e+s*^Q-SE&Fq8rOX7@(bD9)R|Ou@g&(6`AshH5j93$Gq3
zUq`T+Of@%N8<TPp%;BeJtR`ZxGk~GtrRxih9g#4*Xn;uPxi-~~w4^A$&o@L~AIqDj
z*JwG^I~)V^2zM$;G~<>5wM}=NIZ)U$Znj6l%HKK_0xJ<|s*RT0nuFx8*-CMT+@HJ4
zH=dWjIXV5Nsq&0`HBDLcaI2z_6R-ZWaRwe()ougkzbZd;$8hitASp7b^O<Mxz&A#^
zew0i)+QyvM&dtGG(b^E|G-%)#YM`JJb={ujy-3yjgXY)LG*QConH`d+?hN(|@B0h*
z9<Rlg;$nx_h>gmB@D|X3!4!D3U2Rmqd>m#%J$nf58%=W?@>AA|9P+a&QVs+x;nX6Q
zrE-M6b~cwR8M<hte>4u228MT|_v-*&%n=IfWer<q<5s|Y?ZS>POX|>5b;_4rjVU_e
z{}!S3&p6q+F+yePN0S39_32(Jrw`Y>pPTi`>cm{;U-II`12ZDUn&Wy>&O+;w&Jc!2
zp^m5qFWcTssm&O7@vL8Z6hpswP5G0qoyeF%+H}^Zg2^&ZoI?4By5vVK*vl>~7e{e~
z=%!1G?Fx;j#~!1qY@O76z1J72Vo%P^qOscGj*jv5k3TkZr*VN=x@fb>7TGP`9D9!|
zoJdz0%KV$WUqhosWJ4orYr?FFDgnbg_2{GK8YYTmRSEXDZFsJhOdsCbLl<n$LPuoR
ze8{3qf0M5wTlC66W=m!|h=yEn$_C)a1*R~+<zG|tqhXQ13F#PJUUiG?&xQFe-_GjS
z204JeYcu(m013d33e{QWm0&nvE6nd!Cu+H%yy_K=bIrdDE>*^z#a)R?;~A&ym`Lyz
zKJdo<xyUX!AUf>jG!m;{sjJK*G7!>(1R05HOclaB-0bpp)cE6<rv1^usV9L{Ulj54
z8bHAiX^~~3^EcheGKTMj12u>4$D0>GBbkDqKCW|w)o)QoIh1sZv*f}|xT)L@+|flP
zKkB%0v5hOJN=rcO#aGMuYa<3scdEx;5OZmqTg26@1+>MSu!LsKICea!{pb!)tr4Y9
z9R5fnqQAiAK<{N3(L*u^XTvLfoggTgVJ}xuz>atN^Gg;9vcGjeF9mI*Uc7YakH%8L
zQeN!%D1TmfJ;CYB_<Es4vw6wM0PIYnB5?XFUb<~dHE~;4LHU^;u#o6>_tQO*nP~4-
zuk>y3XWq8E-_vwVtW#Syqi(b7_pD~7soGr@>WT}G?fKS!z`JPz6~}2!CwRa?yRV<6
z1#wf-Y^kpAky&bB5#?8f!nog5TE!B$TYh(37i^0M2rZ+BxmiAy13iit<67xs6n7<K
z&vtWg!@S*gWg)hF%x{BuSh3y+M*kHzUJ*2_gw98`)_N;hj6U>c+unl5-iKEDB=?(7
z2^B~JEcn($OmAflj_u7UoLvPtE!_Q&H+UzUb)aZBz4o`pnwM*TNWYVgyzGzNU~6OW
zqvi25Py<qqn5rd?-Krn2#~px^`3=i+87uJdF$<-oPfRqu{vEX68ng}CU^av0I2H1B
zzPGM-ibVV}GucL{RzNC6?Aci-cjS)dMR5=LS*s1(>#g#f7DcUT8^wBYFve*4(Ii>R
zwfcKL<PYmCa})USHk`h?aZe=^r!!Qi+o0VXY~p90hJAVG8g@?e@<}zc{GD+yr4qn$
zCqS4vD;LzJYkaxI;%|1e4CQxW^>6FTYtXNbd|7<<i&Fe};+<gQ!c)Zz1e#5W)TI=t
zZXfSB7`(>b+{->+!WV3xf#{8gSz%V8c}j~y&4JQNkiDa2%KtXueL@*Nnk^w`OETDN
z4f6VuRFM6uF}x#Pto^H6*sI!<oW5j1{C+O3R#I>oKbO@`e=MfVk=+SV`?lfmmW2yy
zEFFlzz3MMBZM4W64#cmNolHQ)>~F1hnbx(+cXM>`kQNW;YV)+JKO(!8c2H(QOA*v(
zB2F6g+y-SBSGCk=MO(@1yKrZz1(s24cVq&7sO^o|w~<|AO6n6#p>bz_kCtK}A`W{T
z<Aw1ZYXtuB7f^m~g&`ip0Ukh>1yO`T8{Nt6H;`r?GlJ^6MK_AFEd_>;`YMz-D6By+
z!$`BO`ee!Ug9Ak`6Gb_8eQ4C+qNVNPhoL>+rVEiTIAWS?t^%z)dlnj5_6#|)25Pdi
zi(EcTk$kg!h}7T#<WGj*xp=KRaIO78$;V05pM~zcjgQ=T^$q!C^BA?kTVca$fzw0v
zyW#0lxr};|OhSG9Pu8V3#+}S;NbjEMxYlvO9scmScrBeS>;8(I+;8Q^qlK>D14Rug
ze`%k!uQlurx$>b>iNW}fryKZ{wqurXmz>EUpQ^(~`m#d&Z9~DmhFxK9CCPc$YEQgM
zFpto$)572f@}hZT4v*W5a?#J6P;wVK6;X}U*k_IEPjrf-YFy?@f<;oJbtl{KZ@M+L
z-DCdx33At4^?_6LwsWt%8h5UIDnMOIm|PcYO{}-l@SV9Ev-o#cRd0(_$825~5Jk~y
zbC1e6kdzV_y)fFq9uv$Y&f!mw9g{d&-L{m$$-TPv%6mzIEzL6Cef=fd9gOPM+<f2;
zHtEo@P_}pCF*EVNzcs!wm3L>}Ev@O4<6^(nt?@6ZCe^i@VE0n44OQln=$zh(Ka!Pm
zj>XOo$e@j3?d`+nFDk;3VjN}0XAG5|;v5innY+ifD0bM0!s%Or47~tq(WH#|c|NeG
zH5uPbkJpZ{-x;8EnLii4_>J&mEli^sBt$LOz!lB9!A=!}^jUYPiw-`UjC?q1^53+Z
zW|EtTPrh12t$o9x@4=xHx6XOM@vyXZLq*|dO@oOI{%YF%TDuT7sV^f3W7vLILS|2F
z6W^n_+x+QENj7g<YLnFLVsG=;P&M)K1{9jWrH;N5h7(z65BC$9tFl*)@AXo>4j$3;
zE7qiWC1qTRd#y2WJAFm2m)=}&{;9BFmP^;Rj*8sz`J+gB9wJx3u<wN}==Kjo?ZfhW
z8g>b@90;4Y=z2%H%F+Ja)Nsj3X<tS?SP;tfN>V%%zil%8*l8o-n%%dn!csXai640S
z-}`H(uy04J|GvFFY2adr<`r(?3hFhT6<R_v*xq9uP4YL5<&lQDPynSm6uv(7JFe#Z
z7732<IGI0AKW|VDW3xINA9K&{Tw|wZpIs2To}N|jmV<lL?sC;{OMgV|y2mB0nj$d#
zfd?@zSAmhKg{kiNOL*UN5}0E{#tiyaMrd3>QSwvBycm$MRS}R<ktBCVV_-{kt6+^;
zp*p!3a8Q4AL@nII8h}=#0QT9tmyz9$OPXWq-g0ZFi|G+p5|FFP!F@=}kFotoS6@`W
z@+-%w_>;780ymzGkVaAhMN}V)gtUN?NO{r4e#6#IDH2O@;m5umq}>WPXff5<fvk6v
zdMbem<~mxh{#~p|{iz-at|X=BrWYxdi%ZBh+ZV&&yQ|&IwLXw$U19dUYw9vaW=ko^
zB0lRdVxNZ;OTT~oiu&w}a0X(@`6rv$DpWqBw82oWuk(-597sRB-B7E0KX31~fi&g$
zQ?D@w^Zp`E2u8KW4j}A*p=ibzq`EgIucZW0x5t&+w-{vX&NlbMtRi^v>c9KrsO0sb
zvx9)bSYANYq_fLfL6Zw$@xP5bTh+mzFsy}BKDhVq&)OzCr2a7o6T|TN`z$HqZ0#-g
zGuQ(P`M@_iYkpSaoY5C1Vi}=o86EtrJs}_NE01P@Aqthmg<7P04vxk;rfBJ<5ZOr<
zTXpZx+13k?q6(-1v&ir^>ki(AWg5BoouM~V*<m;2Ai`^uZcun4oD;voG;L&P_ZgIg
zS)7Ses-}Zm^6Nn>{6zEb3a9~nVJSWjL@O#<ZNmCm(&FEeWyU@rfzxL242};(Fup&y
zfX`jDmdOivi-WrDI7I0NQ9pM*Jk3SC-7x0TXhV{QW%9FSQc%g}o!8LMq)g8osw3jj
z?O*mq!iVMW5rpm?$}5+|zxrv+m|5YC$h#w!gVq(yc$mJ9CQX9w9PurhY8~-y%0_4A
z9QaAT;p!+fl$cr_KTsIpx_E+UB8B)Vz>TQPx5%ZruZQo=k4qgTvJ4UtOV;kaHpvN;
zFRQClbRzz(L0jNN=(#BZGIh{|F{YN^|9^6qE85)jRP5q_)9f-$QTguN;YSQa8I=BP
zDyI2}Q<)TlTy5jL{O-(gH0RkCO*<5)lIdXXZ(+q+EFOifa7+$fbe(}~Ct!YwwnZcW
zD|P9JnX{w`sB}H8`EA_#&O1k|(V}NIL*M};<Mhbv7T+(-kzKlZgvNk34<qrjcL<KF
zPa#^T4L3V^J_FL%%LNe>mvc<FoFVc55}0qyM9|T<mjN9jtS-M;v?S5<xC#Zz-h{OF
zq|Pt81sq9Wmcsi;rBTf#Qm`n9YQRFM-q|8tDXQxUKu3und&#GC9Q@0(R;5e#<Gi^H
zC%w)2{kdr3W_8KawW-OI4<+Xnn5%hZ6Bh^E0c0@9zCAuZ#Wyo{rYLPZ;^Z&wl`DV$
zVy+@$A8NHfVaDoJag|ws3-vo&2gHEu3ziJHc~sHr$CTN}ik+%68b!>W6Ld=|ZnrM4
zyNG$CH}u??R4G^~7*y2(N++w>>h{Gqjd~erXwRELbXT^AE&^_z-!i(^$Nh0_c)PrP
zkCuF3Ia5A>7WLA1dN!9#nZ~r=;)n8#aQ_=?fL6d4^Xi*kN?g<-G1J)xRpPhc)>IV^
zBc;r@gUq7nsw@^0z9nSOQdwgS4r-qx5hL&d9`BONxen$#pz}gD0JqnOV`u(t*{rli
zOIo6g{PKZ;U_2rh6m1eZ1~gW=69m}UNb<p3c0>gWNego)2uaYH(s0oKcsFJFJkN*i
zm4YK2?40r1G|C=R;a@6KTmG(YR(M)NZv+a`@RP6cTe4a+X|3|_V`hUwUmPAcWpVS6
zr+45|zn<fr?y`+BZksoy`LrnmyM9Ax!Pha&Z(LC&VhN+EUClwP<z$A0gtv4BLBGBJ
Th(6*WmM%|BsgOzn|NZ+vi}=nj

diff --git a/SPI/db/SPI_test.sta_cmp.6_slow_1200mv_85c.tdb b/SPI/db/SPI_test.sta_cmp.6_slow_1200mv_85c.tdb
deleted file mode 100644
index 95109a7f1f43de643114a3f5635cec9533094cef..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 15197
zcmcJ0c|4R~_;v^>OO~=5Z6syiw;@H!Qpl1mN%m#P7G|iBZHN$tAxf6WPL@&jZDdQx
zIvBEyF_sx-#_yTF@B91n{qOyF%sl6u`@Zh$Ud}nsID_ZJi4$MW&;hR}PIv%63c$OC
zv!B14kGH6-ij=IBjOfh(H_xY{N^**#GOE(Da?)}#vMQoxcSQ}|o;!=)e(LrdkY$1V
z2T`Q_59!1$=KtPluXgJH-3QZc*fBTo-2Nr3v&=^S1l^Y<|3-C(M;42ajZu`ms`v8Z
z6FADv$OElf@c0M9|53moiC=bsjD0>gI&L>EPdS+@HMJM6S%hmT@_sl|QjXS4wYZih
zc3sft>$5p%lhE%9?q5fqUvJ^GG(*elevh<dWSy4F_;@JNFwx3<U{~gnBoYc53(C9_
z<j#Dh*Y-U$r_j`m10h>`AABYDjts{|XhfQIWa9Z9G4m&?hF8)d#Of}cf=X&oZD&Cx
zUgPJ~Z^O^f8`w8~@b~wfigS@KoF0WGes2(`zIQ+k#5~xbTI|+vJ}I(m{H=gz&q47r
zWf2$#Lq4quUGU$NH`>S)fGj$(xF0ejn2koS{7@*;Ep%jy!85z<ttwyZR}jt-dsJ$^
zXuI?o4Pnb%(8e?f+{O46Z$ff1*CRwT6r?6PyCBXIXxSL(rv(mE#lx0UD6F1`N_t#!
zfL2kt7@WiI4W=)pYuq~5uDp8Czy6f<ugp)7;f4-{a;*^+@JPeR&?&O50P$@X3Aj`>
zxBac>^#!oNg{^5DT8;#{A%F{M#sIcF2`z-sE@Kw5E&qQCduzRs$WnUnKcqth53x~g
z`%)s|Qex>)Y$Vd-zX27n_(^Cv8~F}~d}mZ*(XUBGYui739{cT^0M=RvYYi3B7vLSo
zyd3d41u&)2;1?k_%F=-RJ(Jfz{d4oH=)|Col$$MZ<s`9?|8UU=@Cz(`Q|!5t*ncHa
zlCs)1<VB;4EO=>K&)irDX^cMExOK|U00UfqHB`3!U1*-U+)rLFr$J^Yl<!7HBAXcg
zu~z}>GeNglXe5O=mjpPMQo7Otf|J*0|5<m`DL;B?JJKK^BCsUD4~#9VUG7o52AD2g
zh!CBi(3n{>GdGI-Gbj8M;mx_jmj@O%E`$$DD=t2NqoB}lQi9fzf-I`0Ld|ut`d@qr
zB~x1}1s_ZU_F_A)mcR>o)WLtHyB{Fc;Ce4Ht~Dy~uJ;&MA)r-(aeduHbZzn#@`4!4
z_dNQmFg2Tl{7&a`CvRA2+5L0oV92ixtcC~rxzJ#jaRLAI@`wgbrTyiht2D;XZEnfW
z?RZ&bYF!n+Uu5jxlD7P)M6(rxI6H>z(DpaY8-ANcC~#6L8XnFYT~!%%dwz0VK}{)8
zKsZ2sa8f56t(X{}gckmkbp4MM`yxlmv|rK7l|?HzEZ-k?X$2$JF$BmJU0PbKmu`SR
z%7Y;ET$~{}Q|+@uf1hi2I9t!$uteP|7;yrp>Qo4*4eF*#?zfM)$QW(JbZQ_L?#ny1
z@eBJtJFSv*5|PP(E}(Nsk04mJWh%~Vi1<D`qhfLrG0A{VrE`Ht5X{@w&im%Fs~n$F
z89IqjWk6Tcxs*o`Y}+!W=QTjSD;z4)ClQSF=y*Dp?-2ykHtVbN%|gEC*;Oh|B5u>8
zOX*yOBM6V$*7$wxIaK1A5z6#vE;{UBMD^3Q5Xt%L=X|N$zUk~LKFkPbdbB#7%VY$>
zyUki^o~%579ppQEMn&=@;(!4iM~8hCQEl2rl9}H<tpZ|1tV9qXZPu#uVO+izEGkGQ
z#4H`QI-=UWjifPOcHX!3lu9WxLYe{nhz?sCQ61Rk#-g%*5>df`{ziw*j;OY8BPq?7
zfqYxfs0f}!;26-+bl8}P>IZH7+`dZeDqtpr5k1<3&Sf-$;NLcReV)watHhy#VnW=Y
zNB@qfc5WlxnD;#A>rUsg9?_ot+mQJdil;92*K?!nECYIU9bJ)K+oaTd4TnlN1G<pT
z<tRelx^42pyrGD1AghWnJ=&PANMe3N$hU;fB_m=~c78*^_tPnrCPsuYoy$SQsOtO%
zr|-9u2pAJWf&raNSLD&QP^pqC_(1bX)XbIqkiQYtR&B!&6k$|i9^sMY{(5se)Htv4
za>3fEwULBpes?k$v-%kZ&qc2EY5PCSYgGGb%k5gurZB;rwJs-6w;P@CiDe{_pKUt8
zOi!M_Fq>iU20xqsOxCc>gcm=XYQ2lX(jQLOtpV5f>*Wd2jaz8fo<{MX2|Yl2R@>hp
zkDuRFk1^|rVUQtGZz6UP(lf&RR1a79bGOo#a`7o{RY(y%&Yk5Z-`F!L{-nrdieFCX
zbPfYLfv)IYTc*UkA;0f4b`?7Y^mRIyk_dT^woJA8f%CqfSX8Q+5pE3V=aJ72wdI5I
zGR1!m2)h0{rQpGo)j~fw8~F@2>YE#zd-mQIo4V0)g#Y8b*zUw~h>U^@GeVwWkUw%|
zSKI$lo~q(c1edEZyMj1l7B|BnOXLbs+ut^iU&Iy8rqE75C>#0AHBVLTr_edq=#yFB
zBcI*R<L7gQvnuGH%xY&Cbc|g2sqKF!kN>PIJG%liW7d^CYo`9wR#}y0aZ%IX)vT1-
zKGZ~6m|De;YPV@?a%9RemkP@)KmuVP{sv7gIs_|G#8>JuZq9vpp=2c^C@*Fz*R74(
z_4Mz~i1F`R4N(xK6+afD#x<fqkF}G_^&+Qmi^tN}C!8p<)q|`d){>yo#x+ACk|A9;
zIfk$~*`^wKLmRD=5kk74w-+OEw?NbS#X{pYSot->cMJl??yEE3r2UKZ0l({D4%@$7
zuyd3zGMC4mKp<(0^d~WTpKfNlBWOEj<TZ0FSRgWIHDJ%s8X0wzlo5&8oNNVEQaMDu
zDjsLDt((yC($BJyxx9X#fuc|B{FxV@uWP#(Q4?vQTpMs?#Q|SOJ}`mT7e#JHIz<T0
zm0im7K4e*9N4?Y)Z^McPF}Kp&o*;60-I;3#ab|nGTSZE0vN?GX)r*}Q1`KTk9d;_5
zoWPD~D>HLcd@@YA2Cv!Ss5I|8|Gmv6QZu4voM04gb6~<T=5+fVL1n6i>n9S1epx<D
z9_7Y+jDh9zC^uX&B3H53MTa6`blz-Be{==oK4(vTqo{unLG-J-XflVf+!HT@u_IU-
z&ZOhrj&)p*i(N6W`)EYlW+Z|ec|wXsqg)jF!1F<jTpH)qOZWBi614dv<>;MH_MIYY
zUi_*c%`Q6g8uQ>{(!J50qU4ZrqZuKqt?ea$$j)!(?!1ge6@S6`s5aq<_DE)i5UC&j
z^0_WM%^SL91huQ-Xyzr=X9a}%kT$17`ZJ|{QPg1Ld&qT+O1*j?QACB&_p-0^d{dih
z#Cqh!8I9a-Z>Fc}ybM~WmlTE5TQts3g=k{W&tKG@nxNkaOK~QA+o;#Je>Gn#U?2L}
z)4218c?Fcxwtgy9dJGF4!}|A(-n19mF-r~+QDsYaz5u2tY5sP3)qHA+S9FLm6D!lC
zt;O+~kUe<8fsy|{>GWrU|Loime-(PjjlmgVHcT2m{4AMMP<m-Bq-rVuXEg^_zs3Hx
zT`qIbgP!IcipbL`frsUv$t;lYgo&oZJT4A;3O<DE`5&?18<9?MCnEDakG8GA)s5rY
zVRYv(TDI-lw#Z{p9(p3Q-Q>*60KU46{5FTjNY;H}QHN6`hBA{#KZ_WuR2htk`aC(~
zmBy6k{c$7iQ1UMw_0SJFb9Cx-`X?+ITNyT(o|0Sdb|&FInVhD;-^?-oL?s1u`6#a9
zy1p$IZ6?-z`~J%DNzz=Ft8xWH0%INXEQ>7zQPx*a6t@xvS7Fm`hBH!`!qr#nUy*%!
za8Gh%KOoh=mJ`36AYG`Yq+yEi6YO{*8~*41(UmE%;?z(GCLTfDV?2JcdTb*O?Z0%~
z4tquX5)-(iwsiT8J{!J)W9M2j>Vz@Fl4d$C56ri}pgou>07F_Oknsm7F0lO^rq&3p
zdnrOg>^<GOMr)>Uu$*t$KFH(yG1r^Oy8fmM6-*?v`CV;q6!L<1j3~4{LPPZZ$-~VH
zgejSN2NBq|%9>c&I?>6w=h7e(Z@_4W*6|wg{t;s$co4Qx-%K)@mQH$5@&<H(sduED
z*$!#0<62@Kuuu+`sR<xYy&!wN36y#nSl1ma5RX?l?I*uf5n)D`aZ3>P8tU`ggc*7!
z++|fcGVI3FS<oWUhWF6sYdO9M<2Xg_!;ccp$O_b&W2@7N$1IR34!jy8k!^8|5i%vT
z^DsGtoXd-Pwyl@BSs{e_K<V~#C3CzPjeIi^tDVE_%|bfeBLp+za}pG_SAIgdw_Ozd
zM{uX**^xY^`>48Ex|G6<YlNN5oF2z$=VDq<jgeS}G((OputQiK>ezD)@RehA(V^5~
zvcLh@P!0Ss2ipwN?RG()@2sNx1!9(BY(Cwfx=lBKw=FxeEXD!Vm<Qv2k$Vg`AvrC$
z$Q<f)?;TwbDbj8gXy8vaY4AO|f9wwY=Em*>G2!I5ix_fGY%qs0Hk>#+DOrPJ43V10
zw^7@=Bk$4G-=xB=8jvl*RVzj3E`U#EDjj~#n0f)7W7%`<CzuVIsI~54#kI~5?p>qa
z_-ta?#Wp>${1fISJA%(#EF!!Dt3x#(IM_=sHuxlUf>9`t65QdK+&a6jt4UcqOkEKd
zcK8#&^Wu`2TI;;*g>H=5Pl8$-XInvpT2daH)cU775eF|}<gsqQmY(2*b`$PoAU%|M
z46k0%NY1I?z>{K<&T`nzttAk{&R9=~2Zyy`0tb^y+n}JQgGm{epvV0aF;g$XB%lHg
zc4t9a{vYwRvWr1XOOp9>^bI$*x#q3gfVDKzRJnF<f8rORX|aI7+o^w4-#NTv!^x0i
z`L|gQ|4jfB?@ycyfL8E=JKe%NaGo7Fri3E4NE<q7X8RL=IPciZd}q|PNs`urb*_&I
z-#?EeU|PMI%GM80V(0La$3_gtp&t?_=#-h*8AX`Lyvf~m$hPCNd0i7)Kaot<nD{!d
zyp~rBB`3BEFJJ+xITFd+hxKbidE|y1yifdX@OZeYuWg|rtwUCG9Nt?u`HmE++UKaD
zWa2&6Np{^FdyC4aH<6%zjW^SRZ>FnH>2dVP>#RW2{=sUt@_-UKj){?&^%osF6J9*s
z>zv5Mykq4>0!pKGN)0-tbq?%>oN5jBd5;&24gSKqTR(J<6Xkcj3daS6<zu8<rN3kS
zYGHo_AkC~hq_&(0!1A<<@>l`)wV$t}4i+0xS)A)?E=vJPyQKPZl&{0oxjNMd!*8vN
zNYKug8oS}H?1*)S7zUDI$8BI|nHH7qPAUhl9#+AJTy<pw%xpm5nt-rp+Cs#H!`e0@
zQ@kq2j?{VN@iHMB{FitauPOjh6@oknJA52A5bq3Kb%>&>CcN89c8MZuJ)Qc?F2V=Q
zYXG4p+zs|=^B$qU&lNVi$JmJ-f>H~=)Ju2jcc;cB!G}U9sYft7*iZbjA0N0t7E0Z~
z^w)`iNAq)<+4G33ufk3}^>Qc`RU$xGb&x?}2GhuvaQxKrna|ziwu6Xwq+FIc+z;m|
zSBHJYr@05=j7vwbZ?Nsq3fPX?HQUQa>a8slla71SbF#@CQDvJx4}Ddc2bn1zKi5eW
z)}n;TPxu_<uNWWm;w^%UB|+!LA3ke-X>s_iv+QkX>g68GMV;0gzMS>KB34e+e&VYo
z6tdGr>&ZPTZm8k2hN|&b@$W`yQ_@?MO3QpC=uj1+y{*-!$){`+jrrJ9aDR*Q>VfK5
zk@_y^gVe4@`SN;exBKd9(*w(ygH?8tYEKw*?E^|Hoy()$_P_HpQG1rd(gfWtI+Asd
z-RT{|B%2m<!OomEHAJ+OY|Kak>rp4aJFqk}f2Aty9K6;5Elr3HStUE{;TUE$=S!*a
zH=!ba4=5-`mn?INgFcCH(}Id-T!y_QhvCZkn;%%g&XY*Z{q)HWks7)>P_0X$azxw(
z5M>)mWo&bah^I@sP1VDpAR7ruIm-m-H1k!T^YiR&XCjB_nixnzI3f{CywiQ4NX+4Y
zDr&_oPIHh#TJG$v$CG(=p&|`ew~6?&Imc}nJvr<YOr9Z#G51UuT@O|y8eVi9zJQ!K
zQETVPg0cjrPy_4s82zyZR)`IBOz-0|Z`)QMp&Ox3A2RbfmX|0qXGkA*{x<_r&R0jY
zTmRBg{C4HZzV`yu){aBF?RvGP%C`NssC=Jys2-Rb2t~w;C}Jy9hdGWIRFS^uH4Y6C
zBAu}<s*UY<|93@VXX}#ChEHI-me<++&{uiKr#U36Il2Ole>6K^gP%yMeJ}F8N>r)a
zBeFTL1E)CfCLVX>82Y5II<d~_y@p`L&_sVw@Dl#uD2&OeBzFiWNE}mE+4&oy$AE0s
zv#n^hXX{h{q%&>lo$O=vDlCjSN1}p_L$PP#>aWDb5EENl?+_2gs}&Ch1jeg}t=z|=
zA$yaqh6+2ApN|FhLJO!KOa8XqxBOpGLmPCC6{ox_J=jN5FBw}E?oYDCk3E7vDs-ur
zJWqO@6ZCDUvL8EBPug1EatVA<5vtTK>ZN2IP|o9L4IPRS7k--U9M!`(XfU$k(}_Dj
z{Bgt9<->r#;YIF1-LM+<EVHZkh62<s`$5SknKtS^M5*CFJMApLc!3vFK)d_%4M8%4
zjUo)>kopA!9uUJ4WRliUM(4pgZXIK~+azp3JTKs1$z0i<G#ib1=&|xHS4H)_{r8n~
z?rXi1r~3Cq96tWwsl7T_1ee%EEp_9iG55Rj|48+b@)69`7lacBk=#$fDssy))CSzL
z)_arre(-}>6C3OrC1j<d3S`+771wWj|4)MU^*5Kwxd(dqZt0^h<CWCQ3oVIOU5k2m
zndRbSlA!NBPF`<@>zUBSG6!9rVY!EVaarqJ+Y?A6_(x4q&!xm3q424QBzi**Ril@D
z2Wkf7t%(?IsEf2{W$fhuhE_I1ZqZ*K&JVb$lEhB++FoR^`61MCxib1=KC6L(Xy5ny
z-k{}y`^M?Z-WMlNcR^%)#k?rCKPYNRT&?XRoJ}6fOWqPwio-K}*X1rB1*~K-scJEy
zUo8t--5D_ySr&^OQmcGF{B^ta?VbCbvE6FqQo5C~AE7Z9#R7&GSSv2!<3V<&m&^1d
z+}V=awc37|sD@`>lhgf>as1f&M1PV5SBFZa$}io3#m-yf2Hy%BEVb=V_5XfXWC;1P
zzk9v;`pb`YB@Gb5E?@IDmsbpafA>W5_K|tm*4+zy^=f!D!`xP;d$Z=uY3X}U7DAaZ
zmPPCH&TiMM6Y7il7o4rH&vZ?XMr~JVoY!Je+4|Cs(MTJu+@^MX*wetLly&)U@nM<b
z9EDrH+`Wk0nv+;I$}C8Xyj}HU(CWO&+trABx7^3O+L(VtKUqt3UVA4FxUti5x|-}%
zDfBv^^O~9XpTu&ZSZBrS{Y7`)3X-2{uraN@;gP_^2B`7%3s_~D6($r})O&-2tp)o_
z_B4tEm|s2*i{lw{<2d`Pv+7x#f_K0ar@c~M{fk`lB0KHHAl$lMn8#VAt}4g4cg3k1
zUd$u6B}{*QGt&Oe)BjAuw9BmY5}FVH>P1+a`MIHB(;Pl}dtOe7&)nT37FLI!?(my@
zDd9daZ~5x^${CB_>3G}7n%-&^Sb;V8f*JS`8rk>-^Xo@%?j^?x9+uJveV#Y&MeM4K
z1!&$lMIX}#l`!4tp5AI03YEIucMB1ab*6vI>hR;8#@rRgwQ(MaZ3W3wp-=deFD}2x
zVkoRPD{SNTQQ3O6yv-vq!96!SKc4mtve?`RPS=qzEiWq8UhG7C%MJdjc%pw;!gMj#
zzfte|k`-2m9W`*lXH(Qa7D^<ZOidW*xuRy+#PmHcvhyvE(bnl?zn|Ozy^(fPZMKck
za{4^!EJCH9Z6<jQ*Wg*d*}~dYg-Z(~J^9#l81Cuvr}(|QHGR7={1!tb7{T42nUCQA
zK=*(>`cI~#hfp;m6Fa}ifb8(+SMjb_A2ghfXT5y8JFZ6S%}4KY`>#tYhs6)d-R-3;
z7B5^!Rs1bmFer9<JS$+t#qlfiu=D<cs@f=>tT|d<V=me9`Fkn&g%Wm4kWxs^1?2d%
z7YfmRPSHt%T8_lR1+!=qK`p1A^*4q?o%cg#w;9`c*&O7;CX+4YGVK%dcd{1NqkZ^V
z-H7QIDn81!2<t8jw+FEudk}LMj-o+)P*>vHh3;r1AJm<gxqyv!;Dfpm(;VX$CZgx~
zpdQ4Wg@b4?A6%9^oct<r4a;{8#Yc5l`L(Uwp5<6`?y=o*_YB<WY;j9IRXtQLRa|-F
z@$aWriW{yfQ5R4w-#dIfWblH_hGqhRieq366E8VbVtXO1K~w#_B!z8AR%2q@uw9;2
zT2^Cb+q8X@Ra#zSeA}<xjI}~WV{-d$dn&7{rA!W=?y|eC_cz0dj{B;!+mG5YtQGRj
zv%U=rY|-5Uv#u%w+hXlbtUgLY?!oa3!_oBuBF@3t3uoJ>*_Z`q9aVa^joTxmgZOuD
zUKyQ{HHXVIcW?W)b4JJT&w2!ZUI>ql;e#s(Jyz*U&XCXCO3L?3USNnue8}97&Hv$Z
zNhScE|0Ad|^IOOL%-$slquj}*axsFi&8OlgpSN>@J{3EC9(cB+AU5Q^QzK^LqSCVP
zCz`;A_GpM+$chf--||v<wU7`k&yV(0iC-v-uI5L3tHd}ex5N0*ZYmiIVbKIZsmBd@
z3pb<B2ueL^`0QxX-pQ6BV>{w2(f*MwL)P|}uWI`;o288Hu<w<2`{==FNx||bzo&g4
zwvV$~D%<w^dbJ0zPAJ+A`G&W%u_`P4>G5@HH)2&*{4?O|-+qI&Ug1xluSdHVYrWzh
z^w)}o{b+T*E!T$B1$1;1-<Eqr_QF<lC?9py*Q9+bn&L^`muW=x#%Goti{PI6f!gW1
zFipn>?(q7ojC_n^Y6jEdj$_4}**~V3!0+PY@9V=CwI#a*$Mbj2Bkl;={dx7%_%un^
zZ8y98w8SvPwj&MosSoTIoT@KG<>T<c753!}Y&4%cS5YGDB)7a{pj;r(<52r!Q^^k8
zRiBl-EKP-1jv1xL_(*oBVG<87m`R~Z3D19AFr$|APIEx0L}=Ba+`@OF^R;81WNS<N
zkVL|AM!cn%hqSnmTw+YR?6ci`QnJNhBb;~c$EQts;)2j%2zLe1rN7fMng~~?<iMlm
z-cl46wBKIK;803w3hFXuPhA}0O1mvpo7LYaB3@gsyL)_lWapdGM6L84@;E<C>eJ2y
zPi^Gpeba0wYrH?iae%MgGkY8^JFJe|)dweB5KUXE5YU|7KKTa6C^WKMapi?+>X6R;
z(r~s3%u@}|jH&a**c^rr`wwDKY7o-Z;#YlNm>~J!4D&6HTK%6)bqB2S?qL4-u2)|*
zrnE(TIEPodG_K%(_>B@0G0ye=FM2*5Qg=eW?C~Zspo69=JYYgc4;%3WNlzcJWb&L`
z<->^J@zu=P2clc4mGN#G14D>Z5?T6&n%-GSd<^8oT~l^cuQ41B;j+(xY`;jE=o21)
z_lL3|R9NW5i5(iW^sI(k|FJC$Oo*i_zZZh1THeovn?0F}J2R`p6x$sBM0eL=w(j&<
zz{jltmx2SMzgt!;LyO(|1#aW5zhuW}J;}kaw}{)^ANmI8P@2dw9jYz40e62y_K_)=
zuZFE^aB89{{0+%NgnKY`X&`o)|6LJA?aiGMkW^a4t=aEyTTMeQ7TcuPfAGpE%F3Ce
z`WjG6hK2ee$M5jO@Z@A((3u7dUH6-brHUozOJ-eH1UU?xZFyp^r$c(XbWQnrZ(ode
zwynY^n|IxQ+w+7!!&BpO8sx#{Dyx5@+?KY%^%jalA3T^%ILZeE%u+CTp~o+Cm=j9I
zp%GwnX)~0gL9d0kKN%f4*8RKhf`Qh!wAP#@h23bQPr|--hs`|G>|#%MY`WT9mejIS
z!=#;-B{*nsgtUoVQp^p~d}~tTfOyw?j#O$P7PZtD3zE^2SSS&m{GH<(m?4c6Z<3MV
zB|Z39DE$<x+f?Z>!9J^Bm~(LHAST>VQoa3^Hf$-}JJ4RY_F+URsKO^%xn&Yu(SAw)
z4CVQXNeTjNZ+Rg}n)(ybA|fu*MYr+I@~*uSKgR^WR%E2@)DSvF6ll0TGaS$6c%1se
z;)i8p15<ooC3LMuKgF`y-5fSEYUGazz&~;a-OR6}N{Yr!@U+Zalm{td4?|2p3$NBb
zPjH^SQUr~wR_Qpyt+V{Bdz|rE(5AAzf4cb5KD6;SJlF`a&;4~HV$Wm1g|*yv|3=8n
zfR+aSb`&N6$e-9Vm|TWs;w<NAOYn;xF_K4d3me0ncmZYSI!j8hQJSjRvZwQU#+5Mq
z&Qbf|`r^ZaPz_IgHM_J^i_Vy`khx{~f0mvZ<Rr#W=j*fGP<;I>R;U{isgmWkdof#<
ztB+uYK^ilu!$GTc_xcQvO7(oE*7)Oo>mOQDAGufD9IW4Q9oK|)^Hda9X_p|_JSowy
zui8Ulp6{2=Nu$8tEM#X)SoP#N+-2yXb?(MGarpySD-s^)&Aa|2ypWWjvlox#zEJTQ
zTr!_L;pyzA-g{W8hm+LPfwRq4^m)Zn&qUyqQhe*X?@q<TT2~!~Of9PSnz&lY%Lm<m
z4eSB8bcYNZ{Hh@$j>{6WEETH#sX24bN!3=3s~lS}S8U+0;CfQ$$)ksqM{^+Ix2e?h
zs;Zit4))~=ftEc|bsE>p;2XoRvK_Vuej=yeEGpivnD1?Vi3h#AIEf}4Io-yH<J&3y
z&6Ms-ktk1uezqOnn5^g$QYDSah^Nj|#HaM1Sf5{)em}%FHv8*<8CURg?uSKsU1}N1
zeRpf)pi&NNxm-8KH)_1Hz7`>2Z{~ajlRPr|3wf8gxO~9uFpy9Tym$N4z>$hgi*{q6
z_(LE>!9#CIjdstw4{Z!GZ6DCMH**-krcmzc`N~*;dgLE2Nq^`=?|X^<ZRQp}TlcCA
znm^q|7J3maTE3uCk~{lx9Q8O(AOo|f$j(QYfa>}>@QU$YPXIgj6&%3c-ozxO$TfaA
ztZfAcBaC5cTE7Bs`k);Y)u_kUEmp`Sf6`ZpH?gOW+1@WIKK#?lc@X#|2PZ}=Bqw%E
z(RzlmL-<)@|9<z;GaoP5zy>WG;aV%$K-n|DP{w^raZ|&G)YX@^yAz##BmW^^i^rZP
z835rZXO7&vXo7tql?0S%VxsPJP2JX^O*5J5i(On?_5nFuVT{k%Op+d)ouaO0jZKpC
z!N8|#fV8hY@d~Xp|NIic?U1++v&a4~_Ti_trvDS{@`&1O(xPIzCs&2U3&uxv@UEaE
zaT@K@G}`sy<U)E=h>ped!MsNA#+S{c-qdCb@NV~=)%VD0#w4dtLFl{(>VNk0UA$)v
z!z#Jp6X&?>!hEo-Q^q}h&F%`yt^7dfmn%L7a+V?VFPVPw9(yyvlhdyUY_eb$^B?`V
zB!eaJ!b3@Hd!hp(S2JjN5$2cD+|cU6ZwoALO~SoWhDS$NmQD5@uBXE@!nLpy9p$G!
z{~>SM4t1%+CJ)#6U!*xCi66Z$3`<iNQ<F;1!4ir}+&Sa0TAT&g4+mxK1=3RFxre6c
zyPXntV0@3GsqmBDw9=4EznZXLtD4<+XOcE#%l*y*9J{bB&%-_iF$tM9e;V+IIau55
zmPZmYz{WN&Rj>yYvqrwuDoSY(pRH%vzxjzIEkBuUpu-pC5Du_kgSb`QYo^v$5}hx$
z9JA(O@R_&21^4xhkU3qrD+I!Mu4t4iV~eTtigv<9>zN^ywzgRxhA!M0Ixb)QmfIKv
zB$W6w=?uC*_=X1e>7Ex%bEJ51Zd=v+-GSEri3afOUjJ>Ftuy62=?L{zdLEH~vXi5G
z@8{@lF)8>8zBB8MWg^-VRCG-_cEVJhY5${_4MN>aOg?VHT=jZwORJkRI&HhNKZ#&t
zAlzAM0e^XLSv~${mh^)+mc^`wY3db|+*yAo5a2Bbzz#w>3cP}g22l?(siTq0P5X=f
zf?rb);G|$ReA>#x0*=3{&4_eQQ}svg431W_Q&p#gU1$sFh2s6z$IeoSe}>`#L;XmH
z7bmGz_;v67*A{18C=+^I;11Hwc=>L8_2-GxX#Pj#hm9m>rTA{a;{BqKW@CNcARED2
zP;7OtgW@;iAQb7ePILOy3BG_YPu2`)-rsBf)VFOOE>c~U@o!D&#;8(;L9?kF*H61O
z-nsw_K9+pARrSoowZy@{Ztf}Uw=^&1yU)2{G#-7Nym3iRQWR#D&HN%uVHRf)_Az99
zwZQ1WG%b4!o<2qWTH)^HhJM$Z0L)-xgW8~XX_Jx*@fjfOQL0*826!u!8F0j=bA!cb
z&jY>l6ts{LuYz=htOTQY_xEl;^IzuhPy=Tvk6?~ZnQfX7h_<qJ+sD{D<J7cuxMiYN
zcz{dU0O_IaBlqLi0Y;MX$36(7MMY=$kavC6gduH#>lTLEes!2(W4to?<!1nQ6nP=n
z_8R;8d(C_a=pcy#sdkV$#9UtIfFIaAp8KJyd&9Qz&%w_^Jk)tHKJ8qEz>HZ#TFIZw
z_V>KRe}^1L)+EY1n_jXvTYhOCT2U>hObb{y>97#K_)v1XJv1ff_64u>s~x50)SPQU
zX4T>f;}xo4v?XczA{rr{iNsLcf0K|=QjLBjWRJqkQ!OdOu(vnt6%Rch1*7hYbb1tf
zF{EvQy;3FQH!F($tV>!l9%b5yhmn99bN(;&hfF}krqMveHobs|p?aiwAzD+51CTJ;
zjnFuuD7`&@!o6W=+62SuVzA`BqluoJzcHH`2U-=eX{xAe^&jgb%9c`UJpL^j=8a0@
zAhM7CqacHS!3u%e-bW=SgL{o#?u1@GO={QtY2MhBt(jj;3l<&*ZCp*dw2(wnogI2<
zhf}W#o3L+=KcyZbfFr~D-*8brL&sOY@l-f2ivtfF>ydd!D@P*`vDgXo#w+8qW?u%v
zxmtrs5Um@<1;Hc?HKNTy33>#epX(sqLfLj?4r$1OyVb#WA%*@-U;bjdC^hGkUL1{E
zI~K)%w@BZwfG7bES%)9~z_KgOc6bzjVZYS4B$QGts0dWomJ)kydzoj;`rlw6tz{tI
zgrnwlc#QaR=^sy+(6jy5pAKoeCJ6YvKvg-rnU{m1xc*K$m&uk2E?ZM^2`zd1SM#7P
zBu?slU6lezHi-a~7k3DhR$iet?t@>qJS(-XnJGWx2J!+c0mHvm#ayOsMVLEasnw)&
z3!1&?56N%?o}Cz6ZegFW`#*!&1Los1nts<s4xN0~IlOseen3n7nMx!xu(=9svY;ce
z*3}2VYRNh1|GCd-v34vy%5Eq$Cs`tuztf{cBg-9l!Y2@4OWQ*cN8$lI9JuTs|4J+e
zK`XIb!?e(p|5g|fmO}R9bg4@NGIJ0^vBk1JvfTRcb5x-%Y$J>KQf}d4!GZoz;Wg!6
zhkIYdH$ts#&;$P_<!#*0-tk<E6@C_nX0i${ZHz|8+c9NE2$^%WBmQ{ZemU3qKUca#
zlz|+Dh||`Yt*;J?h<rXv@1Rw;5tUgbeCHIfRBE+lwL}{=r3Bxojmn!ES`5?qWatMt
z((EbZ9#kVYWI~%PAw~Zt3!&X4CCB)!lrhiZ!)p~qeJwrztpQ1dKxpF|Lg0B9IHvWh
z!#X0Lsod6H{(}J~IJJZK9ZQ9PUD9&xH2E?Nf53leZByR!t``AJ`PQMYAJalYe(*|z
z(Kc5Ccq+1v!lO$WU}=3##VQW}>w+20g?ls?o_(ELL42Hw4|2{g0>C!`@N2wBnRMIA
zh>|~r`*pXDU2aLaDL?Bg)B`rIy%@H#0l|OOyTW^CZIE;ZrLVJoBNBCY8Doy{Q3}0j
zM#&;zn&A#oivw7~dn6{<?l;aKo%c|Eas9D^n@~l{e`zog<oNh64ZB!VGOL^$8MRM)
zN+7T?Qj63>f@n`Sm}Wgwef$rc&(FCxZNQ&-vF2`0-@5;s@JaqYG!MdQ9+Z3q{{?oe
zagGDuJemuc|HUVq7$Wnq(ExZhZpJD1OzmiYGJM8UA#s=+W&7o+^DL6KkN8&<!n@jo
z7<O&b!ni>D6vZZ-`%h}}B=;jz6cPEj*D=NJeT@^3fV-CwA$@N>=3td1beZ7aES>Q?
zGu~kHi+OrPPV+`^(j5t4?F!rHG-bXD<U=Fa=;b@{HI>wkc$A>%D3=8oDt+0Qwg{&f
zgm#=km0mEhhB>*1?aN7s-FpdSkbqmd;fBbN%M?n&ep6UGVmNapz)27P$N_xV$8%fR
zAO&n|`3jCZX!cx+%ru18U;L{(I`m82=5lMpVlc<cTOvqh7Xc5lm7fL)c;=pg^x~IH
z0`X4em^7;G?#`jp&F+d<=PbuSD63-vMKZ${^`IO7A!wjs%d{uej{JT-m>T?mq-BCx
zwk(}nD!*T*3NtTSw=^%Gl0a43U`>{<dGGZ-RDa5g$_1wt?SzXg&yBJt@{;x_#lltb
zI0DqCu>?gvq?(s6<`an;ojzH=@2haEspu^qQLomEK?`&)R-_KjZDq-6HbC0KB|_#1
z%o3qS(|FfQw=w8d^Gi`0lTlZs;mE}ci2D!{8-whAr^5tD07iD<c+@(`vl09vDN5)Q
z8q+w3t<K8)@T7jf)UR|nC<xiNkv)0~zX+*+KK0IeXh%U${@oqo5xy0E7@l%E$$)og
z*G*0B)r*fG57)0qgO!|Z`x<2EmsPHI>!`BtbZhfx$VtU%tD0Qnvc8T<NsK83nzzpP
zH$25$C{BpK__k9{YBKoFHOz-QPb(AdDT$=?HC+AwD;j%A%8t2n=v37&a-h0Md_0%4
zn3l<e+*b<>!OOP1b5UH=UtfJ<O+1I#T%*zf*=vvcNZD@r@!_yJP6HYq2A+Ae5Hob`
zJZT|laD6-m@~nwuSci)lB2?L71hKoJ>$}|t=i>gh`@oL&7L<o!CgDBHi$`l-FSMVE
z7ukn*I9?A!x10w-v?4E|u7`W*Attx~<m2>}9PM-Fw)@=8vHOE*OVcuIhqkYIOXkJ?
zj#gg6qpn-|d`~GK+P}XoEOY2`ai{5)8~a|EShi9kNJ}tRDjrcM0RC+M3B6X;fPP^V
z>HMTr9>rl_fp)i?RUxyG#vH;d-B^+-t?wDt{HByKp@(hV-|zXWD1AB+iKx8fp*TfK
zfMm5-e#gc1acm}?Bj)GKrFt&K1VZIS2l4B=>L_sX3UM!=@|GT4BW*b!vp>uTb#-WM
z<Jctc>wZH+n_M08fd<Dv&4N1_%iS^i%xB0yTTLTDQ=qHcy6T`pZ*$NT$q25{oy4&@
z2&iM%QxgFRKR`nNJTX5!4ZxlQumiVZMgsPa;sdvwMufmxH5HEldz^p*&J4H-Jq_qQ
z0(3}T2)#|<n>mvP{$%1$s3p)f0T?>Up)sol$OQ2KpkHX9_JCe3zy@kV6OsUgzR-l~
zY4r6Telwxq4b@RWX)8pE@zFnKp)~+As2L!wOoL1Wr02fUq%HgbXTw~v2Sfj;IXD2w
zi8N$8nk;~<j-tybubz{dB55`%bo=mbPS99X11ucu0NFvB>_b47WFJ@%czd57Z26<r
z8E99~>{tMHP|biH8(v~Qr3z3YG{F-Wb&o#M2-E=s88oye+CX;Jn%WU2bH5cB!m3(b
z0@wJ^$i&maqYD5^Uk3o;G{8JSPaQ}Mg$(H7Yylw{&<f80T1Z+d?P#350hJ(0Kt+{i
zss&JS0XT#w(S#lXIe})VXs%E~a`%sELb-qtm=@zu8g2p&m*(9lfYfRNAeqpFXw1O1
zfY1?5=p7(LIGRs9%-K(+8Po>ENH<oWTT{t~N0BtqN<fqX(1+dxhK^_vAOboda{w4a
z>-Gh@V`!vks!2Bh3l{)2kOeTVOG7jSx;bcuf6~-wIWM4f-=axh1G?YRq)mZtnl%tD
z4mz|O8vb|MjTLZnfsNe8^U+{CI~VrZGbZYAe{hv~UhV9N5DczH?>w__6DUGBNYiiU
zKsiqLn1uQ=ohL>%2J)p}1h>zxKe~RmZ0Mk8AW*jQdU+A$KDcqM(O5mi4Ll~_J5*@p
z!1)r#{LrxP;=zM=Gd&!t0|b3Y*UGz2HQzP0t0D|JU$^SxNj+}-zvDu)R$?%{2ki~R
z9LlGr8Wr3_Tc{&eA0-bZ|4RG)e2tGM<TbPkNkW@eLvPmH+q})`+|);1l<6r9PDsP}
z+F}#^j^bj>R6$C=d0DU3SD@DSKVrCH$5NX0BHrrnx|bWSs2a<FVLg!ewDHy79Pd8c
zl{w4=vc=WMH)C`~kS1?1ATSzsC#=kldmNH8o{8V-c9-kwBJhR2#7T;Gsa<M~I=bs&
zsR}(?W}Y>VVQ%ej<*TQlPvYWr@U4$OWWoCI^5_X0F(Q#v3T!jAcHEeK`(LV44u{<_
z++j9S7~3v>LN0QV@SP<Hk>}t30dHtO<8Sr*Q7EhG1-O^hd0rHnBTMA$WUoYt|19Y!
zP7S9724fMZQub?-I5OoyzqE0|VU150?0^qEBQ*;eYsCJ3TRUZTwzVt8n-|x8<BTvx
zo|7<j+b(M~D=-cEAz2KZ;Gh=G?Gy&x?&1*4u=S|guZ@<^?-~MG|LU;9qV9%~N!V-z
zWOeQpdb$<5w^I45qiD%jGN@Q_TKxxN(m`fxjl$kS3V%CMM6HC_BmJ5Thf2vv%q}$9
zIpDR_;KDZt&`t-5pAn)#f<kX$dNT|8!FRxs87scXCZ^q`F}<#1!O@fYZtMq*Z#jIY
z6fv-0kB?=$lT=XOIX1_El1&1ZkI-)5=J-BPi4s7`Q^SGU{Wo=KQ$9!vDB^mcQgO5*
z_5sGVXe$Q^B)?Yq9bW+L{#xF7tdlAX=2PmecC@v;dz8<<m5L_^EsuTOl*!f5GVYF<
zo)@gUA+Kat*&G{Oq&ikA-7x$8JW~Eg@Y3_TPV8=^&*2mIs!nWS|6(<sN+1k`@&fxD
z>He%LXTaj~4z&zD=W$G7w@ELH(|h=k`5ReYFxt(yh40e=<RNi>q{qZVWv}n<1U6}x
zpr1aTRaYN9nx%I9P&IK;USh?Fb-2&8?W0#t)yU=d>ort;(K!Z#qWDqzU(!2EXEaC-
zxdR8%BWuky5dp21Z;hJ+-(^I*aVa^0O}26~SkzkeQ;e}iQDbUzHU>p!MsK1Ibw6c)
z-*#;0XVVu%KPA3d7>G_1KtCbA2hP5d1kulkuNO9=O$5-6#CO0USP|Q?BQaqC5j`Y;
zb|xZ#)36~yv=8y+0)4c!fRrmS1vnOy7L@WN#w}cot`LxNCuRZXV-sw=%3<xv-6DoQ
zUCHF6T^liJXCeqVEt^xa?b;S@cV?SYv_)^DqWc9~T^e2j=bVxP(8moa3o+3xtYA4$
zCGu}y=XMiTOIh;R*ZKvQ=u!cwcSF_!Z*-|3)U6?X!7|!Iu#T_XiCDYv4LAlZcOiaR
zIE<d-_kK)lS%?PCL%pA>bOEPqAU0)La<8vhJCaRVp4<r>w>hxY%LsWid|dE}w&DN9
zTCX&wGovJC@`TV^Csr>XqMkaP{bypyz-V@Msn>A!`%<sb?C=u17;w^-+N+*ztC)#v
zl*0>UyG`(Yz%f7qlvC9+!-G=Qvzudj?F^|!y=Ga>MZI=LxqV+_){2xuWK)Y|8&i8<
z`qw@QhKuvYx`e)#*CcV7?03p*bbid;h%X9_>(`j%H6ie1gjPxC{*@@-e(IpnX=c0D
z+ZtR|)X<k2j=yXIsTDt3jLlsX02H}3I%@&N?$Ee(O_G|46ju`AlyY#DH2VRM@{6ht
zC%{sw_&uRd=i0M1CwY_bJoUj<9r=%Bo5uktR})CK_&trglF{{{RUH?A-uBdR$k(EV
z(bOyKBXJ9M05XGS=M3<s{~|6_!+MePk*v@{FBiu|+(Jw4b-c_MzF92L9FJSLW0D^H
z#)4Hpq^g4s0Gdm^B2sp)of**4c_h2xLuf5B?x4$UF?k|kN#(uhn>+y?&1z11=*<L#
zOQQe?SML8F*A6||F&7(h-x(7d`hOnPHlQ5A?bK*y0g=a_F>Jyvt3;h{#;G|Ea5<%V
zziA5T4lbr3_dTXSZr}pSn|<!AV?~t5?62mskf5*QLCN_mI)gr0C<U+^b(s8tq)T{J
z2lJXc<~VWU_&AvE-8&Q4bNWKv;=l)%gC~YgV=lX$MDZ_?pEX@Gh<~33RW&^e!ZxZ)
zpY?kmCo6FA`}^RBuSHI4)|_*n)-Al_TJw>aGwP)I{aYN1`I;|AU%hz1*?i|D3^g|$
zGI;M6#PR)1&Jb=W3`WRSvgq_X!<S9MKfm9}_sX(PDB4dg;IjF=xI5i69T-~Dw9O;d
z#_#bmGGg;wf%n3yh@&KbP;%n)ThI%-sW@cI+U=n2&PQ5L9IY9*;@mCR5Fs*LP!9H_
z8;9?BUSs0OE(x{irfu7+D-06Jw<V%FdDa|455t%iCw_cpn6`FzwK=IX*nG?KM1WuL
z^X;DP1**`~=GR{$!3Ei33Wk@b+}|50oR!)x@cvS`pZ2ExV;NKWw<BiX_SerI?|(g^
z!83K^5G@xM<kE~u&^iCTKeABS))->%k_(oR#Km8dMW5bhmI<%O6>itI%Dm2MSbX;6
z6no*!7s}^*f0Om^C#bYr{5Z^aaLAR@0KRQZX{C=j=X-<jSeDTL+l@iFNJjdHo)`a>
zYb(&gYh@NyK6E~=Fa_tbzh<RYt|sRVuLUeoHa=!svbw+@7YVytn@4>Zfu9PlsI<EM
zE#cUglv^p&t9woJqJ`Rg-~O!+3~RrtHP6<O*0F3%N^Za90HxxK$kfr+4dlaUn~YB$
zuddnl-VfElv(9Q0gVZ@3nBz`+pi_2q!F~a!2D!?f@#deOtu3uj9ChxJVDx#aN-WpP
zR;T9<DURarkLa$eSy%J~k*8%S=09#Fs51KR8T>Leot$bpVw*Z8y(+c4Y4z#a&C0mC
zN8Qj@ia~|QDXvkQv?{ldJ3E)}F-IlgL|#6OYo&(2caA(~UFO1g!h75ZndLEms*`e}
zoTuRa+Et|o@uCSFVlNp5gxBlKFwD#*&$ZiYzxVjGeR4TQkXOzbb}SswDSs`K;*Y<@
z8JistuFrd^{MO}^Tf7Y*ta8dvFw(8lUJPTG)}{9Hg<Fgy^@6hMH}JZ6S(Sj^JV`d&
zpfSD4q|4}zXQS$kx>zMJY2ps^&EP8wl!&wTx5rFwn2({VQ}yw`ZiXA?b9EkfE2V09
zE@1jsSt_r{{Nxt-c;opVh3abp-MY3FDru*)=^_0`xs<Kgi#Lh<`8nT=)%i2iD{oh1
z=vi9S&Uk(N!e+=e$XB#-_eXO2?U$oDOZXm^4&HO7%{f<YXOdG2gJos*#<$E*Yh1hf
q>biE8vv+7_6T|xFs0H%r^2EElbNhl=!?@2{hmuV%fd79zKK>tccR9%b

diff --git a/SPI/db/SPI_test.tis_db_list.ddb b/SPI/db/SPI_test.tis_db_list.ddb
deleted file mode 100644
index 2f1b02611082278cb88c6539ebb22d8569ef42fc..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 294
zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4Lk=o#u6C^(g7=A<Z?8JQ{=Sn3-Z=^Ggs
zS||j2DfncTq$;?kWR?KcYJv@5VCaC-XQ4ERQiGVzP{Ej#n2?abmL%|iCCSarU^4e1
zmcj)-ASM6*10~ZEQUrkV7X<$VALdvxvmrvR!`Y_!aqOH&wX%O@?#4DhVvcEUvTb&b
z`Rnw^_V1lPGbLs;X6gO>AI-j`LCwG*g3++fHZ08GaKkbdSEgRR4*r6kFCWWG64M?q
z%w%R&c-+&tV~5JoQ>zpVH=j^b-Kerp#n{|f-`39DUe$DY#POr1I(A1cU%!0b_TKgT
QN3W{iyQ+WXIv?0|0J8F9k^lez

diff --git a/SPI/db/SPI_test.tiscmp.fast_1200mv_0c.ddb b/SPI/db/SPI_test.tiscmp.fast_1200mv_0c.ddb
deleted file mode 100644
index 5e75d2df51b19497f1523ad2824dad6d31704650..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 123842
zcmX6@1ymGH&=>g&3Q{6UBdxS_BOxguN_QXKU8f*j(n`0~(cO*Y(Hwce(RK6zSD)Ya
z?Y=krcHivm{&wE(%+Bu1M~@zX2yy<=M~@u;Wx;=?u8oJMy{pTc_o6)SdHCMQdD}Z#
zzY*padc!Bi`<|bdpYOfso3E;GKG}QOy!mKt@AZ$D<L}G=6HyHPe^I<o|F8Ogq#ySG
zZGJc>CeJ$67Z5UVsxeYkvaTaW)?KH9r#C+twN(o~kIpNjuU%iYsPLC0U#n58;Htz3
z+WkcRU`v~?xnC+PB<;Vi@xC=doE<tLx{+rvsX!p@{#qBpFc1Vc3_SI|6+?8_=kA{p
zDcOkD8t20Nkps(g#JKyoQ~22=say<yWy35C_?E9ixPh`)51==NfwJGQtsIaQbipG6
z)97%!7MhjhM$ZR-`pZ<pnZ2=v3(a|leWwgn2J+wJ`>;R$rW7E;CfycQozazw_e6o@
z`&Lzr0w85+yOJEs5)(&f^yrFe{rJGwQ+(Z(a(*oo`AoM}iPXAkN53jEt;svHsVU2Q
z&0}#949Y&%x6f;srMD^Rnp=5b;M})aT#V73A_9SIKp=7uY{R)E2T;OoRRS`1{+HG*
z=ny21j{cm0K$gy>v9|cMtD(ikN>DZytcuJ`YmQ92CFDG1U0mGKok}#aN7T=50Gy>3
z$Eeaj%NOVa5S2=x>{Y5ewxxPFsF54gNM6;5Ffi(=^>A@43Eoh3M2yl@HIf*PG%59K
zf*MB*N6=HMfxpsjA3Gk$>Q1eL8a=BTT@8(n4aD;7oKJm2NKtL_r*fWKGa=2{we~dN
zRVB5eC}HT>{V7Ix3MeaAK9-uTwDQwJj~a0u`G_k%(-2@FBtD?(2!3m8D7I=te;1{`
zCsSnyffbune64!uwq7{k#T`?jxvBHWwb)(z$Jw)_Z@z0h)#{hxin1SCR?ckI6r1B{
zSXXQS@$0=O{=~m}l}%3nAM?klCxOGQiQa4Rc-<<;yp0alc?F)J#w-wQWgk9^Y5p}g
z7PAnmTmE3U4`<$A9&Uot$=K7i?8`q=Dh~dqf|ZfrxtO%|npcMQ>Mz)({=_d3+_sq`
z#DA?(e;IYx4F1dVdXhhICl4^xmXr2x_%2W**l?ta=EZWwO1(UF*R0IbMf>CK*Sv}S
zuM~yi(+z>RWe4K^Y*TuaVqcGU%1hC-+x)aV*R}GEc{dHCsA+k&-_f^jKm8+R9i%Vi
zo);y7xMf+;8fl_>{2rx?m0Uqmt{@$#yY7@#Rd$AvSPP%Wp8m<FiaZc3ghbh8=_iX|
zLIk5%OW`7*K&3@m#hdZdrri_?m9s))q*2KO?eM~>T4SVveV~!OqoMsNOju|(S=*MA
zG?}i-Zob6>v*=)^_*fZ0y;MckwBq|_QsSfFgsI~1=qsV{=?pu<s#i_`I)o1AX|$r@
z?12*V6w3lXGr&Q}=({M^Ip>`XNT1F%?cSJLPCP{$H=h5iUc(aEDNrCEJ+7>lJ;|S<
z4K=EJSp56j?vxr-KReZuATjU2jBL%Rf@uh@WYo6LsyZeXo>uW^F*7o@O^Ux>)Gw(o
zni+aaaVW7Y%A?}_hoX{Ex4cx}{(Vb~sr!UziZ)_8+>0&#w&5u7w~m=aGIw0IO4N-m
za5!+(F8CBCZ9i0zNCrr*;4x7K^jBmg2{hei*v*r;8r%dA{r&Ct>r@T4VK;Qtv*-@3
zO$cnFs074!%YG3OQT+(2p|V$j62`+`oFa99XX)FI{9CUO?^G@3<hEw-wM2eOgajw|
zne0bE+c>DvHdv(y8ZXSm>D1uS4UQQeRW#g2#k(6Pk8ugiB($uQeb?de<WYrfSPuE;
zYB3i!jb}Ti`B&)dHBrSmPEo4t2~@%8t>}Dn$T+v1fGe}TF%fhsS26P4Nv=1QW78F2
z=Hz=vW^VV8<(LSQy|nOr*76gCQdgXE@`P=C)YY;g;fvFMnkZ~K4y@LJf~#Q7ljdtK
z!|p0YH!PhOPD_7tvvO>6l-O<aXPOk_mA|9oU|){$DrK@f<qDgM+!J()uHtW5r_>Jq
zX)ZpK@}@)=Nlt!6%tAERA4TDw>q?tpw{K|g`EO4q1@(zn1L%C=&xdoIX)~t%hU{b=
znv~=M={pw0&mL8FgQ^}@JmDk%@Tf+lPZh%l6wAwwOBM}mr$nL}?)|CUrN=~hW^_dg
zWkG46M(lUSM?a%1H-M#6K1h1&)EGOZxqlk{jlg5MS@&~T-|u^KH_{pzCnYXP9aq(~
ze1&PzKYk37ce%ARO9O_yJJ}0c*(TR0KD{M<PK%;P(IwAPLPAGwOgi<E-1{Q<9Cms}
zoG17ujdG2=1B%!Tw(oLNu0ofVX6Zod!_v<j@0%IBoEX08a70S|01G(;4D=h`tP9=~
zLAYc7yQHbzZvFd-Ue7rV`b|fS`KQWz?91vpAD{YX)x<xg{c};$1!Ml8v<4Jj)fm;x
zr*t!l^4@npsMCCOlc(L9lnZrkq>KtbC#C-DeUk>YsbF%y><lb%*D>@r4xdlSGpzVB
z>e^eOzmW8+Tz@jPIJvz)()LSuOd4u0x1t8Mk@1hvr><~J`KbIjmnSb*E&1Ph)KS|n
zAl^qeRLlsx0L4Ufa+a#H_TW?+7IX<#s}hcfZk`^s?unR37tq?wyYD_N@u268uPmI2
zh~UeuNp{f56YOzRWw|>MZSQ1LDY=zUs`z|k!aY;*&<}IL0W1)-sAlU3mKxgI@M?>V
zo3bNrB$4YE<jLAck-}fXIj#g%Y|A-=?AUe6Ui~jD_jIVXw!Lfmuue%bG*QQy1xiw(
zPsi!5>u$<oUp&TzEatf4egP7%rd=(mK9^1S!EvgpVw<L`_~oN~2(Ipe@;~wqm6jfe
z&nQ{-V^Ir7>9v9BSM4c}os6ej@={H54{KTv#SYqzTBKFb3r#mO(O5^-U@7=c<cAV-
z$6n8pyzt)%bb3;uZ3e9H!k;nLrCiq6t>)GZlk6h+Qy*GP^M~jOWe60zzl1D)*?f+@
zeI~{NRdO&Lid|Uuu=Om%Ywy`^9BhlD&4)F5Q9t*0;>TyB7Kh&G^VLtKf6W@m@AU?~
zp_nB(<eb%IPQPf#)|w7b%T|K2dtew@e<!_49N+57Z+ClTWgqlebeHFuVmE<-lyNA;
zfr$r9v_L?f`?BQ0aK_h7Q_BoBCirUhVANM(Wim!FPYRHpe%0!n`nL_Q=93%!`!74F
z*#5R4n~n7eEEbdK86o`*ukG<D>TaBAA4IUPi0_dN+kX3n`%(OL2j%vsFQbjuhZO~d
z-PTVWnpW+OXbLBwocs)rRAeqxa#boo=S&{;x?SQWJ#Q)exo(nW$dw#G9IWC$SejgZ
zw0>C1{bP8(Y*46p)a8<QR;XR!nu^P!sXFmF?FvbnlnkrTi^Prs2(EFUQ5{<S#}19J
z#aut%q409U8sg?=xtO(cfkyKOzTrL}9J3Tta>?ygn3KD}@0grTJ2QuKf@+l2x@8+*
zn=#EU>e}TQu*`l@U|KPCR#*uN_xY_*IUH}rx+4E_MFv6UjuB3vuz%U5_svOzibu6X
z(R^)OJ>8jLKm5g%wHY<S6(X!YTcHQIB_Cm>KC($f5kg<Mvjs;tH|@{}?%VyquX$l_
z5vjl-KN9t2cVsonXK{`R<WO|!8&GG42=F*$waC^^I=S>Ab%JP-oz*72Q=wpZw8%)t
z>5U0lp@xFE_b;5H;A~{9*8jOiQHB3yr!D_btWy*tS)Cb6oK&1_Rk1&m%+#Cq&o{{%
zH?*&e2#h<%Jqse$FRp*gG3KXZK}b{iE~S9ynAK1Sn!gYmFb?o%(Sefb3Sv?Xbp&~S
z;(ZZ=b2e2_6``^<Ob&MtlsZ$)EK^63-;*aCI0XqB<QMF6<}1cd)F!llW9w#J(hPOt
zi@z27(-qUmX^o^oC%=mECJpP{tXn#Rc;hO}R`!!R*^5-Y3#K&6zLPrE&Fso)qQ8XD
zsM9T>5@_B1zffm59CpU>#O;r>7~WK?HzzsB{#py|u2>rNEw50lWHHof#5jasi`kY0
zYadmPNvap>*qm|BRG1<%6)HC*V5f#&{yK#^BT^<z+I7ij)shnAt0}pXG#g!^3Xb?n
zhwC(!h9jEG4k?aP<LHJ5*<2fr{k_>%j#ILKQvDmRRnTwXK^6L=mBp%o71{+%@4zq9
zJn1SG1^%JNzwb!r02pvI-8kACQ|z}NeVzqS5qZ;VHBYL{yVukwbZAg3l{CTs#;4fn
z)PzMw$|-!gNn2v}Hum?{y*ahn<+@6i@z0e6F6ee>jzRnM_A%5d96GjQ{AG4QEHf{v
zQcV~(Dn2=(_e>`lYj717Xj_rn&dil^K19bd#r6(9!EfKEObz-gjq}%eKbt!KFVF%J
z3EdE`H)PrGOP=7MzHI%07muv)USlqC-v#jIvP0o&4t@CkBWX;y@qrzJ)iFCba3>mr
z;F>3D--+J5+waU3p-hLI;_PmCG~RaNJOc6~*ua0>SFgFq11-i!CmBS}K7<%rtp3&A
z%{4V_q97)arYb#Cka*!UyyAK|g966RJmix(%SBWmDZ2DMYQCM({}e6;N{BQQ`0!mC
zGJ*m!0>Ep|edV7?vcu(I2{M&&k@UdNoCWW9Vx+3GTnmSCA?=%Qf~GJNU-F;Cn}<Jh
z<&C=1VJ}n!3<-s{;Fe_7=z4#QpGUq}=6DpKA9Yoe<7t`4c1?kJRyP8LpFZW8yN+)-
z=Lkyd;ECPlkK8L}6U{@Awg#Tle75?o&yMc=$wooNbixgYdy^(OGtpSAl?U~-V!+ky
zeL5U*v6TN55~GA@TMZj8*bW>GohD5em>S7B1*C`GSdgCUuh2g;x^LIaB@k8PeRm#=
zmrQgvR&qYIBwHAc&h~}%{>T<%9^*9Ei+A_G!=ggIZ#)Zcy&$sxaoRH}7aYifSOvZm
zTRQ6w-qFe@^ZIXw__7lws)@mt@-Zc8yG0_)mB+mq<9oYjbyd|pN`6uda;{(qWX63>
zYxAyB{{DtGkpUoL&(?o%iNd$}^U0)SA05gql;7No7vg!TgGS60RzAo2byx8hzUNF(
z<OVx(G<*0*nce`B)4zVG+^M@=ynLbi?aE;$v<5^f<zw{|l1vnN1W`z(+Q<}>OZ^S@
zNv85qcZ>mv;-=&=27LWM$k}$I;_>rHpg=%Tr=aYXm184(`VHr&hssYUAdt1J+h2;p
zZV~VX87&(z1wZ3TC2N)Pe-6R;a<~hu;Q4kSj8@YGo!q5JM^x!~zwCaDn@m(2Zx`74
zUH2e2j;0_!>fwTX$p1fb#x)=2l~Tfwg#AdpS=-GDVy#yS52^*-jrET$EK{hAmRyvA
zpE*bU*cuR}_7#+5R<0(TPJ#TegkHZ%v<*_n2__kFDCEBCeA__DUbi&N8T##Z^Fn}E
zp@Z7xr(I(DN^*8hb<=vSs-;XZ@IRklJ^yjKm49e(qbJ+<{{vfN=lrHvFv4b-x9?ac
z7686ur@UvKaG~!axs|l1n@ZWMY%kpud6Jx2ruXyjQ_!0iKiOl0)19BZ<Jh5PfD>OS
z$83Z(?+@y_R?{Kc;oD9sP<sJ&=#%_Kt2q9z$KQy==pmAY*{h@;n}f#RZj{=)AQHue
z6!`qsk5XRmgyjEV;hHofr-qCHuOcO1(SC{9XyKyhBEHQl8F??CIQ09{D<~pVdy86?
z8hwL1JFANC{-yn$XnwlrxOs{Er-9JxyY;P|oJU-WoF9K*NPHWG-^(s3oXfAYCwHHJ
zAHd~?i~-&n{|HCLSa)BNr;3f`ji5W3^L6q>S@vh7rTRRR7cMxrgGhp$Z~hB4I0~1l
z243bRLDN}T`bb`^#;E*$Hyt_=okiw^7E%b4q-XjPB@i!R<M|uHZuG7v)YN0*`$Q+B
z^<FQY_16*f$9^g%<^IsW>j@415y{$1PD<rZ0q602(EP=`3>OlQ(M`S=yz>v&kz#8q
z<&2VFkH4}%J*&An@SSf?(s@Bocox9oE&BkK3m#Se*%)TtY0f$F+wdJ>jPJQiFeT9S
z$HwlHpR6ln`>>ikt!fg$3z5z;UVknwoiE4m0&T$r>Peow2-xg@k7&XhvM9L>a%chp
zo=bk6g->NW&+fce{}yc}JdzGGe53OV!o*EKo}L=*yfQ1SQCaxj<wk~?ljUdCp?`c7
z=j^LjD#g13ac+3!{T&~75<rnE`hD{nPEXA%={SRWq^NYhdH$j>r<>h)PE#)yZ6xG&
zLo)LKc|i|nqV9s(MWsA7;!T_W_DSgCi$JqSxiWL@oAu_%?0c>v-CFbQU54Vx|A_7p
zYajO9yzxr6T2V`dcJ@F1HmP3U#=LZ?4cv|(X6^U*3sew%vGvrjR!Q#}k$#+=7?Dw%
zD{0_)rNV7^BP~(uQ^NeDR`O9E>J+g2F*$YZlZ)=C;cga}w`mT+s0g|GX;ab#FW^%F
z;&v+BY(}|@Q0irXDqFV}q%8D{ddl=824kMWx{P91CLBER;&n5J@EC=@#S{hw!MCQe
z{|!@7ymI2Mx=E5{dvI7Z<2d5P%9-?=SbR#oCsi@4DhORd`3@_aorfx<XGM1_j~7wJ
zKbhfj$NdKKReSZru33Lxxs-z9!-`}b6O}nk-kzG`=kwooKP0Wowd2`TsryWhjtdnp
zF^WvJxOyFmkd;lG8Fq^;X@ZizP*6}`!QFFCjqL)d=BYFGVWMB}UQFG#GLGoC7HPiR
zS^Jbs@JiM`W0Ys%lM2V*)wy0(%&A0FZ*=Gvq4eU%Ko`|X$k<59F3~WN?~7Xe<`rjc
z`Ac#4-%2ZrTdkYq7T+bCZBYC>C<Ph!Q#?W*#=27&Q{vcc>+B+Hqj1J``17_$_O)*S
z(maQRux`c#$ChpsXa1&MbZGXyG_FoGC)c9$Pmlh=!N^y|O^Ir`aY5Hm*_1r#&rTzg
z{bKGZo8HaDsecD)uvMhIC^@tYKDoZ=AeJmT`wre&5PdI%1uES|ko6F6yVAIeK<=?j
z3?W3moCR)oKo`-%zj;>KV{Z?~zOvD((dHzwj`cc<0<>NPbJZz!zG^!R^1^JtMH!;Z
zc1q`t-d<ojO`W)Dj=H&n%rJR(Mpt&|m{1a@mq(nDH#Gg3UNwkk_Sjjwp@*_#j!?;C
zA>xJpMQ@8PKW^1us_1UUJeexg$K36vzrdcKU^pYgh2ajbsnSh3{Sr0chbU*;4<>wM
zQ`GZ)@*nl?ES1AbvK?0sYqhpY5cV`j4OR~gG__EXyLUy|%n;d-v}Lex^-$g5vG#Dx
z5$|H`Qu+C(5=~*hQhpchJnbDaWYiK9xa>juL1Cu!3&R4tgK=GtANQ^1t~iBZU7}wO
z57x^*?2$*iU)&4(p=187PtfX*Y4sMt3BH1Eq-p{e@)1iPM>*xSzA&--A7;Op;W-+-
z3O}F3`DxBbyS}OaMB)Yh#&tP^jO~EGaOah&8<|gJJu1yTetU#V6x`oT=7;-iXues-
zm0)&Pj6(yktpfY)x4qh>|H(Og;k)(wi^fs@mC)q{<4?@C!nwi*PB1b}@>~`*ts^Cm
z%;DAAFiuzMnp*MHc$odReS^e(tcphe#9+#`K-2PY!zBKyU(e+sAR8!RxS~Aeb;E2t
zy8yP0Jm&I9Z-9XJ$c4??-x0!+;y?MlLP#<hd=jo7wH!$C%e-bb<BwPJDivN0dLttc
z4}jUH3<>8tV@#YbGH|&t|H6#I3{IHe@fUWO-%f%Xg@GKNRd4+_ERu#^9TUWQTll}o
zWrH>i31sy^P;+~{%an<GVWZ9ALvdbw7ZVZS4Di;Jb-flxh;t$5i7{i3@L?{IB;j}p
zYK3K=bbRg8kZ)}AKFKQsr#f>b#zTT*wd;&D^=|7c*;T*gX>i1!$>wH1#p6a5gtlKM
zD*W;>IoN5_vLS3Tq-6c9$7nBUvRPQBVcE5jHT`RMR<$N6*bB`?<HK(7N&MyFzO(0C
zdf@7b`9Dl^|5hOP_wdic!G>DLk+98<M3~fIO_dhv*OPrW?1^RB8LsCj3qTk(@d`}f
z=$plBLelPvkKU9}-B_UEwW2wWx7{GZg@}OHpSyYw_WKf$X8w5ytXd&b65wqf#aezr
z*Ane6KOf1GN^t2cqjfaCH@l&M8dl3%6|X)<-F}znt$Q3~oCvjAp_ORA@WihlZozhh
zz+O9ev>TU=SZ@C&tiNm_)~T)wrOoei4g7sD_LvTxp7@E1^A3O?(lYT0e<1?S^CnUs
zryKqq`X?axxUI@3T*b);L|--3M=obPO)@0H@H6x^C5fGIudL9hfH~34wXkVDea)R;
z)QxM#qQ&X)twol|f~^$zm_7l2;XBIbKdljh4_$9A3`un&_w{k4aX-?f$5HhYLwtB8
zI<`M72CU{VYJTI|M&{N%9`pxDVwZj19tZmK-lBF4PZ~)y2>rKfKTu1$DNCjM_O(^5
zr%Dbh=1?>jn25;I+$O12nY)tWZNP+HRx@1A(-1-<LOP^F**iC0l)<$w>-z8sRrLDg
zB5w!!M@X^z(u|8NHK*+`2|JyXoN!JTqkCWNvgN6i4d&vFQO~MJ?+22riYoQLtG_Ca
zO@30BFk4XTC5k9KNw{JeI};Xfbk-U_yk^Fdf$JV;(Pg72uE6(ys9wJ|n;jXNlzC4-
z(<H^ycAwFm*LIT_q$atFo&W)DWjwFIaj{0&e>@<drDUOFps~jlZ?McN&DlqHto<5}
zjed#<b;DKz_978@``3-ZhIt<Q!o9{hYcBj|J=mP<UL+vd2l_liD^NVV2}ukg?UPEn
z+6ama{(4W?>~O&(T(?>D8Nr`#zOA;zqUe`Ek%~jT<{5OA&Av<puCn|J_fL&?Y?UmQ
z4{4Royd`$8A%r7e_uQ$&B6?h%J2j6N;xkMddDdXXQoR{U@iy|pQ|3lX(BX}<Yq!7T
zkx#zBaz}LS<hvnCJbZfj^oZS8lU3tW7&NWVUo!ubUD(u5zvc@43?jb<UL%pMH@6$E
z05-1=64`ERGx30s9TC&Tt`OL`j5Jd!`TbT)tEqjRjN_#N4zfXw;`Vxmn<aN&x`(90
zM@?-p5Z<+%Nv1x~?EK;DGvY4Dek1o%?LDDoXpe!@ERId|3X*lg7HXCz)c|AhS=*<8
zWHTGGyUF^0l60?;axn9w5q)x2ZYpg3eD(IitNFIWXjw^}A(>CYX}0L(r+{vK?!uq?
zf`#-S&Z3Fayx0AR#lq`>*Ud%O4U?;<ZvgYCqF|qtv+_-`QlL&cv`Fe$wCHhGu+#|o
zNX#q=VqXi`)tf6b&6xW~QY4~F+ZV4=3o9(Vtw;rCl#%WqOEl|qVYqyB1rCrHB=<xs
z=kk$G*{6gEOOgp>lM|VN#!7D<qnq{2k?VhN<c$k&&r~9-*%K{J^Kz#&LeRRmAs-DQ
zSAqViH$fq+jxv56MtrHr|AyP&PVnFOyPD`MHiY2&d^1Z@ck-3%m(l8RrB1M!vz;{O
zg!jaQ#?oN3=dbB)dGE)Um2xnX-MkH=%urUE_4NXdjzIT7pUGbYC*@doN!hq_q+4W=
zV1)XSWSIJa8%-cWi>kriSEfJLzBZID8}Y~p{W{}Gurz@{mKpi_9SB+XXEArerK*X<
z@xg@VSw=^9XPCO9cn<ezFemc3+df*@tz>gWJ+UqV+BxFVc`oaN9`x-Oo+a^4QoA%r
zAH`21wgJ_(QX{Rs0K$Q4AJc&*tAl=`P;fS11UC{O-qAMUe6rbKV?3O5_qM}!onY}i
z9v^bOe;@R=ikFc`G_fMCdbl}wHv04`;N$K2(PNc#k^MxQ%67><jwPz0jaIYUjF3Mv
zgCT)#R9B0d7WXZkRI`lWGKgxsOeavtNB3;>WKe*kp4=nF=fgS><-~Q&fAXxk;`Hq;
zgvq~?q~(*99z#zY`g-%Fa0d?qN#6VX`09WVGvP*0b|17}8iezYC!Le~GxXEE95gb*
z2;$8yJrzMrGesY?*Y_FU80p*W((QZK5rQcJKKP~r$AO-Gn%#HC*ONfAF~hx$0qO_z
z^6Pt;eC}K3BVce7W7S;Gnbk2Hk+}$>N3Fjd+unTwM!EvB9CC*6gp>1{&N|SHi=Ehx
z6PXlv<k}wS=hR-99sWE#f(}`qX4GB_Axkqz1h1;V;!mX0)iF+ZNb4ErDobXnWB+vq
zTWIG64`E1qp2^)KhQwNWp(_L73e;<-LuP?KdP#8TRzLhT_XFaI(;Y-&`G*RiAy98E
ziIQZujkh%nBo!!56M_bvfHLlBnHdxU+8|4ctK#usS9hY;o2B68=6d#quf$~&>FMeZ
zRKtBoUx({=iE_;o_Yy4ejoFVwdM6?woPGR@jRWW^l*k&`jV^W!%$GPQR+dprTq>D-
z849=6wZW*d*CBw_z0E;T)qbZ@Y<nFm_ed=Ro44g3X<+62VzDLe?F2e!xd||D!4B=S
zdO9T)#~YwB%$mYwho4Pe2fVRR<JcI$lUc~okw`}JT=x6Sbv<bc1{%u?iy*Xb2f}Y4
z-w-R29<xCs(jIl=a;<SD25C~pAl%hP&5y$4n$=cs$Bzj+Y6~*frqVSXN7DZjQE9EB
zfqd)oG1C*ax23yXs!iz^o~XGH>)^r<iJ>^rQAU#4s^8M|hCG0SomQJYA;DKEp46*L
ziD$#M6Ft$~&I{2}M!VuFQzey&=FsY+iLoWnz$J9&R(}0rdVDJQ*<t2FM<kuc(PJOS
ztc#OM=pM`P0OUb;lJO)HrqlpspzL)qV;aezstl-u<0sf!WqMgnE?o$(N<G_f`*<%2
z8Y=Y_JC}+oLuH<gjA?d%^InEa>xOJEpTu<~`)zmTAc0DJ*iN1ku*l^yoG$s|`6tT3
zz3kx%v=`wO&+%W|mX7!H>#vwqH0FZdFjVndyfIqwCdhJkXILy)UVkR3m0mtcQ>)*_
zQ?(NB4M8LS)(4^dH*2V%QNa(>iom`30eSJu*Kc%U)|(Z4N27I?4~;Y|zq*w74$rIa
zeSu&uhyb;YK5-U=4!*WTygl5E?9J;zD!97-wy$rIRpKXe?#5G}P|sn5Rz?+d>Pp_$
z_`(%~xl$Jj_MP4AUVyJ)x^c_RRT1tK8!>b)UX_!no-Pt5esbV!oc_sb(S2Xrg3vry
zGsx0)5k@8!vQgJ2A#o61S2b#<k4r+uIx4n>#;Y0~tUmb{`&;f*^UwavV#`?SxTtCE
zA8Telca&(u5dVuiehD5OY;b0j18HH<u^iaY*fTg#E8?N+Z@;CRoHCX8=KOH6_mkK;
zQt~GG`t=+wGti*@A;?ERY}DxzA+<~wsrl(|D9i+iAgcms=m|fJ2l?!`)WaX}eXTqz
z*2V&=j{ZthjqH<6Mta#W%FtYFtvfw1TrF<^<E<jqlVM+$@Z=!}!bQt5fADKvI9ty|
ziKh<n(4LU_5^0*iQCsYQjnWVC{2qlZInR|Jgxz{y2ot(_(<E%YtM=Xl=+Edp1LuOb
zmf)68fVg0@t+#;#EVq~p-nx#FPv&*w`)qvjpFCT1Y@oo!Ty?2#rpgu}F)S&#)XZe~
zfk8Y|ir?;0hx@CgEs`=<oJdp4CpzX;yKcW$oWx0UK{C06V@+m%AtL0E351Q9X3dwh
z8>xJ$jz*;?K9kStv-Q4Z8yzRuntjVY-m^XfyamVMv)2neboQoKQx7E6<Xrn3dvX{{
z{5TElvs(<jdL--va#~GT^)Luq9H~_o!N6K-pnQYW?uy9FlSnIz6aQ^w#fVqyU9D9F
z)_Cg|D}s)8g``3rc>hols9(bVy4Dp=3no6jGwu(0t2P-UuiwB{v^@3<7xLp{Jr~$G
zZ`@%=#Mawo^+<Cq51#dE5p1{dFmAzJefh%Z?$pm`klZ+3Gv9rR1;bn`#hH4+Ze~1M
z;{}z8@6;R%N01_4E5PrwSMuOm69F)j9&DZtq>iL>S7y3iuFMJ+S%`SM0UtpaNO#n~
z$O`l%Z{Dl)aGLQ~Vy(q#4MS-m8wMP6hc#MT2#ng><slI_e!PM%XJjX{R=l<V7cd~W
zM@&XGGGLGQDe9PwzVEEly$HMc`u@x6v`-pDvqsqBHTd1gSw~_b;=mu(Jy?tkb1w7@
z!^9RM8qY$6Dg8NuNV-)pWFaYGQ`dSXNpQ0(>kLw`X~y@TpG((f7xVZnqw+d-{D8v=
zI2NmG1Dz05$)1?#H2+4v(w#*0`Y~q24CzI2D;F<<>Jd4mJKE^Cj4W}N5Og&7-PBr5
zbu0>!l&Ekn`p@=}_xXBi<_TIAP&-qEu)#F2M>^{#`q&AYmq6X7yH8HV)ldR#Is~f;
z4RO9Rg?1AUVZ?rkNJO)0m1Uc))7`hrlRA=36Vs^hsMmr|crh(_$XfW}$(+uDKCG*i
zYto{#3=K7s{afaHRY8|WbNobjWvwvU%zr=&vzMuK&#qg;uwwDowDqVT&Sg5iEK}X5
zgV9`Hec+sGn};K|qCziS6;|yo($^rPy$#+%!)$9F^jXGDIGk7JiJv1y##|W01p1qU
z1RK^}|NB!N^T>`>*L)66Oh`9H?pfC(6D>SaI5$ji+wqPvx;-l>1QKsr#n-;NBUsb&
z_P0soDp%|Cmb+Nz&cm7~%rhAqITF*@f_*#SX{$75*433bxZHKsZoQ`AujSmjEh0(J
z&ES(ynVViCd?o1o=lxo9=`W$yuZ<zXboB*DO2XW<P1m1=z}xOp5D>8|k7_1NL>N`m
zY}TNxtox<~io9#@)izFNq_L+>;R7qStFN0qR&y_*Lh&nz?3uSR{~p<m05bD-Q}tv|
z7%~#+|6QoH?!_!7jsg#CP6`LAZI>pFz#(S=29yoLC|@P(iT=(JW6LK!Cj(ZF9SuiF
zP8zyh-&|l67HJR}er2(3wyLBEaxdb(axETe7M@7Q<q;LZ&+(3uDKmQAqx*Rd*yP{w
zIXy}JJ)uCFeqZdlUTL9aI`=C`ZS(!U`m6Q#Sm6x)?~^jlo)1SyK_CZzWF4j_4-nFG
z+YLMvAd)j4!%}zY{)+|-fwQ=78!T++EUKOe*b&4g7**v5APy+oTy(v-C>>|Eay7+r
zfgrI6Z@*6Fz>9^5su|;M60OrGYvn|th6wt#gXzvQC9K(>%=prY<DhF#K38yez1!7o
z*+oz%uP_}9+Puw&EnOf&dymk+Sg9HD43eCqcI#jT`q#U9NgiM5Z|$Hq*Z3`h48)zo
zfSxxiC*z60i`Q7=e=Y-j=i|8lu5&mI{Cn<<_%)C}699i@tdY_qi37an=CEBx&7tC6
zDQ!XrW=6K1trR~VPd7YE#5)dYU6N_t6b7y*`aVd>&{M6TH#KHFFgF2SXC}}1ojgA^
zjJJ*U3wZh6X)e9j{XEXULDEa!bF7OVG{#m-aNes`Iy-w!>W1*fAgTYwTo%3q=B3XJ
z2{k)?<<<e+x4FQRW;8@BqXz~DPD>X6xAn`EGGImx-<1-~&19D1xR>2+AU<GN+k4b8
zS5tz+i5@o4sHi=X{;;R@0jy}ZT0z*7m`#&(ocFp1d=J;WfxH{>1;__7OwjxFc>eXA
z3hQUk>FpzD)jjX{7)khzJz%JX+^8<C%f)&`*KznvI(<Bvi?qe!_2i8i%BDf4dSDI{
z6W<ew2}N}8dDEV8=SFo$nl!LdiV<EPx75)atyfEQSf2NtoPt}4?^Y3a*<fqtAk)`3
z!Q(Nn^6useC06ib?Ijzzw>=Pxj~6Q@)lb5|8rBjfb_x%+KSb~spz{sh)rCSioN4Z#
zA1oCvH((n1X;9+owhuBb_pF1@C13$J2iE~kDjL4GCZMq8auT<7EK$4CBy%cm9!};?
zmHov!T-LXfci4S5Imp&#JNnlzSYpl@T*bz63wrnyKTNYOG(5ft=ADsl$~Cs1N0UlE
zfd0v0wqQwflsmwaYnEf;yL(tb7k~*I`Fr@SNd^D%_@<}H=4z_r_kwggE6vL3!%yNN
z`5u?x6E5s+EasfuH*?F?=%y#<L1?mvV&JGQG9$Oo0hK*cP1))R{b(@Vj5SOe34jJX
zF$xGI@>#&*v0KY_1~xg5(=U6Fci7EeoOE7{0vz80`ttWtDxM<uSDOA~42=$;9W{B^
zni1lADAftl+5coc$v7P^??2`XUX-9zO+(LJZ6(S|!}U1v#J{{JXg4bKSShgX?Zi|(
zGU)sRjWE0~3sS{kRLk45DUF>avysn}seB<>Nvqq7=j<>(#^p$VG;Mz)QvmL{^7<&e
z)xCEj6Pa%1`&v-rAX3^4xe;)3(KBUk!%073N(J66Mhm2%ouvJSgly#U9yO~*Q>=*v
z7+Iqx8U~~DxZFceL>$)mf!p>v%QgcW*N*F784DQ342K-S^uN3aIX$f%Z)=nyBb_P1
zgJv0;1T>uaQkA|Bi&mQ4VqxfeE&8nKJXk1-Peea(%LChcv;Y}Pk43k1=%fw@)*h=k
z@pXiAcN9>JV;>??M`&>W8RCQI!VJS%%aMtQLYq@NFV3D3qq~Vem_K1MS6O-?;5=z~
zFvArX>;fVCy(;K5a`*tmr1f;pf<xjNWG;Av4lAd9d7-^Ye#<~;&%+@%=0OO4mp6rB
zc9Jls1;<c>X*XRnfgM<I>qf{Nj|kE?Sh8@l=rHON=`WADz_ZvXFhO9Vc~QeUv)8-L
zcHivIWh|(A#554)3p8v~F9>lWTmlyl6#EkV8Hj)LTyd!wIHObb22b@DT)TkiV5dhd
zr?@i6oA1&oijhqWnAO|x?ki@t>75d&FEH_8pn~hN#W&{&?mde7jHu7Lr#5fT>u%Sw
zGc0oE&7JhGmh6eZY7LEH_M{h3HhQTDcete-PRoy$bfL>d>A>q8sIZ;aev3fjun@o;
z2keV4<IUeuxgCA7F|txyr$_sGD(&AXLi5tr%&;-zbs+U(+Ou(9+uf<0F^sFe%q=vG
ztwEwP?%UJ;r36)zj#~WmI7?q)=Mk49AURFI6Ic1%AC{N2oV{JwZ)rdWBS1(0(Q+Mw
zvmK49rF9DZzMgx51=|mi)NKC$Ae)O#7dR(YZ^WY8p;At}O@HcKsmI}M^BdH6r@DgX
z*g%`2e}7NcKl`SVb)6?Bz>z0|M^!U<@Vks_jXjXvx?Y5rg;7hKC044E4!N1TBk@jo
z^<+EuBu31)K}kkB?dHMF_^+pa<r*c1ZmuRXXg?1*0}jdS6vEs(_7I;+hg{qyY2xIz
z)lr1N92S<dHC&OWs2#sDd60pLzRSkxNn6KC9nJnIj61L#KX9k9!{Au)PdyOLz(BJ5
zfi9sH*e27i<J}BrjYZc4xaV?n|Ki4(nC8T*hWA%X9r`TZ7Wtf@gf9IWI1%PVz_!>^
zPvkqUvZ;|G#6MdaxFq8!r=#nNZ9&aJHLG!=eq+x^Peyt+>F$xMwdr3i8F@aR7F0p8
zkSuP=Ez`xD^D`%Fd<@M?{lsR_9he_`daAPwGV46do8_R|Puh=!=1go<NyT*4mJ)TK
zb$$c>kOww|<f&UOt$E-{Tmn%oVp02=>*+|Vsmfu~nihyFfB2PxncT!@wl+62IpeAB
zKLSS5mM<l4)0>^P`}ld<T5=~Ng1kwPF6tsaCyHkPkgZ!smSx|zXX`pPa2XlmGDq#?
z5nqCV##ges$#!TyGz%D^=HD(}bTvDvu={sf)ZADih&v*iegN(Whc7Pv5;Fu{=3);f
zk#%)N8yDa#EwLQ~pgP|R>_*``+h9{@Yyn9+x<h)^OVi9}<acB}IaKs(a>;5E?;V;4
zIpc~M=fYwl%p$sDMHx%yHkTon>;w>jbElKRQ%Si0CXBxTyifeAm$)nF1u}5TZqb~j
zW#GeIM**e0A?C6g+oy1zAp*VJ5B`fTi5?>6gkk)z$26QE=d1by2tr8fL;X4ls*AT$
z)Qvx|W6z*Ut|z*H*c#!J*mVqCr``S=)q*+`xxC<^F=LBprS%Bu#tvxRpz}oLFGn<X
zkWwiT&V=xh2wFdW$Gbu2ld()^NY0;8Sk7`YFOm<ykGwYn)PEEky_n9Za5df13-Scs
zF7>ydMf7x^+5E0cf<n99FpL--9pWnyUD4?t=fOV4+%8TRk`c!Rq;<3Zz4J*yt}_HM
zuyb*waW;?;uw&}86m#Y@2Melhd^}OO4d{{$(sa3BgVS*5_2kd2xaWx+1uc4Rp|8o$
zTU=&{#lobod#ZY5vRZFsFeBWp*>*<RR#z=P*5l)k7k3FD{$Yx2L66_B8=eK-?wTO#
z_L>6geO<TVu4Ie&#vVeBV%Yc1LH#x-IT5H}qn+r=g)YyEx<7j@HZ;Jpzo9*en&*Sf
zLm-K|6(UzFL?f@cMD7-B9k2U`81k|Wmxk!bL+D`o&&(4&<5x$u=Q1<M(Y+?Rk|+w4
zqw#MyY`egYzdXj1iN?#p==PRu&G&d}^LWpf3yR%Ke!#55=Px~V-SS$!K3>zioC(b!
z^C-@uHn2g*E7_mZc@an8(BFEsb1iw3^sC5z(hT7iB^l05PMM>kS4em@Z3u71T&iPG
zh)QF&gt&)zP&aW;G=;QTUdZ%m0i2j&YseJWER#P7C=8qSG?;YP(XY`!A%f5B?6Ibq
z98%bc9>B|m#mg`|x{cc+@4KFsA}Amm`T2Td;vM>~%VO2a1O3NA?>cd%vt;HbWh|n-
zDDUHG&t_0B9R2Iy?1}M&v;&j@RZX?j<?zpjJfJF3ihr7KWR61Kb&3cTnqLpvi8&(`
ze7|#PL6>M8`X;z!47u;mGF5zO!JlY2%hsZg0fv<iVR>6p?a}*EuX6Ri5mPd&d)YB_
zX*o-*!r#v<Uv~_KvY*jxhondE2fsoK4@f<eP86`voq7>CflOTs3FG#tcGwL^KJO#R
z8-VXgt(J|-G6-d!pHA?x@otbQNW&V2xUT3KGIm!qP|N%3!2Q~aSkC^yb0ZXPR)&>d
zP4GzQ!LPVuzE?X;8Tzs#yV?6V>kfA<#(JyRCPyJ`TQxG$^C7-;M$)-%zhVE*k4?gf
zlAbQZsTJZ?y9^%>Fz(5a5V;Vc_i8k2>eIsHj9mG;U-m>Tg4tqE(XUrMRcSO=1tyMp
z5<_gi_7Ek$iCl2n5?QOPHnXv?lA#RzY}Zqx5xWT91B3_jzNLYiKz2HxJOXh@c%f3z
zQaM?SP0<0q<F>2sitapT(5_DVaA<xG@R*PrZHCwQ9C~$!$+W9tprNG8c#tHOVsHWv
zID@bK_iS_F$26Ds=R0OkFtsu2=p#C#J7kDwstnmJ*dd4(6gKJW>?|y~PtSlhZd^@1
z*pF}4H%}=UlU)nJJ+s@-Tdm50!s630gc&W*_s81dMNqoBErX#0puRm=#{Y-l>OtP^
z%oRHGxF|0?&XF7)caTzku2G`3sNXl>Ep_KvYiqz~*1I-&O?-^YXFBhxwTk?8x8bUt
z8xBVo5}9>h(P01JUM<V`R^7UWRO|XNd<(SJ5@YLjjS(A|{wj^QN-Ue)V7Ms&Vudk0
zy}unj2av1vBwpu{UN`6&aNZ{PAmoS4>&40Hf#yhX)Tf7;ZcJwI)$-OA7*Hdv6PwfC
z3V2H>7wvI&hcE^%NA#f4)gdc+X5VFy#m#jj@B!0@8-1V4(k@8m2>K^6^c@8jkN<X;
zRo88l2@|x!GJY)*nsj$E(O7ybowOgu){cvFTt=I+QMY00--gxNcR1ODZ(Me)k0C-`
zmIo34WyYa5YE~<Sk^blVm<A#Q0Q}fC()1<zd3f&k^_Kb<MzoH@80l)sj-4IFXA`{&
zvZvBI%R+j26Gu|o-?>qGS+zv_f-8yB%DGlg5(o2wGp{QxW@;Q!beAh6^EPlPAP%RI
z1W7j{z(<r`+NeJer)!YlT<mo>ySc-Fc%xYjbN6*tjr1=fS8N@2{ZvRkSAYxb`JnH&
za=ps?1f5H7_Pc4hhN$Blad~oy!>!+<!%Rs3$lx5l{~JXPwNH2;GF=UV{^s2Zx&xf+
zNq1mAFJ5J8qS~7r>I~^zo+Fxlg<Y{BCyp|%iu75S!9OAQ7$L~ot;nlQ0r*=QB0R|p
z3Btq);7m^gR0f0lTI$$rA-GEhgQCHCFD)X{jY&7dP8s{$uVkRd^Olcz!8BSQ;H1BT
zqW1!OV8j4SGNA!9I<g*Nwa*&#`eyaB^m(3xyI{vz5fO$_>PXEQ05nJvI-XC>@eU9Z
zKFBW`XfEIrafc3Hhd9De2mV=OzRX9azLZE8^qbpN1b%~X?1O53BcyY{?2ut{Dj+Zn
ztDwije&jNhmI9`^YSFO{2HKB>bXC!E_Ix}30Jc#7wT!yjUn)XSo!_&qkT|nu=;I_r
zI+tD?<Yb0BX56<3mXB>1+?N8cn}Qew(%|8=Z51z8;nk%l*NeN$_UN{d)5VMZEt){z
zTbXZNKhPGu7W5~qo52f?I$f0AHGP*3wKH?=bsYGz*^*sfqlC7pSod?B3zvpTV2%qP
zF-cWh7a6suua|7DmabDy5UNW6ROho}G~;Ux1RRYS;Zu^$p2lC5`Vt*qv6f0yshz8X
zOaLzZhuG`Tc}LUnD9Cx55G-UWb9p-KOaiR9SPL^F0^;r)^^(VypGs_4I~gT}QL9zu
zGtL)D{u%g=ECvjb1eFHgY7pC{6I>p0F1T%=Q`@&uQ4b%}DyFAVQ8QM&VV*AF_wpB#
z0ImC)i=bTwo8wLYuS4(iw(eWha_K|&siaK1o`W5y#O*0^H4hpPntqtO>1bEjeKRm8
zgfW+d2C_#i$*>A<*YK0RJa+BJj4T8+(1vWRtH%HMz{e;l{pbDE4`>cfO~PwbJyE9<
zULFsgYv0FIcD4g?3q7|>zb%ss+=n5#b1<}D`Mb$Q*<<{42h_`Cm`LN*rYM0p3x2$B
z?^ov545#P#wQ<q-Br&3-fZVZ1Dq8aV80eOp19y;yDHHWazFz)E+aE=f;|@8{IB}$1
zk6zs`{Ni~v`A97IO-~uV(xiuJl^m+q5iCKhy=AMu@K=#$4R^NY8w_wKJpjGHt!W!z
zJkFt^;tuZ#v!=~wS2iOewr0tgXRIgw7D2`7nE=ehE5*uEQ&O2c9+8d46l6#}3D{2D
zbbiLCP%taTe2%Tq@t3(SfIfb1#Q4Ty)w|1&X6doKK_NE)GxFh4c#DOfT60%ml5!*?
z@64W1TjCrYu?fcy3%+GxuQz`NX!=fZB8IJvYOciA8K-S(_>9?BF@C@13POx<pBF%e
zZ>}!=jZ8#O=^_?ba(pRWkvIp<|4}-mIGY7cjST!srHO!AXPNaLww_TYr#N$77)^fm
zqlq}QHo~2#EpW@FZ2!mEIj~BMw@6tZF+dh;1_R8wJ1TUQ*BFWz7KFQ!1&UENX^NLP
zcF@yZN#xGnUn|mS9{L1e$}>o4S-9W-0+M&QUmQof(G%kbH7#{KN|@f?%zhg+@=`R4
zRDzi-<P2X)<&EeY9^ON9@gh@w8KLm*D16Irb4GJAMqw8Japr_kaK;VysfMLU(3yvB
za`CJjq=F8et_PfmbOWqLs+T?)>$u}EA_gcZ^p?lBrniN4^J{zt6;WAE>^YvZ@76Vr
zr`rILsM|k26FmXbwVb@&L3ZP)fp(JihwVF?kUz4Y=lWf<p{YXl{h5N&d%sWu5_tg*
zEw^+U_P=E|w=3~;fV)HT5u5dJv%uxF`;oiJDH$v(Xp@J+?<n`>fqTKBU!8?*CyoGJ
z>Pwy91d*jNWimDtjUSjSdbFcSMOmUgeLTOnFh%ty_{B5#afkA}ijZ#-NcgtqnECXx
z)~5-+K5+{Gone;vhnz?%+s90gTe!57xdaElS}L^?$Ew>2Du;S@0$ZObXGhAjnx>@h
zXFXNWiZcILK}RCw-8kAgtME-VKU=x%ownFU6x|mw%V}3vQ9(t)vG1jd#iVT{k>CSL
zLEkzeD#|v?eU4JaYxyKcov-ZH(X^kn;=4pMev*$`#j{R>9dVUHvqn$dlz03RAY|18
zq1B1;ev<zh$&DjFX8XS-^if#;`T01G@AI49gyGR*9|z~{|GZ7{n=E*qGC$A~hu1%k
z#I+I7;3`98_A)+;ZSgESqZQrjt9wMu_2t_j0WGnbkthrC{Is0&-3u3jkJ|B+QFKf<
zOFv}G>+Fs;i=1`n1Zg9b8*%BMz6hmwmR$PGgW`#ICME6Qvi1H3tKvxcW@y;vPd4%(
zVi?DL#Ua-)g_9DLo>-|^v$AMU9^kCq^fgCYoJi1HZt?5ZfxW}aKQn$`@eApl9Daz4
z@mu^-T8}<L-w9>!JWfoq&e4)9mJ`g=rP=Zf-Ibjtlu!*Hu5@;+vT@LM$I#w6oD6CB
zPj8ujWhWc!S7PCY6i8UiSaRxC)akr@N#;!qT7JPvO1(e*=&^W%r`@E|ynQMO-)ZCj
zPT=$v*#rJ`VyWyA88taUu?Lx<djvVoOD-NcPuk=u9piT+a5tq*T0?g=!H8cejS=9d
z$-0fH17eLaWMX>mV%Lstk!n`$Pr3DrC~2vc=1K>j`?1Q?cAP)!UX|SHFm$1Ld${N#
z&l&y1q|JYO@7Y~wYF(?pTm!Se&y{szF8h&g`07Op6W$cLYVlX-Mdg;T@<gKY&`Zd=
z=3=yj9zW$knmD6xEM3pDenB@xN@!QM{2cSQ@^7l6?shG*FD_ohdfM$z%LNs!0W<?0
z&YEkVus`J;C0~0b{N}s4^2f;)pN^#u1gF!K#Cih-<y_S-!=Y1)^Z~*@@>V{Zsr7Z4
z5{#2?Wp2>L2kq8?IQY6I7iFFEm*`5INrQ-@RjtDYW8O}aoa2b7IR2-2I#2yn(9b;x
zM*8-B-AKXt6Ndk6L}`=0DU+}$>%UPxEfDY#+|GCS^86^d;;`#=EOnVFMLC7AGQGmL
zg7&8ZeGKK*ka8hXN|2*MV^uGGTfX;PgTwo$@1~SqChu7n=dW<#GZjh2)mi~y8KkuZ
zM6}QRMn6M-(mzpbFxvXtugCiNm#~}Nmvm{hH0k(hyMyiFa*g;yb@%_?@rJx{gjF#v
z4oSHjHsrUOGOaz8;-RN(Z0}3@mF`BD-Y?H<D2&$`m%h$G{THRR|DH6V7Gy~f{JudT
z#D*{J`}yP_FFgK`p|9wpB$dkHHzg5*+&*8Q2tftB6;yY`*B3<x=A+zmcFJ*_1gQpz
zh1BW3eFvbZ8PSD=^-6&LD>qTTck=iHC0P-R5!~(mY$1IFk?hdYf_ROm=m{!1;l^-g
z#@g26(#AMu*0C9toySWS1;Z&@UoE5jjQsf7J}TIFc{&KTvk5J#IZC?IGsjh`&lkcd
ziy9#XP0_gXCF#J}`&YY$2R2Ei&YZ;ThSPpZvTvxG@`sg);$D%HDFFCXIUZXJf*^f%
zrgdRj0d?}lc#4g0g?GPS3zozgeh75X9ZYzE(Z66*Ap4JSGUmh9XGQgSjiePjd2O6@
z4)3kV4;lx7QH1nK*@GJ8ghsgfmNRlhJTP$Yd;A1{H?D8Eu{$C9-|6a<b%zKX?C_Qj
z77XnPgrf1>7il?#qpU}MQ<E~rp$E1;G$=B$`0z7ndu4xkt~qO@tvO2*_(et2wb%hi
z>9Jf@FM|>}djZ+=x-hb*JH=G(Op9_e#!t+yv`k74^0~w{-lBNkkDXo8YtP6Ucx7j6
zJ;Hg<PX(xoX>nhy$RPmRCyK;SGRE`8(8UdZcp4AQ{K&aGlvwUY|6k<G{;h^vUDXhd
zoTK7d`}}h9gv5I5LyMGjCX4FAZS$ZX%>BlG5_Xj=QzBfm)a*PnE7Xx)3qsX)KLo^8
zMy=!DP%SWGD@f=B&HfBOD;-u&hmhJ!7m-qP&TJ~aUU*ykc>h`Y{BUosa?;1JblhA2
z3*0NS&fNb-y#9x`x9qB`3A#lS2*KSQHtrtW-Q6v?ySuw?++7pgo#5_Ha5nDl;qK=>
z_ug^F`2pwa8r`dFb<e7r)m^oki@mOx2cOfWh)2+9FBWgFD_X8^2&uYp;A`7BO}*uw
zZMnZTdp#Z<bmopK??L%|r2=j4M%GvAc8-CDucWU%PWF(2RuXbLSf|;1DR`S%!aza(
z#bUslEBdN(?q*hyL3PUgqvN2L>hrsXthhmFH>Vpn&bMIelfZQv!Bhnbi>YIn(+XOw
zBR>g!9Aa71q7J$%HYDi222eoT?;P=En99Suo!i0y#W0uu%xMzE2M46bZ9UFNe>jq(
zlnY$gA2ey8fhZPBEZpgWu(vdY8r846SXZ8_We-G(`@<c1Pajo8#}GLQ<^QA~gYq8G
z`?3S_k*jhH>KS!FIvy)wF;=0kMP9X;i^fy!<#r4r%EgI>zbAmc`P>8)*CNhnE#X{3
z@Net7;reap>q{_A>$WW~BVix!!?X+4w!!(zG0mE=5A*NtI*JM0De>ZZoGdB~$B{HA
z1JNTJY_=S=VFI3;EbTQ)tI-xmy4^#m$zyH^xoqvfMx?-ZuEh68wfjtASYTMBII?!O
zQi|fBeYRf@6|Qz;dt>+LJv;Bxp*AI9Gy1k)V*(2J=iT7_oYQtvvuOyBTW2AW))8%3
zx=sCvYy%6w-tLX4adm#Uw&pXw6#rF>&?d!d%}277ix7227aP4OCxdgAb`b2gY)Rli
zCj8FYE;REg*A^Y(<A~>>g?)UGc}A3T*=JZlTUaa7!D;$TaA~P^tyka?WH6(Riq2r@
z>@Yg0KV)|AL=w7S51i{1nqk<3@SA6AW<F$nCFj~r4Nru>cM8=j(A0wx=n$G|?e~Z=
z6mSd@I4RJ<aS0NDRq!k&1wr@`kYXQWY6c~oKzaL~vk)Nd<DV{C`fh7%Y;yjqqPvve
zx4Cb-2^T~*ClI+XC6KvL^Hd;_ST-!j4q}m^b!R?}QL$#qrGJ<Flvg-k#X>sSRrjh2
zoBtZ0%Q<^RLprRwBNQXf6S_{L;%#+aL=15tls+CqERnIKZ~_aTbMT}i1#deW8<KkJ
zgQP{}_aqETykO8K;G2grHOa{Y&PL_Vhad%ESHcU1TK7vS6tR_1(L<!RbC*ivSXmIn
zMBgX(0m3kPhr&l|qi}K&LM9!#92M}5(0Ro~(kPZKH8B{O{BfYvcuT3s9pm$Qf!2k8
zC8puTVtGoaVx_DW)z5#LRtFn_iriV9MSjM<nuw0Gk#a;}Z??h^3Umv~g?g7N8ih)+
zC(l6`N8Hb1)4-4DH1_~w)@k@j8+QPsNiwJ%k!<;i?@<eNkrrH;NHc3ch~tf#=*-&(
zcA35TILfY0hU?woNm-GxfYzqdI*2S1zbkU*Tc;U4OhXf+SvXbQCLvJvzQas^nbc<%
zXW2?Dt^=OAk2_)=RWbitq7LO?^1ly94%xw@YFDEjpgJ|>*+;6<GQ<|Z7e<#H$Q|?L
zYML8Vc&3wdQ#oOuU4+%%NAy)xC7YH<^X{sIRM|h=*{P5){phK_vC=gGZP!V(G#fb*
z7}Ay~FsK$sXWist)uW&KGZU;c1DJ=kDcvpY_DGOI1p5wiXpJPr{&FuVblI0Ha9}F2
z^Q1nn9L@GEnUpKTavu#8PQlHU*Z)U)#3d*|O3xLci$G;q{5WOU(}Sl>>SS?!rx_|%
zJ)?aE;6#YR@7+28_L_7o4KxINT+C|W`ZSN}bfIGq@}lBJjp4nj9835>5Vgs8P_~>z
zQ{h%lgNZ0K7g_<(tiBLjjRCI;*$~-h)I`3R4fSVCcn$5Bv6v#5K=4qKho6bDP>TOA
zr$cRO@E!>wcb8GrQlmh7N=Xv^_I>2)Tf}!X4vBwj<K}?WV}#E08nkb$oeHmrCEauO
zi<V94IHIv^2>OuaWUs6WlKUvuRQpQS<^3)YEGoX^;5z8$IENyX{~zmRpw-oS1_H~9
zx<rI$Qr1XZQ3w?r{88B0UrHt=coJy`KdZW)gNTAxjw#r7q$<F!IqKB*J?f}pI@3j>
zSXu3d2&p@BKdC!4A9O!M5~LgRcwl5p7+FxmF*8l4o|BlEe1-$*Lcn*ie8>?#F04O6
z;<wCIe{==tlk&8L5%FwnSCh46fx(GI1-t~bvo~=_<?hk0Ja#Z$4yu)G_#(G5i@`G1
zXY3EdjZO;)_$BPfbm9FD2YcFg{n1+R;x0cH6kUHx#&I~UqH7mh$OS>>B5OuU&7kn&
z=GGo_1>w*fBCRGzr|>FK<f%T@b4-sU!DESNva=N*^A#U+6}qbsps!fa#2YEnPLF(v
z5|at3NQ^i?J=S&2Q+CZkAwDuGwZLWMnW5%U7}_=A+AZ%lnnbLa%RpB$c}=NEzn|wF
zvbf3H8r3-ei6LVWhgp#?b)rQ^t3*!r6AB>iUQOIP{3=#B4678fqQlZKXfWR`%YsXA
zw>~<E%^%Ez<0pNNa>A;CRN(>7g(d~uT1~uI3XwCz$i<6`R{ft5KwnE7ceSzd8cbo(
zX-by^F}Z~6rASP|>Vsxis0Y9A4>qYtg~U|FB8GAP+@&EKV#+cTl8s~;t?)54D+HU0
zpKs(`dBKp)^D?>>K)kwE^t6bpB1x>9bO<($pVT8o+SqjJxOFuk7wUHeIz9@31p<cn
z{w10X0X$i;Nu1PRj8o;GAGRc&9p}h@{zQVy#Ze;<P)He58Q(-b>k>zr;|(KH?}<6m
zmenvGQUGbkWGax4P175T+EFHL=g3aQfEsj(fWdej|DA?jfX>etSZ#LWv6)TQm7?pu
z=IfL_1h(&P^`an*MZkUroVM((^_STqC2?xEH0|ODOQ~HOJkuV4%<VNpC_Pz<Hf4Cf
z+3;7KlyOAf+i%6Hs>M!tDkftEVsBQeg;Zdwgg3~olJkl=MLw@FHy|wO_6ca*qyc46
z7_m@Z?)F1Kc>4znM2R;tYWxZ`%1zrPY0#2Eh|othjvoHq9LegctNhWfALo{q97+FG
zWV)h~Y}g<@{~8y6SV!h(>~EGwx;R~#PQbVGXqGwub+zNDW~HX@PVsH;gV#wN6N+s|
zKU36QLMGV+LDgp1jDov~3!fO`vAbD#3;A8zI`N$Y*}mGw7q{rnQP0}&NA{m$UN1pk
zWUo@s`xR*=VI$FDh6BT}=H8bNsNNCt@3$|VUSRY0!0+MO`LxapchgA=3pAp6Cz`I1
zzwC6!IYkTWIY?UL{1$Ur{aLghN^9<;`ekIcYte5-LE17Wp)j9Z);o%Do|_mF<-f3X
zF0kn9o^LFq=qE2RBzY`dZW<rP-O6*ceHa~&!ZC+^HNCl{(5yazC`O8*=Ars~0QSfi
zXzY<^<ZijI^k7q_iv+~yV2p3)qMX6GPP_I97JFh5@KM56J2FYpxH>I?l|NpV5$mf?
zU3306xcq`swBt*!8y~pJLoAAslVCQ1ilTdD5-9?F!Qm(TVIH?=If+%t;x|s;oyZF5
zf66CYwb{wIaf0?~bA{06p`S8^5KP-`m-l~6P50T}mRn&ZEh7<-sN@10+z_VMw(CrU
zjpu{D_&TMC7-s~NSBxhb%Q_x1M{FEmdU2avf*h`i_sl5h^b&PWhCv*Qo`DzVl7bhL
zfi|@8-()iilJ;U^BNty8Nv7vJwy_h`bsTRGm1-&F1c}^3?zb67NJ-9##J$xdHi#K(
zgo%sFyyum@F|t9A`K7OMVbTcTl#_C#A;|2jIle?qDDHg~>S*V9<#k_NHj8aI?Ktn5
zu=OI%NuxlN4%HNQ)(pjA`CtzJ0S-ZmEq~WcDC+s6eFT%gE@E)8zUgn<_8Pa-mF?%T
zQicmMSc0K-Ky{3xrK02Bq`q`OMZo`VIK^0};6plcK;=7*X>=Nr7`>(ItOeE;;kAo=
z>P&(na!O{Pbp3=P3N0-KU88VibLGx0dU}2$Zw#scD@5CS=s@Xi;F5TLK8fZ9wiwbj
z+Knz<Qyn5S?LJ-{Dm=a_CsR+`PnF*ci)5{0ef9NH0WXkr6~dwj_>XGK&tY7ze&tS%
zc1>yPW0oAvs=M4lI76TMZZ`?TmPEm5nS5edr~v2_b0OPRRc+Zu;Fm%NlOjloaJc5=
zxtCd?A!f0b1qC%S7i6Fa!01AY3_?jv2%sO%=ZuD;1&Q0i;4ZUG7h?}IMkkymW(B7i
z)vUaz>y2w@%cW2vbsZFQPo@uB^d}S@G3xD5!X}0gz+k7QRYK2qmSQ4us2b3Ehyu$}
z$_zwNjkvFtObN4Km{N3V!Q6d!C4z9lmZ7;use$?2_JY|VQOKP9*zkf8${K|evtR>+
z5JuOLy1b<#AxTKFXpj1~j{$6~DA4t(nz<-cO46<N<VnibB9Y9khljK-QnqwzRj~bG
zK%pXsLkUs}#^v{+P}2s7nOUX^EaPS73P2Io^3|XB5*XX7foy-^vRC_HaDx>I{?VU#
zh3qt9T?{I46o~%sfmn7dzkFPg#J)UXBA$mJbT*#4ya1;gS_Css@oHb>uhl}3vk>{*
z_Xl|rAdoTxk8P!wppK%KkIz5u=%j3SaQZl3Dl*riWZ?x?)nb0$Qp|R6H<nQ-?nKob
zDew5;x%}{ZrW!hLA=XQ!>#t-PD$YtWUx3Sm`SjP1dHGXEKj{GL%W-K$_vCHJf+pdd
zQPsi)1q)vH@d(IfVDxw;QqyIVD#&)kXm)`esR&(?aaW4p(_&EqKKfiYn@cDcR~py=
zs*6{H4wA$<8z{sL!izieAeXffg?1viRVZQpQSb%K93kZ6B;KOTz2UfU+$LDh%I)@b
z!f0_*4uk|dd<J=?eOC$Fw~3e5EW0bFB?Kc+Z9xctvS$)K*Xc!~OO&u<9|`a=KTxHt
zi^inuOq8GZ5AfOt4GDi;f<sKeM9`olBZ_a2B1!#lk9Rq+-ttFrp%XtN%U`Zz_O*3V
z;VrdTDI*h9j-4vnjlCd|5<U)qg%D`cz3QuB78@6b<y9-FJ5pSyV5Fq=ABnhkJR_r&
zwn6=Pu%p*aNUX_Zm=gT{H^+Ve`|Dp9ADjl5pgF-h(mO6KW3C<7f^Q_wmnzUEEt%ZV
zp<P_dZdM2cDKgfIniZUR2_cZ_PStHJ>{5XGdCIYkkB7F<^O6G?y7B!0r4rm&%*BHG
z;qcrZW_o98vnq;SjAY2MC)6*rD$7Etp7Bi<l?0-TT`=`Ym#I#%eZqs9oR5LM&>^oj
zfr(q|pz`l_@sb~?Why7>6rM;nW$pnznq!osL%CNbmVZ=q4(smxm=D2xt3bWbGLUQg
z=nwg)M^FAAfOXVN5q7HF^7_+D`7jH8(f6(w@w8!nNNe^>?Em33LF57lLSYu#o-$Mj
zBtO|5$yqBof6m+!xVO4Ofq5&jY8_Uz`&)2o8AJ4ZpKw-Ng|+wNrVGtzBkEV!!O?K~
zpJCZu_gzCcZ9_=I6(sJ|1kh@92hwB*a#GTzk&li_xn0kwl}K*P5Wx^urzFE=II~!%
zPSr9g(l>OKL3{w0Dz>A*TdPx}QfYn*hA-dc@lIseUt()ORbla^7*j9N=JvbP^U-q2
zNciM}SoqP@r)_=c!b)PTTtC5yeoX^v@5nN!90kUs^Eh8C=D6O#K1N2~7oz!^9$xVz
z^y|0S@9|=k58ux-^9C-{Y67jL`mpIX5HX7qYy6({;BIZjgy6P-h}wT>?@}0CkSfyB
zD2z&F=TM=jL8zG~x!lkxBiiuFfx%BQTI>BmG?H35%>aXG;iHKd;$eE_bCk?r%jqNg
zineWvPsPt%8k%fUXo>J4C&fltXs~#TZ65DqwaY?G52Y(g&%CITu*)PUvAcnIaeblx
zIV63tyTEubtF;lzCl!v?m9f$(bmh1fq}KU1B%|7(&ckIXK}ygR-aq9iHd8Nx(QgdJ
za`(>Wf<#@~ROQtE@+saVN^8zK?8W5HEJ2MSnO%WnA&1_~uxOGYjp2GT2^`OXgag%S
z#2PiV4&W(e{lA2K=y+w7<RvAe7EC_eOr&<d?fyY~$%Xg(2r)Dff$RQiQ&_SRI4>7A
zfFoNu$5mS~rwrlSi6}x6bNRKc!-%D0xTcN_PL;=bXR>)VcCWz_5zXcl|77ii(=?CH
zboy&)nubwTfr{8~W|>TE!Ppz!^PjPH)QJ;Cs8EGCnog)P5zWrL;ybB;UWwF_m$L@T
z&_OQFXm~n5;UK20ogHt;WfAFGBTbN!y&N-o&`-VMia8v&$~h^k`!DI@ko0Bp4U;ZA
zliZefOJ;L;<cu-HUWla_X84)g4&cE_Q(1|s3ij$K$#>4{zN2gyuzQK2P9d67O$mC8
z1SOu&^TzMhMWlgKu8;jqVD4VUoO(HcfdGGH0)K4+6U&|}e-o)S9haUf-+W)8zm*=n
zjYX4b)I!l@Y3(eFnRvOK?QO_fQz0h81Z;>XS$CJM2cy4bCf?`eE}V%dqItKe^2=T7
z-vkh2j{qCnjy=ep9c@hB*!ovqZ+wiGoozGS+b;s*UlW%7J!<hI$nAup`86G9XlB)b
zE~^K8f$<Ywdkfk;;;(X6nFG^LxmR@3MDkyb-a<nsyuQHhLvE)e3tdpOZ~3^mHi!3j
z^GnudmVF}qDvrhXpv{SMIJtxio#kd<adh|&Nqaf<^FGd#Mz2oDc&c3n{rBW{z+?_&
zFumEBmT+mLdzJEd*RxUVyD_wht!Pk_IKqCcRaSGDH$r%n3S<qdBrO<ai32(;;d5I^
zHbP_FxUuNpWl@JnO(~{&=`Y&MeCF0MGxPA#b0UP8hv}`^YaACXOT>B?eTU8F0;8?G
zRv!Buy+(>CV6-v`k+PblzE>BrAN-Cyx-9>B*e-HNfP!mf)(1x`-sD}F;&n5CV={;Y
zbdtyhucyW()~qcc1<3|d2u6kG)<#V-t5<s*VG}2^Nez&1cuW`fmJ0VY;kr9>CthQp
zHPc3qN2%)$M&q61ln!IeG6@YhrR9GhveQ9Qowz5W9NiH2pDu(9@LcKvQik2c&r!UX
z0s{z4(Fi1veBhR|@)%<zqyTJsG|OhNU>rJWH%%1jW5uDf-66Pp>=aBnri<Gog2(wF
zjGidsM%w*kY=p#7@tz=YDPcVdq)cWln+?DDlmQyrc$?F(rPR5akemZEJ9-M*=-18W
zzz~&g<OTi7ocQ10BttX)gLP&C+&@uf4Ms!BNedm#(OnA?hGw&##4<^-9RZs(YSJ+V
zlJ0_Y^_>B=cUG28-bw0USZE|srX(HX--U34B{U9<VYmW@^{kdj$jMY#i;@FKX<Uo9
zSMC``U+mS)=_kdeN#yUS!*=SLF_+S3Drd*4uPmr2V|k{2e6ni=Qcm>jltsa-iQrje
zK?#zoV)byMv5t9ks`~1Qns{G4cqvUfWu)9^J7vTvF*mt8S$=9iC44I@7Aw>8p7gxG
z7DrlyYEa(w<$T#7wH<4kNz?<S*wa)%g+R;9er~>xRJ3a7C6B@9QrRR0sdij1W->iw
zv}>(C9GBv|F`0^4!2&tg_2$#ABaUIbCa-u8i6Mfh6i`l$X`m`r>);Da$b`g~pt!bD
zt8ZYFiK0>rM9YUc7(ym%UM<9M5lZCgS0+a4N`oWi`fA-Qfm+Z{8cA>S$Ec)Cg6wfI
z18SW98BZq0maNpx1PiZlvKs|L5rDQMCzRj|ht!m%CUc}z=wc5ayyrSS38W_^K=E<q
z#`gXCEjkJKbvsN+$f{L`mNh?&@i`p)&%y88l|OGsVT1l7S@!HW8vpp|WI%*Aq2J-Y
z2CvMY6)Xn!Ax&Z9zSPfUxQlk@n!OSU_jAeoS?2l+5N`c}<1hv6Uk%j20U!5a*0HGY
zkjav)KA4EZ)lcB7Y{|xaYh^eNbcctZvU?}#R;Bo1dXtJth496pNdlEr73q1QjL?23
zF2n?mU>FyFX^ktSn8#uocTo1m&tOhg)rU^1WOSK`bX;*L4i!M!PxN9+J%7-Ma}Or)
zzzI=P(H1^!S47Tmzm`a?((`uU0<CkOM^zs}D90Ab9vlxW(*8Q7J9ABnaYVmUU}0km
z0N_9`?n0K6d1e}~23Ayf8YCmARDielaUG=)W|h^vkxRJN0t}Lkh)5rgb}q}ZY0KtU
z8#URiUUGsT7(m5#me{8%?a6bp<cvuy0(Mgt=frokk+P&LLlp-;N#l!Q6eGA=)d5;o
zg~<03{qOaC{{tf4DiNF<LsA5L|IlfYe@BOskrJ?Z#KT7=6>2SbiBDiRAI<(<qb=Mx
zLhN4O>KaTuaA|LGb5oF_RitUCWW*^x>OkL->(S>JkXBV)xRhxn3vqwJkj~kYJN;d~
z-$$9BqL*Q(!I*HQ(*bZx^$@Sn^GTCR-pkT}A`yqHSnl|@Cb<g)vbyW%O|KTe2wT&l
zk*Gykkdgf7DPamJ&7$rrC!3|FU;xfZsDs~&QCXiV!5zpfrHo4NWW-1f+{-P`y3k@-
zk>jD9_DjA?b-8#Zl~u0(%qd$XW!1nkVok)OOBvp5k=D9Uks73ClEz$1q;T3~z=X*5
zgoUgv9VJ;8m7%MrO1&o=U<~8uh(PD?z$mP2{1%la-9DLVjwL9IuE-wDegobEO3Lxt
z%I1bvlWOGD=$OP+j!c)nX$)Uv%)p&@7J}GY^D2!Dhl^aLlvl0q<C3ozH9hR&^&Gq;
z3AvNGPV#a*_kVIZ?nSrW`wX|RcqTNXSCI(AtSJl?222UDJS8qeu`{IJ4;0{s)XC<M
zr6VxV?#Hs4sn4$m``Qd<ru!mg9+hXh2r*Oi#uek|MLXJCE{n`KK4Q(KeYVLu`B{ji
z{8{6TmzW#On6$7sBv2~c%h=Z8aU^(0Lr1FNXj`lVCl|b=PE^kRCA-Vr`y-=kqOXwp
zjtSL-gvC<Q!GZN<4Av;>Q*)0`g56@bM;!d2g9=&OfFj27=;B>xTC*Bpx>yaaPM)LQ
z+`lO+3?cl1Qj1OuG@AIf95{V;XMYT64aV{$Rm#@kB3Tiqx1@I{w{+1Ewl)Hu1;c?p
z8j2woFgpq5ZekDDHALOj@95$2Nld1io+g5&KJMp_K?FM9z!^E-g_Mc!ogYfVgk=-M
zgk%#(c?n~Ku_@K|62#p0BD?@_a=#-B?Vmyp?VCy%>?(-i_wctw7TsW@MogN29G$!v
zo9$A}@vxF}ohbl6e5!FZX1s;JX(oI^fMa%=DUcawqh<*Dvji2hthCM=!>=ivuIhx1
z{m+9NGq9enxTP($<H>t3?_}%D>FOc0M(Osd<FVwW+6>D+UnZoa$t;<%9Ib)I|HPU0
zPv3#s&>drGi%8K^`_=tKvWi~lroa+oUalqHl#P?N+?tKJOWLCkB}0%Sck1`3iOR<f
zi~S*BfH_t*Yl257WCTCWq4lFXcWTZ|v1l|Bc#glAYHr<_5|N6zbmYL@461+yRLSQN
zr`00x3dRqa!Q1^D?Pj)%lRra3k)(bhcr3~Itvzv|<~$t8$iNdiQTYWWT{Si3sd8gI
z0$qz1Xp=u6(+?|nuB{byD%<;;)c-|#eE}U`Wner``I|pQe_kQKn8sRzmlf{7i~VWO
zS)H>M#k?uY7pWVW`y|_HVxLPKz&Y-)J5b%)t4NA;P0u#bmhneQ8q0d{6K;7>%T1uY
zwf9ni83yzSULt9}aO@4{w|zDU+d)6R{VgaCo^gIc(v3qA&dRS5bNGWu5{78J7<r;Z
zu?dpo(5EOgkm2g(;>udd1&lci{T1H=&IhIBgGMaHtzA=g#(mgD#L-n*6Kpc4zAg5P
zQ~aYg)BFn|M;efukyHV&{qxL$89(|Q76-grS03K|Yli~i)o{t?^N0g{@)BQF(z*O4
z8$+bM@$L9aBW#GH*5H?I2<uoxg$%SHxfb7iAGpu$IMh871$;RJ^o266IyC5+G=7<V
z9GZywmLhR+av1^WuD@9@BjjAMxNWO_879$$a3f#C(>|>uV4T7g$r3qJlN=Zp$=MiK
zTQ$JS22Y~^Hr5Ci3>^yQQiVbk`J#u*;3xn<v!=_g68<Q$r6$wUtdu<2G`gV!R$>Nn
zACv#pJf1y#HE|b*oHlP0hZGOc0eq>xU%~|Tb6Aq3VM(dPYs`zm?M8l5$!pXLdt-{(
zM!No)ymO_Z_^Q8NH<(SZAj_7NSMgrL1(9WuE`?Od-GozBYDM)!Oo11XMr=0J&ANZ^
z23awQ*;urMIf-BVCO0L}Fg}u0|B6K`Z4|fa#co}v<j2KeJ3yYdo^)QFoo23G58(?6
zfYb#DH_P&!eC%TuSb_01&1!~ds!^6aVlVP*=|SG`3F<T_%cZ19(G(K7n-j4Rb+Fb!
zo!GYma7zkSYnw(oJBDboOB<D<F+Buoyk0jg0EChr!VXtx!kK)dY)Af|p5(o6jNb=?
zq(0VktP@dn`7G}8pkA`+FH6Ks>!f;^C~MZ&Qy$@Tc(_UqX~D){6l@@ZxSCno`kp`M
zag0OoMa9Uv6o~1jTsYM^Mo_IDcYbp0726P8!_$;WHR-xI`r~q1+&0M`r5~yj$`)x_
z>6Gwu<`_~EvicvqqXmwl8cF~ConwgRTWaQSg+&Nuh{5FayRJ-pJx^cfTwCXUmi~0v
z36B3=FSNEL6)T?xi9g5C`H2pxY7^%+B|;I%U3HiqvTISX!ISrGc4&ee>*n`fhGCXr
zhnrqY$ek=M@-yfiO(+9;B$$g`d*ds^e;u88DHZJrkF><RTkbMT8V73ueqiW1pK-N{
zIQ_gBmfC#EHRS14%d<|rYx9JaYCGZwA?<O0JQ2#s%DLYpy$M^@TUgBvyp-O_nl%>%
zcKcNC+Z*Pd_s`gWstiL4U`qbiYQTU%tCNKtJ0W&#nkn}`d3r8tQ1b=WH>BPenkTv2
zw9>rk!Qa6i({Z%l5Txf%v;Q?e#}ESMZpU$EM14DQaw2-bBH9Fj!Jy;KX$@MhE9E9o
zv2&1VlZWwd-%Dw1#GUL+HYCY;w42`ha62g7IOIN7$u@CWt6n&o4ZJX9_kO|O0-%V}
z?Yfxa-+vQ%I>ZtJJ{e)$z$aG-a|+*Q25R5A3HTf|-yqwH-_F8eL~fCP?Yn`lAh9Gv
zVoofB2Z`H8&h~etx-`UC2=QfDHK+wsZBK;XZ}fDAXD>&T+jIS|<nFV;yCMx##zGXj
z?Zh`Djre3@#rIz7hc2SGvZw_JeiE*NoZ*N5^LRAIsqNyj(9mNRVu7spvU5Y5IPSd|
z^|XZlG3T{g-2d-^%vw=p5N$)+kLSVg(H#aq-Fl_Q5ou|mbVqtswvuDAq|PWr;N{^k
zOfCgQm0r%5Dzr{k_OWY%69Wq0?`a4zo!kVk#xgE#Ty?a#2Ky!7YS)U3w4I)N@W~#u
zK;}DnKOkkphc*cm{E)DrH7Evba#DPB*y)hAJxof7Vm1&q7R-N+)p=UGaNXZM2h0nU
zt)jHgf&PcH5o+L(PuH?bm00W={~nM5<KA7%DzUBYxA5Lx&G)Dn3UD-@W|`QgRV2J;
z9QA+ULBrc1csE-m=_(p=o(~&eWkIyW(Zq5(as3@dSZ<045Qa|{svjzLt6wkNFJtT2
zWAn5L<{K#_qCSy(GCw>_NFXYw5&(lTrl|}*|HVIx%g7O_1LMzO#|Zm1cXUUZ)3rqb
z+sji4{679a4PN00xVKu(_#G(qc7r&sk0OxTFIL#F-VFl%DhyEwZWU1?Ik>mDjU4_F
zt9%zO|20NCP4|-7c4g1;u?+QfY|w0Y`zD=(zphGe-^-%kVIs!Q{TmxZrege4(y#^N
zJ!)m}&pegn{VAmizAJwiQ`V)wf6WB)R^URh-aNNB$}2da1*lY=;G@-quR|#nHO2Et
zJcZ9fdYPH-xMh;d<^0+cj_eG{CicB5?}G|U>aQDhT%`03Vm@86w|vb;q_f9AQD51Y
zzV|iFn87-CDNBoFAs!<sDG7p7_w80@1Bm~fhE#mU@4%7=iKw-2VN69o1VujqXsB#P
zx6qD+efh4aN)wJQ${)A`SNn0zkb;?hUDNI*?{jD|O`Ln>VrE12S>wQ;B&n6B@A_T`
zOuzKQby2QaFvZ=$#NFZJ9c|$q`QshQ{uqmd>NBmLiPBvXa7au3ARFl<elF-DZ#+W$
zLfc-Z(#5|9{gCozyJ%bZL_<KFd+K0E??^lr5L{4&74Ugiz5)I3{Eq;&iOLg!12JNK
z?-v$Y-+%NA&jjT+C)L^(;pVxW%>tVkAeXM@tg_Dq>z{BkkL##iRRzF(ezcV&dYo@I
z7{Bx!VpR6MuB<ptwS=$h=c%aPlLd<$&S9>vT9tO4OhV8o_^u4cyLLXr1^6N`ZGv|w
z82-7g(-7x29zC2^y}EdOQ6``p>6A-;@g6eR=r#St_LHlg5+-j6Mb>Oes8U>jlA)%m
z{BaDD?Jf^P(@lU^QXsWHScp)zRg+fZM_lPdPInf*wIhPlH=?DdPvyr@y^vai(q{>j
zCgo)=P&z$d(*2Y}r~jYZZ8+0TBqeH>t{2R4sg`Zju75{A#&})2kptUDsbJz&-zV=F
zqjK=6aaSG{%p?v%15SlOIs1OqRe(HBl}y+?P4%d3;cI|LB+9oML4)WV{wp^a5$Cuq
z$=Y^6yg-X1!*w*=GRX7dge>RfxR&}E6slXdcg!2yxu>QT14cnk8<raS)t7QpM6TEp
zaTIoF*h(tm4=XSbx@8G>Cg1J@1=PlDu&mTpgrJoV2^^S8hwuJyCGovSJc<1xS@m$h
zi;@VCn?S%DyhEF3AIXN`01(e~iryH^bQ&aEL>M0s3NM7132<*^)q<-Ysi0!_i>K=e
zuH4LsoYAyJs?crRzhS0k5nOptK;CsZWknEI7T!dL4|Cw*wbGh+<wb`dZ9=_-QX8S@
zA$yn`#~sB<a_oh@4MUA<Wfla*I`M&W&eWr3%zoW;A+yWBQF{alGb;<zkL*OSD<rwR
zJddgs*H(52-qA#1h#h#*693W8gcAM&kgYfjRij47TI7e(a6E*a<~Fd)`FYd-_TI)#
zLjj(y5X|lCE-Wz!3kA2RU_}%@h+8Gj=_9LW>Ql^bn@s{SO#-=10y9>AJT`s?YOf&A
zg~9}`j-yJToup%iMCsPrk>?DZUvNQdzjp5ma<FQ%K-liSSLCm%A!wPYc%fW*?k(Uf
z^dJ)aI>b?sE&PlP&hp)-XTBoF^n0z4GUt{>`!bcfKm*pR0CYUr)0~IEy+GyS#!Xjr
zp@LgHR=~gC;`=Ts=Kz?0S1{`4xh}LEuSn4fVeN>^#=9+l=y2=zlBr<SyyTp!9M{@w
zurDFUvQGU}DqkLZmGc4@BWYJ;!Prh@`E8Qvx^mTaN`reIU+{}H+qwHLRUq%FdY%eK
zK=~b|RQaYIDh)+Dra4OxTiu>52Oj0$48Mz(?`9vZ!Gb~Z3!=DcTkAL2C`^?D&%{A#
zj=WY6H}zU&U1th;`6uj{pzKHql$>ulLz~Xe@WuQAIghrP4!SWPa!<%66TiA@=G_+?
zSIR5H$pDlS8|pVWGry~sHiAuWg0-OgI5J!Z;ahS2`q^r&aAz=+I6Ns5F6lUYk=4(W
z&vJ`L8_6<?ln%VHt^6FBe&`Eu8|-rtlf=(Bb`|x1AOyfCz^%AaC>bHfkG$nT|BDW@
zPRdW>z-;0UC!!xe_i9U}T&eKe`KNmpQaq-1h*ve#-<(kvCy>es?`I!xlU1TqxHQ-b
z1NEyn)=x=<p3560Hsn)0(}mCPN_Cs~LnRp(-4mE#(IzYA1)5`t!mM=W+fc)_;Y*N+
z2ydIjvAaRXviD%`oXn)*;4_qjqtCI<EF0n)?HZbM>59v0<%795CZ6Fn7;E(SR(^hO
z&j#a7>+9SVmBr^tMiQU8FRx;~v#$U)NwuC;k_LKD<*9GKNirZg=>}t-`i_wIj)iAM
z&)9U&*ksRK%9hKEgRSE^)wQko9DbE)+CW*%_*j=e)h8a%`R+u8hP&8R&&bOl`fgas
zqu9<m`YSB>g@+>x!~vZy%O14L9&l?P+R<JUQ!IsNLLw5A<OjyD1#iF26x%hKB0k70
zca=LWzcoc`QdHJK2vXSG3Y)h}T!n~czWp>@w2@?1x(Owy_0NfZ4ct>oL|*bF5->vN
zJ|Z`UUv?g>Yh9Jx9-AkNI_f)&8eD4%hB?vjrS~lg+c(-RKI{068aOdv6=@wZTu$$r
z*esQbtYReW6<omh&U8C=<G;)<-fc>I3@Gg5z<wcDhT419YRVVk$b(Mk!w@iM0L`hh
zJM$5$Z$vL1^a1M~b!Vd`IE23_l#hnk@epehakz}KY#d3Rg>|*@{W8c~A&f}C-a7i;
zH|9BIhhjD57s+<6w|}iv5gw3aqY$Zi>R0!5B$%q`b7m|3kBz3nMXeu!SSFfGDXL$%
z*zmX^jYwt>D+L~g2mmfh21p7^Y?XqLDn%|@Vk#9Gi<?D}$(GD_)>~r%zP-w?)+T>z
zbHD3|f2lp7_i59j_DE-+|J-MLp`}I?-o|b#{hT}U99B-M<<U#XCd2^F6-x7%frbU(
z?w4VwBQd-K{dC5IFOT#>r0##z2jftJ1X)R{&Ed@|j9Q<b^h@kPdA64BAhN6Zf$+6p
zA}<fNxC7GYTKGaGp4R(Wf`BUhPWY{Jm@k?6hPP%%Ws3h!Vwt_>5BK46B{}<T?ILvD
zD@V)Vr;CrhxU&WcH{U{McocQ>U2Oe>X%a}nll-10l$%33PhNp*ug4(+6j_D+r4wRc
zh7w4ij@osV;*XfF>Y^{ckHm-6&o+aT%6Nc~U7M#^<6x_<%Y|fXcE>zEU{{zyM&{wD
z`AXFLy5!<`VpP*KjcC(bm_mAYl)HUBeD?FvriK~tnQLfN_!egQZRitE=Ib>7$L6>T
zszr8~%-suF_jI16nZNv)>nSV~zZ{v@aCeO2(VMvhJp+N2y=FPc>^?rtC*;>tX3YV8
zH>V}=cLl#KFRKZ3w?<1$5nQy-)hBQGn{v>}-W~9<9UTKTHVtA$xhK*nGP*zG2;~rp
z{3y}@;Xbqm_>bpcDCIpguN?U}PLW3PVdhX%y4`9N^+ok|LL;Ii&w`9k;BgW2%#z)?
zBxw9OvJ24d6J2Fp3&&wT^P^Vifpxjp-%MIhRyO^#GYLCRPIa(GmcrVe1uM%*#8-_4
zu!y!Exmy#jSXK0gX*#SI5X5SV8NW}8YxRSBwH5~!2Q^O}%1zq2<x7P;<57$n-OXJR
zh<+`vXGwgdIf;~Tz1(!9WK)x5_X(*H+9=*8;EK6%g2ggB#EYRj_U-+-JK4o_o}$60
zsNxbDOSW$*lA$nLIxpl!dU5o(4)+%bw)x(2G)8BOft{yBJ_-k!b@4}ejHRc*Ar(iC
z+dFWQn*9tXnkJbATDWF<KIB)sU;^L~IpY}z#n`~Io4%Z$OC>xo((>7UF$+R5Tq#i0
zdHc@Co>ODZ==wXqdFF1kQ}%S8-$kpAPZK%eciBgqNeUX{xa$hkvppaIpP9^9V>KKt
z3t(Z42wzvh*%n%||Gs*%5n%XInl(~vJ0<Vkc;`md%r8iJp{1%~l4lgc$`Mvv?{oV1
z8cuMjvD(45e`H=64R4M8Az$54Dx(<2h0^GUA`XyKIU6NwH!5AU>^-sQ1{HFt=Px9K
zIAqNVPTHi+!(<e>MHa|(QpzwfZxg_azEV%lZBhm@V6K;s45yW-mSw`@CRO$oH=lYa
z4z(I~K`$i$K0(Lm>#wj3J}ikT>u>fePwaAw{Uujjv;7?*FFDn0r9=9Y?KafwN9tA=
zK=mP6?KCe(CO2*x^$?-ID}k9w4hMLopytg~Wa*p8caSzkLSrnK`Fp;TKXnT``SB?c
z8<&mZDI&`57A@7Ib#;|wjGIgA{8SH{QjTndDtgpuFw9TW4A%zqA@LPKO$uubFQ{cv
z`Msy4De-K`_wV@k`G+y>S2ow&M~|{6_`eJ5I?#0dzp!Fy1yr4_iVC_bS$@$qIrHeh
z;9C6t9ThWimvjI#hPs;vDY)Oj54btM^vzc=kb9^~hvDusGhwYZ6yD_+QSHBj0+&{i
z<?rwF(}^|3oHj%%S~_?yt317_uWwAIV0pqTN?NOOs^fO{2&gz#9zM%`V80+$N)dSx
z*<{&HGcHF;4(dVwyP_67JYQ}V(7o;#@!6({j!_MtT)SW?_+U}5e1M<IopRx@XiaMt
zB;D-RYH@L&fiXFU^YQ)g(DuIQ4rE@ay#Hk&n!$@!Ce3a65S&-;#V<`Jx~#(FTLa+R
z=X&$QJwn^{s=sH}#|=fA@pxBXXCuy--CF7`*g1XNIpr{Omswn(d8vK~m1UCX(4&n|
zZP4t$zbF^vNSz#8){XJa0oCz$0{Oi*TSk4Pr+<O4w~S=By*^qm@*1{$qHs^7E1v`?
zW->Tqr&_2kWUmN&fudG?q%vwL#2ZIAjDsH5tqW&ir?vkUmX>PDQgDE(RZWX2ZSdRp
zYh<bUz&y)RM#n9g>y5$amR|x%HiD}iG-kM}ehg!~<wP1sl3DW8bkfu3kFNd~w<GI$
zK%#8G57XAbQ@>=xM}tJ`(o@PxjazS%CE0Ust>L3G(sJNJvSH%|A+=wtDkuGd&3HJ*
z05)oP`=x>&G}4Yv10yeT`IK3TLds-~!nac3)U^dTH6u^_amT_lnms!Q`elYKqi2cZ
z*-6^@a3)W}V0Ilcd*i9j5e7=jG45WER?wp!2b|DR2I7i76%d1sCtZg$`91x|oQB%3
zk=yH2ob2kown;SqEq?Q1mSA0cnQ9xEN*@{p3eARFwyfgvdt&MAR;(rxFT*&k#F0$&
zsC3E4@t0Lh|EOyuR0F~w{tjTyS!qekQPiP|CSkpUs^D(GWEWnt<dzs~8PK7;JmgJl
zVs{NLZ_ZQDinDJCy+x7LvbjdGtey$=?3jXkVK9}2Y%~3RJ+m#IVhpS_N2uX;L?YVw
z1wRVkD|95wZo5%aws`P<w(X|yDHOfFk+%c+df?=fkG-SW;y*olJuPNm76T4%sPBQ+
zifqHn#@eIS2!CU_Rx)IzSgp<Cc2N~3<Cbxjn@<Q*m(o?Wr;2X?q7vsvzp85BHUEOV
zvWn+M4<Pp-QDvidT||Lko8SO;ivsD?(+%WfLQ6R3crl<3|2%R|XE*QRhwf|#$phxv
z0u*k}gA=JwAa#qZYhX?-c@5AYlUj5cD>^5w&DNDu`Bg#TRXiHGRvBawJvw#P9)cF;
z9F8_*gDerUG99;eA((!5eJ@b)`eULNZQ)6v?I1cRLtlXim}kd8Ode+F{+MUCKx>oy
z!m;y#@fZj{X0zcw#`L$?+6<vLqK1aMgTbwjV}4V$x=G)}j=d&<F5io^0quk*$@M~_
zqb{p;yMLDPc3eY25;h)R<V3eNRgzTA1=$eP0MaBw4tf3I1!|A$_hJbQ4U5}g`Ohv@
z!TUvJ!G8=$eROnSniWpmwBd_<#Y>X(=02GA9?P>Q)ERkz*z4eM>YkvkE8X`daN5jn
z+uQuL?~UZNnc0S9<L1_LC5s_NfR~@R(Asl}U;S8@sF;2~qZ=wPxc2lB6b$l$<8J2H
zeV$?CKJE@qTXp`3Z6lJ`V`&W|esz0+`=i4<%b9blQ`bD^w&s2(6Q3WdZgShvCxGxs
zk^1<Iw5BtStiqnf?bGWiP2KSOEj52Lu1W&u(T1WQq^f}5&SVr`r39ghON`wB{+oBn
zSq#QNF3do=-exj$J0E8Qr%^nqz87L@BmEeBfwkNu(?0eL69PjzPQKm9l~nv#^Vrh>
z4}e)OPZRM^Y));m;kG=61<eJn#9EB&!I1))2N^=T`mokWp>=>QRtCs7ftiYOoS7-`
zm*0_d#*rSL*X<XdI#u3zTdxk$FXyKj?=6?V3$5Y%*i#_r$rJ7&@0<1nRAMdM^>|TM
zdMgtK^>k5JPSbc3;W~|GQV9MYVprJjHb%j--9C5k!|4mxtMVq9UNSsA6kluT%GeJZ
zLPJ1}-2r;@UnlAPkuUtw;Hjmihd!vYE2KMar!|&lm&Uvx#P@}zrx!gF*lb~IfX<3I
zbi~&_t9%qJ&VQZk<T{9i^sYE$s?Wv-oAI0u<`9;Sy~6q9eiFd8ka!_5S-U}%@%A!N
z`+Z=I_FV-5GrbZER$D2)AA2vZCMhV8xofF4FrVG}Q81XCh1r26p0{e<%K?GrFbx;f
zC$u9#O_E0mMno+3$S>W2Z(p=J@y8B8H`fx;QM1cTL?)m|?gRw(;Bs~Hm50(4!#F;w
zFYa!W7BC@}V$KLhLwR58ewzpgIaggzlaGLwrl&mlbpr_o%8Lg(RCfp(qd(Ns273PC
z2&NOGN1KBXyz-p$Zfl7B^R}{GZ`k7BA~v3U0Anu#Lz(#@d|0jgV=vKTuz(_3XY3+^
zhL5kv9gYKZL~cbN(E;(gZ-N2aXAQ;3o@$wpJ5P&C;yFcn#^?7Y_(#+<?#8qyeEvKW
zUY@sF57%eb+<>{WueIqw)nri5ox&rBt5w34we!cW9T6MKShqe<UJA){G06caLEY`1
zk^v-2-(#cDizhO226*{b*~S^rjPyVz1BN>~x}d2*t1H6aK`T_rriQ8rJ~fKd-ARV-
z#%yCRF4=~;IW9Vt!nh~dU}B~hov(Pq9hg@n39K>1j3X*4jCRr3#ZDT@JUBlU#O|pT
zP*Rv!mD#Gj2wUn1|A~?vsR+JdfQ+{)b7J?3>9=f*wLo%1a!BvSQ_MxJ&Ps$zrA^hh
zr3~Qh-OC5c8fE&s>G%Tl`c-KS&>olG9V-0{g2neJsbHLH=13c!x1GTX+eohK%DrQ@
zM}!ycT-gtPY%S-MH_xQmkV#rE&h}UxGx+bQ;F7f&dBQ5EEsGb;$h0PzzE{8>DF?-l
zONEXWDt*t}mGuBAoSaA`IqdvxO2(xXy=)c&(fB(^k!F4V>0_|q*F?n3BOA5d7w3JA
z9w$GI4Ry+GSbg^VN|QtUmF(_N^ka8%40`lN<5(XDe?SXAv4%ff)lr<IdKDe~l#jBo
zj}TTY83t|DJg~yJG<(4@lFta=>wav}yq{}y|M^l{BjIj!;QGkNVf5<GP+XPfTykW~
zeZPpKs$*HsG+3?AA8r8zjQ|Olmy&9&X`Y9QZ?4EkBy5hOzPmD5pha`Sl;!}5GV?Z7
z8?eM$#~6GO_+93xXjmT26|1MBLth|`?9=mDd-^My>Kbuhf6iD|wGVe~>M$rJZX5>S
znncm)W%TXb(>Q*Cu2Ilb;$aGzALV9{RFZgQ9PgW<j2_*NHI5BqOdI&fuWi=b2@L~u
zV#ISneWXb#WLEV$Y0$#D>uCL^<7;-~w23H-bor;F^2Gbk*~jtGr=--5%^O=PcM5tv
z1lmJtRv_yDUeQD-=7x!HDWyHug~6+_6fgf}F^o$RBpz@3h9+zUN*|JCxfOY+{L!qV
zi&I*<|0+(K`K#R@Q~gh9J4l`8*O^L;t8(8lg$t%N@N%Pt!6;!WuGQfCP|t00k1a*R
z!1fuFZl!&GSKVgfqRcz@$*B$SQu>1>)+L1nTrVGd>hCuPu2$mJj@}D#gS)Q0qYHo<
zb5BtA6``%EvGH=npm%d_QOx@#FizytTyy*uwBX`M=vv9J<P0Vgl1Wp)cw6(_^#og(
z(>iSJ6m-#eChM`{@X(zLW<JJ$Lv?C&5BRcp(Kc8VIp)Q)*W%lOs=+W``PZK^@$aJm
z&&0m8qUcEbm1F*xBWQu*Dv?>dG^39|Wc@;%EtT5;Z*YxN%^5m_Cbn0e1=0s0WkOEn
z7G3qJx}QHSYzuauwakeR8C|#~+xSHvO&!JX^h+_$zZ7N<vPqgK<D-QVA~!Nc=IZ8}
z)XNl_my!qx_l8q_m)u-ej@uhB50OQeaH|fz*`TBFag-S^$w-!35soreg#Nu*%6PV0
z{zLd-XK{Vi8X_5e%;nm1oUkhS7sa+)$Ff;xmDH_t)Wotk!f2nxW=)#y8DK1rc(p%p
zo`~0n^28d1;__?o{$R-Wa0hmbXo*v7^&)zd^H%bXJ?RLlryOgHhR^$t;&1f7{#;yt
z`I?^>osA#pj6v&OND(7A0coI$nziT)5XfDQLjvcEo6jvw$S4XzZ?&R1@-1Oy<IBAE
z2gJ19wEx&74R0<%{H~(?pJU-;m2SK7zRS3t0TA~efl2lqyIVa8DyT<5P!UgO&b2Iv
zB79I^a*V3s`c-v{)Am9!X<;-*6%?irfcg?_WPOpK=zQKHm1lSp9!Z>YtDn>u^`Rll
zIM}53I4kRB(Q{GB05%_oU88J8e#PuIy2&tOQjrO?=IPe@UCw;9H@GJhQ8w0k>9X8p
zA2ddkz~bn&9F^PEXISbN+s&SGNYnI=L#+KYNzlv3UU=-IP}&j6WAf6ETG4i2CE2e?
zdX}giAm?j-fmw)!Bi`XiYXtK!nTub)^->NdDTW^+uJ|CsP&3d>&Y0jVb}L~_80&DB
z_1fq@8m@vBd5dC@%^PH5Pnkxa+6Pmx9;bTh4L^DY%*kh-&O47f$p{uWli1WW8Opcw
zNHbWc0Y^&QlZ~E_P)o8d+&QvxR*eslRl@W%erDhcE4i6o0SUkyot0CyekMwUp0vot
z)UABnve;jzBb5|RM4#KTF^KcAs#MT6l``C9gr!dqz<dfBG6!~Uiu70y$i<YaWd3@4
zc*-_@Ln_4;R(%t6-PqAmao{7?j{O)B&;r>L`w%re>{DanN=z$Cby)IYO3i;+8mKwc
zM(hkdX3|#up?<iMLeM4hVdo7*wEE_7rFJTI%M}Qdum7#Ol<`d?!)-1Y&gb1nl4{-X
z89I8#X;pW)jAH4rmZA5wcE$4pDxvBi*PMW2$Uds!)HSaDV-llWeX=GyoFGAHu@Sy9
zFIPBaM1`9tmpQ%J4VC5A_f0Ii@ie~D{m1@CMKeE3xRakVqoSGJ^J@$bG;xk|rjJDN
z&71w0**1)ve-vLbNJwRj<F9ujcr}!yk&IQ1%^RV)$ZPxZe{lN~r+i|!vf)flK+Uz^
zR`CYFj!nOFq|Fln^^>Onl2iER(OYJI-EvmDDZT>QTu(TIb0(IABeViTkk;Qr+`jGz
zIoG@|U!I*4pyEvTu}M#liE&ehP9CqPGgF}-T7|xl8`ZhfL|zURI@xHJ|8y0MREXl$
z%e@^A2BoZ^tz^SAA{!x*#PUD??n2@Ib7(N$Q0OgYEiLBh#}HuXqQ77;ZUy=s1-LcF
zf2d@6Qh2Pe=anSeP;4;PI6dXvey>=3^qx-9TlOG>XZchzi6>D+R55y0a5s<5R2_nj
z)QLYOOfrmnA2izk>~8X<f8bOnPLmkN_i|H4%!Q|$km*%>qF`KpfZg+EcB-$ji#kgJ
zS&`*~6-(Cbagu?d>7k$8;sRr!$GHtZb-;)6;+N#q9GBmgdXcq#sh$91s_0DCysG-*
zQ_}pXF!@m~tVyfbm;<vPj;>M${iL(4K-~DQrvBn{0F!cl(T11r_<}?oG)<C=(UQ?g
z<*9B|jGJFf+Yb`P<>quJapw!IIX%@qB+Zdku|+xO@yY6s-IS{&(_ve^MDyejhXsi6
z$S)=ql$7x5bHB*kaH@=5vRqC)FYMyR=+^AiddyBhKN$=dsFsC-PJfJ4iA%od?IqpF
znbV9YHdN4v3tLju;(qDuV2u3+JxAk36+221I@W0~<}ZWu7<?p}Ve`RkKHPsN%{uc=
zugjf4n!Voy@xG&+J1N39Mb=JGLz66hxX~^T4h7B{>}6^3lTW;<rKdScYu^!QV7)G?
z0}{9w+p+ch!|$EB1>mcHe3-Xiv)%sgL>?!DPm(MqX=;yUi71#3e}PU7-TAZBURT6D
zZ|mFw8>r8Vpfh4gDo!Nf7gd}6h*lhprmlkbf@k!DO3t9sygUYtQUdWJqCTwnW*TyX
zwC~WKfQ0(rkmUv`_xBLoIuuxprM(-Dpd3bl1p7DGT+=Sql{u|7|EgxDZ55IDd?_sx
zIk}`ph_RPOqXOJ9{!J2Pv1uu1F(QlO`F@tS$$&(YZ3Hsz_nzcCSBb%A<n$1MfZ1Hu
z?Z=e7Ux(@ps{4%$GLuqF@~GK(`MSy`{@{}J$tgUxPJ;i3q_2)@^8Nq*n1Cn>A{_!F
zrczSUBAt^^YJjw~3;{<fAsrhHV<??NVst1{BQ~W+3JfMK3`WDV@9+8VK8FMB+`HcO
ziua{<{WlA6M=Ey%J(DYP_R3<aKVPI9Fdgytlt-hVGqg9Ao>U7qTIIeL2zz5|+87q*
zmhrJ{bk=y_ZS<2#=}N2HH5wh=Q)fSWtT+_0J_(<2$qF;mepBJsZ})v09BuAWlT`By
z)6!LO0Zc4YsUP@GG(AxqGHX94cHG5R-{WWs8@#`HCnp$5wg2U4n)2FO%G(=;nOAow
z)<n_%P&UeBjQ3lRA24wp_h?I;ul*UGxKVJ8;4bwX>vD~t;PerJI~@2lr-j^6SoX<k
zfAfqN0@csH)}`Q+09A>Lc`F%q$T)i=5?9TL@qs5O{GLvL>t3f~m`J5faj@(}h?V<;
zAfAtw+o1_&rYXGGx`dVV<MDlj*_@e)Zag}g67JPIJ~2R2J*-tPP(+pgeZqdG<c6zY
zQ<1_-OXUggV?Q(@5O0Tt+X>EEjjG>i2|_k^Pfgylw3>9rIp3Tjfoq+8Yl#KdHlYju
z1$tWVs$d^N<)xKw&(L_7w|lwryZe3N45bsHuT)MT@Gtr`?gggaEO7@xxU@XHtf{J6
zLR<scKOu}V)~(w9!__Az0tXjETe+!E6qHoo&pkUUU-p?vW#(FB;DfZ$>+0}n0%F%E
zr)#fp@UE5-T59@fW)v5yr8;$Dt1;!kz9Kk2ca;XAX`lNh>uXSa6B5K?-FmLR)RHcg
z#48)cT8yRb2Lk7gK!iV9-dEowKyC7)72t&DP)gzzsb{c1Wz(j6<R4=`P5$l)z*RTq
zoEWuM{Q~iWrEo|y$@oq}?Sl*o-k_Q+M0WI?IWmVx)iw)*x!Fgj?R_j`bPp=kyfOTy
ze3s_bwdrR!_js{u*Gf)1Q0K28WytA@itZ^>!u^b4PF07THfhI&S0uVN5v4cp1MkIJ
zFo#^bf#L7V<SPC0J~)StG)YkBcJh<WC6oYU=jQvq>(P+;mPCYn;y!`2wt>Wl*r|7F
z-N~94B#Fu1((t0RC(CG7mHjp|2~TG+?^xcDcSFCc$p6}5lK_#KE2^32G<C|93_cVR
z0exUQKVtVU+_K50YwCmvPAZNtoQo2py1yz_NW1Zzx0$@;`wdOx>qe^%j`WBTGuA&x
z{9v`G6i7Dn+39gKw^wGZgGI+o_d9LFxx9e6zLNBa!yb!Z!664ou~A-k@FQWw7H3s=
zbkMIL5nuxN`R_l$Eg;=#b|_4S(cU^~(P?eC2b<0(GT|%feJ)DL=fcr$X{q4}|FSm%
zZA^)Kg_-in2yU1nJ6sXk*YL<maHH+dvFK)awK(*!ZXGWE(@(45@YP1wtxxd$09o4)
zB?tFpaas_QyE-jwCjlx8a`k8KEw&In$C;~$tj!vd;{8Zm{(p^qRHkEB$FEu5%D<_z
zV8N$HOgBW&q=!`uLLGJ22w!?o%`b1b-8#~ZZ4aMnb=Iz#!<B`UamnY3x5)>Ay7UBy
zeq*t<!yg95q=^R><t3RUsa{JStvH31xpllKJA0wuCdMQq2}JKU{nU8<<f`{>>648B
z9`AG61NAM-0N|eA#Z$Zl>#8$==<IN}(O$3^GBueP94UtT_GbJz%~|(VMm(#Z!GDd)
z8~j`4rU2SggLoER=NUlX;r1l511`pNR4#IgpXHytlM)X9yArAl4>HN0YE(Ct{Jzy3
zzTv)w;{iC650Y~cTNapqci`-{fnt@fPCOgcA)Q=viNT9hC5*}GM$ernFuKl%LOemQ
zp+T$~v70jcwSsg$$@J5xbr@LR$hle_@k4^H>?c9$vVr{lvx4hT8X)Rij?Xseyw@!2
zoVeRu*z<lG>d5!HnNr;>uPUTGjKVS8ldEc#zrtLJYBxI>GqQ{LI_fw$?+o9l{ju{1
z>*q&r=$E-jW0g_`U2fEB(~o#}coqE+Zfqbh1jg4n{jXTPh~rc%+2m}L$oycxm7iT;
zyNnYyjlw+MHjxp;yxj=<J5AJKuFe7vIVRQj9h;}xbZSEv1s{wzr;sRZFgvAU5)eDQ
zHA;1l*)!m%SK4%w+h-ceYt-@W9ZAN{xJ_ZBsKp&BHMd#Y+TpoO=cq-dHO(72ecz}x
z*vHwI*|3xy+SfYe7sTg{j7+#^FXnBrlxx=_jumXBd2j3JR&6W@_lhi+mUk%IvOy_|
zX)bGENtSF$VTuo(Z395IW?Qn!9W8M{B%X{V{2KRvy^$}XCaCk`62qTJtv7qa6v|y?
zqn%SF0!mboRSP3*V^|qOt#U!PCHaP{N;*BLf);52GmQ#cm$h_>j5c16fDOw0hHSD5
z?KQDqwyK&xc-)-_^Fk5IZ{R9xn?DkfMp&&9W7=<$xQb`#6s(}Efy(ATVc?4eeJ=t_
z?A=aukIoU+Kk=>sRpqjeX>HW9k8kb2PFY;RzR5xGMAZ|ZRXeq)8lBz-zuE<S(@>F8
zW-{(1lR~c%+9;2zRQ-6bj~tb0!plC!S)FEHEo8S5@iQKuD{;$bTy9=@QHi92wC8(%
z4|d-A$`bC|H5jnKK#js4{q&fU{^;(%;HXasEl-l|#FHo5f$0kT&h<Wi$v0&A6my({
z_I#pdxKE{}6MStDei{`QBcC6Ap?cji%)<A`g9%?!HTZql31?f@afq+u#*<WQiPKle
zZFV0OWvY)JIwvQ~mpA5j41<wY60ao-HY6Vle|f(W<-3)O3`l6JUb$K_6C`_4Z!+j@
z@#gd2Y1sSrsP|SgvFBdC!)Z3vPjhrZ!NDK&7scDnT>j&*6N2D&FE8?pKcsqTb`iYo
zDf^-X?1n+L$^H!;gJO=YUt@RY;ZHA1HX`bxHTX74(DxDuBl*h*zWf<lNPg2Eu4Bgy
z)3V%2E6L^34C~SmF?{8KAa*-jO!suNVB|%PbKxvrX54W+EvyG+-NkJen)dq-se#xz
zmPVF@ysP~MdUsdzPvr1)BaCVs=r(18<~X}~3vMsAQ3JS*r7~ln<o`eW&%EHPyU?8&
zXg1{K!G8fuJI^zNoPOVvJxiaX&U4%E9CNc9qNVvs98riDd*W;#OX|B{<aPI1(knZ!
zz+4*}&WD2bYSt>t`ANEP=nWT%3k}DzcCYqf2Z;3xYmU^-tfT{JLnOOg4mMt!JVbRv
zu1&=LGb%oaPZUI7SKS1--Q<Qk{_;F(2C?N5F-fiXj@68%c~-rk?l=a6)R;#sx$}|B
zsy5_tu1RZhdkJmw35Zgq&tgF$6Zd2rwLP2`@aE5)8AGCWf-|>hNUi%5#R0lq?*jWh
zI8U;Q$Q=!dxZ(bDRi&K@5M86MXkKZRs#kLZ>*IP|9n});eRS3nqPjNrj-~GC${)`D
zE*XH1O^!>C7!*bti~z`zR6fyp$w+Haii>ltIRQg+ZCkE$HT){4CrLoN^}wiqHR$zF
zkQoKt8IA0mU8Ta?_(GMi?tX>#n_IopOohp#@e2n!C>ZKFa$dynB1aL6Qg&Br4`$xp
z#H>KaNLIDJ{m7`|bdMwC#xxQHSDbkM`oOIanr`cP_;Hf_PXXpzlcIP=x%wHP$CvXm
z=)-(0(NO@OqEx8tWz%SYYr@QnGA+;!WDnv-BmY^y%y13~An&{tIcHe(r2|RTND24_
zs&G&L?8yCnI(nqw7DdoX9_@$4RKSQMS~v2%8(pYtDrV~BF|4(D^q?#)5u!Q3nY)%q
zxpia=Y^KP7S=rQpH1No7E!z$K1vfL7#2$qBS`!+TVV4p#q;MC4jI^)Sx~qd5t>&71
z^+=7^)q9h0|BuraQj|$*O)h<@?v}S=WD^|-T(>1HtY|guEn+{_uWHObPo4A!uR<?@
z8N{_n92$yRKVM1q?_V8VJkjXn)`T35{cVFcd$lk4Xkm3rt^Z(HFy~9@TVtu=a~&3h
zET3WL_Gg3j=|w%rvBei|N$YO?tF8AZmAZQlf~A(G)0)V9R?S|c6vIo*#tB&z=ZsiH
z64!)F&o=SX94x2B3Fqd-9v^B_Kv&x*u^qsWgmF-W!CCkNG?{V|sg?>(7*0FM!J&H_
z@47hDD97r)aMk&*^#E2|a`91RhS(pJFyij5_aB1%bf(!CkJ@s?#8KlIJWR}+;YAem
ziY@u;QDv6cAM5m8sM*N8){uIaND_}9$C%?L^&@2*&rlRixYQOJp(>;VH5f2sm<)RP
z<!A5$kIT+u<sBZqqjAjQky>8i&rNj*<h1SIblz;Z2jT6&;WbQk0X5023t_<GSun4%
z;+z2J^CV_1v9+H?a~R;NoeeLETtDngQ{|NX9nYf9iK(1gSdw<4iWA&N@x!VT!Cf6<
ztqpPiU@y>&5O&&DrRxB`oLmu1LA26O^2HlL@T@vOs;HsPxU<ZrM1vNSyZS8j^T2<8
zO9SN0PHh7|ZhjQso%?D@Q__swl_tPoQ!By$QCM#GBNsr&?SCe^(ISS@0)g|Y<Tv$V
zEOT7-y)eX{1Dx~%9zGukAciRx?YtmjB*V}k*!QB76GkX(fnP^LX|Q57_JU`>*{`iL
zWBR<+O3Jj7_ivNPLzFS4?R4LOSl$kKm&5H5I^JTfo_U{|q|nv&Sxnr?Q@OrnUL%uJ
zi|){+dFN0Be%}X{i*jZVaMg)g?D*@3L56E#*=fQp%=?n_N@nigJf->(Nr3JuoK~dQ
zaoaZV+*Z!8G03#1{Jw_)@6f2W!O+~)-KybD`97i;+=pe&F7T*%=p2e*t1o2f$P=sP
z3ryr2T8)TFdqY;;kk6>`&*3;rB={bqALrJttekS}3zgg$-$5CejAbezM#1v|<Zq7$
zqlecEnXrCbW<SmBOh7X9{Ej;B$f{+!2P%#6r{5TC3T|b)b=m~dntzcDYq-_@CHgEy
zsJI+%FM)gGlA@a^{fr>FrwPA?DPk@cbpzhJAzPj?eaT<!)!}{j`wU?^=^Kj%L}zx<
z!dQ-W*RpKTfTvdF_DdLoRz8qd)^U#9kU|RH+o%;Bhi}OzfQA^OfoK`XQi<ypS?Vij
zBK2E$wI|Mj|5k9U(P`>gl#WSRRU%JFmj0<~nZhM<fMlvApF!lA#%V*;$X`x;Gd3V)
z^1|Nwn5voDTe<%R$!kpNvx@D$u}S^T|KQ^SeTbv42%AQkEvZ!+`R?HEe_4G_dQsFv
z(+#N=L!vXIZ#aA4T{elFYbJYEfQ(m@CWE$HEHkv_fhU~#Uy7g8!TWxF&U~Cx;&AN|
zyQ`rv`n2pn{vuc%Tx5LQ0+Wl1v$*~2Yp~8mB=-z~e3lG(C-<M<4UOyek6?(fny73e
zhrq!Dm9CEk<fR6tM7W*Jv>wZ(u^k)6d7Xt>-Ms{$NtmPI+q>}?hbHtsMHfbIrW5w@
zBtKI9>h!ZUqv7D^Fa^Okf_z5j)4%IA_xh_{-6CBn?{+;i64VT`&7TQUMRqNgJ(^ch
zO&yG>V@l@!A_>RI)7RX44ff-u^vaW!mNk=teQfX=#P=yv+IXY%>z0k45Z8fmn$dn7
zgZcsD_0#xwqFleH@27fp2-V{zTB$Yq4bOGpPr`oN6&lbTQf}XAGAR(eW{?zwSRTR9
z=)F7}RBxh<-QxBLzAIa<>=k-fPlk<+5tbnk-%qmC5foHzWyjxDZoqgbo!;Dseg6yQ
zvBw+nvTa}84znv+vy^9|bUqD$_X4u~8f{?}^a`S`vPAb+8P+gUWq#v_4U~DaEUy$@
zVSUh(Z6^`6KYPq&n5a{P<@_iD`0!i%jt<{$(U&iOri<{9<UsxEeCyGH*NeI!kD`w>
zBEaDGVuQoYl_>PxwYpsGX`d`p#VeeN(#<;+Mu_TZ@q4z5iPAL;_4uZFEwJi|JI@2t
zjJA`{zPGoA)Y$<~3LZg{#w<Mv%qFi*Wn%azQ#bR3Ueg(e7QlR|?IUy&`=KUu+%rmL
zU+-E=*5~*Y+MH=_MFuOTQLMAd8PaiQSz?_v<(BqST^Sd$gR<VuLkCSJ0X#*zNM;It
zE3Zai){t`xY|xV?nP2cJMk=frw|uQg-4wPo`@_^Bc7RtSgB(@=(vo-|rw{}MK(8((
zIkTM<(9t)B(U!|HDTpvBdX@i0R!e;|>TAoHPc6~Ui7G$#dZ3A@gdQsq2z4L$Azs;4
zsx%SJpOL$i^&V&q?giiU@Kt~LgJ#QkPxEX_ppmFa=xI@(2)r%s^%8<N_wV+7P9dqm
zg7-3{q$M!o#760;$nwX9Pn~e75%kB9*v$2UVdPVa)Tq&9`A9Jl<UoI%7Fn6PeBJ`j
zKS?jNa=;Z@0Xjk`yBb~3hq{YSv<fodTl%pI>dIexH@!IjX75#YJ1)R<!Nu7^I}Q2f
z1G^j|z=lKEe1C(1N7MT8<QVy%KkDVWTfFNS?O)Q-X5JG<^Fbk4TRqqn@_em{0szcq
zy;HGWIK^fUK;G@ReC<EZ&bnsV#btfzh<o-ZYKmk5NqC=P(pl`VqDGJZxK3xV4_ukj
zE-hOzol{(6XnyF8KO2AV0pdH>^Bh+-(x=Br)F)KF$ec0847Kv8WA#_Q?Z~@$vA^ux
zPE%NU>SRw>7J^q2TOSP>vfdgfEx#B}zes0<`G#8AH-$)<bZRIb(Atlo3G%G`@X6|?
zYgjjaA^u7I#*koH3OPlamcGIe3HtQvynVb_i)&oaET$aj9p%P(+?@Yjmc^b~Wz8UE
zOQ>ePt)TLCCN*tq(9V;1QN!z|e8=u%p4$RVCZQt@iz=*2)7KQg>iTYwQ3d~IkBWeu
zCcDyx12q<Sqb}s4gi;Ylpv9a6;UR;T_0uGY&NC&UjfO`0V_SQhGr#cHjB6)^H=7ml
zb?YUTbngN%V4(S1`4~$*EpoNtr)!vVi+QhGw<ZMmZe#>siK!3N-FcQ_Y;yQ|@A3#2
ziBRaXwG&2vb94ZeS^3Jal|?Uj`+_n=iiR9vrsfAIpepgPE(+Di0+kDz_xXy6`5TyZ
znJi5A1SstW;*agi#?mmg`ay4jVBp%zt;_HcEri0YY#)7T=4RPpb-Jt{2oMV6q|2-{
z>0lq8xtKsW4#7D4l*Lj0%TSQd_`!Q-b|xUu?*beEav|tc0Qrk^+HX9t*26qre3|py
zP*JYkW(uFM@8{#Cnhy8y_Zk^*G+2EYC>l)=@8%>tY$nT3+Y}M@WEo+7#!f>cke-DQ
zUrcg1BXTciP%CtXGUf`fesa0qiq^?dXVNri>Br6WW9S%(=y(PUNfCDw(lHaT_@<`9
z$M#0Rz1*<f2rUCyR@IU8mXW=hhN19VdJAvQM2A1Nxc_l^WgMcFNlO*q+nJ)Ve7ETL
zQAY9Z9kXfvLt1-p6`%j*X~w2RbD^`x;&<oAs3pcU=IaLCL>6|GOYFaUAwIr3pITkG
zocAHm9>+ad413UsuZ)iz2C`{tXT6`(3yNfQYU(kYVZ{Ig8<48pLJ40SQl~Xf=-Bu%
z!Qc#Y2sFzl;H^!4Nu?}3J6KUvAPLkT#&L?`NIMEI?FeqJYJ~0Z9@E}PK;*hc4l^_1
z*QLGe;JRD9suNCD+4Ugpd6hRIfSfJ2KgFdY_RxgLgfjjd^$zXS53hfCCb3vY>tKT2
zdM*se1j85&rIh!_3U~G&Lc2Y;cY({5EwG-V!e|5xd7a!Y5v%Z;Z5#|M`4WJ@0c2<J
zpQ!mWsy^1JEWvqeui|}}FnIXp4>L1i!NW!OI~*8%Cc#WK$2H~EN{xd=g>VHkI`iNA
zDT|*+c60jrSlpkNISLC4s^US~>_4M3*VRXTwcirjY4{)(1EQw^E(6s-jAF>ljl3o`
zI(@M8&1^BhZMFP=E>65qvI(I2=QnSRWZxSf1XE6}137s4=Hg@VhF;!b=MQC1#7Xit
z9~Kz7Ei=;hDqyFAvB4x>Lyg$o-4WXRIYRa?BG*Xg@{~bj4lc50E<-AbaB&!>ROku9
z+BpMrXvzEli>;?BgiDWQ)BkY+59GS7Mg;z&7{~b5{S4Q6s7J6xIdesEGe+Ygb)NXj
zUk4slB|RTO&~w5a1BeOtrwX!3?{!cJEOd8$EY4U_R=pA?Q`GjY8e!bg8Q0{gnfYMk
zL)4>;1X?&sV;df1+Af1uHod?4BgU?m0sw0opVn0pjVBAD+vD?VLh`Ar_BafMpLa?S
z1B>6C7M#p?OYw^e(C#Gf%{d)V|8%2H=Nk&|7e&c?2@ZK^V^yhBbh~EJ%20H|ItpmV
zYoonOZ=N#EjoMN5XHMNJN0Y`p72J3O4FqJNGQYpg(TS?_xTPFsyFcVVQ21StIA*KU
zx%s40^69UsBNZ#;tIkx47_YLqdZkU+TT1#-&I%#Lp8dxYdtu^SOa(o=S~=2tPVU3I
zu4)a);KZS$-|d-+mVYQyBR1KeLO0o$!B2Ib8U1BAe%lrOgqVD_&UKI;T>d-m8Y6tG
zeMCoeI~hF5LpF6uiZ@*h4<mq$tH@s@_vB9NRs)Q6Y2sb2Pv6=&38EBZHt4RsSL_u-
zN2Y9PHxczsus@A%tA7v(eBhAE-~C(n>ioSyg;(lT@z>*{rF<nYzzy_aE`mFmgU_VM
z^J0sppj{#FURq!Oc2Cf@-!n(U(`WLle0z@226Ua}%4@g3o>@i)lNOp;+#MTEUomAH
zJw@bqkAS>wN`J~ZuhIKzCRzRkrwn`ehkPzMT73f$ZRg07*=C9UlkHxO4T9W>x$AdD
z9kLL*yM@@H2=WuNyihG6Kf2&ggG`M+TorW(InDgM+i9|(a$edwV(e8gwqZSHy&CDt
z6}5l<CPlr2z|btWrTzB$#-+{{uPOh4mZ(805Z@(K<S;I1MEh}phZUo%tMs#NQ(e8i
zNle@C;%H&R0C99{?MoA4Bo1cnxetGekAAPpH^LjoH)6H$0X1PeH92C;x~y>U^yO+<
z#t*n%T~X?eswUhjBvoiA@WoSj#yq#dsntt1sCBu1Lw;`W#^-IPC+#m4CsI=x<#G6{
zMn-B#M{+7-k{RWeYcG3pYU<HUwRO%kzmPrLI39R>ac%SgO{Rt)&w^<EwNE;MYN+~)
zApNxkjJcgj($i!9qBYjI89zDuE;;brVa5<_C+>K=roC(>(7ade!iw=>^$oM%^lByD
zP>wHhj&qV-F0HJ_3%#pl#)AT3^q-9a`9Po+lS?~(1^7mnMFHH+Wt-c{O6GOvKr|jK
zyn;;<^1pWgkDGY~L(}FHu~?Y7pYMQ<@XgxiE(Cv<h@e72Kx}`$B3H1QJ5P7t5R2ec
z!AbtUA0Nb}yqS0E?q>x}2x`!Xbz=q{k`uAWiA@L+UaR%qRD<oJ*e5wxeidI(AbOEE
zI^W#dpOAWAkQKhBjiwQC{9Dqsxk7Qfz=Cp$Ki<91p52+isuS1vUy}x+B%mW4K`irV
zr?h7p$lPhB@r5D<w5+^PEn~}>Jlr5|q}4BQ##U^?>eQD}TPbT3|4YEj6_w@=wAH4k
z2%>wF#l*C@xGU+qI7`3iX<M^T_y>^sFkDL7Ii|U}|EZ_H$Ou+x8mB9t+@@Lt>2lum
zxkc&E*FzZdQu>iqz|KwEw19_spYx@mlHUgEi{Ior>;G6Ah9TVgvltHrQAH&i7$>g$
zNP=N5IVX#SPCl5=uWDh8FpEymIC5Oj;=PrTPAYSMs03>Rh%q~Px!uMcTf5@J&$^WR
zYb0tCDhi{66w}^~4;#@iLjxZdq}1KT96!Zih-p)Fui$m=ThOLRbo^)2BDmd6!5qbP
zDW|>P@kSJCT&3lM;s}4Ld=PSQ&gIUyPl^M5kYLT2=MHd9xC}OD9CR|Fd8dY$2XMZO
z+5B;8>Ov|cjuE891}(7i#@3}Bvf~1l<H=&YcR`sNdoCrj@|=(h1J#9>e-x>CrKJp4
zB6Gi{@6~&CJgk1n<^<xxBZovS1GVjKCILCGWDHU}q!+(O)EIU!-Wiv=Uksw#L4g~{
z9I0*Jh^G0HT^mcUb&%7|56T>3{~#)BSEZLn(K@%PEng4gijN!n!0duMTbr{dH{iyJ
z{GDQ5FHh4Jeyd%mvLvnxyc`ku%K%L}4|f1edgcrpn=CkXj!%;?J>&tc%mg?G%<RX5
z7PUmrX398Z0zhdr`HAL<`ALho5Ffyyj_CEAVz<r{gZI;)kpahQg5y$fvXZQ>606RG
zjhlnd4l!Avz!3OrfZgVo#Hq}eX?M0UL*ut*G$8GNEq^Ex2v4?U|1}E+ZIdm_1W6|A
zpA-_7B)XBb+6GFaonDSgGj-5M$|*={a>dwVvk3?m5com)>=R`e9N2Gb>U{90Hl(aq
zp09MCOXRpv0%l``@Q?uWn-f}Tem*`^7qgRQCcjBK2^G~mU|7eT0gt6B1$~|`t#4o|
zbH&olDQbw6)Dqso3FKtSvp5Aw!%ergm?gnn3S$96K5)f9VFN^&<|Fm_72Is5$?+1y
z7bK)=kmz-jk{}@Tw-(m_ROv(4)Kuqg1_g(`MuA5Jc%j1yMpWf)mwHQkbz^QAW<%Dx
zD+z_cTA<HpA6<x7UFAf`j>Fe}-v|uUb?5E78vX54E|&BvA=Sf&V_;B&V2FN=rg1)F
z`LuM3cu&|Drf}~<Ezi0#9XP9fZgqsMm3xw-%aR@DQcN$4xx89}q@%NN5m$HLl+T7@
zm63sS?C0oU%Ja0q!$<YoY$=B~_P08Z{=*!HP-v)saI!|SJQ^jzV5+(h@lE26g-C1t
z!3McEQXW9jYEba&{%wsY5x|?x>y}G${eO!Tc>~dmt@YrxnK0iiHE_lLdYB17G|H4I
zavXRm>U~gkq}Zp<2>Iu{<#NSk`KMqQ=hL~bOlX`lp|wd<J2QR{R59<khV~8L*K3Z8
z5fSGb_Bjt;c=HUQBk-hha4Hw>5cuH%Mt3aPH!V|BHSGPn8bpU;oq|D5p0`YTO4}cK
zh9FZ#sg*Z;%oO1v+viG><f;$fXXCJ==a1mJN>0y_tFro7TIHVp6|8kY6k+W*M)WlC
zP5!^^B3Qm!S_cS|Yn0=1dXe5-QWVLjDAMi-3P8@;PAq<O0N_RyjYMQ1RHs#Ao}fkb
zE%h0Q<0Ivt1`LQNd)x-lnrAlV>3V@VU*IutEBmKCmS{E!8B$t8kei$v@i&q`R3_gG
zbW)p^LR?m3A}%YGw0G7#<2Kdcn|ublR`%LJTKQQna*WrRer2*OxS_hH>x(o`r<#Ev
zO2JvMc9muAQg#x&err+W7+W>`CCtu7ygnVkAe7LC^b>J+lfSX<x9L*v^YwCU5I$(o
zEJZ0oFjI@hs={b@B`f15o066cyzw+g=;^p3XRX`vhtUU^;Dw|ho3tSAfF<X(jCK0r
z(!!~2mc8@KJO9pq$?gS<FDMedrjIWs_UDCbDfivZ%BH=8@vR91ldh9<uLc;oN_a4P
z{HFe}_5Vwg^bdn;U!wK><Q%znGxt*mBL#@iviP#zY=<!?QTCc!%&;3pUcn!fX8JmI
zax9iLJ|pI1B;wXN+Fbg7fR&B9zriw$tAZ+3Z{2>qnEv}EWk1S9QvS2MvUBfI2HI9{
zAxIVaI<cfv3u;sb(FP4mYRhB@(k)IT5iL>QZ!4dpQ~BzR{}l5QSEx&hqLXrk#x)+8
zbesEXp1Dvs&sQttbFHZO%fneH2)=BCrOmY8eu1k#q@R>l%UWB?{?<JCYV{PH3v%<?
zaB>6Uol=IY;k*!6*DEHCUrXlew6PRt4IDR^`#8`&Ud|<Ne|C<PQj#+8WAe*jDH#J*
z?TS_asCU4Y8Hz#JWKzUsHh{#&qRiZ0pM|^c`B(1<gXM47`Dzs60oNHzCM6Jcu-|;(
zB)P|4lIzhcP*)fyW;750IwhPvT?t%h9wxcq=rM7}HeTRfC{JZ5Y75W5vQ|ixCZX~$
z*idUS<jKQj0;qRu%S-JxMSvqy$T0q^PTQe9qNW{F##whT6#<RLi268h8~jvCeLvi&
z7|jjWl$vh{%RfOm*z)Cl<KB3CmN3m^UD2Qd=YyLfapU+lS{1sbD1xE7O}$73Rmoe)
zTK~8!*g+D%v@zvV)ZTA9omeDk|G|AZI6DTs8}Agz$*59H;7H!g+NY3ClZtp6;<JQ?
zA<<V#Uxkv)@rY+=Wn$5^WSNb>KHsPEHm=h)2Z_)hEnZeKjZw_Wh_;3(km1J6;<Hf5
z=Ujktp=P~Td2_I0J*7@nNBKDp_{-;rc<&CJDarac;#!T7BB%|N+o1u_e-q(RK6p2u
zWe-E%c{r5tD)EK`;;gI@eV!1_b9{d*7c;6MU9&Jy8r$~NR!u;jc)2CRD!cq&gE%A9
zf?fQjV!5Uuh#eXlr{bU}>X{-I+)Cq#Rjl`zj>Z=ytnX6XeeOvVpwsZ&wS0%XuLo}M
z;W0eH(pfEt6%iueQ7&e{369iZ$en_nYLLrc9cS5M#(VGf_IV}-69|SqR&$<D=8TPW
zx5~K%K|Y;WQ0gPgs^3RrW$>r`?68u-3x%oaQTzT;v{6V5VO*2%+@(toLnf^CUfYwz
zNd$n3>)%be&L7Jk`=NT%)tM&D{8jDeQgZ*gEmxGO=|2)o@;$_u@0m6JGV{+v^wfBI
zdHg0DNRvCBO;|OY%_pWY!rJ)s2!mzAj2N5_j9JtEt!e1fko2Z)_s;Q_iHHAdgsin&
z*S)4ouEoF037wk0{a7Unt~qmAG~DJoZT93!I05oag4#b9af<d=G3=SgSUjzM&>T%5
z7}AfYY41I703j4ur%MRt-BPB`46Dv7h0g3ElCqlS-O0S46W$E{a6#^n)tku;KWD2}
zsAJbDbPp4}PTKaHD$`RrS(Yu8TRMCn8X*=_;iJqjx!bN_VWPIZS`0pppzu))S`_OV
zLPejod0X4M?)_*o>1k|Rq%4}f)02<;*s-K<Xy5_MxR8B6z$^49UcY|dw_`?yzU(|}
z2>ELsPx9Z}5F{`g%vEkJ;)^Ic${~JZLNO2VPQSG-JyB!t{zNC2wf&xZt7|3OIw7cX
znDbr!S!wTDD(6j6ZQqcuNM7Ge32nO9VLZMp^~q?&Od>u1Dhs?0XbX94OPpab1)388
zkM}8g^+LizS8su>j=cgQ{njJrA6si=i57A&&w##8>hgkgyLd-((>`Wnt@}aXwcYTI
zfrVms3T_h#e`)yvuy#xIBdGx!>o#!swWM_XI57<(wbJ`Y@ao1cR9O8W9Ahm1K-SDD
z-&IpSso)d00+q5Fn2Mg+0?>UA(~RXssh$y8<G1$sP(Ncf&OcZC5Q+O2iC9p=kEYl9
zKaPE+BApXGD<Qo+=t~N~FdcBKoTzU7YQA4$*FFdF*iSM1FSw+LWg4pXW5n*=NGR4H
zVFm7Oh9$(%UVlTGM`^kv=UsrB4l5B^n}p}ELkjv9e{HJw*Q(D<^rv;h1dEtwVM~I~
z7X1M))$)};cjj);0+oi3S_O|dG0pk@^o-*{CvM@ZtPflfh{sM3R3KJC=~%w4M!5y<
zyv?<o2LUX$FHDa__Cg~T50qu-WS5iw7nULa7nUUgAP+_15cd>fPou@{Xl&Y{n&fgy
zkSj`vozrw)c7;ei4*tu{%T4*1F%CR=OGi|$MDK6fR??sANl=eWR&TyE3A#7zKRbem
zZP7JX-vxA3+lG!qy+u}TU_ZqWz@Y^kZSVhM*5GlqG7Nbh=@2S6291UGW<#tV3pRa7
zh{~&Y?L)~o6xnZlQ#z8I;CwM<_x77Ooi`G$Khqj*dl;xGUR;ih{f0P&BY2jxGLjXW
zl~;F4&^E^&UAUVv*KTz-@CP0dIOh2p_#@12EgVOHUd5+UP8D;5^~c!K@;&Q1OEkxi
zm5sjd^r;$T9@&j+Z_0jF&d=ZI!T#dn(-|7o!d@~6B*m5*q&VD9+2iCR+<P!x5}_HG
zzr&oVP4N>ie|WWEtKUFHqC+dP41ZiTkT<?ifryEM2k{>Xde`0n0Wx9qk4n@#c1Gs?
zSg@jq(vM@d^IDJwf2~ifPv^UqahAy(TwXs8tCHmc$pV3XK3boCn3nQFvo2e`Rc^wK
z_il&fjw~zV-nfrvom=^|=ckS0eg5;dQg4Cmw8Io*;`;7e>$G$+iT$LVae2`~$A=P4
zfL-MIcq>0Tl*!(e-TLtt?3^qTqA4%;KZ;U3J&gN#4e1f)MP*<T0j&Jcii&|*Q>hpK
zaL~6sp~;V0UATBBIJu9*k6+{-uQGTtq|nW4sim#w4;4`k(bVt|Rk}m@?w6NKCa4Pf
z-buAq37-kAS^OXUS#y<DxhWF*z>yEfkD5}S@wN@2n%=z&VLJv;&d^5eq31m-Q)I?&
zu7DKt;n4Bi;@>we{Ba0<7;fiv0*5v@Gp^G91IIC1(R(*XAfxod(=dF&kMr?TZ>!sl
zyjE{{+-RH8Dj`=!G0<EoJbzEeWV*o$M4i950?4Cz@E-2|pl!e(_V001_O46-ID~MT
zs~@PnJ02{xA}Y{aT*=z#cfhjL%%N8DrK$qh$v@zH=Vc&UMcfY>+2HetaEkG%74DGA
z-TxdC_-itjkouwb(|YRPYiiwU7cLN2S{X&TnV_YTr%o#%r!7<a=yHA3#y_0n(3Rrb
z$-g{_acrhl=YK}stWwhRk{SZ!tQz@2%lJ9lJsG50NbY>=t7?{)$jg-X_%$I1T=HI9
zhW>}iUD=Gy=3!so?t6<vJ?*2T48<^c!5sBxN=Z)xd3iEk)rg3|iKm0h6DR}xC?I^Q
z=lB;{M2Ng45$OF${6ctn`tXz@eGW|}NIs?M?RZTy?g8oSQ1!k@JGY*xv#%{#E7M=l
zWjPIdPVq@F-`9AMRyGmI)GGBYVO>tmoT3qx+;@}<T;Lfpe{H5~Ro)2lXxb1K4^E8|
z6lJT4HNsb(L`#?dIeb=dxH5vUTYZ2T1YJx=_G=i^h3S9KM48Z<c-dM%^vx_NUG>b)
z|Jvf5FS%zDi<`dR6Lo4|YU63Iwrp7?@-nKA7{Zhw=PzA&G#k@)q-d*@iA=j_&l&0J
zC?W2^nS)uvO^{?;h|M5YM0&i)#(2LS4$D`0B%bicqrFh5D%3AYCq9@c?yaBTe<ii!
zR3<<tmimxlxB~4)R5eeB$qEF}IN#PyG^G9}JL$YxJsnpz5%;}R3DtAG2g{@j9{zAB
z8KMcnoK?Nr=b(u4D>0PJQ)0P=0#dGQHSH7YdE}X9Bb}noxSi657tp0#%<g&r_$$^o
zxZv-Y+zK>rFq0eY$W{B%1>jQ_vPMFSxYew!eY0~7orO=os0d3NE*E60?7kg%OZij4
zjZmIIfsF?UZSuS7n83Ne4^pkC62<&O^$z+qG4Yhy^r8BYPjUJ+aVdUW!X9bt)g)L`
zP<D6-xR9%;tW{MS>M~uVzIJXfph6!{Ki=}a1~AYAh_d{x42s(R72<a~dxg{RRr_}~
zy1y^>o^^^TE^h{IP^KjT^#G>*VNM%o1~*a4iVYF8Z6#Y>y3~EC8<|?3i@Tx2zW->|
z!~bRqm-09F$?@<jSS^;AW0Pi%zF*Doad~9lu$}v!)8#ic9*g#w#rB(*ZKX#LG(s|5
z5y9MrXj!pfiMmGJW7G-rsG&wBOk0dM`96(x>E3-nNZ+_3`m^vcmc^6EO6q=XaD;7t
zc3~8sd+TkO&Vst1&aSttlz_&+7a7#6qB?r2Ha58)8EPMvem5zr+k33v@szaMFd8md
zo>r3YniWk`oq2#9z@-KWL%(Kg{$_91tbKV<;1L`ph}?k&AxT{wYw2gTd!t>v<q4a~
z7Bu6Bmb8>?Dy<)qu<x^G{-#8oALQ7!dgtkj#4T0#ov@L9no(J7AHNm(d<B3XgaFMC
zh-Krh*EfcAZ--JS>YYh^xD<vzqn#f%3{AT+V~?ZVm`#PK9c6VD)SjY`xyX8$L(5p!
z->au56yHmmzvXq#e2Y_O51oR-58^^V-kG_U-smS@)gt^FzTu4AFj}n)dN|7XFU=lg
zV|4<aAt<sh;yP3T_dAs~rZkm#H1^3l27LXaTGlz_B+J%-$A<ST1}tv4NDmbiE51o&
z?YfaTGMfBn68}D}^peSE^p|xY%+dm;TwMFRKZU}Y^QSVmGDMnYwgE7^ZNROX>L0}+
zw93Y6*6Hu1YCT=?YFKSyf|ge<#VKypCAmDRHdp>uTWO}j@C&7_vMVm`uD=_XPH^0$
z(s*)STldm}rS$uh_TIi8lwHx7E9VKvTGUz=#N73``R>ELyQ5~)_8T3=Zn5i8DI%vv
zf-93dnAK&W@<94Y&J;g+S`owSEZK?Zr1e-DzA;ytV3;N$^9nANssX+=vbuKRf&5IS
z7>gB>CK@M%l#P#M-^%|=DJkd6`7T6?%X`YpZu!x5Xfnh`SyZjT;2<By4K}tn5rh^;
z6P$Han|~t7ipJ#U0`-^;ga7eao2PM->;jbICN7icd}}kos83jXa^!u;Vzt)K0(y=n
zKd|rdTHkf_vYn1Faqwm-FjU9m1)n8<>?55Q!)RJVF1GTmb@C>R!1$4MXnA@%VF5ua
zC6jFMV<1_}z?|Q6YdzI$G?E)(r|$%}e<f!45qNGC{CPJc6)1P^tL9d-DV#&-mZR#2
z1ilQ@!SISVa%BR6)+c@7@=JR#42%uJ&d-aQ%=k8uSyZn{G?^?9%LoM*wBG$Xi|6_$
zeP{Bbnw&+eF?qpwN-M4bk)_Dv9IYiG$|H%^#)XU1J9FvR-?fm@Th;t73yJkRT;-Os
z!S+XguU#J!uD5MagWCM(MEH}%fN5@^92>bCg0d9D3YdyidGAEu3W5S7)hkor0CpZj
z$^wL}L0XRopK@=$*|_gY3%zN3@mlK1bogG}+4Hr7SKMD7e##G+aPbYnml_6$exrZ1
zPTGM5>{!ol1VtrgLo&zXvf&F=-&30BbA%GkV4KjCS7S!C>pj{Tu3VpL-U8ycwscxd
zL_sY<%7$eXu)g9GRP2N0f7dZH30B4DsDd{J3U3qfBVuTR4C|M|f2V6BT%;%HI6@oC
z+6nM;M|H!h1&94Ucpp6fWq4GGru-CLbi?qP)70soB??D9uHDDt|GzKYM{xU{FC{WC
zK$f~&OYUG%-k}zC=dR|7?(b?jh%Qom--yDpybE$yey!b-u;M~cBitG_o*W3hU&JG;
zpmWwKiKEs794@`jb`J9S?Ex8*FI6T_`M%Im3xQ@kpG9EG+MA>mW7IqyGw<s&UNocb
zz&xRZ;$-eI4WzPxvJ$Nc;LR5JteyL^%V)d!fUmDGeLXZZxGyj`tBkqwsBKs$j!ky{
z$yE_oQmr+}-!|5qZ=(@}RHywdIIzKVIsuq}W@naxr7a7k?_6G&3J1yC58W-}w;#f<
z(Y%)Qtr8jcVlA!&mUq@J=*0e-v|#(OUcwfB+?f5;bVIH)6;N@J@+8QQ62ku7(@0td
z4FU~CbVlTlUoT+j?WA_-_TD=?q4yT<{pRN49A}#=Pi3j#c5D7NMYepY11d>sz`4G=
zYVT}X4_f_F+L8Z&ff46;FPyhaVqCLO;b8o6RcQnAB79T!(<VM~Gv?sW*LK+Do9v%P
zFV#F@8oRGdJt!-GP7|SL%1OAqeq>ULB91rEE()yWd0oDTM@Hy{Gh!y=6IC}c&jF_?
zP)jtb6+FCf&yZN_THn#)%G(irbvyq7y&GYAKge_rzgZ@}z^`|~g<f}Z^1(>)J2wWr
zFkNV2sf-kD);Kxn9`8@0nA@xI|0vSLQ8aV;f?jaD1|10AIx>b2vR)sThP<pbci3{M
z%Pq(g3g%l<c${V$_DxYb<Dgp3ZVoSK>(ltOC;sheQALB}6ER~6-;$s1aCassGqi=?
zRzyPPp%kDs9}e-cj50bw1uU54sD;4tr~88$)LnerZcs>J6_&;jvgHi6I-T$*10hc(
zcsoNJtI{jhQ?5PI!;(HbX$KONO=y!m_H>ggU0pSAEkio1Y~PWFgv5Rg7WUbUx+GTZ
zW>J`8U4Mt$kfC{CIq!n2xK;a+Q$WS*mFl009{~#^3U^W{13uoz_rFcKS)^C8;+djk
zLkUlyh(MqS<sEY;EApinPY75B8tj@^3Ddl!S$Gw-sn^m)7=ve!u7d0Bb5ay-9u5s`
z%><O3qsf8*zGg))y<H&qtvIhwv$1PsfX3+trTIbT1O}}KDTlO((2$Gl9<j@##jgwQ
z)x*-N0Amo8UOVk6uV&ZOqdzA3?lP)yg%R$O^g>vik!2r6Kb&1QhpF~SiL_GYIb$%F
zSThPXUOznu_Bryz#BC$ulV^WrZIpYzwt3@TEOd;0>_2oEbD~^<P7`^5XXEmXy7aR!
zUBV@-B%|=+uJVDWr(5wrbQww$4aBO(mP+pzy_~tG<L#-HD2&tv^|l&^WM!5kr?Jx!
zi)U9ss{^Uxg>Z#z=>4s;0kAdo;L*QFZ5<spT=cHJr_64$H3nk!N&A5mAZZDZ^!=#A
z`H&y^`ffC(hT1IMjwP8`=KSY7lzf4MmK_+ccvbI`&R>r$d{=^tji7BFG|KcNeK1@X
zC#*9R!@F)ja!{bwS?N^S)X<{^H9U%Pch}rK7CQ)?_;P`(820af{$iU#^YoMmDF|yg
ztZhXgD=&SF6YoL<A<#n8dViHH2J5nn1+&xXp*SOvoGAc)qM5yTY@7dUVf?;&jGNAc
z4&&N!;<+S+Tl1iV>g%i1I#zA--*8CESOh$V?!6#%sTrbO4WX{BuKiqmfZL5zVx9HS
zLY!`D$B~0<`KCOUCj31h>F&iN-<U5eqChV0Kgn%=UaK+^jIg^Y4%VFwPrc7$gcLv_
zqFo5xme+i@d-1%@CE(Xtw2H}ESl@~!bcJz<_VgrRNRir}y~v1gtne}6c6dLZN`~vE
zF15}=tl_5lj~y*f9!>XX@{0Cpfu5ZUD37cOCzm7$?_SO#d8VQ0&E=bKL&aSBSWRJ{
z-9rC6=8|AbUV+fncCH6}I<H2HwDpZMqHcr=>Y4upGiRs<J`u!dXr&gFxGB$!-p2^X
z%Y&<vj5PEd^4vBW)FVs-MCR&vbpqXOc1oDHRMe8gL(+R+BqsGM8dQ@8-JZKh4A@(N
z)D6C68`<w|hH_8-)=u2w*74#mpP7lb1vbN4i(#?H=z9yk?`;%7uku>HKWQ^|MVoLp
zQyvt}n}FsY?yT&Y8nGI;3#R48-Pdr)jWDzlwmquqgw6GLxiP3a^sEU{{Z_jCG(kR_
z;gaxO8+HuAV`*t~XBF0ErO5Qa&%w+2ailJ5wqMv8*Mx?jpW3J#r<(pQwSAjpN}Bpb
zq8T{h-y1b)Jzo1`ZVVCs3{+C{IR`(<A~GID931CH&2>Dx{=l0FT2@8Pc4>PlyONpj
zvzdB6YK%Lfd22R9$i(2d9~C@;``1jxbQPEM20b-oJBGN?zVpPzm@uHgB7^3_RXLv5
zGqsYJoh2#auL2=EQm~yd=bc2e#H3)~QLs=m+p*4u3A6Y{xoP{e@oM94>HO#`l!aPM
zg36!Pp*3c`E$JRL@o6jXMxOdrbgsOPa|Us7_CSg`m?9b+LOz}N#xGj^iH8O}7IZb9
zx$^dtwJ7SzRGT$p!~_y|_gj?au^IM0)fDMxn1}TLEQUbc;!qq1PppE~gy7VQ#5FeA
z=3o&~i|F5JGv-;<KFOdfZQk8tkBTP;t_f%8cAAK~=sITX;6NRRTfe^}VMdAlqbUdt
ztPab#ARxX!<F>Ko2Wju~PfcR!maaQ91wUG~8uTCnii7u-dyB|DEEB({06pe!@06?r
zI<#1|J6t?PD<=d!wsqeJ8mb*NBb+BTO-fR2{9Z_7e!rFvc^7EV;0*vA-PGX&m7LRw
z-uP}5B|H8;jgcNfpr?VDB*j^_wxGOH$X7?~CTcvNvpUNkxPG+BETb_;ZFI++$wi)>
zEZmz3_}T)>pQ5o$Eg=fVuP@(Mj{xR91W|+!p_Eu+95?Pibbg84o*!7-W1LpG9oui5
zA|l@@VOTlzzdyXXHU|Wm0y<H`QwmfR_6mG_;J;_Ll`p?e2G^R1J7#$~{9J2cnvw+p
zKTF@t(a_m?!kx1zx-ylO?c}4K1+TV5=*F(I#f(sAv>Y%vnddDePeMg{ONNXs2M>4T
z7Fx6Ka17Kj@4(~4^_t7#*S03oom=Azz6NN`oZKiXy%2Wt#3_8{0uUmDCAu#(tslzX
zcpP%xF;oq=lb}!MbflY}O>q9D$3EXb=dv{`O5=k{OE;<=_iU}&YHsc;i6?c*7n~S+
zg#6H{o*N_&ZALoba)C+<S|AOi|2J8ofYrU@YQZ9BPd+L^%xrA=h3xpOEoFWBoT)k8
zDH*bKw#G*KrnXk+ycMxFV_D<b^$!`{L3&G?kJb2wYOSXvy(od9Z%1$Pi&JO&XA#Y)
zfKd1u)B2Cqrwx+0kC!jjad8UI%!MRu#J+J)JKysA$jhVgmcN)Vw4Z7?*LJQb7VP}J
zPNa>P4%?ae{gbHP-Z0M9QtZ@`<gsLtv!e=!ir$|8?YiYX;-F<658}+bYq)l9pTD1L
z6XMV{1-w@lxo-vNR|KSr!;%Wg@AUMJ4~+RHm{!x~g9B4U0jM^w_8oWZ<9=E*6Sq(X
zLyF2wB|cdJ1jRZk$CdM4C6zVhE(ZK(Yev^0!h-SrnpWyVB$aWx5uUEE*dYp6yZVg)
z8D~<Dv!o&?pKLMy*D7bKYE5XL@$*#lzO%c;uy=J;7(=Vnx6DzS45ICA*%li)Ukbj`
zN%43`5qm`YY2&xPF;n2{#$qm*>%5z2m`|u1N|Rp%Hfj{=Uf8I;40wGT9+^;?3DCu9
zQy)K847M>bi`hI@!b|MO-6|^Ktthnk#*!zel%!wL)NJZvj)36VBP<MhXHEQ!mC6q|
zFTRT0W#7#l6oovOfV+h@pGWrd$*ypgsXxlzVB+gKaPzJ3)}r{u65Nwr8-te<#TIf4
z=#z3FK?4Cb|2n?xrAXsigKQdX-kZNA2Yp)dxpMGR9A~x)S%~g49F@{I;9HAv5*#XY
zPU*j;O%ZjbA-e+UO*fy!DWHw#;E_KKypHZ`V|P}|MFE&<9+67B^5wc0`I7sJw&Q6G
z#XGbLgOq^VxL*Y0+5Mqr<6^r&ef!X3PtNv&HyH<^4A2zrdQwkv$-9%x!@%!472UUM
z!Z4xjO?K92&Fi2~qG|y9x#W4DW;@LKk_mIra)qTI_WDyeGq+CZ@g`e7E}6U|4ozsb
zWlTr)28Rlm-8`n8FR5lg>+h$j4*LPxmu{%I=%)lp1}?#7QA|zZyMVeuI0emhcFUig
zU6!DOpA(@2J7a;y2ph&H65wjVA?KJdk^^ruipTy&hLNbh!;AH&y?k6jNWs$wk5%8K
z%upPF$MR~&ubRYuN_EG@VQBUAL@{v-7U#EoJr^+!xRxuKHAM4>MfxP0`;+%XMFd4r
z60)1>+BGB=<HzFsNqi`<PiqO4L-P)Eip{(HG5%!<Y28@nX86<WV+Io~<hrbVEG{Ec
z!0I?=)$NXs74L#rj;>CgBJ_3x4w)w)8%(r%6A9oABhX8{D6#^C(zoD{`tg+J!nN&D
zb>V(4)m3e&n>KErgta{HUX+VF3((GS{Yn1rNK8(;BwvE*Ry%oRBP|PqZhqNuu550I
zq2y1*A$+*v{wj^yF^jjkAcgB**y}>P08DcEH(7HR#oO}T)fG26r#IyCU~ogl(_=tp
zkL}A=$-%l*(?30@DhKQb<v~=uXL8aBx>Ju-evUD5=~WH$6DD61n02gxB|GdYW_jjz
zO-z!toX3&UkIw$hX8mJVAuvyF3uCGmQf$sphwWEF6#1cFV<rA0c2&!y*tCh}OimHg
zUlT&%J7kYi%1`;A;{FTo1FYDn{=H3G=_@cbrYkrLFyE_4+GwX)Ucf>e>Z3%_8H+|4
zTb@UzR!HLHi%LtBaL~!sx_{dai>tIlUGlI<%alm}FDK}x*As4GjlFShj;(K}FpXmu
zPf!$pW_a^v?SlvSUaa{e;2_x&s<71Z7L+BQ;GPG0S9r>=qbfLZm~bYF^4(nTS+eM>
zjgKKHktq*yN^x$SgDv5|yN=^Q_>G3$JAu08z{Udln?bBiz5h%_yibA?vh=Wdl~nAQ
zz_&-zPWo9pJ9-n%B;>vm(aTI~b=m2iwu=+Fg|g@<H+9eIKw@wlOd<3_#~cgj-|(vZ
zKcc=muIVp&Uy+s$>29PE>CsZs-J&2!H;z=0?yfPAkOt`n>2#CPARswL!>EzJeZJrC
z>-GERz8Q@7?zVgGdCqgreNN)u5(Ns${hq1c&@<2Sb~?scpm);jMxdW`;`CI|FB6?F
z?P_j~TR>3MvvI!{Ec_q5(87=EL4$=CpZ)_2e|p}Eo6+0`x-}OOZ0z}-Oc;a1_tM+;
zg(N<(lsDQ!+=TTEVzK|4c29;%errnK@QXZ~ecBPZ5S~eRRtE*A;XnI`+~1)r=$IM0
zieZgprEX5#BF%#yo176`7s*=TnOI$(@=QeTd84UpYxDPmVj6~QQJnF6jc82vyvQTe
z_e0gOJ;G{k?X~RtDR53p>+y2k1OT8{ez*9|Ae<Fw);;irYsx0RRKORwqh_~8;%t&7
zQ?S;QK#nLL=3g?{nOD5V_M{*dj9TD9*CtNnqic|ApDfI_w`fw(k#mmo%&@wuQ&j85
zZY^aUT_x^!QNuX-mZoJ-Z^53L;sE<a-Czy$fqTsfE9|D6d76&Nt2clgW3b>pa^N_h
z&v0ed+QBJLkSniM>w}12QF`}gmSsyz4trfDcf#L1r)?|pkHP?ZL)F*@6aCB!|6krH
zv$@NEIr<|}{u^7q#(w(Tp50CznZ-95hC6gXD_-P^g#@3xcExH8yWsM1%i}M<g4xqP
z?bcM*^9v(V!2Tw2jAn*^@zyc#<&Xn^EL1_=Yt4VlUDdKz+p;o#?Dq8bU~E4(^U(j$
zh$>U|H8R^+I7WthTc!1CFvQWhkM@wnY$lE;M!1QnvEtq=Yv8Ix2Hh1*sDK53PH*0e
zb&YUosj=7AV}22tmI<?+a}FhrAMI2V3BYQuwID&i6)TVmK=Ty!p@<KBp_f&Tjwp56
zQch$j%?30ku8D7<JPu^rnXb;yKp)WE0Ve%oe!Qqiafgj}ThO6JA0CblL*t#Nz|qM5
zB<STUDj;n}f&ZIGNP2-4-oULyEoUuD=@Fgbo||al%t~sPY17ES@kQ|WAvno+T~)bq
z_qTDV)VKC*?kw{8WPR~P@n#g=THIxNsT=w!HHLd3Z?+wtdlv=`i+N!tTpp<L!?XvR
ztpn<sRvZw)z>;1qYKupZdG8PSinmf@dy}p&RRfN1+28^rcmG|)L3{4O7<~G**9iOK
z>YFj}(6x{3T}p`fP#I~p(M#{LW1s2J5()8!Tc7;0O85`@gVk3oD;5u8X#%sysay8W
z(Bo0Y1LoSzMwR~PI~F}!AnMEY-K*`0nrieJK^?ZSiPwOrvdglR;IX)6uDn~w$FacE
z&&q0;oqKzu@KY&g*VNjcX-8!33u*7jwvY^;irQ?U;LE?SZEqm4i-PJqbz!mZ^sLd@
zg+^xy0MO9&`{D=J31v1z=OrWtp0J{(h|9M<HVRa;1r3Yp*0l0EX{AKOLg9zQN}O!F
zzY!oF8WBZb?!~l`0hJ$kqITc*cF38!h%?1hwst};)ff_Lmi1{kyoCYfWooO>`anO{
zaJC7GPFjhgx|;K|0wyXa^aQh1-?`c9+^Gbz3cd&l0&Ajtc{2(|l+j<GRFk>Fx!3ix
zB1_6IH2-~vmb|{L47z)6D%N~Uo9kD9%^XjGOxsiiL+2vAa>T_Vet3EUZ0IMt)xIfz
zamb^|kfh7Md`<tR^vKyTgwcs8T{ihmNH%vR3X{udr{B~bEmf$a>~)kPlwhfuUWQg>
zfWXW=mx>b~yL%qLLSQKIZg@zh`O@8x&T??3%g&WVN+)}KH!79tV4iVno-&42B*(^v
zxwj|hVBOyqAU&haz}0K4>2)COqrAwEb`L0DPSlX}&gXmVq_HhA!%F8A^nYrO)!A^y
z=Id&0pR^hhA+v62C382ryaP7G&sGcr4l%D^NtJcv%pNriFG`E4506=K{2-kSNT(o8
zgl^Cdx!Jv2AL2?PO`w2xWdN5(+4OtjPH<1a)4q$k_aAvbB+!G$O~susf*k|V3QMq_
z>O*0!O2_!~?=i4*&`xX99QW%6Z&;7ZW^qT`oBFC1OEA?^?k_X~N8h`l^upbCK7B|m
z*6M!4Jz{y@Z@1>#$o`veW~KQGKUKAt;g6KIoN3+(&Cul7EqC%qM-G2zThh&cTD<N-
za%{HB5Y{m)J7cRgUiqJBFN^MyMV*3FqgqwK*a^9hx|4c|*1xpMu8H2V?25ZYvIDpO
zJA87V>J!XrYgmxZ%}PhUP4%Oy*2bTC+;_0l@U?bNb9jeLa7X@2eT^1?-^;Rr!G(9a
zZ9P>z{^&g$*c3riz|Cmg@Bd$7!)zO-FK<pz@EC4}P!qrry%pm?6S@Qi(_jZi6*u*Z
zxb2e%jrcKTFDr@7)*pimwTWh8-GNJ23fSpzo`#dbm^~`L)c(xckZqb-+Y9t=A`&^z
zQi7I)v+g+M@vV5@Y>KXNP5Q~3MTatca)2MdM^3I{r&<*BMI)b7AJ1KF9;L3m)|giy
zttZlVV7;)(is&FjNM-rh9xAYBAwmDqBLL;pc2|_Btd1ObtK5rt%M(rDB_enW0$8ia
zw&ezW8JgM4bb~{&Wp#>66P8v+x%3B>!A>0-frdaE7}}{FTH~I^Y^;lkd(jvQLY2T}
z{$&q$KGFFp-dGtO7_dEabe2)O`4h0{C&(fNbW6p2wSV{Y@}F!eAJi{|b5<~jO)OQD
z5e9%7-3P1{$*P2gFBjM|6*Rb%yOE(Y)i5uN3<p5yfo1=@3-f3%Nv`3;_$_igBu`OS
zR9lE@_B<)p{yADf28Sea?Ij%fe9FIYsoOCLo{dH}aZm@I-fL&+x>!~RldBC0Uf(xr
z7hyVGeHt?)+g_&gFP{k&?>`^BU?@7NOv=Cw_g+%^TqWz~;L|-Pbm1M<3j!>PkD%VJ
zs07N~fvKMJ0}6@*PEB~_u8Pk-q#ahotJpB;UQsw3pd$&^jee;++D0JSd$t?1;?E0)
zuq~&u+sLP{C2z4@yx%oBP-+XTXB`4gF(&=#kB8==C)RzNlQQJzD|n=k-l|)%{8h+u
z^RtYt=Eg>D0~I1b*s~ifB!R{H4t+Qx#NUe}7Fi?<ru(01I2eQk$BaY2>VXdStU)T&
z)j!ZhgJ85+n<cuz=UgS$WV`i$57yWSdY>L@S?-fkUG;>hSSo?3(t^j*Z}H+TKVQqP
zQJDv|G5O+Ua5I)!6==+)3=Z8s|Ll^pk2U9`au$6W4_z<W|B6054qr+U`s<Do^CYaG
zQtC~h>t6v&qYuM4CaF5w4#P#$ZDinHj&}7{>k)g6=+$RY^v;bn`Y7<fY}K5v##8jt
z7UxU#_S8bXKx|x)wYmv^u8Rb{NhGf4SIO8vn<gLrtUhmrN6sbb-?5r6R4L6Fi(B`s
zJ=Nz_O=Z$T0W?xZ@>l;eKVhqH7e>@}_1n!oIgaPToAjW@MPwEl+XzcM3Z)u^C~}DY
zmlgA84!lmdXsMC4vhHdn!IZ3&MxQrG2Fi*G0Xr}dQex^yRnm8S5*h4|aopK4Urr!(
zY3lPIV_5t5L$%q*EWyB<DRlM2+A^aul%ZD3hQsR4O{_#_4SW_XWw<=s{AeqW>O<+F
zb++*vP6U+kh%EE>JJ5o4w`AL8&kk&RA<TzN9OF3Z^T2O?!1$-$&*ey3{e1C5FX#ea
zVeDl44;~UwK@xF`KCH^8%XISh9}d^W>{GJ%y_rA#Caefclq^(pgQ|Z+5$e5frk2Rx
z*Zj5)Ca6oiruX#3gExFNI%@u1M!J294xUcl_n%CEwfG{PMxRLBs^*X;5aJw2t8Sgo
z?an2+OdiR0slKrk*7lkMKcHRVTqalny)t<gyeY<b4iM<#9+MG|wH?(GXVr}jwApdi
znvhc!7>`_}!x>D^&=TUyKrn|~WZP%UxHW&%Tct6<kPRl4j?dk{Ls~~g&m2*w1cP$W
ze7Rgu?pabOJXJS)+Us;0BwU!D+Bu?Hc7Og&PnKOz+4|GChGepZ<_i=Z@T=Z^YE_E=
z8cgz34t=(Y3)tb+*}^4sDH|{$^p^!VXna8biHE7I>z!nsCusx-`oN~ybED2M>TBho
zW%mcvK)X0#8AU$-bg&sQ#Ug$e@bCG4^kR$vHqQs{1{cleb46K~<&0o%*1AI2`y7J|
z%w^Iy=`5w&4(yASCiY3V;Ed7;*3eRsk8efCkQ7VdTj^~B&Bf^HD&kgt=t0Y*P|u2^
zdjV99Jr6gu9B`UuG0X#KrA7@p7zKg`FiIc>y~MG2)~wj{rN_ailKi$e=6T^`&kew5
z=@Z0!)y<oM8!7*?_T0zfTx(p=8sWk~<9gB%!Oz|=aX|l^*pqxJ+@7Sko|T_T9|TSC
zY)<>9Qup?T!eq+ZUpKha@X(p=I_m&~KICo<Hj*{SM6SKnqg)jAtT@t<yrwFixx51j
z1x5gCYI`o>e*8ZgaT-6C+TB+2p?aKns}kPz6mEIdbEsSZD@^{3>KWr`5@_>Gct)1c
zHljs$zytV#>&t#dJ*6^OZcSstbsUqfHK#Sgbu5!T%0M!ecz<$`W9mfoN|(38v)J`2
zZhvd7>}~X@L%kC~vki&U@77h3<@a{bsE#w#Q$A_2e*dPUi!vh>c7D$B2a-iCXeG8y
zHt>b0vQ=*PSvs{*NWjPV(RuQ$=yve9_b#4Q+i~iA+={fKh5uY3_@rYdYAats=EaCt
zk0WQK)ck|S9$WB-DtYC@5XOs7p|4ae|B{2j<y>7-s96+=H8W25Ue5?aEkh|Ep4Hc5
zFOq!|&G<dr7*?-$0aAs;`dRfoTq(jv+doqd%elNM)pr`kW!F&$i2R(Q?>CXkq<xjy
zB9Yk41idC+L2YIJS}$?VlFKTOg<k(<`3l1wc6B<evH%L8g?AH9tzND>_|9cpn4Y2w
z8mL2SdCJhMyMa*er$Fs+S2|z(l+eGwab@iB&E{wk8lmTw8pgo@goq-HNQ1qON~Z{}
za+g^3A;bY4oTf(&9TNOtZnE@NY0qwIv};Kgw%BMib@dVya9my$IJ3~2CpB0}0tY13
z@BE1G8m)Tkq$L^6y<0bz{Vb*-NsqNX;`H^?N&}B^jgm!fDBC3b5#;S5A}QF2Z|vMl
z+zgd_(>Tl7D)e7!IEyb*!59DIS5hW+UBl;G!xVD%_5|oum@tG`+e0jMgxE+NKa&Q%
zH{`iX{uFn)Y;6&O6@N9Wr1idfGWq#5#`p~v98i(*b2`J|IggJ(eY9Az`h2jt6-#^0
zI)3aZk$PwMg@LU77!~QqGGi)%Bk3z@lTX6mSJ3Tl^He*cWOYshqfl7Q3)G(kg;`C=
zv255Xg|DU5)jw@f#c0m*Dn!(a9#vb_v=bF)qI0(<Y<V04d06P^O*Q9Ijj^6T?ecoI
zE^%>c3v-1h^wnVssDV<?TJge1v)@H49hv%@we!bn;;6HMp=-Lkl<L7|%Qp;R<*<li
z@bSLuyBaMThua$#^<fG51j#IJlM>_ZlxB2sye6gdC|b6U${?gBv#IKVe~h#}bHkfN
zHbR(Jf3M+mfW0h5uK9JInQPFWEOZ{B?>11K6s6ceQuA@xQf*1g$UZ6mF0<{X2Mjdc
zATx_=3wfLUn}B>wbH}2Z9o>CmU{?6;;v759tZ>(xUBuJu6r{_>jkMWkV|3Xz%c4{5
zz0GiYo!n&t`em+ajlCBa-?!HAu)?j4R6{gcbUNbM)EN`*1rBpktqkz47SgF-uD_gH
zqLUnmwAYLuJ$sRuo7(PDcP}RPgT`6BaYXp6D_VNgYn*3dc1blLvHK*wgSHQnm<kgw
z=-<xqHRCAXIx$O+O@fuk4DAP2bkigeq*Juu=sVR4e^1@iAt_URWxTsxDa?e<5&G#7
zZiH%9+)J$uW~RSMR2McJ8jm7l>-XgfjhffSY9g7nlv7J}#pVSyyu8!58fXxTK0?_0
zSxhXJVtv7nhpTA1Y=bCU`G<ST^UT#|4a)sJwfYx8KTgpi<2vc8X=O~DI>coT<MT`Y
zrqp{qn_Y4GCTwzSlGzr5!Yzs-%}-cW%gP+svXX7k9J-&g*E_WQ=fhDtm46`@?G^nc
zvt*K>$S8+gBDV=_+FNPQ>OjZZQrb$jIEB2z|7*%uk@s^rYNw>_Z0b9!So7%)24HY{
zm+p|$H~r2~*~Svn1kG(#Unu*c5Iarq6#D4DtN}RIGJ{?G?6{@r3%O-6*!|6MdYiKL
zk861#B0?=>!$K$WpwCi&Y^eJ=LQ<CcrIC|BJVAPT<Xl^2k9X1P(Dd5|8cA)&*|MP;
zD~DniRm-~`@~W<P;#;r6az<crw%u`$7BxE?t3xwykNYXmGN!#~A~NYG)z4IzXGFxm
z3gN$<Cjfb#vbwC)?RcLFLogjGs4`<!IX(5ZN$`9%LhZ;ZKHOXd%yS_9;f3OH(IkVk
z)t`7io&@@=y^4YzllN^sNQ;t-@(Pvyjy7}1gpBg$qh7D!u@<y0E-ivpy45myySafQ
zUQhssZ7W5-zMjE}xc+@(lj_A-jL59$W5#lQ%6p2c^y%}-=F@7Lam_J~u-DVkA1ZIf
z&_GKj75TV$OXQ&y%X>K8I3N8^zVC|=d*7GXY%FzF0cHi`6lRPt{G#jucZ|WN*i=eU
zR$gYI&e)ggzrJbwG1B$YC9$37%XYtq-)rYv7{L#v&#ga_eCz<jS08T9$9yY0DmyT+
z4J-iJ77L0^*${Rlwwncr2J_xlLgq0U9?V~f`p`Sc_Uli7Nm2u!>Li`!Fnw3xA>G=H
ze~VdIais6eZ`U8&t(Pq~BNJX4w-M&uX}5a=Ef0%qS2a&mCd;-u)g|n}gB{|fy(9&J
zx`$Dc%Qe-lWSDG+{E(M1(7K9{;rZCy*o!HE*!qE10|iNmG^Pm>`y<;-!@uvw9m%0h
zS(w<l@^}Cwrj4R34g8u@Lmj7sw)1AEQH7UbfY>nq9jGRgqd`F7HQOjcyU4_m`|Kco
z)7Zxj;d6tLK)`pn#_*4yePSEQ9l}^)UBgzHmghxRp$nXmRJP+NqE&rZXFwu-(APL|
zpOQF@D~U53r%l-ERjw;Ns?NEjvw!rl`KD$tt-FS?^108`Q<+1%tBT8&74bZKa$53X
zwZKC{s}=cV6B0XgbI#_?u~#x-8rv@_zjF;NMlyGj*wN%Ouj>C!fU3XBvzKOYc9Ja6
z_kFLW6q;D2&;y)U>I}MWymjBwAqaTPo0t5zn?&EJ@I3g&7T$}Lbg=RFdw%c6xQRhA
z!(&Ag)NEI0=Gg6-u#||aOxrCSP^=s_x|^^>_n4uHuMsyZwZE`A78-sMP{5_1cQ^LE
zjBn$m|F6;Oe{6JH6lT|N!ncy$c4nxf7W|iUojhx=+OsMQ5ajTf(k-cHvj;a$Erq*X
z0F;C88MJf{#V3HAN>bD6eKTmXC=Krc`cNCW-6I({CX4G#NFdg=@z%cu9%{D&iC+Y3
z<4Rrq&ZOTgQ@*B_!)<&WB6C9G%Y!&C&9U>0Zl@;_85O)UuEPehtq;;@v4$ESbd*G&
zAWpJi@nK1SV)F(ZCm)?sLk^!1%F&G{LiXtj*C|WZNlVuCN?2}BhKGKv50$Kss4HEr
z8*L5jV>@1dI$M{+zCO`_op{XtOiW1MKuqS}i6n^t&+&te=4EZwq>|3xUuyDe_I6_N
zit#RFz|^G(i%QLtm+w>xu2&ITQ(rag9_8(;E>>oZb6W^thoAVZwlKJ+s`L&u3~#6W
zFSixt?4|CjVDlhp6b|Cf<1FYr3G7OB9c`%{GHh9BZmhA7%_~coQ{qllQs(3Quh=^>
zoG-t=I!Gob^k=9q`fg8Bd%TCAa+Lp=4##yMOnqoAv|Xc?UZSfxUs&DEOQGxa_wm9$
zRd`vt10o9*UeooI$q}C$FDCp#J6Iq{a8`ytR{ol06u3u-LrXO8`?uw^TgMi!TnZTW
z;C>k3h7O460*d}<@KZu_XdJfYtQpY5>Yr&fCB%_koNjB$d4ZuSLf(*jMKwa|J9%}3
zyZtGFt^IU5gH@kKDZ<JSpV{gw`H``o2ON)tfz${Aelmp;QW!`F|M+9B#8$yV-jD6z
zMzUkc_eEx!rpp=eb_f>rkAf+Dwd<=*GvD9V<n$xTGpj3VJV2ATi3NcAiwlbZX5aiQ
zZCRR{{aQ1+oAL&4qjm`Sbu=FpYZ`oPd9pkcImSZdI|BZtie)qw6iqV$Ch)><mT4_%
z5jJ)Kitrw31${ZUg$S}kLa;g7=-^r8M8?U$T&){h_I$}>Wssas%dx7&e7j>eg!3LZ
z6#`n*bVu%wRtd6?`k6PjV{qQ1zBRHb)Xu)P(;VuAbtjtJ#5pCUP^twq^GV#ExR)R|
z8t-w^r2_?xmzTXdrVOjaKU)n1<eG_PjB;S+)G<1H3WY2v`Vlu5jCL!!vzBgRz_ml(
z1RXJXoZlLL9*FIV3=jZ}JdrZ14w3NCj)6Nd$^+|F3(0_DW9F|H#PWZhzBwdZS^w7c
zRd|>dr#lK4_4*Vg4Gj7HFCqQ?bDORDuijjBL_zvXyyz^=qh06UD4yWdJ8z$V)zXBi
z5Wz8tn|G;H(>yyBmqFnGR{ETA&d&#DPY_$fPe@}<L1Z6aHiOamw%1-3n<W$u5f_Kl
zmzb3%wvAkIO1%TK%+xw3cF9`zXgfo-U`IV8?007kw!(b8F7JWA<I*watg#X{jxcuc
z@q(dO%~k3+?NdiQLSV~dTSMVxSB<ta%Zb(V7+;Lmk<XX?`L^~7&O>a9h@&l_p>sa{
zUO`XuH`OSq#<Y1X2rG4pTj@X*m?VdXL7^1!$kSB{i}=~PsJUTvbVWq_<2?PB86{CN
z9<!RwjKn{O7F9@`MgdDutm?Y+G<+~Qyko@W#CDCyDtT_l#PT<n7v*f!GWqNfO;J`g
z)Ua^R5l2)OnR{(XUG5Vv{O{zm)!N>5-A=i8un#AD$PQ*w3`kx!qNbwkSISs-iIUn2
z@6`y2(8Q8sB?+aTYkCz}4l;2N^_=~F_M7+Npynl1X1Ik(8~t0&UDptIr^l{e{UNNA
zcHcjGV-YkwTleV2vxsdn?4tUEUS<A=dCS(JI;;qpvH*a(O;8JydOOlBwTIia&N)?^
zF|9O^fuo_*A;CJOj3>WB;&Y-MNsy~M>TkLyet`ovC|!UJbZm!!wBv*Mp6i&K1t+^>
z0)iz{bhxt9j&<W!!{a^9*7&+P_6d>|KFjQJ(UdLp#J`_^P;crn-TGVTT?S6v#?W^L
z1RruU+P<sAMR490NYA)Da=LkoXj0jAK6#~f;Og7IX5goLU=C030i!4$K`;0iGLJPV
zmhKk1UsuUY{Rx?e(dge<o-a=4?9TGt2WLj$VD}y5=6Z8ods!*DxT+DV<EgYqMqASR
zFn*LicSM00ub;4OP)|M#;4c?19Q_usiqUb;QE7IE`<}PZ+UFee3+nc`y%apU3oLNE
zICC7zclDps8<5(IJcx^9a9dv5F=be&WchS<!4&jVMFyJI#+r9^d9dt!{%3LkbpqHE
zF|#$%qykdTcHfn{_kJ0;`3vrTV-dW;SQ+OgX#6`inM#mN%_Z#|rJ6t0<R`U=EZ8eB
zn!!hRk^p+Gxb96;$vqb#hO_m{uCY9rg%oK`m8H458x#!D$Pwe+OctM7C&B@bAms<(
zQ-#QDuG+vuyW627rnV=(ni^dW5%}&-?BB0R73wf$WM1z~;VJ=-BzWT_>T-b++G{|Z
zl*+WVkBC1{jH6wYNYeYp*zO%9RBsLmlx=<{P%<3Kyw1Oz<vI8R5u=A=_LHl<kfYkI
zTauG1HtIH4l4=)78lvPaXx=4Bv5#>Ak>eg`7mP@L-FYrJu?hO6&z8{XGuKQQ`jqLc
z`6Y+XoHM}xP9VS8hjF@bDxP*kWAHFa2!3Xa>0bqBsmUBNtcH?+&N8vPyDLtsG9zwZ
zh(O{#JZ5M8jS}A@AlKQM{oZ-h3Yve86nC-rp8%Tl&Q^^Dv^+SW-W3eII1?yRe8j-}
z{BL?}r|mahayq1?F!$HnIe<apI&4io*}!LEsy;pzD&hf93D`=53Aerhcq8{Zwr0iK
z0s_Pcr}9qhNG|t`W1j?DImoX3Qa^Vxl>QjKc@Df--ZHv`t{Ox%dp<}3FYLc<2F0F_
za+PAmjaGfAHYZ~7A2z*kv}&rq$(9?WExaku)&%+aE4mIy(4M7PlQlKnRmMUv8(!sG
zg}Doqvn0Q9k6-0ZVQy#&e74=c24)r4UGY*3vG$moYXxdv(AT6+maX!(eYxJ1Hz_;|
zW&wF~!5w&`c0YeE)Ih8ZF7{qfRldZ7&(?yiYli2NK))qYqup(`f}~JRztr*AYQgbP
zo`C20WesBg__uhrm?kS6QG=fRR;?MN=v!%TlaMwgIGe>GCyS%f0Hy}h8Y6ENb#@Ab
zLo{6CPs0~Zb`RNW$21T~Ljgae2dqkEM&+9~+j>`q2Y~W@v-?%#Y(;?=<L`8793@G~
z8~{@ZicF3jAubtXoe(Q_$Nfp7X2BOlW=q(sN*j{@DoEy28y!Maye=fwXJ-Y^6n%UM
z;*bVmV$NTz5>KN%m@QUQI=o){VFKkMgc=g=cl}{-qb!Tut>&$PJ4dU5%xXkKcyt~k
z&Vlm(iXAF2{};?$HPPv69&f#)#rgG#lOMu~1~YK^QfH@8XdV_KQrCN@4%A`JwZPHv
z=BFm~JtuBKs6QnzB<J0HKJu<}PR_K~Qfc_QqFZ=a=P;q??84BB%y`pLLT}OR70HfE
z&AhpkQnpjkyJ*z%+|Q=;ug>mJ7Q@XYzbc#9k$+<B;WE=A<zbe}$tu1NoOKNU7H_4E
z2TXsgzf>=1Ms#-(uM5p$-@M7omi!=X-1Aw)Oq{G>$l*5W{BTiY?PL9tb=LIh*7O`*
z&>4ahEEdqb@Gs5QrfRL%36Ni%W1+>2J)0URazOI<SBCPw@f-w-^A;e%LYtkmt~1Ib
zwt((z`ESLI@vfYVE+PbLrpQ=Nt4jVVQa{tTIyNY732J(1gm#Wsm3v#xGX@LXYV+MM
z@ZiIL@<@POv%WSg`Eb966W+eq9?F|auM_Atrry;niTrB%plmX~$O_rHu~1B220Q`@
zp6@$#70R!lO9b2eT2nSW#xo44^ctSRX@4+F@wjAtB#;E=%ufu~kGqK&n=NPPTWZeY
z8OzK{up+}FdG6CaUgJuzx*J4uy|lR{pZ&W5uMH6K-8VW&IR5ndvCE3osZ#sAyh#1%
z3z?zj5sCrL29mj4+A$)fEeZQO6TWW+h=8V>y*{z@URZR~CgKy9{xZpAk^53yC4CW^
zFU@l*sr5HxhemMxBWKQej>Ww#$qXIQZ<5~pzV&u=C)bQnPrsMSJ(-^LurcrS56<(V
z7=xE`K3H!HCPu3s=;s#?Xps|Lb`NG<C?j~qD2~!M|E8=@8oecc7$eZy@4nyHHh1rb
zj(^M>^*vp_)!q(?>bJ;$RI4|y&l$`Hk5$2Bb4)fX{<7|h&VhXW0#4p&bcsGInKxuD
zOFitwgs@)e*_-pCq2_i^_X~ICO4&xee^{NoqM{}4NvCb|MYwN>oq~sh>bmRMw*!f?
zEw63}<mGED`3mbK>87RqEAd5(1DvC+Q<QM~LH^8;k+gFvQleut{%mI&0Yx4BX%kgv
zI$PN*wv+aAbYa_*+8eQA+9S*X@mm|jSXQ8B6d4&bYTrU$x_GW?h+WQxI$Ol^1oL<t
zZJ9S0ZHHe3@NcQ~;is@E$+f0cjS3tTm?5W*v7U03x?|`2x|;kVHH$l6XHXSqd8DT*
zi3?&MB}2VN!R5mF?rT+p-uLS3B(gmQh243JEncktGIM{%w6e~!`*)05?nQoi%JB84
z391oj14~i0MqR~BLhcJeOftUSqH2{=C<$Qhtdd^~F{tYve;dgXm3)x!=;7^x)z_AQ
zjub)6x1VO3C9-G#jg@-{gqQKfl@rbJ)(-)@=c2&zdz6Dwz4C{u5`pBH-n{0Jo@0(e
zPG_3bo@<v!>ct0<|A8nI5l8;9V}+7Ahqu$W`*jAc-BV*!z6+#5$?{_P8zBMt)h|}#
zJ6ef7t&Klf1Pc%(Yx@zoze(8~knq#c!8h#SN(yM+`8&oXM&_iZQAY@(jFJeomJH1O
zEr)}xDV<Y*`r>sCh0)7-76{}|Q?eRt;l>v1D+T&W$(pcLB(7>36Bx2ym7bM;`9Trw
zfeMi4#tK?Z_?=Nx8Yjbw{`m6^doBq;zQ&Rnb<-3hX&H4oI1tueTy%hC;db~1Hf}=y
z6=7E+Un;tXa}9?vce^L;nlA6I{;O2oFF-Q~*WEm&ZOc0Ijko9ZM<ADq(FqKPlvYec
z{z0mzyvsvOaJRO#(t~8mUHIgu!yEPF)u{()@Y>P_Re4W08!J3LM5O^tdydE>MlH#U
z&0jCx6P@e)UAMGTyE|dr^hWAfE`GmdH@NdabyVHp*kj;rvzG3EQg%EOdTgYPVd=6F
zFg^$nsjU0-$%&;G`M+j)fh5F#N~^)(A+;p?d4a0@*)R68#p)Ay8!ZIcc#f~nVox7-
zpls+epEXu{{ibnRWqB#`>ajh&%e*43=G|zv%?|lQ-%y^7D^ZUsvOChJ!IHW{4YBk`
z``?m|9rVNvW`JEDGF<u1JX6imxd3KPAy;QK-((nCWST&l0bA!?Ndsm;aTfU;%RdC5
zLpNi6&KjSGo0cywa6#QG4#3hk7c_1UK0rO6VO-?i#r$6z+&26>Vy#*;b}783S<~}>
zCU~^bDrv5)UE(eeE%FzDl6*!R;60fF$&SnxsM<>XCZR-B6Rt-K;Bp?N;$CG5-3@qU
z0&~i{#;Q#F&}e1twcPN$GJWpPBN`DWN9_yBgU}p{5(5XBLs)5(ZDB*5AwG!RV<%t$
zJlk-?yjEn1J=b3$)h=StPRUv)w3GJu5fLuJ^o8JTl)#hMVs?2vHn^z=nc73+BXIkn
zlh3l4@<jJ#9Gq0x=$nt|{@@CJO83|FX^-U(0&#Z$Wkrpum-EJO(NVvw_?IOXP8I3g
zT@}KCgPsn>{m9&;#AkMu?{9}8%5@(*Lx%>Qh0DANZ4P?BX0Vlo{?OhxMSsD!O!)<@
z6%IB2Cu+OkXv%zTZ%kjc;q($;`*gSzy;nq0FMT5m+8N8G35)YgOvxdG9@@H}m@52T
z>`6OLDeWZNs=$;KMAJ@N@tc`@F`w}J7|5!#wW$bBbSCXr^1b@|WSk$&1eH8;e#=SV
zeE<ENku=tNn|hyf?Z%4U4gnO{B6RCUQa5i>JYJhV{hS-xqx7Js*B5I;&VFPotz-Ob
z0`w87Q>~)HSV&PbvLGk(Fd)W$3kPo1r&-B%=b8jlEp9L+7Cgtfj{0x+ArC)?_4*@%
zy=ykFD5|W?lZI$J>w;Y6R~NoAkKhoh(2s~63~r9F@T?Cen=?r(y{M*luqLLgQ}0#%
z$JXzS0fg}TZIzeCb){Z22RH{yNecbj9Y6h5mX<_9v|3if2j9!w0Bk6CHXVO*%n1D%
zr}jJNVrqI3BXLxZeV+r9W}~1JCs=BcYxI5ai?Yz44bR59rIH|5cELAy;h$@}c^mlu
z!|6RQJJs9EB^v>8({jX--mfSh2d}91r{M)qJ4T4AooX1tsZJ1;Ps1|93%P*)9mBkd
z&D{IfBAeDPHo?R-p+Y;l{|jP{P`ZIiRU^7eKVG4KTvZN!pt%a#MH0){cb9}Wp=O5{
z*ansx$>p-Tbouj~`J+sU>vyKdHa~qFT^XRl+=tH@20N#PzUZ0R1=Z?0{Gc#NK+`=p
zxsCeCV0}ySO61cAH-6hz&0gbOsPVv*+)3gHbhn@*$n$P6#BvQpzQ$4gdDeg1D>^Y*
zuY!L5VL%#?I4Bx7-2B)ax}o-tYjf#wNse9)ec_mv`<Ri`m+1VcDX>2-5<JoLfD%Vz
z_yqGL=f{2VhoQv}j%@vMo7RMkLNEB?P8KU^3N6#G{hmvz1mc4UaQt1X0?Yo2_{+t0
zP5p<?(afUgMx(64C08ZfK6+x0Gw@7;)~@thK8{Qdy0!P+>cwIPbLdRcVxqmFG<hPD
z2gb%^RaP<GX#OAYe?C@K=iPz^{_WWB>fF9B{F(QCv1oHFLsP(TR`Va~e|xrf@bFZ6
zQ|6d>if&oE-DSiRpwe->h$`{vV?EoWuG$ISf>2j6#>BlgzADWgnZ(iI)Wnfd<nNOJ
z_+zEN8S;J?vhL#Gv8o1=D<etg7qkiPtdL98xGI%u*__n6QFR2bs-DG*{7aw}r~qs+
zAg)e+WshA|@$A)d&?inp_3a*vDwa&`>#P-0fS4*fwiG$Vk(n&U+F1llj4JJuVp|Du
z{6vC3B<>`J*2``0SJxZ5?5;i32+@IN@;qVmq>ce0D(R`%Rt7~*l!2WWp`?@Pe6qh0
z)114G>$)@hOtKe7;3vZ2*>P4XgZLpc4_4<$cfb5QZrxA2k-J)ml9R)_G^`ou1^K$w
zfHosTc@r^Rx&BSG{pwb4_a>S%B>aB)Mx!3>V{7^ZawctRDwJ!9GxxIC!tTDxBq7$s
z3dT5fw2pQKUiHDWd&u}G7nx6{7=oW=j6VI!%Q1;}ZubB@dh&RuuI`jS@_%TYFs}B+
z86mM`xB1MWhMqsdFus2CVJT$4K>Ja{^O`so6=g7Hq^E@<laxLoZ3m6>T(VWxsC`<I
zpB>{;yYW*9&}hX5bh%^K#lj3Q)ZzF2E`(Mrd77=2VyAnl`%G~(3y4$XaUZn9Uw8-#
z<q4V7zmd|BZnrt9994?({yQzd1^T5rqR$;-jEkxj?ZYtQg6rSO2&m~GI)15c^(kbI
zj)<A3qu75N_hjx|FKXT&jNKu{cx|Sj`G5K0SlkM;t-UvA>C&OkC8J5_Odl=PNq)nA
zB<|U9WSEXPY4nJY>h4aDReaS5xMUf*s>@9p<^0+>x=*=*zRl|*DS1*SK*y%>@Y7OM
zlvof83NCY&RP+kuI%LUT<*u!5i7MhU!vwTwS8flKDGoMMvU=9$jyAq~uf0=XFe)dG
zqbZFF7ARu|{g!ja<V9ELd-JHzSJsYgYa5$XG#~N|H9zKqNXwNOwG2Id!K0B+9kN4-
za1960@O+O+%rBMCd=BOsU>?1;?j%8=P_>-4UWi8GxEcn}*HZ)-7@glMVFK}a2XU?)
z*Lc??**Su`Yc^HCUC9mN^XW92twTM<=+QV_Y}7AAI(*aS^XV^-OJ?4CYU%^2{DXiN
z*t~JcdD9bwbng@CFA5?skIvz{O4*jr$SR0I$_GXE_}hi~2eZ`6`np3}s9<?_y<LHY
ztytXrur%uM?R^k_f{VMlO@s$;Jy+E%%3=#=mwy1%$_9xS)ezUoE1=4NM;(BWUgHb^
z$uQ)-&^TJ+YICfbx^x~m{$LgaW@@mR4oN?r2VNq4Th2l-x22EgUuo1K^Y0tEWo>$=
z^lucY5qr{v-+C?XO=oLR(UWD?uWv;7AxE!X_}2;b<ib3^daFtkN1rg(xDy#icX7r`
zWl{$H8GC;*prEuOD-jPW4-JEKOzZuc67*4USb=9U>##o3GnMSqB%;^N@xw=ep&xm^
zffNfG3gT}xD*htvLp*V{?gD-Z-N>=$;b(HuK{P7Bg+rX=l1`@s*oJl1+FN&L=L}i1
zw3?f>xC)Aoi7X<s=Yz`??P9ALi>Nk#>&>;sK-Vg^YH2hK*0g<+2tm?7-+yT^WAu!u
zSm&dDGWi;6WbrcH3#%JD*N0kb*#j4K>n`*2&>O`_kp3;Yg7?3qQ0<Rv;J1eI;@UJ|
zUlpq}iNk@gkpTi_{1i6!gC(of;rRXnBLn<P<<whf2%3oIaN)Xl@9xZUbYRqSmOX$9
z0NRu#Uw^jV8tFr>OrnSiF=u^ZZG?PVPvmF)k6L2!eOI=h!u<CX;$Hy#^R8UyWQ{Q3
zJqE-ZS64k&_dtKapyf(U1uns{hS<>ed0L*MatmeMJK?gV7LXeOT;ucnfS=lh8^^{s
zgwfZ9KWa*^@&iunHt7AuDJ7-Q+W@cW(wGgB&d&B>9f^Yi0Vrp8s~h<+>`d?8J(e$B
z+CIccPx<zrB5AX;(=r4vq5p-Wdj(5$JhHahYc&rCZ_k~qSJIi+=3ra$1M7OUhkv53
z`yO%%6<>X+OpDr)jv}~qyLerCW(T_`nqOq@v_oJ;_3FEt2#WwZ$tjmFj2d3n&TArg
z#@qR^df6Zn&ta6=zwy!8t@U`6J)oWKtm=1Pk~7g<^65<Y5}hXO=&IRjvjV4CJo8{$
zpsS_1V_PLe+TaQ-Z$r~EHy>V1Ls_6PuqJr@*LCL(V`EaH*avxEbRko)b0Rr@70m4~
zq`g0Oz8)kOyYyrdnC@o%im4&=MFHHuMCKl2`?d-XZVvV7hGZT`8T5$4^#byb4+>Ne
zz^<v}WE-A)g<Gu)=~{Q96W{NLTt#%)ue$SqVUlRlsL^jO&stu?gsi~mnf996kkMBS
zhpLZ=WCuNX=Ni?h@i$RB=$%C)A6ztF8}9?rXV?-4ng4}&^rpf9Fm08IS#5_2ZO+h5
zHCAE*iCxteL)KIFFzpAmmJbvRXUUTv0?D^@11;ANvOEhp$~$N@zhaL1Y=};zb1PF6
z^oElXpLjr!TW)Q?(FDO?HIRv6>{3+lDS5^Dgn1^B=T5?J+y*X%#K|Sf$}9)^R>k=Z
z9!&p4(fl3}<`97iLx}49J{AOt$uTtT^3a}sJvepUa~%V_y+ig_6TcEl>W*iQY7Y>V
zsKYl-zxLEDn|>*_=?PEv?6y8k_-`P5{*yM9?4!thGTQvy<4+9&iwB8A%=m8-NaTX|
z{c9)I4Dd7RuKl8q01SJp+DGI2vMaUe9ss?4rTeXAd5xHthMGyCBq}wba~zL%DH}MO
z?1hRASBP9?UlZ$NgkLGeNW#e3?3;<U)yAg#;R~>@O9_R=&Sn5~PR^5axNX%tI+Rl|
zKj#Y4wmN-h>%N|U!T<Lrsy7vxbw1P?@^jX~@)$TjUUxhB2^<cWtbLKwX~Z0EsazlM
zf6UxcPH<pVZKA?3=<OU(3R)QfQnJAz`d;^}IC7_vV=RiP#PWe<XhDmSH$ZGzl1NU&
z6V20I+-kye4Cq6WHcs{_qR@%#xB&GFmg9G3SXPN0EXgapCCdhA;%+0ypLwh6T3`sd
zNCAzjv}O=%s_yaLIm5x8sS-D+_Ueu`d*R9-s}srpZSy23c0iPeNR^Pvx=w%w@HcbA
z<Ecvj@HbHvcc;X+;Hx2!D#Djx=)WC#?d#e3=kY5vw*mbI4;rb6u=X@tnfUMTJ&pgZ
z=cogUdeQCMWbr9go;4s-<o~YZAvg+-E~il2zBl_eO;`?3DhYCc6rW42Ey~3~kxG8J
ziy3G4Z|Z(sNLeI3d`Lg;dK~8NhWKAXH;{M9xtR_&#0+DnCqEbd1-PVJ!=-N>PJtnM
z32C2+Hl5mK#7Faf;OC#7wiiZtH>b-?bX>UC6PmS6)+P~ki#NWNZkL+T<GF8oZ+Fv`
z%{;<aBz$KPcCL~9@Cva+N?fx|JK-_M$#j&vHn9tTRz|+^t8l%TYbK4-zXaZ^a&wZK
z63lDx8wO2=P-<Lei@5RC2>-1LrTrp0h)iOJ9>4yDG<82R&#@9G`~xTUjs><BDJxEH
z_hhe4%}!T+y2gUFD~?GhF~<q+w6Z}>5~gD!dGd9P2~O{nnortXcL;k2d|yY$=IT;H
zZ-G79889(Qw#`O5g`T%kCfsHnu^n23QG;Fk1bB^$7yc`~Luu<WfnVU_Q=h{4GuGCn
z^7w{<E*TYXE&vVp%b1cXG%QRqg392sAamR++g_dG!UASUrwMN^pnwxJiwi%+T=((}
z?UziE=uPr~u+c}pV@x5>h4|N5*JDd+lbSyU2?q`YFN~36Xltl)7vxr^Z8jaukjOq~
zK6w1lDLQ*o(!_}%U!!8RfxWAdj5~Q)pi2g{CIisp8av1y7hW&{H?f;P%av{x*I&x<
zVa%lxW3pB-c#i}8IORpVZ4ytIA}tcKs(y2zBrG?qQ$Z}<Uc6bBSg-w)E|f4w`!SP+
zV>pD|>t~w%i4ZzLXbrq_F0GXGmWh+G0Y1UO3)1g*lS^ABsL!wz8@z5Jnf&I?(j!2o
zJDuzgFoURn^az@z_7UMNFj!CC<H+_r;Cua(SHk@1+f=19*PiUsRRHE6yWl99NQZyI
z(oFBt?Sh)f2v@nO1>#uz3wVV#$(O5w!8Ym<lKFZlhT2AjzkaP%X~$Ukx8{z5HKug%
zw4-zWS51D&v88U(g+CQduu~}g?Up6RH4yL1Gt*EgtdJ^`@Sq5vTHTMo{fF1*s5Z;X
zm*eX{33`dr0?=<E%L;kdciv(y&sN)8qCdu!4U(s|)*$&I#k1K||5ZAw#0MILQ<n{~
zaERXY!9a**;GR`Q3>xvXE`KTg(GL$tL0Fb(*$Hi_z-$Tyh;zX{C~~lZo{M93z|PNI
zA_G@L!#N^wR&48P-Xq@`l3z__S4uJ8vd`$hKqk!f66>+h@$^5IXK&`wyjM|#KJuRo
zk4|K`H-Rk8H&5^pZa{tla_8GX&};fH-a8<thgi=!kLF6#TnNri`LkMu5ar|#=Vtlg
ztfvPmE2TflJ_cPMoC%UTfE{|ico=g+ka+!K%e>zPU~6wB<X8}ET%#HIpStUjE6>>)
z@9)hMS|sE^PUyvDMqBku0b|x@$@FpFFkgoK+)deSD$IQIi-6+w0YZ=jLSF9P3?4SE
zf?~knslQaOM2RI>ubxY=_Cm$v+VVnQPd3o&uSyajc4gMmiKcglyhliLbQ&PU$=Zz6
ztHwNsS(<4`#8#Mmsq2S~a6^w;UHb4GO7m&#Xu0Mpvzujn$7Cpu8Ef80fXZAIhLOgC
z(GzIOFI63BLi_eKu2g_!4-uXrYasb-1*XEJj<N#+<}I@Gl>#}!L+Rt!*+i_UccmTM
z@k}(rz?W=!FTYwN<%BE4+BqBMWa#Gy#f?BF`8KuBo=kLuEI^boNRF>M8lnF7=T4}Q
z&jMJV-;rFmZy$sR(7u0stCM-W+q^EBszB75N2A8YRC-sb+u-Bo0M<6_<xmSUhzl|`
zYc+nC75@SQz>g_ANDCX&&LMskVKt2~?~e)cG35UjJ$R*}K}80!p}>&*^Q1v)k$X*2
z855}I><F?LCB}uvpkKX9@b}z|#-i|k@t~yt>tQIU@cZZoB!d8UAFvrra3;CY7|Gfs
z#ncn@oCo}b@!GL)$3IQUCuvt#K&o9b%ir-yC4weNDkISdP?9001DWQ3?;LCzz!f+p
zePneL=~`i}Y41V9pj+;#yg`KG3Fh!<VO`Xh-F&Jy$G?Oww?2PG;+j84lJZPFpegLW
zSYUSMEC#0HH(ls2bG=ypL;-`HW3->)^Y6%TIQUC3oEMS=6TAaDSB#qYIDQJNevIFH
z8;j~bH*;0tI)+O82UB7ABG)7~Yfii=SWi_s*Ssz053*+zSshX;zD&0&>A8p@67Q8u
z_g+a|B~*S*B7=OkW(RMW-{Exg`|EPe7%#LL6C#!yqYoRdBZ4-okMj$OM@%*J;62gi
zkZg!Y%d+vn2T`KJk6D@{&*b%Er7XZ3!0#ADsY(a65!D%Av2arUjoH=gwFY1*ZzZHX
zUP77wa#rYyP#&{GC8}QHc2ERU!=*DmXx&WDUL-n@{A~`hR_%^S;x>x&o;B1g<<f%F
z(%t=PuM_uVsuYEjI@G9zMc(m~ZBuw9PsX~F+YzG?7riM~l^(-)!x}3aSU&-o{MYFz
z3&GN3dowIJcCw>aG`LV16nvZ#hAjN0${zBl@#*%2@nB2c3F|Wj;F{G&>HHOxxjPN<
zE>I)TS+BzP2ovCf0%dKtRcl}VzL4QwFGzn7^_UaX{hE{rz|y=p#}pbJyY7-Sc<PvZ
zp?H3IA=u)_nWKRj{7UB^+Zl|Hp_kALTcMVDRD2YQqg#C@AnW*H9x$_7enUgT-nf5%
zQ#FiWZy|0zra3d*nq!tN4KII{Pw(Zx>cd*4cHB@Ps{{XDL3tKjN5ErvFGUx6wZ}t&
zXM+d2kTH(<GG6N65Gy)Wg@TW;-<N-x9%IQNW;6vGFM?UFTeinMwAm`Jn>9!9apCXm
z_2F5gJ!VR+VgBJ1?KvJEbJ*O;llt)<b0F!j0RC^i)iovOV%i$@p}c3=F%-8BEt~!?
z8Sc|_p)ylXA#VaSKJPc9ClD^3I<};(G=9X;kmTjYA|w7m?Qx@V5KcPY(xSNaNAgP0
zoUl9e>CsRWf8v^?HbVq>qD)V6MP?o^g12SX7pF`&&7+RJbm1jwj%Hm6`MJisnEemN
z>qK}h-fMmM(jNFm2hzI-BY(Wr5tLgAj<-UP-HaL7Z@E9MyPN)4UJW7dD=PGFB3~H(
zV=)sbpwaj`3R~{ob*>^t*m!7#ktQu6{UaWRxcK=#vT7{reSc6_A83!^zD>naOzP17
z623*!l=nWyVp^9MR7nGfnM`NQ@${%S4JK4*yD<80SIKf8Czf9QTX4tQ&1fYDKvx+~
z4`ptvLiu?0KFQH8fROxq!TXoxDJ&)_Te76i9b)62V$<xC;w&<VDrw>m*|BT*d>IXA
z{_}Rg!i(qy?vh@P*03!=!V{Wy8YAnOLMOts>v}VY;-9O+DhMlP$cpiaYNO~3)6ozv
zI`5Jzb-I5R6iH3hx^nCx_Hsckejr)tNblV++}qq!Vv^bXHxA+225x{Di1_33=`ZG^
z1Pt3sf1D&=3}2_^n!%@Gm=K;*_55UXUaZSn*kW`5*TLlH45kb^0PjXSz_}!YcM-*P
z(=mMV3S#*WVFkG$C$M~GpCvpPT|~4~u-N_7a3E&&r@`#WUwdB+K%4g{+8I`AGcw(M
z=xk7Wk&1%1wzqhiy?+0Z+KuPP3Bof3{w%(T>>94WX^&hmGZ%A6dBmq(x~&@Ob9q}*
z^nGPH)HDSaF6wA2A=EUd`mHy*o$qJk-FQ3~Rk%!o(}#06bBKE-FIF*aFGChReJ=wW
zNTYg{f>mQA<;?q^*|#;B**A4yj>=hKFq>KYx^u&dG^VSIPNZmvv;dfDQC7NaYv}rL
z!Sel-my`;HIgzXK=>p{Xur0CvblLMT0X>{zCq0^esCLyHJS{*!+%M?-<U6DIN9PlZ
z!BdAjKg-)PcbW11&z3b<u*buN(}Q5o3((FLq%7r-5agOEaAp@)twREim<VE2HJpT-
zJ@Y_2cx2k07)kj~LG141lXdsz<Kotn7nn5mIXII@rJ!g}x~p8hU<LPmbc?5O<0<|v
z?Ei`k@1uLDL&78iC&&liTzu-!Ns5xBA-d854#rO)#s+idSI=l?kI854F(u=e%gTFd
zLL;k<0ckRRGM+nvNj*2u1VkRIGrNtLt4O}d4jUG5A&!{=GF9|81Ps5=ZIgoYJ%*`8
z3x4m^3lBRz62#P3$>Lr(SqHPJtmt8`lbg5BLZ3mLUIT7XT$wax@O{!e{#g=0R0^Q0
z@ele+!USshJEvBr@7h?U-z<^0_b-qTu@!;36>$j{|3UuIvsL0H4sX6!Rf7|KekWPE
zaxF8iQ*Y)T>CMyDNS`w=7`*YT4wnfQK#Gd>->98k=MLZ58t-#F-HEG}M!u+YdOx+b
z@x*5ct9HLXssSGncaoY>*vVkncU}g6l@}ko{y|uH?s0K*>EE}|O`;BJ4aEjSm(i6>
z+E}kf{S-1MC)0QuRw>K%!}w%WMP6Dv=69k!eiBRYjt+Q9E-l2kkZkcwIfQeR7|+Wu
znknUKubl0b&r9V$+Kw#5M27j63VKn(!?~5@O&sQ<vfO0Xf#Vryf+}&5uGW?-iO7@t
z?8q9@?2{n8aey2Fd24jhZ8@Zmr)>{~z00cMe3FPnlvEOV_t+#?d~ry5k_5ykAzy`W
zJxwe$NqcY!duNpXj4*0sBScFA&~hLZNB`FEl!`F_59t|2`!hzhWWWm2i2H2az(B<~
z+v8{GzqHiAYRBD(Uo836b=hs+(a}AAw1f%XaRZ<!rE1R`W4{CK`{`$+RewdIBEj#n
zM(_Wt?~@EiNBD{>FF!&=>zq1=GZ>o1|No$okIy|`k*~PA;n*lJ^SZp)3DiURa`vTt
zKPu5`@l<A(q+-E0xI9!pEV71j=`C)JU~4~WbTZ}y>ufKkgjJIhDK8?l3|Mo&aJpFM
z#6+1m$(eBH><Q0czXP?2)DL?gMo`Xgm~sKqRi}xc&_4MAn8>#4`x>NXANC6e&v2jz
z>q%!uk0Z19beV2O(DD4p_xlGP!2jdxErZ(XzIfpp#fla!!J!mu3ls<zv}g;(9Ucla
z6nA%bmqHU#ihFT~;-0i<aVNzkSkQa=zjHslU*4I_<eW_A?0qshYp=C_vfUvgO+DY%
z0?~F}-4`?kSg%GNG%=jI57+a?eGBIPic0`&^P%)gJqPt?Fs3LjZpPKE-6Pmf*E%x$
z^;`BZXlMDef8^U!y<LR$Ftg?sd;hk;Lv0S4t&-C%tHl;Po%$&-3p%tpY-gnXx{IeW
zOHG-kvXq$x^#t7fl7HEid=ACAm!qY)Y>7omyq~z{MGDeBcu}O$_0D*nd6bH-vh}OP
zE3nuLZJS<V3c9%uw&HTM6I#s;df&#HGrsS)zxAAQ%;Xw)j}{mt*w;NS+9jsIh-V#z
zh<oH;V4I{7?UZI!39pVj*7+zVXX#kDKXDtS51i)g{%yWzw=%6I+VXrk(iQ~XF?l5I
zExfWDuE*x9h#@4+SR537sI3e|qjAu(&n$Qm4N1BUB)+b3@;_=*9>Swc-Xq%hDH&)U
zwX}Oa$V-7*Kms4I@CO_(-O{eFOR-n8t9xxdhO3)VCfD!}@U5fQICazpL|X#n7G<;?
z@Bj=uqvV^A+V5d|=JO|BL%C+05^>7olgu8wH}{Z=Ke+R8AM0a^Zk4tMVl|5{-TGYe
zcD<q>F*G#EiG*|kbeLSnuPL7+55&rmKHy-Jpz*;bAffo;x)+ON3ps4;@ra5>+hQ7f
z{D&Hl^hxIyL<<B991%=TMl$QYnIsc$RMrNhI1){UR|P+^kPuwvQ7qxem{4}A_Ll4F
zlK5`^zC2IW83cRl7a;-QI6DA8PNh{dpDuju3DzEY5L^>UlAr>6jdqIC>2`Yi1^duz
zsO=v2u3Opm;Fc&@PqvTJtWJ18T1@q1^rv)j*&Y`=-3K|shAPc5HTU<UI*adBd+m1H
zMeZ&|i5y5NX-<AqyO9tdCYJ4Q%;0(I%(1UJkWT-Sj|z&g@clJ!RjlQixc{CU&H5e3
zGMV6!4R<0zPw_oL{n8bvY9IyC(Hzs9HQl#KT$DI1@g{7ZN>z<;3Sd}X_!emVW@;(k
zp&w;xw6V1NSNsuiXk;=SN<W~SR>Z;eBwTyKpor7IPmP;bx3JL|p7yW?(i;%FM!MDo
zqkS+kQ>+XVMUpZi<t+^|NuV{~ryPYKyhzcBlKJS_6%uV2&^rim<_uJ&;;pL772DIi
z!iLW4csS^_RMe|@pfGbI`p;XiBEMJ&T0-j*aRKTg<r(dvg;u3T$t*$Ut`Z(-x^QR4
zZUk*i0n_F;j5zTNir#xiR-2nhK<N(Ygv)Al{gfiwvfw59@{HwpJW-6Zmnv&708d}>
zheUq)^Ntvv#jwiVjGar@V9IZquQ5Qkb5$hSDc<Ijn6znMiq|b=klIo^sj#I(;27AU
zpu>8uCG(XZjsjg*T=?}TiWSHJWe~x&GC#b7?_S}rFUZrI-9*#Ge6&_*BJ#kJY?ori
zsvt+zAyesbXOaI`u_6Zp#)>RvCLHaRZBj9hV!%mQ28i?ei{m_`rE||;&_<xOXUCrb
z-1GyI;Mp>8(Z@%M9HvC13zg1+Bmr+BJy*47^1=e{1ovyOuPbJ#SG+?0>NY2DYInFG
zMLD4Nh&73VUaXPw9#jmBL~!FozU%X$2Y*E_*57Avyx7s;z)%ubve3rg)ZYcVrYx9S
zqjq9Bj~{On6~f*l#&MUyBt}qxFhi%ylq&<D*&Y#4TvECG`@`x-a4{s+>F2`r)46L-
z2?Io($%3dX0cBppv+s8EG7M5+pBKa$E0|7SbYiO1<m&SKIJDxgCI^&Dp))M?n(n)-
zh4a+o{lpOzki<nT{xviss+>wEo^C4Gp2J*c*|zMA<D=>r$mwjU+cyKblV^}sPOg1N
zt$(e0c=82P8Gy2zgFT)|v66RE!jYmTtV{_EbUL0d17HP+?whsKp?vA-Rt!<$gZ!;)
zmg|wN`qukzx{uDoY+kIIxDC*^Fy7M5htdKKvd4Yh@L#*DI`{j-QR%PJt=HNHH^$B$
zdSC?lA1Cy6NL6)Cio}#rfMZ%oc?3mNO>F~9dXJI}rE=6vZNX`4e+DFhn~<#W$z7In
zNUhiTow-AlVd4lx7EExB$)TF^(T$}O-X2h$F;@k>%8_5w(j^aVTOreab1n8cGT+!U
z{*9rnl(${+$nM=Pz!hRx*|mPhedpMApX-|lLe+l6_a2;A2sY%TF-tA!lEo`DPbVb+
z`y1Dui2%{Pys3AskeE7G{k`Y&ReX}RzA4EVY{TL6Tz~)GKb3{Sd%?V&8BJm2pLbDE
zUK6?ttCs*90)nXHe1<G4lzb=42sNZ3F0zK(RWhS3n{vD8?k+T2o*@gZdPX`X8eNn8
z{>EHaSZp=E{FW-cASq2K4;N!+oG(?GZ4Uf6?Z*7w75x)b8YvcYc+>Sqm!mzs(`h1t
zq78S&OqHq)@b|2IOgoK}3I<K%czWvD!qmtBsZ=jkP`c0UKKpmqw7BNd@|o7cdy-j*
zez*I*=2WJ2{hkimNsSHG$}Tg8ZsJEdyu%%tOMN93p3l^Li@XU8CI!n=k9s1SVMw9$
zxmBiB>quaeVrdyaPoH**X&A>7I(^yyEyL0eODCD*PMxeIRlQn788=4+**wn%OY-R$
zyWbE+3_I`t@-p)coOYN7hqNJMoF0p@;0%7p$t`w2AVmynIx-yK322GG+872}T2maL
zO&7KmUz`vfkxb;lh1~@0QicRAa_yhM$ILIDHn6*egb$G$1@xS-j$@hFSbre}WV)&B
zH6WsKNfXf~+WL^P;nDcaV!*4c<-?2_M3zaVri?L(M4fByna@CGeaA#jz<J%qGBi>k
zz(F+KQOEtcw;*JPJalJd0}m}3i3th~se3~FMt^<>UAc}Xoup1v+Tnv;F3424NP7$F
zQ>XN|%K^}62~Ma0!tqbUZk2Xaw8AdXOUp=dJzGf&?Pj1eA2G5?dflhD7uVGLhn=F-
zAs>8ABMmWqe_h@B=UpKL%pLL?Az86cW0Kx5R`no)2#p~dLtlt&xv(9HsAFqSQCPD)
z<Mnca&rTKD*2SVC?>TTD;NrW#FHAcLct1H9Zsu!(iu#pR-nTVZxpfJE=is{U`LltW
zL-xrXX)m0n&=)@obH_i6VHE(vVY<bQfhDu_!{N?TT;B|7rU}t=cuyO_;H7_{>+yf5
zIUE20pT<Q`I^C}`TVGu<!iIx(-hc%?<<^*Gm)iY2s&G|zS$}L%e?a+Wq6wEd<Fr+B
zC?+mVX%t)GsC-o}4K=QjuYYA+SUWB9;@CVou4?f`zJ^7aaM>o!4scR5+^cyv)gHy8
zJll-(<Jm`TA|}PiA}4WBcA&y?+$v*4s!*_O;F5P5vvyhqNoUh~8Yc5SRbw4Ekg3iW
z#P2n+ehg+HzH1`sKXQyAjbThmvAU%}Ma;ix_`DDSZyf?w$<;&cCdvpP*=Qi}k-Aj1
z+dN8_eULV=sNd|eFZOUpxl2U&#M1R#d^^C2<S#a;B*jCc^h!!QFaCu|!qi}i_1m~y
zAD+<LZ~Hyu1{Zg`DSodTNFnkv{#(8Su)^$v<}SW9utjXBXhy%{m(aM|7MCe}1YW-3
zI-xoIHRjWVAHBqtGcm!Q(DUO;Ee_wr?a)T=7wjW-@=DUxe?0Yz&|>-$7$;DYHpj&o
z$~uqnF;@}?*<|LUrbH%8(Y_zU+pZ{O?F@UeGT};QI84<c!cS{~3b)kBaNuTZ@8{0I
zExAS1#Wd(JSooxNh-ZPBMd8f3B7ev0qz5a%CpH1*T!^^7rUxKYFDL6Cr4D}g-M^k&
zVB^UI24wgLR@?<1Zms7=uSxyyxp=hWAx^vg=KJd8xOfP~n9bZ<6B&0iD$5v5%)Q@U
zA(<qu!H|Dl%b=4i)DL)m2X&F{bDH%)Y74g%IIcA^otoDo?A?kM%ZOJ>U<x^^>qfZu
z$RfL+t6V2NA*PvUfe4`X6L8IOlc18G1Xr&O?q1eAS1?<8P)NPMq>6w2Fvytr4d_OZ
zfys6{v8ktB^v~YvLYt`c*ZO)J(FM}$ZXb-hv*u5-%!B8DC$B@ipTJ^VZ#?;{hMAj5
zO#Jr~osn)qBOEYBQZ&aSSgQSK^@;RZE06~{Lrb5ka=;_xx*|gMSYEN-1(Jvxv_{Vs
zgaeGefq6+kH<|VEs7kSyu(k7z)Nr{jd5hjOY1zzOT8$l^t|Zd)zklcK{9{A;$jb^A
zE-R}F7SwZz+3yWHGMEcfT4)CUw`8m)@Amp=r3RDkM&9;paxN<RygmNv-5K0F(0OGf
z4&&PaPpX4Rr(4X;d9Tfjx3AQt@Aa$_2lZ=YjotC43H{f7MF>_z_U{`+Hn0=KSATZq
z$j65LVhv&%{_TMwVAr&h<+bk9H<m6pj>XVht4m76;?cez?$^C-&Tlq+r5_#crIH^T
zqT6<~?;F17&#0oQT&feU&$-M`GuK8Lb^E4-wYWjsoL1ErF-3!f+P5r8GNHqK%wx6B
z%f0+#wK4s0W}OZn4-{R37eoK;jh5j<*J`Pyu1I?}Jw7-?!QFjMzB{XD53%%<5r1@-
zQoPJ@O8Oh){IrX5<u2eY2wkP2MKEAw>V^IK>9<HdNaL6`4+mYSZx-=4GGaTV<w0lr
z5wAtl2WT`8<8{iI`%EcP;T&I!+W>+hf@ykNB`zuz!X!G+QqO)<Gc$!@M1r{TF~^u-
zOgI3Xuarh`V7O2`v)q3s2SvR=_^IErROxo^W-C<ppBA}N1aCWP7kUxK9OBm#;^F$q
zE6Vf3s~i$ILO_b{^kmn$&~Eiw+k<x}1Q5=ZRN;FuBo2M$&m$sxs_5(9tQU{!TNmFx
zV`0vh;55jlaFnYj1SX{SqxAo_T{Ua}gXJMB+pT6YzHcm(M))la^fl-A$a9!4@N@Ds
z!Q$Oij{5W(fS_D$F!#lyRp<P&dZL!>ds@zOafNDOGXF^!A#&GON;A1lddROlLDu1w
zYIzQ(Y$xskMmR}!o}h;CLj=uZmrny-#Y1!`5t5@7Tdji0uJR&5Se#mn!2Y_?vETe)
zQ+!-I944vilHN`;E6FkkNHEwLn!W3$mcI%B6`Dnx#uJXjb`h07#=lY~=^}8rk}^JR
z{S<%+;5p++w@dGJKP-y7StzT?uuSTX8d4*0-{NdD4X8JXGbMFJao2tCBWn@_0zK0<
z4ouue!ZgoA8twJ{{n{^+(|Wm_?P*$6(n#qaHPk*mzr0Mbk}Lg(zcX=3fmTP=<AaH!
zW^o^yPi@5B(of^#0?|vDd@@mV#aOEuh5s+oKP@BvA$q^GZC2DwC9GEPYk&$@(xC~*
zZ|;k{TAPBdhatO^$F(Vfic=+0sKFDg^tbJ=HLg@gSZ3*4)uclfpdyqNi!G|p7hRz&
zao9tsu6oLF&<IpIxjvUaOSt*~_4+-x;&b92C?FMNVbZ3%JnpeQ&rDnR;dDpb?5oHQ
zh^B4<XrSl@^CZPkJODL(Ptk_SRTeO--m`Usa4ow&AfmUbF0n-%lC5M4+`ZKjhi>VH
zx_luBhE!mFh^UAYY(qj9KNTO??7_rD%c~ubW6{!xR8Oi-R%SL1Ou~UB!8fITk3X{&
zUJ_zKVygf5$9gw4yjKu>xZBQXDM>x&RXby2fF8LL@^BW~m_BCnBMd%l_RlUo!(EN_
zx~S`ygM0(?hGO8%J{FJ<!^f+$KMTLY-fFmPKE-z<+54uZy!6CZ&a?2DA`X--<@UcD
z?cuCK^RaScP6_cfiV}bL5onO*e&J6~{sDNo>atgChx(i$-0SQa@=1wAL8*Ume;)J`
znL<~h=>5xy>hQN+9uLwKc}zc<)8T))(i+ZEfW9dV#optkoW|en<|7}m(IH6RRQ%~7
z5hGE_ewITXaZs2&V*d5A+=Pg~K}6-88REdag<=P_;!0$?-s<ew(Jj*=tyTFS>LZv`
zs`uR9vp8q9YyAiLc9`IALzu0m^tJZ=4eR&!vr;%9Hgw3MYz%94L5>!fC&mSO_bx1E
zW0`=fz3w{zup53bggZ@r_+@t_(qP?{bwX6r{}aV@F?l>g#PmKeOV^xGi2VQuOziWn
zBU!DH0%E!Ek+Yh@caT=>7<7`_xPeU#WIYxbt(=M`U^l$Pue+&t^z;W~u(5On2_q3L
zM295^*Ms_ms_kq+#`r4h+t&{BzpG|9d$+t}z;CPh8_O3)q(oPah+Y=X51r~LulTyX
zXoA~TkQi>!*tz3;Q(IDs;n^9I+5qiZ`=4Ri0%`O5nk7gT9m)^IGAyCW&EhG&<*D16
zN>jhk4PZp-$Gz!6fzzDfhv-$w!fmn7M_k8$)bKR4{q-47iaRN{&OArJe*)HA9%3Eu
z2=Kx1c>$>*!^b4CBv-$Dq~gcX-9#rVcGyFdzU*=)&xz4b%0VV0josoKVNmP`kqIXM
zh@HKl>o{K;D0F6)Jp)>;b2JyGc<#aeL3+|-3iXMggO~AmTl{5HkuVePXCVie;JtqE
zpSzih*GN8*zY-bPSS@?9{LrHpA1Jtb%m}5wc7*@ojIId!d{OrkSKTyur7Y|ITb<6s
z`J+oOGw`y}&Uk}v$Z&AzjPHevHCawxqpJMrjjjCe!e{%VWR#~$_!zVeksGDmucj2A
zM~cexAA1JuMhj7;da@B(Z)$z6NVqk+6sgC$9KTcgnYigM3x3r_$eV05EDCR~Yx_o&
zxBbTNFEQLoFF5fGbmoUgcur!vuohw55Ke65PM2f2=cHv2*4D&0qjjjt`}SU?jQn1A
z>dWVq&Sl?)XEp2y<bj&NlnCrswe5!r^RnF=aWex;6`zs?oxJ<v_qX~Ue6-Z)p<`K}
zPX2(ypvD0+l|Cbz-(kFsphbxX6!uYUn!Jel2!!vRUz>kh*#;!O$>Eg%W=+BhGp58X
zRt#`xcZnPY**mS$xr^T5-~oumqTK&OEYihld>$5sKW+Q%5zKoru}D+l0%YQAApshf
z2$)!f8izwS3ihs>xyngz*?gzP5V9Mm_KEA<dI`V2l>4c;LNLUy>i$+;KK~4~I7~d<
zk<?uAt!V$_A_LekKjZ%^aPw+e0aV8XzVNT^G*pC29k%`*sahE$RP8|41zdiVEkzf&
zdQ=O`p)H;fN5!Q)K(wc9%+L`IXjD}F#touW{8ji$h^eh!>rpA4!9Z5%nkg&@7YTQ?
z4OC{-_FB1-mXe;Nrc>PjbM6HpL^5@u=Z}9q;qcD&to#2A)4lfVbn7`(9?DuBF8gsU
zbXOM5>P#v9ht8A<)qn1zJp4jMFX&OiY478_>GbITJW{Eu308I=rDp}=B7wUsp+aY5
zl6?EN4YUM+{=>!9)$XDrS5CUuk@fFP^X`0Vm_OX+?xZ*T{D=^tHg3@B<giFCxwhCE
zX~b4Kb2h}@y9S*fr)%e2{PP+m>!RqTB9?amG8w7s`yYeAA0jCw>czx01uLUkP|JU~
z>?_*D^daBXtKbD#_l4@?&Do2$_dlu`67@^8#UT1??#=%BTe1>UwdncbMF@)uM*rSg
z_M%%`P*xqUn8{P0n-MpM;&S@_Xv5LMR$Nd7_H`at{pGJk&Twtl?DtfMMpIoE_fXr9
z3LQ(F)DA>(fvb`+DpK*b7d9xw_~#IsPc%maeq0ktetR&gX@}ijHxqqrO@qX0Pt48?
zlkqbyj0ZCP8_?^n%DXOZ@s$nxFPR^Po`m}9hCg`MlYbUE+?kA2DYyrcH;MC*uVOdh
zplrk8WlKIGd6}ba<If)FcZO{9*qzXSqZ3C=;Qyu11!X8UhAt8x45Nae4iVk5H{(%=
zFPmT%F<_-!I(p4fDy;KQ+h;L9-U1ZdY7T^?F+?VW-8*ak@6|mTtF4yOfEls(^+~kN
z{W+{$H(`wT@%Ev#vpm$|H7)P=^@sbErHDBr?#7E-*9eVE1yGc<=O-@(0|x-}ti8Al
z^-zrPQX}2oSZ&J{@X5mSnIvmElm6ynT?Owt16O;Shik+mEDju7C}#aup~Kry%)?cn
zSia3m5dc}~hz|qJf70ykD3Q7BCuj;lqaaNaah~)ERJ#M{1EzIiIEb8+s1~RqFu}Ut
zYK#%i&%N6uMEl_^uBy~q*Dhn3r?fY=wETu9PmVAhRy99sCNB=N#TC?>E<goBn-*Gg
zx0YKQHA`9hgs-U4rqpeGJ(4P1jM>tcy&}D+<RLUEmnp}{pri*8<S+9IYCG;c%t>gW
zJgg7f@y3!ub#l<b70a@p_!BCc(}1JcsV@?XjJnodS`dui$D#fQM-3chiSbUdx~jhi
zfU6C!#dvb4AB670HDxA&carg8`~U&lPKP!X`HNBW7N|Zkr(m4y<tColL7hSKz%1KN
z*S+6@Ir^e+0#8zjM@=Q7%VHj+Rg67oE(mr>19bA(T?VGJ+Q~x{U^P?Y2dua2oXi<w
zGhxSFy7p9l7i^tAniHH%>sO3g2|C?R@zG`!bYe-6eq0Frnhj6@<UfedR-ts1dztg+
zkBgM;f3;2ZEbQ@d3dub2afUC1u4D9$1Pmt1%NR>s^Mbppf|8WFk9|D|3dvXM_RQPV
zTe<kB=%}R4+p21(>FnNrJo?bz=bjUvoasl{R=>4w49J|$7<#S<f$Hv9#;@BO01f&-
zNC+*FomgkVD2wETm`ZB!k7a{l^owHNXSi*@Rdu-j?z%^bgFm=A<Fu8Z4FSI}zPo1;
z_V4z8*2dyIR)91%yR2BwePr<kjFEoPl;vQ5WB#})5q!nafz+Q)PC*>`qX>WvvsiO_
z`o<{m^>VidmDwv9W?2+s6tp<5z)>dUk3EfFQhWZFC@4GORt<See~{7|@Xt3x-3<4k
z?oZeFy%$Lji;Q5(ZV%4wh48fCAQiW+IW1S?$6}(wO)0qQgK%nB)hHC`TvIa!aYwf4
z8s%G`;ZmOP&if^=h3KIY{+^fGrfivq6|;&w{1B5^*ev$)ZP$hS4_JdDSXyFpkf{|K
znbAmIdOdos9QQ(8;Q=BQ0>;|i0qz<p6mFEt{=yQK4>BQ8a>{^}4KF4}4TxQnr`Oc4
z@?QePc&k^eBB~rMP{;?u%Xb{~$4|;1nDEjtvyeOrfsIHyjgpC56e}(%-Y=(|ezeus
z)N6*MdkpczNO|t>KS&NU3Xgx=ZLJ7Kx2WJ|>qn=jaR3PpeFEb$o;ObXKn=&;9zwct
zsw+cZK>!pV_ow2iNb0W4buFZ4d->TI{-S9hlk}A9s#Vk#8Ijm;v3Q2azY5{Y=)&S2
z1I8qp2tN+*JC7eSkCu|HA%4&nhg@*>NU2A?;-OdUFXwt+v}h-~t=m6W^viX~Me$8H
zhoXDRnYd%(r<l-zLWhGB%Gll~h}70y3Zf=eJ<}sYA`$YR|8AgNA#-?JZ0-hW$`PCN
zpNQR2>jlfo(y2;l1mHPPm7Yp<Yz2gc6<fJPj;HIdSGzIno-cdu%k>__)G1M+!T><Q
zssJ<ekHserXL|L#guVZ)e(@rz9%0r#O}^$e!|;TP4tU!n!Ss37`y9zDV<fartZ%)<
zq|>hyY#p>-WLncb!~G97NjZ^j)JJPR>FW%QoIL;K&mS?S*ejxGm+gK`m_>4!m<aft
zKW(RonGXRkH4qSE!Vmc3aUZYvp9`K$&Bb2qO0D6GAD{Wq=R7d;D(umZ;WSRQq=XRy
zKr|j)Ee}siL+6RFYj^++t1`YFoueDF`BLzIKwV*Nma~0v1xbD3Nlu4?%33Cv6Aovm
z-eM#GHf8I_LHcpD+L9l)r37ehEk}on!&Sv)mvt?+2q<_<RIOOcHy4se^dE6-Nf9Ua
zk|fNxk1^<iUvacRs9H;4oCY87wA92=V=*L^qtzgmRWWJG0R#oE2Trx~vN<|(5)9bF
ze7CebUa5Iu;AmhpT9{%Jvgh}k95uQXlYXvIyIT(Mrj9?B8ICX>0)_8{6L^X@@=4`=
zQQDpKS-K*_vUR=k?6Et-y7c|GwAMm&rgm-K-vC-rn)DJg5y_Kd*rDpyI&G7XJ17Zz
zk(n}S%Yn<4#2d8@3O>xn_J5%F7jMJG+H4s{ZWFD*Z3-Vvu_NU>3<o5-uY%9-t8RT>
zxq0afpaxgL0EW0Zi5ot@C1!^Chaj4iNE#B|5bc1Q5AJ)p#fECQ%cW;4MlRLMdA9#0
zbgpG8A<cxh(&VJ3^lpp2AZ7$9dvHJ`?}zF<ykHo>Ilh!)Kh}w!tbDqz9K0)=fAyf`
zX*<Hry+y83)st%y|3JfX?l>${mDd8N<Y{wY%9uUS%Zu24bb$j)8bJ*MRx8lz4wnCw
zV;r^u&?HP+Nf=Lw=n4+AnwWls#i++`flC-|(d8#Nk(Zd!+oVI~=+L9R|MnX+qP)Db
zpLAge4i}z+P25GJ%nvxRu};hSC;l{&E<gljeL-Ggi>iARFenNyx|lnv1PD}VdKsEY
z%oqZD9SH9vkRj51bT_7QyokxEz{LkLRsiknS@N9@PK5EiI^4J4c{D;)DhN}kVb$n5
zPfaf|Me9su1CK|FKfk_HluY2`{q#a{mUThiLqIC93{{nPv)_F9ZO~wm>=V;991li6
zTC|L&I>rtD&%|htFNuU(l@Mzh?8w#%#srDiaQpY}hy`S_-bV*aj%i*BDVgHRIP<GG
zJ>+6bY5#s(f_)+u&}53n|A!U2d^Q+>^OCo#G+m1YAGQYH1oz7=%4R2Elsrv>-WkZ*
znf%aYZbQP&+`8TdDYK=&vOzVB>5{mQKD8ToGp1?4zQ$w<jWId<lMVC=Ru9*I>g(RT
zc=X~IKA3(BHnv&{7@`FpNT^Yp0syyy=KJKq?XM3(P`YT_bvAw3q^42spZJ8?Jj6?x
zxx^nrY*+9ENV9bvO}kdSa4`50Xv)KDG!QGAr^uTW=TMG{oC^e2EN0>XGY|V#9mNBh
z<YIjKDmEGIZ6@`?F_|Ui|0OOsgC0x+1!`YO03E$KWqWaoSL}3Ae3f^9ZwWeLqgTSY
zqot>Wm~517`2;TP9X8eGHsZmb|Akl1bb=_p?gmz;)FHGSxl3#9mZWBx{5=QrbMg%g
zAx+pVtzIEGapah+O?h783f-hVW+=JuX>pY|QuJ4o$iV{MSvS?MT2RXDer7{>l-=l}
z8Z}4$qqKm$v%<2Rl4IQvt!cP#aw>90*d3*F;zik_<XP=|4p=-mlX|83{OU&ymik8T
zENEE+4Hc%+@V~cz*7@Qm;Q+)@V@8Pif?749A^tOQ8EGV2-N>62ruK4kvU{KuRQg99
zRQy-7KRE4Gz&_X#Hp=V=UHkZ^49FrH=&ynL#zf-r->hh!mWI26oCJN|C;foY4MJDl
zM#N+M7r-MMz+a!Fkz`T(jx|_=JYmP}_oERn;rV`s&u%&2fpg2b;X2=uf7aJ{pb2P0
zmI`O-*4otot<Ji4u3w0!Veig}Y6W3=YU*{2_=_OKSia_FxlEmVw!{wjk}}*t?jP9o
z+@pPIdy;AGmY1Da3=7>|(l~ZiWZNxV@CT~grQgK*HeRAY=A1Cv7~+sv_GV$m{rKp{
zP&xZHY5S4so=MrotX6C&S_6J4TJqE#0cer*Yh$k(CVo4k|8(TbbtS8F{5$0nvhb*M
zx=WumZ)L^Z=1V1Kn=l84Jd`UIp6anvJ)6S7S?;~TTLhT6wC4`RZAoJ!R<+Rij$ZLl
ztF5`g2sA!sUL{-b&Hj>xas|>t2lXA~qtEc~n>mFMn#Hj$uQCeYzI``_AD()l&U+`8
z^qtQf_{0{*v<Edz2r+zJSaJC9kIeQl1EdNGLh|FKx$2qx+&20*o$Pf@-Z2)h7j@(k
zjbKkBnbNI4a#@94yXdZCswEZX?naGsbh+P0qy%^tM!q5=PEY`}Y456qil57VIT0p9
zUg0@2l$VGlp8U>fOdM_<3q^@7!ius>66@J9kH(<7)m_uN4<>iLUmSHZBxgT~Q2SQ&
zKmddF6`UL_LgMAdvjpfWmI@3vGal)O+1~wyUBq7MRT%8|xSg<oH}Xb3<W#<zg3SN8
z4W&4>=bG0|9<n}@6wFIWK)cliW`+N1=yST+BLFSLv^;n=nV8)2`Ryky(|nEBJ*B3s
zmEU(8mHWKZ)8@yO(6189nAtsuRzoOz%8|0lFEO;Ie6I}J5z<wB4K!DekD9(5`wbX=
z+OL{T?|iH6e69-(5+D~(AG3MhF-$Wc-UJO_{s`{ZD7*A{(@)m<L_;l|I^*eN8@+U8
z_?nBy(NBxLGJs7WW(E-^L%(`zA@XBn(Bgk;g4!f<W%jcK=b@RRYit+zF7jemJ&6`t
zAFQkD)UwaEI$~2%<&qI2pih#IhxXdEA)lm!0scHBF8;VHKf-s>=P5k)vi3q8$P9Am
z)ZwG9nQW%QWLJAhlB!x$gy`nmZ)${{?Jc~aZJjzj3zBj_#->s>mhb;dW{gzrPU%Zl
z?ZEws;fwV_=|8u6cBt^~vE_SMlst%P!-vz#WCuL@<-)%O)jWY@7q!ci?vjoj>(?91
zn!!xfPQ~3#ZHrae2TCb(M&YNYaZ579>?Nv(rprcv)AFy&vyCf#oxWl@0DF8`gE%O>
z{hV)p;~d9Y9VKG`GI46s$bR@xdAPIDxh4ho6E~<o|IcKrLHCocrROidiN`sxB-IQ=
zqe(+;{BL!tKYp1TZ^*jZx0t@u`SgWSZ|h)?iDySWZ)G2-TXIFi96cN2+=_?>wV<R3
zKW+K1!-jxJy7I2Y%Ri=HkHZ09w6NADUE47`%jFj{3-x0^4R5lyo4P>pR6{YgJ>s@$
zUc7GINkr!FSO1{oE5Zvg*rXI7+Dn4oN*Ihl5V>!i7wl@E>rJm!(Q__|bYbTh*R*KA
zv~}(kbtIEiT`7E}mL$@N)FKq)hng;F?RsmmGk7J9jq2-k9vuTHQZ#O4+%{EmyilG%
zgONP_<o3ud7GM3SgwN#bQ#FpQxo*3n6CK&R#l7@&%FF$@c=w(6gA%5WEQnOq;>UR-
zlKbT{8>AT~;@gSAe8MH^B%WYIS5t2%d~H3NJ=cH@CU5D8d7brp&?JXFWh~%Bo0CA>
zQOy@+(TfSuoe?uPKO#ON8%1Rj;!Ch;OTE|qFVf$6x+_Ek@-A>a!vyvd?`3AECTFQ>
zS6RSPvvX8HHlPm~7BIDm=x(#eGr_Ii<9<)NPdT4GAm);If1!i14(hq)(i-V!{`PVZ
zeATx0nz8$*OF!+WEBlVPdcfael<s%*vu-COjO#-#*LggK+>T9T!ZCev&Hzg0pwL7~
zf7-L>%L33~?&gQ>KQVdx3r|L*1<$-3$vCvQbhL?BV!Aeg4E$pwIUcCVvi_+E<CID)
z{XrN|gGH0b<p<(37H<Dr?$GVtlQ(NxEN)wTl_k%l87mP-J#Rt-4B&-;NT@p~|EDxR
zGD%G#_f7cTU0ed7gC=e509lhF;V*`d_N=vF!?Hy`bL!eQCB7nEQtF_b$20B>OUvzt
z;b}4jsHXKQZv3tr>0R4{vRD$ITN#C4r7NJfi2xN~BedJ}+ZhWiLQDEnHKZIi*Xk*q
zj`PA%RC=lNDbwZDuvXwRi;!is>eQ$&NWnt;dSo!q<<3vyyC|GVJX|Lr`ZY45nW^pB
zdfNn{CuqethH0L<J;7ksJNDGe`yT=k>LgU?vGM%bYDM7Iu18}%DY{HhO8Y+G>T{kF
zPMt#XyTN(CFLSPq0rPe$BpAslkvS_g{i!}|8!fG)?0~=bV!EfI02NHC&eE=NPcOWC
z&2~<=><L=EQ<9|+$4{<;9WSAzE#l%oIXoQz?9=2sJzb<aQIF;sE_O`_1E^d{e^^yG
zv$Jy0oQcRUITPF6HygU)poy7;tdNR4uP0*B4T)(3?&<yk)x-UvF~%?3q#Sh|cx@_f
zXQJP%djh-Yva0l475@5Zi@v12lAU_C-Nf-|<%sd66CPT~Lub%-fev1_9}KvgY8)%R
z058V5;GIio%5dkW_3kmA^ccdHl8iH+uJ;0XDQs_F3d4LAkzbjqG#_nWt-=*ZoRs+r
zf<um$8JUzMoR8u{xgxhm6f3z9v6|oSHO2%-V<`qy^H$J;nn$4GwD#AL=PdLb`D!aq
zWmEw-xnoft4Ov%(QIYeo&VJ0WZ=_fR&wjh3P1sV?$(><#aPujq>*8BSZ0&29p{u!I
zw=;6i=4D7%v4(f~6sl2{e`|Psv1%<f8p#a&sid{n!KzgY%;5<wK)Cc@pq4myp)WkV
z-!^#czu)EfF6&0P#b2PK&R8L;E@#;@T;R;tCSfZ^^a8i(_a>A4<3weX9$^FJ#c6J^
zM_+d3%u0UXRT@P3iFgcEp&m`o)d9deTj(t1i4j4?5%?~<v2lx?&D}oi+EB5uuXZoh
z%M3Vsu40ZtPIdk3oWK9x9L;q1HZkI9sDg9*LGX!nb^P;zh$xg>C`O}eCdF~FTzbj-
z){nMdrBfU$y_}+9n}A>UOYS3<e8pW06xZOzUk=GAc9k+hs0wj#H$Gl&f0wpblTJwK
z_LYyZV@3(}=fC{rvTBGXAWrD`nupFnKN@#zEcag;dfhz}aUmYd<iNT$BH}+zVMbKC
zsaHR7<wW}5rEjE#olGJ#%-pVwBZm}0lAQ0rJ}@X($1c5Dp+;ZI@~bfHKL%SuGlw6P
zSDQvJ^Y5YgJ%bU$)Ur~enA=t<*Fa`DaCQ)P@==rn>0IgfYZ&I~jgV%YV675bJ(5eS
zCj44;CK@b&@KK{=OA$mi@Fjc^?!yYz2`iU*p78f{JY9*xIx4{(F!xLDL*6GYaN}kQ
zd%heMQ;y*FiFHCUA+7Z5>XyJu1+{i^?aB<@k*+&@3~eCv+yrFZBRT=vl@N(;Pl5cq
zBuSHHd(tIQ@x_M-yR3oTtCt{bEXHmmhALvs_iOW~Qj-_kY^mdBE~>znsWi_D&Mvwp
znIOdaOtAvMybxdd7u6ih81h&6a8K;FJ*if(ir2jgZ{K@c<eS9Z%mdM^e+Om_b6DfE
z6B)3(7Z7j5$!X_*x(1#o+7#NpI4aq(@ijr)LQlL%q+gEhH$RNq-s*u4Y#-M|q6&cB
zz)Mj#Ryd=CGCMMl{CqvT&D&G_=0&{20yMg;NU~XJ*UbRy4u-E-aD2t-m*qK03`PvF
z?<kGjwt3VP%mgl-1`?>Gc4q&~A|xB$!8<`yk%r$sZ`2n|xj7YIG0gR){Zrx?W|k})
z0bXnxszcomb60CZ{B&a1B5g}yhD4RjL=K}mU~OfmI5iHnAssMr1$(CMaNFk>U2B=G
zmuU*GP|QRP%#i^q!S7Q1@2^~^IYY~p-{u-b>|Wxm91c!XCzbg;weVYYVOSB5bDYZ6
zyCM0$`UTB!Q(|$|ABlQ@nH=(f!5r?(_cvuM9hiqWZ-#Qjp)Dd+NCPIc-L5v)prIJ!
zBD8WH*4oL<DfAt5J;##lpK#sQqStJGnDFi_(%#S02%rhu@TXz6to*f<#T6oR_l4IH
z*3EJ+an7F;xjpD*%Q*V^F?&vOd3>{1yOUsICkb_|uTw>caTk}?p(S|*J|Dy*&fFn*
zR(4?TwmG|r3oPkMIxb<S*0<6DO&cTX!dl+Lh2A-q`X(xk!lGCNAbPS4?iXEQ@YD5s
z{hE8etZRn3(O_Rru?az!<{|_s-wSKFnqekP5v=%Dyo3H*wF<qK$&Tl?TE<U!acyrC
zWtK||sll7D`^=SCr9fiZ7~s388U%XdWqmgiVV=-_7A|Bc^NO?mYaits2AP_)&VHR&
zTHPYS-ZS&8SDbZRj7nOi%~oyC(9oyrUmtmarLRHn#9u=M;;Lu|7$%glY)4JFD-+{Y
zu^5gbT|%K72eV3MZro3P%7}Xt%SN+)#AaOLoP#Hv=k`~Uj9rWWMcT|5I2kfLq+A2{
zc+J*%x<#JkfR~NIEpk%ndDkU-$4l;Z7{VVbi9YJ^!(4Ih%Jt>TrYE9#DIK~5QaZ}R
z>gDYo8@|hbdDAu)9s+^}pknxdeMUw4HNUmlOrUzlUQ6YWK;Lvm9jvy65+XKzo5-q!
z?hg(sSgksJ@*3;FfT>Ci&P{U?c-hI}f4tS_C!ju!N`}|$QSB3a>HkkGn>7>iFG`LH
zW4Kl)&a<f#iUXe$`dQ;XV{1RU`ea*iz~(K;l|AW&@AQ~>m#bdamLJit%d}>MqtaI#
z9x4!Oxu?%ei-0ROqZC~M<0oE##UPPMKmt%w%b+I!Jr~8MBJA|2E$c-05f@pkqFB}n
zOewJxzuIPlIQKF|AT!BLgF&|YVYiuIyMoqk_Y$`%P@wPv{yl~;L=v)e`%4<fI2wLg
zl^1qFUlG2Rm@L*o1bMf0O7J-!1EOK%<$?K4DFpel?ig9za9)Vy8`nC`4>hxu>J>Q>
z#O@GrYls?f$EOb=)~wUpUjnw6{$Up2B_i0{Y-O<86VaH%qTS*isN`x5^-(9-&Zd{|
zjjE3=U?XCtuXBVl<&T#g$`TZRonQv7(V03|M57ZS*&#@U^=t|^Y8&EDIMrx`8thKh
zt8?j9YikQ7+z7<OJ4UatPA`3FbW=mLL445Aom+fu7u@0WUuxwkNdg}~V?}O3s?ygG
zZp-@pIMvM-rZ$6il1%6+`kUEIZnwz!XtSxIW$oo*5l^M?lAzU#F1uty0G=FUOvg5c
z!yOH~^2Oo@1VT>Q)omC}c(ryB0ZwciP{Akx_Y$sU0oAAn%P$GIHZ_^nhTdOv-R$nD
zNqFqi0=%Y9_|O3eeV1PNjUjt@ZD=%^y8HV2E4J#ER@dI3$6#XAkC?JC^-F?ZqSHo!
z*NjSoP;>Vc{K{K3sB{B4UnG?PlYW3;nPE0^zq}lleX~xcTieWxJ6N_%HXTz$fBNWq
zmgLHRcd@9=M_4Lp&z@7fuFU6nl|$DwEAw&AKT7AVo-qi}+u_o!hh?O8O)*nG_0u~B
zkP3pHeWEU{+lh(-HKG=7=}U>nHqn%_j`O!Nf)xC09-w}&+0*#sjWH2&p2rTuk{mjr
z1(?}qE4-9bO+QOmc9epY)ezHP`d!!#l|DxRsz*w#m+_fEyiU3O#AP~fy6H6VXPZg{
zww->ixj;VsIlAoKrIEtrycFq3{%47T@bKA$>2zn*KKb{O*rJb%X}0EN>qsg<&@&uU
zNi^{bKh6cF#<E&ZtzXrjMcz8=ZJst#|KV+ALFny&DjUtq)|b~lIQ)^QAWkrp6j?J^
zYD0=l3)RGNNJlOe>oaCId5Ah~(sWzX>kjT_9Rr|W=u-BV1@xdJ^52Pt@oFpi%HMB_
zi4}qOusqWBED$<!i~H(TXfIIUFmXbSUnKbIn(u{KJ;o!EnL|N55O5sW{fInZ0!<~V
zjAuL^n6*`fxmmqlXR^64>GEQgR%PEZd}<Mye{)&8L4R3GT4v$Eyh~KS%A3cWLH}|A
zRw(=3^8N82Iz>^J&DQ^jyo`3h$-PN~x3pa%XS1$(sb$MXiwP&R<h(A^g>jGmj>}BZ
zlJeU2+6-zejsq=jPV`oym44L44@9QG+K+HZHoke;*;>P7q7`iycI>_mObR^r)Iza#
z#26tY1XR*1O`}Hcf1;^B)V?O}_zhNzkQ*jguL}7$x|JCbHZI`x;4^YwS+L76;GZk5
zv=qby%y0j)w(7p0!9d6ahBq`ftXxl`<C9dB#ti<2Cm?b@OOWiJ9O9S#_3@D|+uBo%
z*0~is<M;5BH*{#is3A^AK`s;19|pifK7zili8JWf=Vq}<hUsgJFFTWuqD&ACcYNQH
zSHZdlDXSz3)#dS@ssvdiVv2YU42fRQARFUH=07bi^JO~G>+d0aG-J(nhl4g{{SO=s
zcewJcmkJ5+e1R)k_S89y^5|66LDo(7E=6ntBj#zRlP0UO#(;5p^r9FNs4$fKiKLQi
zqCk3fvzF*YPkS9=aS+VeQp`k08j5y3I=5$d3W>ZpjO?F>3NlGbsO1-OY&dZD)2e+u
z-u>ar$PW#4@xr5AuWedOb`sorlKHjlB5mm6OyyM)X})30V^q>Nv(H=LyoomA&bqvr
zT`roMxpq&dKXgx=hzz*xht0oz1Vw<=TBs_ClOPnht%T4Fyn*CY(>mkZxe7b~`$8c8
z3RsEXfB;p&i4$GK+EDSV`B_Uij|6vNd|3%Kw^OUkdf<?BxQoQ7gMP%tq3Xv27Kr3?
zh}v_=ospk=qoMk&tz`IR*VoOXN2z?vuEEC}fbsNU8yx^XR_g!)CIt&fJnQi_e*2BU
zmIKK@D*#H=$JL}eSu59g=({7&h0km|X(dL64dQP_8WLHfw%af9@9R(|NDRfou7&S>
zg*7Q*+ye2ka{eH2VQtA}VcP9!AZvA)i~-CB59(#C_6Wo_4mEDc403founk+&!n+(l
zE)Az$pJv-(8PYT<ccD_h;g<HSL!RTIb7m@5yX&|q-*>kp8F#S5F5HS8+D2TWtm!5T
zPsNp={sOXilcz-*-U<LCZkVv_83tIo5}vDg!6!%nuJT$GLCv%^(tDp=dSAh2)T9#L
zm%i=!eN_W%%?_9|K+@o{Q7Fq=DhD6xa$O8cB(ucxwBTQ9xbqO5y02h~{vMd?!^V|2
znd#AJkU50RlI~X3$)hR#a7$tdRa!tG(5-O?^f9ERxqauV053fae$*z=?osw8?f@Q^
z@!Y<KxA*|=v2~a~{hW%f(Pru5c7BdryGEH&pRlLck(U&ZA$Mt|C<xp|yW%eBGwl+E
zpX~Vl|7RU-5`04OvQv=Os7qqa%f`5FCs6g-mzxS^6%=@#3@;v5_GEv*!CP>ElR|xt
z3@Q4iqW6E@qBJ$S%r!5IjrXp);DlZ2)I#&?`CE`LPn_$~ZsZQelOp<i+IZS$ik;F_
zF+KELI@n~#Ng*35+?Sx8KhT+Kk{8z|<gu9^qM~+v9V;J*_)HAHZg4)b<HA8P!FacB
z+{o3POb!+K1Hwe2FJSLk2RU&4G*%r9zoO&st#<D=i#w`*wuxrUW<(19%nV8xkS)4h
z(H|!%NLs(ryb%!Ive|Ij{kRnq93XeguHpf+cZR4NyK6+7AHaX~FREhOL4&JURIDc1
z#{7yq81<n!A$d|X#wf?@w+scT{9}f!Fv>YB_u?p!FkdwAMjS$3b1uk?i7Xv0lmO0x
zR)-CA+2@pQ_4FSMfi8&ueSLLHXC(anU_|@k&`nBmK(M<a2OqBHD%Sk^W=A(Q1ad&H
ztf#-Jf4-}o?UtZuC2^)%4VMUbRV{Jmae3B>sf6tlErz{fU-UWCsix<6S10O%y~I~v
z5Z;N6@rpgCz7d##cx;u22Qd3x6RiS!hqxt-#c4Ty%jq16PCe27B_-ErZ+7=O-lcc)
zS|IOM8dRT79L0F<Tg9Ee(SFec)%jh#>3vBuExC(eKI+~`&Gk^c8GqGw%GHmO@1%n}
z-mI}tOH6rOs+Ik-FP4xz2aV9Csyv;RRFS_1739nqG;@y<iDhjUMW|mLKDH*R*tR{j
z790au1n+|nE9}pQ_DsG#L*zzU3y(Q^eHTsjCQxIB-LXL!S@BRYYohap#Bb5uQ6wl8
z{WwR@>3E5Ov&`MEN2vZNRJNQO?FzuO(qSH;q1z;n>CP7s$`;cO?rsgb$(?QXXaSiA
zJ}69~iQuG9EdEIZ<}9aCw&YMN(Azjd>jL~*VbaOZkD8*H97>uAK23|S`j%07{*AE!
zC)9i;031{j6sII9I!<Y($#=N4V_bNTX1-XjL2YV&YV#8TR%TQh&QPvD*<;eyKHN9t
zfZ42ctDl|)9PSidMj3+ST!GE#ObP05$@IFQ&!!u+RnnrKQ`ek)?W}08aq>gwvk)#l
z7Y95+4m7wAL4!<mp@059!dlYoLm{In=+|WmT7^$T*Eo`lBbxt~<qR-Tlzt^cCg2}0
zvC*TQYW+X?Wmjl5gw=Qrc)(}Cg?epJKyCNGUKJ(Hm9sgoP@}PjeIIAi;kR!t%D^4B
z3DxjwNs?4+cn=5a-FW{{u_)6Wt!v`(Ioq0K0!5g&8Hfu_mHsmh1r9%UOPC9aUFV`z
z!!Myq#kv{!CcI@1OFH`r8WF(WN*Dc$44&~?OzsYeB}$1-*Tu%X#5RA7@y8DM^fb$8
zg4fQdOL7vKo7TX{@d}Sro(yEtht+<k%Qv9&oeGT2#?agJkn7Qn@LyBanA_#GRcN*}
z-~P1m^|;c>_K%z9glw)E^QP`4Ypja5kqG;7(cvQ5%A)AGZ__Ja_n;6;Gds3c=JP0V
zAyF?wK9=ciM6StCM*eussGe6moObX0NcVqNDqkKxf$4@bL<~HhU2|j~Mfs?YRLa%Y
zoLr?Cw|7`o4d+u2NXop@kWlswzd$>@c`PQc!WqH>Gc~W(n@DgQ20(H4u$@IrvHeQs
ze@i){m@Sjy?a7a}+$C>2WVB4559|PcNFGt;;tz%C-lwN0&qX1JN?Xf7Kkr0qb^eEI
zq@r&KVBpXe_2(>35#cgeQMdK}gz@D0Y*rD26y#cT1=v-^@b~C0*D@(th6&TFCu8Vs
zghx`iIQf8pqo>8!j$ge46u;jQAJ{|2Lv3!0L7cs3SP>z>kbRqi_hs91AW1SnIr6(P
z5$pj7_S9pvF5(8TB~l~_{xLx$a&Tz60WSjk<0OrrWctT}uUf`Fn5D|E$mKzeYd<H{
zS1b=DxhNk@{;4;l27+@eRvp7SgkGlPs)u7QW(vZh73$U5e+GXH(-g@|nf%Gbq4!%@
zrJr>iz!f-t<d5CIBIS)lJX4s%c+YKjgEO8|9<&_$b*=pQ51BA9)JO@R&%%Q9U{OX)
z;FzBAy`u!w-2GQERPmurle{2aJ`Xt^*QcNINTSlU`O|#N^%ho-cC}cX+TSaSb06H*
zoYOldQ}*8ZIdg%e*=Po^32f|9%lHb!3d9ehE!c_`ALDa<1Btv~Vq*GcvA;Z^pL`!b
zIFRh%ksMpdqx%879qpAR_SPnCa6d7OyZfj5GfXC2cu5ytQd-&qyxJIZGpLpbT5ETg
zmABnu&<SdO>bhtAW62%3C5jEHD<~`7=tQd0eqX;hi_aoq69|GQJLwB>%PZSL0jNuE
zqptH>Q7Y9htF5o*CezI4A{HhQzRQV>V(U9EBN$WocojuXH5WYnDHU8oV$enr>(fVV
zOP`fzRc(qTH&&YlnK7brr}Dhn^hYlOj4HN_I8~jFF2wI9fB#G{xC3wEoN)LFkXLu|
znAyi#%mmUa^@cPZeZCQSZ=lG&ZUx!3Iu?je^;O|}@Y30{HO4DAMnuwCF^a<QrKHK+
zPaA{WRcGw&$yNL&4Ux<eXb3%XF#DxH>==}r=Zrln_rax3V9dV|$wjv^$|etu<hK`5
z?4mWszF$RMU;MK8aIrRw17X#<4vO&e6ZQCDp><rdHhHLVd%4!?P}uH%eQhHfm)<V&
zi4m10Gad=Z92l}9uQnc!;GfR_x*^7kyPo)z6`hxgaGF4zb><(Ia%9u__pLOotYto=
z&FOe1d<@z1^TW27iiF;xL6`2)e1;OjpQf2kM&}RLnqO^LMK|)zOva<b6~u*o#r<{R
z=)RPfZYdl7ma<T(Z*OO=F2#lAzeav3H4%CemFE^TobakelFzv{DsuezDAtYd?k96i
zlV_{^@mh16k+_T4`XM>rm6((t@lB)Zba~>vj2nxLsb|ETPiv<CKq5GrlNmM>qh7k1
znvSAz%QfbFln2x#R;PO;gnc=Q9lao^G(sI#c5|dK2k&sB=h=kSR!hehKjRC~rOAbF
zh7Rp#;6iG{=tI|x%OGM-R^CxG;CGsc@V4Mw^^{o6@6A{>tZ1)r+PKV+%}PmsYb<^%
zq{moONr>pabK&cjG>XwNX-JKlnQQkY_Pe{K%s}vujrsa-v){)SD?m(S41783KctTd
zyPRt1zBCPC;2eKCdhij_lfQ3%=C<Nu_2jiiG-Gq^(BE`^KFN)bXCkd#zkNrUE%WlR
z*Av5!k2Mqib2UitTv%193eT*rt~L7m@eFFSE~o*ctNe%(k9AqgWE_bDcQgov1IIgV
z6Q5OM`kIdF_b%ETyu_50J-`eV=tO-j>EFT)$^reLGn%PsVx9Pt1X;hH@{rcNM<=6i
z{NGL%Zty<&eejw!Gs4Nfbt9aNFNrVVqNXx;Dat>>P!mSC)F_CpA1}R81rGJd59|G(
ze28`AnO)m?6)G1~qvIxToz9?^8`;;j6d56ly?(*oV1Lb}@^IE*<RYwNRU9LBW2#a*
zp2%-m$%1mS7k5cwmzFRO;^WWC-rjlOp6tE;M3~?7G1)K1zk0+OD1VG3lb;`nO3|}8
z15HY$&&n@1+aa}GmlHQxU3v-_`Iijsd_+gf37BrwH3B0(D<!pkcPaZHkl7R*)q^{9
zc60~(t=UdSk36$DjGCl0QH)RHdN3jnokkd)Bl&WaULd9n!=*teoR^Yf|A(aS0B7s{
z-~P6Ws#Vn*HPTjF)E>1-OYKdm9jf-KEk+bY?LA9Wja2Pjd)25Q_TE8kVueWJ&Hwja
zxvnJVB-hC~pFGcf?&tojrc6%BY!1y6)&yA7a5$E%*9<f+A>KZ{-n_PW8D^Giv6`3&
zaDB7LKmCj_2@izKXsdCc7LOA1vYr1D?QA~E{dfH6Kg9*+&BF%=d#0TZ-ve0JF|^A^
z_Be=VM<}>}^4w)GZP-(dXxM`e$brOG{mMc7%aOQ7ndcW9t#qxCrpxRWbsQQ&zv^B;
zI!FkJ87EfuJR06ZxLok;&^F0jk9o)uZPxlrnz=Nf!e1LMAr1xiaqyfY%2Y2Gv}mdq
zep+<nTTfJH?%^zYiKkv%pk&kXuKbK)2Vf2;o6>@o4lkSPnuUo0xv$#%z?G}E=i2VO
z=fdd%4r<8f0fTW8;>*(?2DDo?P%^@^#v`yZN*KN`hN1l5uEpc8?fC)M*wvd@%%$}w
zS8Hd~SPSNIDThRZGHqP0NryW~U2i5+zj^NIvJ?stOvqS>^vjLuPf%P-F#|DDCKi>l
zqpw9jJaGH^xL+=X#{rawWuLTXr+=)dH@L8e#mc?Km1yH#KSXO%l)$bc3&8qn`DO=u
z`T>>C3dTJ~VBHVpmAKi_$n*uX++Cyh6KXpd{E^=!-nmtjg!_+<wW1tRH(9(V5>^f$
zDp0J{tqkW03MJ(%TpTQ1U7{QC)I9%@g4ZD$dtUG7r5U5OQ0Y2;A(=a7>#Y4sMVscT
zK{Q80;c7dS{Hcvya_jaM9PGP*j5DSgAKd<IqgrYmlqIHlUUH)7(CyB`dl7||p}c?>
z@8e4KzbYlAo?LfqvvbY(1kQ>7$lN={{erKNR~&3$&f?TcC7DISncgil^-h(<7u*NT
z#Jfqew8#Vid4S5-m8H3@)6d|AJTnu;mN@`m&54VhEaNLIn=QquAeIeI&A}UHFFkhj
zuA`@%8=Ko#{x4z9zkY>z)4#7;si~^Yuk?H{-WI&eL%dY;y^j|h&pMXde(ZT7+|jse
zJdWu^STnml$sd%LS5VH&5jp>D+pQ_{8r#=IH%20vohtUc5c19|qX0bP-m?(b-;~sI
z{iukbivqN}!~?ncxJ!7%m72h=AP|H~axfP;KO<hs6EL7%o}tRU0qcu<c4fTuF99ey
zx9~#D;{>NVv;MLr^T>-xYqf(+34s*&QvfXZ0<j6JbQ4!Coo-L}z;Xg9xullP7&7cC
zg9-cgwn7WS#w-(GU)#-O&+Py%si!>)nkL=hK0z|=TIU-FkAwO1S?6`Ulp;UZD<LH>
zqQ6H)5=!d-i~{fHXX7r}gBfAstu~#N_I{5G{`;hK@zcqDxzsauL(6jjrZs2yrCOtX
z6MKHxs#)pN9@i)1IB)zpI@R<DGE>x^39kk41xP1@jw}|dV!cx_r_OTc{_N4a!+INa
zeX6^Iak@J;uS%#54xwi_7kh57^hRlXq=e9$8wp_I9lZ5l^<2<VpM$O#f;(T}m$D4X
zF7d0Q4M;wv*sai_Zn<#UmfFBe+n+g@Vs>=bb6XwD*)P;#v9s``ut?4pxXb_DKS;)c
z#+$5g(f$H@W@oOtzzrm9+$&?9%E<k)IPg{*{5!2J7B}=<xHumNPTQPr38EH*1v4b$
zR%1-fJWup<{AFp|2+ZG+N=dk(ZTl0C*%`)@9*vLXQ#l0ginmtn0}(SnWuF0`>z%)d
zYpHU2ke1vicTlkZ(`}kXlx+w-dL;TUK}Ns1D?B=2oDX&69e4pN9I<@JGt-;JKN%m7
zsBO`KH5qTH#rG}HE7dp;$u;-cZv{dK&~dSD@Bv!6h|MYFQ%=;x&tvxBvL1JiTEZ>n
zG(+##+Xq1DE%_p^OiJ$-1;SzC!BXHc+b~s-r)sdk1)$aPlY_G7cZHL79<L0**kl5I
z>&nPrDzW1t%pA(-H4Qx)f3GjnDLDzctWb8WKQ)ObQWx_3dU?%&tkR-3hT0BICCrn_
zaevN!RD1iu0J}lnG=1`p3D;52XR#}%smSCvbBq~h<7Ot@E(d@7n?Bd4GdPD~BmJ=0
zmnvL8Vgvd6t92>PZ4@32ljBXs8O?u5v!47>Agm2~x4z_))Y$RjEOnB|CTCrrc)a<1
zjg}wibYBm|C<j%{En^=`Eo;w0$}GtrdTg7rI>Sti(y57^7qsmilaGDk5p@Fp{_Unb
zaN(N-dODn+=UT`c3Jeyd>1`X>^38nMHh{;CmZr1hf8Z0BuQYMp|Gp=n_ZVJTdsAi#
zNtu}45ZJXh{EVFoczv0bn<sL(wch3YvmMi_gFQ6K^Kj<}PQv~y@0#b2>D04y9p8RI
zyu<XIr*(MS^v`*nU+KCOpbJIY_J#p4DBTgd-)w2H7YF>nxNK+Ik28tZRqcNeJ*iju
zRC!C!EgdM*BokS8tnp<}w6N&qT2N4_gaeu&w#?#4AOGwcVV2vo^L4>|2!iU)=7+ld
z@vHdhx4UqH$aR(EV$=q$*d#YMRO;@K=Ia)2R~$debw$_Czc7ao_SKq0Ciq%5OnlV>
zT66&3HkcB`!C;ZyovFd1l9@zB&k}KX9#GO$e>;7Yuk02fIfeKa%I3;kr|EP+*7yY7
zwmxe8yXH((8#rHT){C`8NS-m&j-Anz7f$PKkx1gX^$sHp|Aqmn4L0(==&c6DMWhRO
zfFnR}W#d4>!9(HmY^rb~W`Bs^@{YWmY;z;i#MZJ-pOg`Q`?aV8R%usp@2DWWm?4^q
z)7z#p{5E$+_mM2x;!bl7h;kEC0A|Pf$?-{+^@iml?|DE3&lD&ei;gi@{6F4qw*Xx?
zW|ZJds6Eq=jC64`=*`ys4((Il_&#`qb`TwRiATXUu~LM&gPuvnGeYaeiCJ15k*UcF
zwN9pu@tsP<^XY`LOrYc+T~)MdF8-I`6iBE<CS)*F2Go0VsHR^Xyf}ZnUbM-Vk*>Ye
zm@3@-B6wa~Q{7%wxkwmC;jrfTDemF;_^+a~b6L$@?Ov!UvCWK0N?z)S_W@gg)meh%
zR>1ugf$O<pP5vz~+wGllZ6{^C>Pn9PA_`7ic;IHysJ-||!pq`6dvhnpmGRc<QWd+)
z7bYvI9jNL`ZRK}&hw80=?l8W+2{%GV>I4Oe=2tqA79;~E{P&`TEYwUOs6Q}tZ9{l%
z&M}ZbJb@fZjhp(D1jwKA_Z&U%rxuBN_;|=>w<&2xtRPvaQ-zByL{tr)uuiNVeMhhV
zD)oQ#-y#c>cCZHzxcdZF58R@C0aeF?;#q7ySrbhD;ynln{CxB(^5F9J#HZ^r*M4V)
zbJ@<nK>W8k-h=Wm(}HyByHFN{wCjdlwqniN>HfqC4=?nkz#8{eW{^xCyLn8MBm;_5
zP&tz&V4PMZT1}nEvJy6Ffu?^E`|G%`*|@(=vghSH8ZZ=ck$oY%)h%M4^-ZtTZbm$j
z=W54A7K{tW1D9!-QN2@M?{gUY#|sYNre*-I2akw*Ow4i^?KU|_Vrmyv4)=ph^nW<`
z;D7xW@aR0@`p@r?nCoPxrrpNaGl}x*>@(57c^k+e#a37}=5vf^KxX|A%2lS(t|u|C
z?4E9z`(etI$>vCq)XG0ZFAW;jZice=d68QZyqNt`I$N5phMcq-ti?TYP>ozRW$hnb
z-jlqrQ=S;{3`qZ6qI_fHBsfA{>RzzDSS8UIS^p9PI%Y3%Te_Me_SRiwhgVB9_Ivce
z6EHI;s0u%A$&TfX8CiV1`ha8GnOGk2p40w_Xa~c}z9!jv!^8(#e5DzH4iDLM5)D&j
z;<<i(TC<J|jos^1KGQ7F%enr`x<03AaB|AwL0g}r&3(kFzp-{COWhi=Z;&mF<5oak
zo!02mo53Oco{ySZC-)c*qI6<d?@c$oviC_6Gm2?y@(6eSOo^O#h>zTpy6&^6@R{dk
z!L&@~t;^fqG!;5YWe2bg|BSZ<M6zzmgJ~PTvkfEpp`~m!-P<ODBd27q-<YH{zINHL
zzwk!qY>zkpd%EI<UQ{=QJxpyV1MYmM16|-$mAS9x=LU+1eP&k=U*VB;I4%0h`V+gE
zQFCj4zK*^A0PdsB!FK3G<jkK;ad%OaMD`M!uos%wE{82Evarh28!Pf*99{8{$5C-q
zydQZgQ&1|9m%ai<q2BG@`u1Fsk7FdXbzLn9v#I`XVgp60C~DBFZ#$BSIpuBc7{5*-
z_E2@mzU4XeKI8Kc2gp62-@QNpFv!s|=EHV&bg8f#6omR7_9Y}%?WV9e26KsIm4gGR
zgcC~jU%cICO?Y;>RVSy&Umoo-gjILgchg+@$a=3xr!LJ;G+qg8_&AU@)v&;?UBZ;F
zn6TcIEEd9J5G?8$XBZuFN}?7I)7Y)|d!4H6^E~67qU6?*<kxo`%1F9`y62JBuT8L9
znRp6XaDx4Sn;akg@RxH^6D=n{1@qNiFSeAYBWu(Zg-EtxiimR7LChr5OeR6<6Hg?r
zua`<a-1f_a3H+QJ;C=8OkD^Ofz>oLVc2E}WOv)d)()4WliTTPRF&cu!R|N{V0Y~c(
z<I-j{AH2UM^gn;`0-v?F0`LU$r}Sj8<}nIJxvMgIedA8wK-qk__c5?S>-_z_cl|;F
z2OlrcOb;kFZJfOo{t9LMv+`_1oyMOcnkR!23=Q0Dk{`IfUkZLox|Kh3IuDz~7N7P_
zdVD3KQ+pxOVZHo4Ywd+}z2BK^yChWRV=yL9LFcI<&RyopqE9WNujdSx=V=sC+L%xg
zj?}4Nd3;JEYOkN_@XMI$+pLVouj<DXK_cq`qI&-|Woka5c-{I<w=Ox)EsM&%Nha>7
zL^jyR#|AjsyMK<TkKa;dM9{K^>VG;<INitOwI7{14sB=I4OM53nYyM{Q~ixy_TaTW
zOJ@-(Xk6r)@D*vJo&t{Vaw%H7K1={OIdBEmj!ceyphk;I)i}OGDx|3{MV_cY%F^_R
zhfy~RgIe1pnlDOt=1}wrUFQn$NMs8%`_Xt=f6rj8X^cUE#U}y>#$@)_uM$~aFKyZT
zczsJiQHa-cF9#3*=h>6+bVcVj);1f^qSGjG2hxP?&#(Aw16oMib7Vv`$8Wt-M#AO1
z&}WkD{!Td0ibB&SJ>J5he7CIx#HsNAK=<nWHob~{U$$jVI-OlUdYS|%jIsN8S^amk
z7pXmQQq%l{rvxK4ak~CyaPb&?=;;HYul{<X68j>Pb7+c5-N@C?0ZrT@g9{;g@)dAk
zxIzXIVq_}0nVh0H*iOFgt>EW$ma##9C^u)!T3<U~KPb7zWsbbX-gZm5GK<O#T5-93
zz5w61l5*Qxb9~9fvE1F1TyT}dl5$Ch^p7uvkXorLdltmZVV2aht?r?~LXFFHU*NT%
zB5XA!u`M4hEL;6jqWq|tZl2;L(*%yV1Wm9f`7qAID&Fw_ha`A;p*(Hq&3dWVrswvR
zOotLtOheqJ5$b*L7}x@ar@<4L@D+A|1XZ(f|Ha<@7p)pJFg9DM@<KVjguk!SmKg7s
zzUO_qsMlIY+}hNRP2v@At@HbIi=;Xh43En5MeNIKewLaD*t~L|1e$ZvSKC`xP6Z7?
zo}U`i(`CRXE+_v*anJN;**^!?@#Yjr_S|<jp_R-QUhd^=(Xo@<_!T`awa^<_r+~{W
zZ1ONa__#dr6lU<AA4o9n^qMl04t6g=wm!sLXS-ul+L^(l;rFEZM53<feN5nSRTCzz
zUvsBDIQHw(S*&37i>s(Um01UtQy3L(Wc<smC*?C*vkrW;_@ScMGs4iEgRf|p-;mf?
z98ra=K$^=ye4&lM50K;jf9GU^5A1QcZemTAX*io1ck2P(K)hQ38Bmo_3-*WK9GMeI
z1EdDI7R8^sB7LmcpXbCzoA+6?eP5)7d6b0T+sy%U$_7+F*`tBDeAMsnVKav+&}6+>
zeANbeJCMJr?djonOLFkns!-lJV>=0VPuzA!mO3xr@Hu=%gypv+`$}G)t1le&+ouJ1
zI4v4>nvSr55}$zvxev!i@K5ZdvVc=@cvr7)KvU#h@sq{HxK{$cXul49Z6uyvM$-U2
zbo6Jf&CbpH#nM!omlZ?IK5*}I9?E+$w&zYVI%37aNtjC%sUfHZf5$5-AU$$zAaW#7
z{U-*zy^gMZ4jeqcVn%vM6et8j^Sl<1vxmL9yA=c|Kwb`4GS^dYI;5^b;Rs>=t^63+
zP|3?>^|?Gx;h-p)o36Av$&r4r@Q}0XQ5P6a-I2brpv9bpd2nd@mU#q(+Gkm4O7}=}
zVl<V?Mw$sA%dLo5yA1FkQ9d<gJmrYD)to5#HYthdBQ=K}eMYlx$!ER!=>YJ!G9J9|
zzAG0Am&ykH@oPPRdk*!t*7GRBay>o5cpL_pVo20u=;9KqH`-F+IYlC#QR#bEGRaA#
z8f(Thn9nMgBSPw#!0*{s;v5{P)|bJD`l(BUeHgO^ZqIv$sJw~#qO9@SA-64FDp+$3
z@E}puHMORk<&oLCy_oO+kh~4W&5zJGhr%~T7v3-kMhS^Cczw$g!JgZ=4Ih8Y<G%FM
zSl7GYWbHQ;f0u(2mOKlH-||KCZrrcc;k`m%Q1AZo<S|A_|21U_lzHi{x@X0hAP|tn
ziZ%Xs`(-s@cj?>dlR<)8hFymesCZuv(Y|lvv5jPww7HpR0slLzM>egwq}gmO)k;VC
z52|)cP3ok@7P)SZFq|^1=Dv2oZcWOH59V0=KzTA&T&jH)9n6vA^Zie+4O6F!__vDU
zJgnjmw#T!#<--vVM2P&b{aHH8@Ky9zMDnK9<%qFs-GncHU^*}A3S6af$!i>cm8H&H
z5%GVYO5?@5?YJcn$Y#&QKaE3kwQIGXHaUsk701O+E$n43N1RM^TJxq7`-aoU7GV@<
z&|=JP<i}!dy$ej*m%Ku?O=N9~W7p>IH?QCK1@{Cv`6a{)`xG7<*VLOuoI(-p{Gq4m
zrH~ihjk!J^gu(}M>dO!QluWdpN(U^3xTdZXVY2*0wz1hOLmghJdFdJD=23FAl^Dr-
z@@pr@Xr}GZz7>T^V5MziOaW0|mhsHc#7DwfCf(czp#0DdNp`sn`@!SUYaN$eJq#Mx
zi>?}4?hIq4ZS(}wNPbGM`V_%x;`;tY<c*IW#+viAo%(Q1zLy!<;KSg7YnpfWPR^34
z`bv%>Vn)T+-&(%GUGB@6rOGDk{L<8pwpquiVy(uAw|`rV9ksG&d%;zNLIgi_j#a$1
z=<Mx>aRYPibWa=_yo@M%B)r0&Rk^DaW?;_ueNDTgooBgy%@p&Ie@oaWd21cbt_Ja1
zJDpgB@&a4X2XKkVd$d7KhCVo<NFMcHA99zEeJFrD#^b#*Uc`&o97X)F^SMHwx(3fj
zAWo0WO<sOn%dDgnKv-)EPVd^T3*XJcu#Z7}%#^mi$G~ET1h&<7onS+9@7#=q>Bvzj
zGhznr1Z`J~rZ_8Eb+;_=rmxw}p&ct>gB5i2y+v%+!ESP8S8ew^-%Wb?Wne@M>g@*~
zgpeEORW_w}O3a*-*h+_h8vK#Tx!KV&VAUdHnh1k^A#U*~6hH8(_0Ws01eDQ0=B73?
z^K}dJqTmPRkzu-kCgbq|?(0t<E(#VGPo;}Ps-0!l6HRk%O_v<XTUdvsXwc})!gm1n
ztrHwDgE)oEmU~4!c9$@qQ)VSkK7rNyzbniDC_8b;izP%kZoZjFu=vjj${EdhY#IO7
zh-CRn^CLcO>2Ayh>JeiC#^{*S<8mxMq5qTe_z6ei6crt+NrNUx#%9npyJn+*CZp2V
zE&MVTUYpRLsAAII9ymvw+x;RQgK|8~FH>uI`Id!sl!SprNkk@mn(9OvBlWoB!EW1T
zqi6o$(D#q#nR9*KbbH<DEN)W&&J4?p7#mnAZ|=b3e6XB<o2xbhrH@3Tyw}!<Zg)!4
zk$&QyzW9ox3wE}>?6<&#;0llY!M_t)E=bgpnWO22u+t~V*51t6V42HRQxhnXt=X?N
zccpUj5=T5%8Urxw;`IL{e7j)CrqFaaUtZg54&qDjpncSq#B^K^@Sp=65R(@$PWMvs
zy9R1v-*xg1riqut@BvQNiNIdXv-9Nv^*{8GO^yr`I^QRd51@wZ6pwtB;%|ViYk64k
zAVTI^=L7In5LbW&6hhJ>e9fL#6)R3h@~wTR1_j$@o=us%CPt=+-;EMf9&e$=US>hY
zL05K#Kh9*RkX86KF#@@UiHHrtEWLp1S=|>v60<p6oHFgvEX$mf<iv1R8t_GfuV?f3
z`_vh{FLEw^S{*Z7EyQ%M$_5oV)n0OI{hYwua6F?<fY4f9_N@I2R10P$_^-1pceVWI
z*0qvRa~ubzY0lj_?fTDKKb;2LIloArT>1Su$Vt8Z7*faWdvnwj`%WwPA`2c+`nN@i
zL13mUfy;sztWQwQOrXy_*?zQ!<~?Efr2YxKcG+|&K`4=rVSl$5Wd7_8n?=gi%;(HG
z4ddbz8LO#VR`&sY;qQ|Dl$oq&K_tEMV~MT8WG0rW&I(kb^;J3K17tJ)YK$C0(e&2S
z3WZeKY7iZZMXrdWp6vn$fYOyxPJk^_|CQ%G5m9#!@YtSXwhA41U6dRH5x56yl~Z1?
z3oJ)5_Ai+;osvmWcu+}(1m;uChUljIGU{g<c4I)|IWW%W+6klL8~4ty`0@I=Fr%bH
z-tNac`p2sel3k9!FwDW~5ebR=v~sdrZa2-DaB-fZ@M2Q$P*_>knA=9kjG82S>!^=H
z<+k?me%94^2Y+MTMUzUuXL|+AFDdf+IcRm*2>T?s^L6E!6p}F@!2PBQFok#%bh3Kh
zKHM0zB|Nzokc8sR;Ku*{(pYN8)GvbnuT?QCW<A(+y`!V((#6;WY%8~MEikzd{~o~A
zb5T6B_sRRO)pQDBlgvMXb+lA87fAu1<vyc18e^0P{sdm~aw}PG)4t~ias20h>oV(%
z_Q38YHhw}T1A<&y?v|DTsAu(JXeP;CLwoOXB?pX_P)%bx%rN%aiTY4&p@@~$uw8c<
z9yaLfVOq1fEKY09D`Ag8J`_oZM4ZsWr{f{*;{+1BeGbUB@URGeXr8XmBswG`hql#5
zZjHj>I5y>K?#8cct6$F3Oc8mvFcMeeQU=wwqp!G<NIapCnpV}op!W}S;@%oHSgyRi
z3f2T{kRpN$H58!f`O)v4ef&dw@d-eQcF$UW)g98GiblscdeYhTQ1oT)P9J44`0Z>O
zWtHNc00vbRDB#~Fv(;0^fzuxQ!sLw28ZlwPh#HRlsh9iNp|X+NSXD-=`L5DOU&*sG
z%39gFd8(+SC{Kp0_0v(cT)}uNCfZQg-G%SSxBM&{Br>b!fAFW9oZY1%+tGd|qQxB3
zwk}WU?fm8C_GNs34$v$kU8auqP-A6wCrIVOI3b41F!WQ@*R7^h-&{(D;l>WJPe+n@
z1@9V-THfj|uN7z)lElB(&cKECM^dby%L0KB(0VsOG^Wa65_7c}yu^=u*q|ur<C!kd
zp#8&(Yo(J3PP;&PnH4$)fM35JkF?$|4Z7^K25AL&N0YXOn#-Shn(7-NiB1aeJ7b{+
zMRs&7aNuQv3v){hq>%&YrUDUU;2)vQfT4|A+WB>gaefT5xq2^W?Nj?ntmCel*m}eN
zG$KwAbuvl~;2Olx3btAVPKzVcr7eRy`aePoXaa^sc1gYVVT0(kbkET>wnm9$J8Y60
z?Y1`KGiVqMlJ1yw*4da485|fED62$Og%mwTDq(*NA$P)(Z(obj6%4JwPPp7Yv-56`
z{7Cqi$@3-t<`2IX!mr1_stHUMnJZ7nfxvw7q^*b{`Pxyn=U|SDfF@FISW~Rk*Iyf)
zLg9VVggqM?oIl9<Vdlaw$nDBR&d1Xl$fq_y<kQnw<c^!Gr$G3Wux-LamTngg>p{Xn
znufSGL-UwSk-yaOM|13teMi9U>BYd9t5cts#4J~GfYt-!&Yi^HD}un#q2s6|v-TRM
z^z{1|eEJ{}v8eo^0gg+ZDVg~6vzi7m+1{wxBqigr0h+khwStqV%V@z~)xRQ=eMg2>
zhT~i;4c{v6!ZS#)Qos(E3>pxB3KDyT{7_gfp1mSJ!jM|k+CA)1+0d`Vv=JnV@9`}F
zOD%&`@_Z&jFdx+BpapgVvQ<K%udPM6q-ptoC*T6v!C5>Rz{!4CK%LyEMf6re?l<G>
z&aC$U`<r3QTvyY9JwbfjiCDox%-JW)-XLP>k+J65AeT8&6ADwYxZgXYc~7*QYG2h@
zr}h4xR<N1iQ~%&i_#H3cM*da9YCm3TFagD4J)y~x7fRD0Ie9K}#;oXe|49C4%7Jt&
z@ArUy&%PI2bcJCOiO--5Hns1v#r1j=ZxX=J5!G$GK46Ph7=3&yjkaGO!0)xF*HBC@
z*V9~{#u@&>ojl&10*i=lEihGY%*oXyxZR7?fXYwi&ucH5mV4oQ#Z`&impfsMfe)zR
zbDa&dAEgE`re|+k@s%qgmCI~oQp6w^?2p#+1^*^il52Xwr2xHU0-okKj3xTyP-RG=
zbs>e&O}~qK#=T%EM3mkq`&ak7d4m-%kkl{QS!!_ATHx;w^l}>>?SKj+F@7;9$7N45
zk?&sIj#z8<VQzm?oZdBHoQ#&t4zzW30II>wOwS4-oFmzq><?Pmt>tiHNJ*gn^mZk>
zwtgl(AHX{t_c!=63l5qK?FyJJ)@AgI08s8PAN$^7t4g`s(|GQ3#eM6QdK(=r95#Pw
z+KBcH%%F1{W270$i0p~a|Ge~&L0Iuw+P~B^q~r7>Bin0G<EqcOu(0k-UuK4KicDCB
z!KK(+->!=ibcw6eh!5gwZ{t!p-PX+I=rP4OU#(ae)+pnfE}&7rqxd}J{L$a)7eU8`
zoBu7jK8Ho)Qy~f+J0^G6^;f1T&dR*}1|!1-o~$eSsb?g(ozoxySk2S}wlzw>FDqON
zZ`!>??z%L7Rm`$2pxyoT`!(;j<KKm$?1|tg)Kyh^nL_^ZakZExQK=W$dtSzc82*^J
z`Ln(Ri)Ky$l>U99eVo_n3#*{Z<-wm)rYfuO`Wb8}NSPTW9qpoCAZRmXGJ2<ztEOkX
zC>@!86?A>H7f{zXs+*F0AwV1?p9~IkJKuL~XFuz9ly<W-niRP9D?DSBb;?D}tiSp2
zf{gH!Hm;$wW?Ca4ra>o2+CSm!*1V(f%Ff!)g_x6X4fopIi#M8>_gN{N`fm?kluB8=
zx%?*Jci&^Re{TDzGs6gWK*hE&yjM5ccwFA?_rT|Med9Qy8U1p5zC&v;h<<wD$q|QD
z?)|{NR+{s%s6GFImCwYm`j<=Qs&7Ms7g9v`F1MboIf%@D;AS5Hocut)CFh9is^EMY
zAE~4hxJpOpth}hCuQ^z&t)ZdlFfV&+#coohS=@0sSV8C0!S;1Vk)W0qm&LW4eS;0K
zZ6lCTACFa3(G>614Ig-~U5XX>-Cr3uCSx*<f+&!YH~QMzuLl^;%VI^QIEK?Qu9`ph
z9U0jvde2k>Jn>z$fRkgfAf661%gGN<bs6i$f<NPNPg@tdD_(#{uE~DJG8O!ECK`2+
zOgjAIs1;TVJo;}hd|SD62rvi{4SJ&9tVCY64=IviJNdBbZ&nH}@hGpJYCXFR(&<=v
z*fz%Jlsw>ByZ399_E`4KwSC=9Nk_HLn4i-|Y=YmA@un2r{OaS$sC+puJh@R;kgcLu
zVw~+veDpd`jJJPtB5H1jL=LD7IE(8vG46lW0;;%1kv_#pwGTGJwK4)WXi@o=Ip6Hq
z=zp^PaEV+h7;AdSiwVw0zdg$s3L}3a|5F+$5a{sr?bf6g+4Yw}kmu=Ueiq_`i`%ae
zxz5d??60&3zEy<iRlLdMKnpjom)>&!10#rqAp;3*cCN_N{jTRo6{o89+@41a;9kJl
z)MrGn0^tun0#`o`@h%%5V5F%b3pN-CmflIUSPrH&g5(%6C`b+tt^8~~7t=Y1m1PU)
zb_}5sQ64V-&l^?*bU1d3g<kIdN^=+5R~e;T5`Os(Y|7kkAr`s?Se;TSU6GBjTD&7i
z+waFW?t=KkeYr>@S9>$S7&Vu9WeKgzo!uzrw7a_my8#i|3Ck)#c1<fecl7exZA8j{
zDc($o;DXPW(=ENwe_kXV-}64Vn(B>rGB<8h&>gJogw_71g;=-Qm*0IJf;Rpyb_cxV
z_8>RHVBb4z2mgB8E{%r3rb1~=-TN6JGrkR!+ZtnkNGH!B^ND_q4)Z$oWmQJ)A7<$o
z2+2Z<pv)TcyT{ALv^Ni0m2(E&hB;w9Yt;>9YxNqJ(3~myyv>l~&QA5eQACH?9cU%r
z4zj5pyME~k`{S-{#@QEH6PzdKpOCxBI8xY26ro&&I}Y<#I;ZI%YgY;ne|=jSjzsyt
zTY6T{ORc7yCp!XeQ9QX;Oj)_E)!{C8SjSRZ?bhP6V#Qv_NoOFt<^{+9%4kfOz2xEO
z$b062`>9IqbN_1>!O}$lSR`mKAWf{(O+)djig0LiotU~tP2YG(|9*vIKuR&|3be+u
zb1N(`zpd4+$~Qb7+~@VJ(pai6tO^f<pP#eSh4vd^a+RkS^I)w%mTs9Q$gR!{Cx4JZ
zsN5XKNnfQ#($@&SrrOzK`y627m9t#pqMiWuD?Uy;6%@3^l;X|0T{AZGdY~LeH-R?I
zAy)vexOs&soWi4@vZ-k#MPWI4>Nk%uu*C!fuYchU#P_oo2?i4wu@<btq$}pwo+TF;
z0X^UE30JhpPti$-?G(89Sn2b#@jQx?{>{U<_hqY}ZPE4@SC%GAfKM3}-Sys~?OKbP
zq~<ZX*)3wKVUTOAXHeWfc`*^_+F2lQ7BGUJ?nDB%dR$TkHf|2fWMboZIzNj6{KCYF
za9H|Vozon1UGz|xj*OU`W$6TYW*hRz0!!_%3w75E#vRhyL8xT-KSKBB|H}Sl+&pre
zOPQvI)v{9nF3FhhxEYX<^8jp4&x;ES0?Ku6tVvrCbs^KIQvg6DB?`;pmtzbHW(sr6
z-Cq1@_<<E=B7A5XZ?V0&K^ux*rs5ab|FOB7-ES<S%8E~DlUQbqqhd?i%<!JvJ&Tod
zWN}1Dp|DR4B&zT#YYr?cagYLyjHr<>tWe%rLg*S^@$B}BH8<$4Q+1_{RM@HYkv%WR
z1V%OR2b-S;;#}dMjsHLFGmz3+envx*j6wtYZR?MIdWeGZt%>S}c4ed1epAbb&(%pb
z-!oszw2ey100X*gmL+*efZ~0UwD1QvN$dz(rfUG6Ol*GRktfB5;`M78B(177O##>?
zh^7aOcm|to8oKOl<&tjHZHx4H%6l0l$$WyRe-j!MCYbsfB+yxBalau=^8VJBZwc2v
zBb4i`T;@aWZ%Ei%-vBn4nKtgt8hp9ibIQ3BcGNW-Akm(u5?HD0r&%!I7C4>kwF@GM
z)35kZ1cY@l(3R=V=~%?MJ&}s#><WWvJl;LM_|atvyR|e~mLx<g1{=I3HfBkA*YMF&
z|MT{hEYbE?vjS8Np_UD;PO8wk^uONi5}S<+yJlb@sQ67LrdIXl=Y{IzmCOs90>)id
zgB!`;o^|JK+Xc-fNkKoDO~35YJCVrGlk7Yly<=YOQs&tH<AR)n{FT5c3F8DX&~s%-
zDm2@$c;-Ir;?Z+Q=4sdF5SN=AR0!(K*)%gs?W>0a7@CjjYanIUW>wYDGkv{F8+c1#
zu!3)2<?refza{gvtPVgi?t_hp{1qMJCCpmE@~WE8@0=Z9E7Mau2s@$6oQCyAq{r_)
zkaIApT(n?Ph9r7!XfDtVmU>F$5X0}wvGq^VOQoY2tlq+E#Ol0qW`vZql0bYUekF+5
z(@d`iZEvnDYu|!9Z`{vxsleohxx*1xVWORR2Gqfabg?tvG7qO4CWHX^`G-h6noi8{
zI1Sz@V;Tn`(GOsPqG!}MA#qHh9$m!+CleOmY2Z-}aKg-S<{`}*aJ$aDdn8oKc*1gO
ztp25Tj(B{}!WV4HKf>p&u1+zI^p#UZ@v22(CEmd^(#xXeki2d7fH14YsLxV|e`_Ox
zhK|AD?tCY9vDxu6ma}=rRbybR`_)VRm+yU%ZM%&>#iDVo$}SJD`dBGz?(qUE$qao)
zOw+YcHMtVvWI*v$sd~GjRos)w7}=FgcZWUtqKf+$3?I4tI{4f433;D(ZTwt9WiGPh
zncubPH`IzH*ln<_bUe>`L!m>~X3gw`jeb<Vv!d)~a9Au}7o&&!=J_P=%LpanVCQr|
z#+?*IQqOsOWWLV!>5h-i6kfrJeA6H6l@5R9oXEPe$iXEy$<lZDOWcW*ZI%G{K+{k}
z4$3LM4Ib*D|Akj@y?A8&1(%})umolJkW={>6-`tf{6LCjjkCNIaK5QHD)@1e)ZsIp
zuVqqg&LOj#l5JfKKW6b1vYdvRr&kOdntwtZK9?F`7=#A_6AXTj)XuZ>5<^_WaZdn=
zPo3Zgo9=!4#^1F|m|1-3Kwhnr--3S~k-jj$4FAnvf}yloDzuA!|2EP;H-xYjP_@T@
z*Yj3TGdJ%Bvz+ZfZFvH2{?2xt+<aT{`*W;3&q-Mzv%NWoHaXZZ@8H$OLv&hFz~r7v
zvfcKck`r&hSip9&)(;l@HWaU8#<tVECV9{oFP8JLRnVM}N;_@u(X%q=z_&B(g!+Q`
z2%fk#wMNIegEWu2Zbm)Mxy;rSuj|o`@EH|^7?v4-U45oKqmoca@xV)bE8Nfb9wDhA
zJg1XT<Zs@y)6(_zIzQ^PrRhvd@QCJLk@#nc1{9kjSW%P-X?cDN@zB7KeFNb3WheXD
z_Cpp&sbln`U8W@4f_mN2ws&Ax0k(zDVn5$?@g3j2`p+#fA*qIe21H`&!vh?o<pDD2
zUsY!gX7y$lDX?bfS`JiKu-s-*&XY0R%;p_8YI+i<(ul2hD@%}XpGUED9<;=2--ZkB
zO$Tr#_}y+Wxp*&-QW{Ng<Yarjv`>pu!2WJYB)9C@lkRMN?3YI}Q7(U_2(I#}2&@1}
zS3)aTE@2JF*HPkwjAb(%M`69r;DyF5#6fu(ZI}Oh{sI1r&%b0C57s0ymz_GO1HO;D
zDj}(<6TBr-o20u>nLhPt5U#TJV)Di!Lq)h)h#@Omi3GaL3h4(9({{GJ&mBwq=TRA*
za->E8Wx2Apx`7e%>EIu{x%0yd2X;SpXx=Y}?EI-f6%Fc3a*B-ukOs1DnkNPB5D|JN
zSCRg)H#ER%M%9I}f5yAq2ii=9_m8R>DV%<RihN+Rx~X==>==oMEjin7fTPeN>u)M>
zmMbghoUD0n4`7;SA*RA%2^4{BdB5(o0uk2oB}>*E;(oT2Ki}BzPwL~b9g>9l@YkcE
zZb1CnR8Xv{gJPmf={7T4PUYZn_@?{4y((hDd<`yE^yc|_{ugu7Anrbos+-V8yK&`e
z<S*S@(h_@!tPl2fi5ccEc$f3k0jtNF@^>x4c^rkeSOMSr(jJn{X6zJLp(mXmXLnfe
zvH006yuxvY%;6g)PFt6}RF2-LG2(*5+Uxm^IlUujnXnWCkJ^)fX#tkQm+nsk)6m|@
z9UrFJ%@4j+{*rq?Q<5iRAH8j2eDf+=Ag_X;bZsBR&KVF`)aLy$kjt+28bx<;8@t+K
z8rZ4R0ZhXF8U&V>ng9H-JqgjBZXb7*S_&3S1Z+irMA>t)d5w;z@?+PuN0qO|%}J)v
zx0V6?9`ggVH0b=i{mD!01Z)>0PQm*_j@`>28ZwpAqv>1lZ$*aG-|Q6TH5yJi4*Tx)
z>}0--oi=E&rln?}uQIMRbqbTO{V#&Ic@|2luLMjG4T?Tlr#;PTtKozKynhO~wcy?1
z*jtQO2ZpkhZ)6Q5QTv6Lb=rW#rpJDIe>1cYAYJIf)8GS@#tHDs&Q+-i&F}b1HsQ&5
zQIj>w<|WtdU{e6#tR3+B6E$Hu6WF88tljwp&{@`IjM_f9uxtN%atlleob_ivi(WZO
z`q<GFxodRrw$w(u>4b)U>GP%CPwI3{UxPI`-ZHO@@%0{OlvjruI=?L+?-Y2)`zwg;
z1oM3W<apTneEJ}F2sprsgIJ}ofFV`R>epP%b-Iw*khS1%LIFuDzvhR_+WxTY49-)8
z#b0cxEL8azriJ-bits%HJEZcToh$sTB=?PA+WS4sE#oDBV;4sq?<x{a8MLr^(hcdq
z&=@{OY`%t$oFO)`yQ0BXIWf2AD1i(4r?uhj%>2Q&^(96fjW<#4%$nYn);r?c%u>T6
z1ubJ8=UlHy`ncn8+`1!c5_{AhUt06q#>VGGmrKo&8r}7Bzs~?{BPY*X0-fi6pP90a
z)F_%4zc1z|uEKm9{eS7>+=Mc&Ue+t;uDtmu?E;}cja>&T8Mk|#1m3Bc{zq^ht#*nQ
zP~qqhA5D{-DR-3G&Ul4C_&oxeyHqlf#7?yAcFa&fz8U1P7#o7l@0o8eZQ-|nh|3=p
zi%z1p;ziqHPT19v=4>f@IVnGkv^kc4EQKihb=N{3_V{vEe(?4uj2>mkM!vgF@TufH
zSG$om+Z^?Gs-q#1<f^sMf6X?pjH$diemtT0v$nK~GgM%k{&$VGkAL9kp3TKdnCqYY
z-gykwH00hg<6IhielLQ<S$tACO>9NW4Pbz(WZ4y;{|*ekBg4#(S5~z{9%-+JK%b=S
zo|ayFgsic1Zq()yXWVBsMydSo2S2-jP}JrD)v7vki^s755dlMA%>d;I(VO@D8>#Zb
zg3C`68rD9Eb!CF4ud7ngh!7lgzl@{A1e@vw$#K)}NxUfd7VUzpt8#Xa5a^qiv=f2h
ze9R=sa#=e1u|WGwKhW{tWpfl6^(A|rRY8s1F;Aun-93Cn?Eu|9M5P^K!UkcdFJY-}
z<EAeKZBJ6LWYMt?1acn{6g#Tb$f+=nt1SQ4JlTTImO{_I`l{QOz`h13iROvi7dol2
zP8T1MK3utV0q9QEs&1qb)4#RE8`@^IeMb1Yxs%)H`*^eHx9I%?v$MBNpdr6*>vl=f
zfF(=d1FB|Pq7v?T=h`p=%^vQ{Ej;;XeW*rLyB9H3%Td^_Oiy<a5#kg2H-?}Krxu%8
zw`#tw5#-i<0DamfaF=b@>=aDDcDJReYZY+EStLxBch*ig)@Gmb-K1nqKe!u<B4?Xm
zmlD$E&4WM4)9DBObThhq0>G%TRVsZO>|Y9XajyCo`m}63jXEIgt9kENe43>-wNIWU
zQY3ca-@#Xh>nterwaYo0RpHz6+Ls`4uDqfi<AzQnZI3MX3R=OkaK}I?a>!Hl?>m+^
zci5Z@)gootIxU1|okP3GS-ku@UI!&^k&<1L3bD>kkITJnr0~w^bS+TLk1$uR+9Oqv
z9aaO3nzDWM+zM%PI0<cmhgc<)Z!zQkQ__jhJ)3Ky9p$`;E%=ER_nYK3m*a)-+@vBp
z8474mFpU2IRa2xba7&iEJ_VL{Ph%|^A8VX%=AUVSr9zg&2$o@NoU=)xPnYQ5-S~VY
z=(zRk5Oe^b6d96h?lcaNGM9Rz^{+}=_3tH)RJx+m_BI-N-hq3%S-P(5$m1(fSYrDd
z^YITad;S1Lf)Si0(fdp2yBgM#1HD$ycqEXdg8RH!8YER3ahYg)WaOK_^oBD8wat0_
zJH_w)3q-zYDa$FE^}isR_1-^pSaR=MK~x&oFD+Mrf|G2H4+9}dg#3o%Sl?(2W(XU=
zwcWHV?JF}F*o(Up$SWniO^B`3Xv`j6vaOn;AOU4HYG63AAuD_8fH?nVgDUSk*8W<U
zzJ2n9tBRp{N?-y0$DttTF%)bZt1uSfMHS;8s5!qXdlqY=G<A!7>D4pFPRNT{qp&5;
zOt$as@_(h`mveU6(HcJmrP!C`yDcF<cU)e~J|bICs)FB9Si!4ynE)cIT>YTJFn`hm
z#q+_?t*bBlSqu2}Gb-j~Q65I?869wlReZw9k5=XTBq3}XY8ur@QfU>zV=qqZ7j`+H
z!I%Tm+Exi&!TqQ2n*o#;)Lr)xHV?VmJ5=zQ#WC0OUtHWyeBIglTEDa@fb+3T;4%-b
zTTRWGa;xXl$!?aHPx#LM`E|QZiVI3JkZXtJ3+PJ49)!%H<shCx<0NV9o1m;Wd@GXw
z-61cRJIY4K?R+m-yQpQD5=#D(^Lr6<(z-+aiu3YYQ^s`6VO#IgvuG>+dr~<DKbZRd
z`^EEdu1<>YF{}XbpSgw7my+0DLtii#(8zEuS4+eg`A(>-W|tuC2j>r6TK9SB>HYhB
z4LnQms(trY0+Pv2glh}0Yq}=g=C;5t`3g4`V0I281N1N#TGo2p$f7EessU;#&f-ko
z#V<M=7>aNFuhxd=H|_S_<6TF3pO;;~e}Rr6l2M3sUq~0hYTTfioazFcAEv3ZrvSee
zP0bvb7ye1hE;3sjYHnS{N7^!Ic}g)|g4P7-h%M>+z_=sR4;;f>c&r3o&!X<so=dkJ
zUx6%3j^DfdNynJpTQZO*p933IX*qTnqBI1qoQm-Cm$r$QP&)QEeWk7)wJMJVGDQUq
z?{v6a56qCC=+%J=jq$7p8P_$2XX<GOjKh~PlaMh^-=BOmCrwg8$1XE)_GGY{$gIP2
z3{!>=lrR3k+?vbn7wxN$({hpHS`pPt)xzyvCix>IsOPLQjXqca#q^EI(}W^-C}sCE
zxot?{SSdO`hs)A#kRAaSq?wWGq@Txi`p7^TgJbM<mCBIMc-vo&a`ya3QCt)4^$=c(
zd^SY>%&83kK&a9d2p2pm*dO}dhma9))F_bYYs4Bjzj4t-l@FK4cuKb=t-rIN19;>V
zJ)K>ho|6X3)rE~zwFp<HC&?YCM_@4bjy{OYE`^q8-uIpyZ1(xe=6`c*z{K0fG@Wti
z>8PPl{7eIur>pPrzFgPrmb96bF^oE`oEc~SO^!)CUzRvm&3jCGEomRPy{QfDVyAO9
z4(p;JlbY>K?f6FPfa|i%D_ZGfe?99F`qY9t=Il-6*(1|v2nY3Qv@T=TrZzmp?Ev_A
z%*xgKQ8;ONZcL-_hwrBAPBs-R4VAmQ3N9uH9X16E9n`5h8@|U<%?@<|USOQ>*L=uu
za;}Y43hdk}qOB^W_Tiv-RCSc{S^n`;YtvC6+d`F@aQl-s{p@8>%$g8-<(uT{Km255
zn7*HdK^5q+bB-nEvBu6J9aZ46cHh5D%4c$xRlOV*Ez=}Hcc@Nji+Y$IFnv<kTWdUf
z|NcY6a+#}cZpfLp8S_qK<c)n8tv=gNxmoDQ!2^knCmqn+u_$T{a^wHR{=61Xuh!O=
z7@(Q%dJmOyVB_t8#(F3b2CqRrQa2;SxP*m)73NU3Pl9Ebw^|rt@?U5W+!A(AOmAIb
zfgDt}RAGE$QpflAwcdIdBq;0?`6l~*vjld&k(<}=k+qwYdd%e)0!iRbnl}nu1Ubn5
zJhfLWb?85GTxp+cj%U{r_wqD&68so2-<kYiCFZQ$I;3kJjS;dE%2T@D{(G5N*`Y@G
zZRD#IxN!GINj}gX6*-vGyW3%l|L^_^(;A4UfqZu0J#BBZ?A*Xtn9X?%)*xSQKL5rf
zDdQP1+!h%|FxBy!io)KzD%H!2Ae4d@Sofhkv1~CHqUIXHU%{>C<y<kjd{+N>-{A$W
z$)4~B4%l$8ILRp>nj^_vSdJ_KZ-Ub2IKu(~8vZP6iCQ2Ks`!-Tq1xqt($7!I8Y--7
ze4TGDU1K)6Q)rS~6tL2lKP;#JD>LqRIN5`Kf6IP`$vPi#+t4<b-D^~r=!7C_<$lxA
zka|yi(w48spW{kQ5ceM!gB|HmvD#<#%-e}AJEjW@5?Jc8pn!@$xdKWqz?1G)RGWQn
zFXMJ8abUd##obw9N^Num{aE1AIzCb#Ury|63oW;;Y9ZaTy<ynSXzd`cGAPO6!pNTK
z=IWCvFyZ1J67pL!TMAlBndUCjEOSvS<ISL93GRjG`pbNGzS~!o-)ZT#JP!~6vq7{z
zJ`-i|yL7{T`R*6NDu2;wz07Fb3qhz%oG{2(=wQ8$nVq&ft6s*etR;wEwgpwa4F~F}
zohDJeohGYUt3K)+J?zzI$*RAineO}LMDuH9cUsU0z^4(b$M%P$w^B+6j~it;N_SsU
zWCj@vG&I9l_Np!d3*p>PEn9R<M%#XM93{&CS9}c*$$c^#@@ZAKxs;oC`E{*wATf=8
zvLp7EQaa8v`1;FPW7w(NMpdoe_nsV9|M&g7+dcUr5rgEEXpmN(im&}utkiRZob!S;
z>wif7<4@18{5~$nLC(YXzhC2zU+lAiy0tF6Cn0xS4mr=p2&A6=mYGN%v5>D1nQ%N0
zTi#W<koE-N-a;|O5^u=&sk+Gaq@!><W>Z^k+W!E7e6Ix*!F_TM3p<KN>v@6|!4!n4
zL-px%;mOJhKAPznI;%BMwA4X*l_8;9yn(RKm(x$$b_=Z279#ty^KN#rr{GrapNCF|
z^A|BAey_R(8!LsK<>YWmY#fO4*=J=P`i~mok{DJ-XFX;uLJ4=z1M&v|&~x6<F6`cu
zeU($h{+Z`cp9;QV{YWx3K$9c5JU2kRVDd<0^5RE%er*P0Sr-2GX?)e?<RNH^5LPtM
zM<pxqV7({PTj-TQ-(AlM<$eKyB&u8Adn_;GXf?}=<06kAw>tKI(8S0;5oktmog^op
zkYd05=1NhOj&PceWI-G3(6ghfM_e1^Mj;ky*f=59InQr^QPEZSoi%tD8Bg;}L9`Gn
z&av*M++SPculS{qp7@mVlwDdMQ~XGMy1S8x-4XHaQGK+K87kIgZQ`~mNF)FneW8R;
z#rCxx+_$byinn6F#cs)Ficwq>my?R`Gn2lE99x#5s<AI4h1OdKGmT%-Xg<l$*eaxj
z5`~@^=-M5sb5g0vEMmPb4eC-OSR_6?b<<Tp-aWJzl09p8N3t;>p#AM_TIP;OxmU>2
z=tc3cgEv<7d=7!l5wZ_(<@xFm7MZ*3Us;Kj0I}*`*VTCuK_Ly#EWQAalR_Y04-e9V
z6z2T$$eIU$&ONi*B+gX<)JpUIfm54ozt4jvH(AFmtnuPS8BqZHf>rqEB_6Ty=@#pt
z=BsnRSXsc&ix|10tTqNuoAG5nE3n(#(@ExhW%;~1lAuwZHOY(g5Js8yZ*AT(woz^2
zt0eGun4|Jfrpp4VD_zU?uY3I6fUMYR&mEGW_QouM+^4ga82u;h`pP<iOtilah7SQ<
zi}bCXX763Rda8jOyJ6l&uzge%b`|^W;;r42MB6XROYrTbe7S`VV9=EF^_#$eZxws#
zvU)_H<8`wo>=zbyoz!qsJb`FncDVSFWVl=v{Mzj%ln{ew9=;a-h-;L(BKffrc@#6B
zuqsV5bU24kvQ)(A>{;CY`(g8HJC_}RJ=B`hAKz*HEfw4q(6C3X+~ORL8J%keytrT~
zIulrrtdN9+cnO{z_K+>;Rh3d1_A>2|(S!B@I|q0UgBiDd<tQPZv&(d0pVr50p_iJ@
zJhMe)r5+treRM*$Ha8cxecR<{ORKVkkL{z2I4-}HTx-KgmJz3WK+N$uE$C+U=u0*2
zh{-#kJ*sMrQL{m1EhZPV+txHKAT@1H21LbrHf*!LbM%y&$u#~{&fIZe<m&*Ti9wGJ
zprvgAbe9EI1pRQ(qf3|^4aZYCX}3E7@7x`#brS68@t)JZFA13YWKW;@@qW`N{vW8a
zkMX4c^w4&}H2B0feEV;?iriT7+Yfz}b-=5=sY`i6gc8Bu0CYYhm(Axk0NOjj0LHw-
zWThW^N40@}g}G8VZN9*Tk{ywUOSw%8aBYMyI9?{DNm~nSyx36eU$z;Uq5)G7A0=}?
zB6@-!AWO9lS3cspr9Nu?hS>%>OSM5tM*tg&h<~gU7tX04Q0ofD7MdLUE$0C<rx@0T
zQaS%AxjDd%JmGRtZd=_6$)DU|-aOP7CUqp%@_r+0#>n3r%6QIlUh1j8Z5DVYSGD#3
znEDcEDBmys%9foh*(D*_m7P(9k|?re8`+XAVJ3zlM6y*X`<|^VBMb(^*!MlgGN!D9
zG4>e@<3Hcu`JeOupLcoA%zMuJzUO`J^E~%{?&sd?=7NZBdg_tKj;sd6DV;qVpH?pv
zMbjT7Y*T$LU<;huFp)tOKuQ{!Sps{lEu76o+dWzk1B6!QCwDhq))6B}#L;g{P8eb3
zOw5QFY7v{rJzp0_ustzpTUj$B$Dg4yvmq_QN|0lFu=6hPT#RL&7A_yQbH<=(4O9Ej
zCty__YV#>P18|vqspx_xFsh|Vc%POPQqUQDTZ_Bnt$=6f1W?mR_gcqMb1UQ5hVT14
z8ihoCZjQBVvD4ivW>zLB!pK5NV8ss2Ho(k3QUn^G5vz3r&r6?q6MaJ8WDEPl3bMYK
z-On>THF~G`GNzp#Vhod@+R!Yg@3jwd=HDC-OU?|Wn$78f>jxYaMC&_(9$4w-@kXmg
zpK-o?*ELeEFli)kVmKl>sTJeQH9_2!?23PTP4MGCd2b*(J|Art=|7uA?{jYe=YA(J
z#FXuXla<*r?x>rOWsUw~$B8g*r<pbE6Ly~k#3;=Uockbu5#tuQ^$NC6JJ$#FvXJB;
zYW9~FSAPbA`Ml*&l7ikYDBr6rnkL(<Jpy&#A8*C~l~X(_AJG$C-lzYlU!8bU1UL^?
z!j$0DV6B1(xA%k*Nu<Pk0%KH#7&@EY&Iy*uqUs0}q}2~GV~z>X`lissWEJR#6+19p
zmwdw4xhouX_5>!*0!jcHIJ2t-3J~w2+FB<bSc@h8bux}x5j_I2gk2-?6~1g)>EX}I
zd^l@j8MtYl^&z!Kd%_L+s_KijzQ+@*-<n~Ph5x|J9PZfc4{h8`L;pCwTx>4<Yug~2
z0XAA)$o5_kmfe09JM%h3?F&+?=-NEwi%9pyjH{2$u>K+CHBWT=y@aJ$RjTsiHC+;V
z_irAe+W46EVosuTnfHQKub~tvw8RvaCCX-ar^kw&Uy?$)XbFeK6Jk(}YM;w@|IXyo
zv~smoV{sP~(1pIrq`pzV{FtXbndZX!VR@oc)zkC`g6PC(X$PdAA+=?Ln-xVp=6046
z^yWfUs{Chw`A`k8iNMch!ab!U{4g^nhq0s17x9dk(F8Lasb;<RbZbxCaaX>IjKrp|
z>0IQf-&GM@55@hRS+`p&O8Jo92EP;b*D}(_1D4C|-t#5ayx=nAS`Z+kn=w-O6^wcU
z!no+P?ki5NvmM+6DBL+LKzGr1WPizPng`l3yKjn|Y2lF0IH)WdO0Q)AYheMo3k&Zb
z0@}Y~aRJ}3l*auv-cE`qM19n$kP>mP`{~tZaubR;N1!H%L3l%$ulw>b?3Kku;aLux
zw?G>9LPwTyhof{_PGJ(Mf&J29ER-wo?LrLT0a-gLXlZ^;xL>#b_9z9V@t)vxpIIai
z`f=Fpxh1YrC2)=zl!8*dif4-Y$D*^`HM@PeA7+|O{_8koqH3by{!ROQ8hD#gRg~8F
zh?H=kwatg%fA4z@&><fi=$tZfB#<3<7?Z#G<8<iS%27#Q?yMfg7uNNjU`B8E6o%zz
zA-e6XfTAiC(!RASFk9USUrU4SU(WUsH3&L3D;s#X@p!IhNRkyNuspL`RT_qa`a`z=
z2+<wO%${orZC%xTq0xusre<ZtuCb_sX%J;GzQOsBNnkE7p8sZur`^6q&&3={2QZfh
z|CIU=^ke!t6WBxgIXy*4@q|^RgC}m4N0zF`tPB`MD<lg#$|<1r>B*76Ru%N~NQ|$d
zj>|yt)4=X2YYDuBWciC$Mf4XvkGbHdTH{3b!}Xr~6h{^1I2G33+;8M>wB)+{xd)1n
zn0t<DVma2)@+YX1fNMR>PQFUonDU4c(JO;fc3LN>-^YRy$D7^I(VW9Qf9alqVn9Dp
zN9Gaw-E1r|)jIPI;JP!zt5&!Dg0n!LB{Ys982JQlCckktq{xi04COc*tS=I+g>pO^
zK*RZ|RT1dMh2Q!_P4oY)x}?S6CcWvDo9}@Jj(t<On-(_hv&oevK)Jh`vLo>>TbK`j
z@OSJ{G%%Fen+>HX|HNArHA%RLSPgxs&RVB+T_MA8xyU_P*cW+Ma-k$#v}pU*6zy2d
z&eQY`E@%gVrTn~33?BDRw3q8Nk%QN%XW~D*{X0pmoqQfQ4}N5`v$Su%FuebHkqDt#
z;cQMkExMyFS~I*RI_q{OAW_9S_&74o>O)9R1D6lm@7X>t`<u+C@t;O`)}y3=$sZQR
zv=ZLw+(N&h)<&1}gT*rA6y5I%-0AE)x!tMe#rw$EkKY@FdMz5Y$L_2IznjBt_YO(}
z=>_)c{wGF(53UA9N=*((9Eh}P1tFDFmq%uc{}A{=Tkp0A&eN++AH<fNrz`7eex5;Y
z?HV55Tc~8k1Pa&P!zDf}cogCD{r!XWMiv*HRyifxAi&E!&o+`x_!@FMEkWF}ymdc~
z>K0Z$BFk)WKM8+Jxo-JPg!~2A0CrsO<^ld<1XYcWjnq~p#45p!Y=AZ-LxCM<OBS!`
zHkfK<+Q|TGqORh=l*`wQVY5F|iOoOHO_o)P_@7FcgZ&=q_>-4UI@2x%G3e6z)L?q8
zzpkG@wY^q|58wv~m4YXIWGI__T;fT-ds=bYDP|lhw7B;C`MK8_z1=yJgl9cj5@-Ou
z1>%ea^n3Jw^9s+F1{t0|aRgH1!|Q#r$RtQd8c=$Rec%3(IqeYQ4O)2V$9h5|!d08f
z7QE@&s=91;=CF|GQR@WUvPouZRr;;;6cUY(!P>0N=GKM<Hnold&sZ~dnV+@JSmYbu
zPc6+g|3mD$wHFI@e&`uhQJ%lvz_+_DGF(tMQc&Hm_BhwRH92R^-LgC^Y4Y@5J>Z^b
zD7c_iV6U#F*J-(`QRf%ciIY$?sStkctR!SSsRJPSd-qmX;mI=}dkdDSa$TuCHN$b(
zI^5;ddP-F3RKh~wXBf>t1#0&3bkL8bs~D2Ynh^#-5%fJ9k2_&sQu$M&M0r#;-A!#=
z=E7?{es&(!s!*JDHpsP5CS9TO<c-E_Htcl8o4zBX0pZ9FV)<tp3Hc+|eBW}X-TXb%
zY5Qcli@^$F>>+G%$eOhrT(ESrW4FiY%Lap+!pjy^mzTr`i~Yzqhll=}Pb<I5`my)_
zncginWgGZ2J+_K@+6Y#i|F>tlx$OBfp0W`?0{f>jDt^Ph=I~G4%B2C{!Z-f}A(vo#
z@oVlR#=Dj0j}w`fB2n27JG8rxsx#ZKSH)W7plYnTbq(N^1&2)_G~&8KvysL03y(sC
z!I>56YG=Tw&S^QyE>$51oQ*Qnn2X=j`nR9jkc}O`5%aeA0fgD<Xkq`o(HZE(F*Zm#
z`6ZXyXQ7`-=({jM*8kRd7S&o0lWJY;K<E8~g1;+PckWI#|I~F_{x)o+8uYtta^esD
z-0w<2Q)<;{EAwZTyS%X6i^>=9oVR3PZtFDs^t@!H7>dvhwEo)lg~G?((1eqH(f*T~
zPH4iyLUKOkI?YT|hp4!OgP(IaC!qxSsNaBmUjO5~RdGT?TN3>)ko{n!tGLzc{5aOz
zqv3<5*b$HKz2p13(V-4<XgGb-Gng<7C?D9wkLTFv<!~wt5c}NiuUD@-bL$Q!g;q<w
zBP|tTA%GeXNZ#}CrdP0C(37{V&wA*?teg+ncuSa99bQ`Sl1`o+6vPj?AX;1pS(>Vo
z(v@=bZOr*6VE@$TxR=1?x34LDZVeFun(-dBZyMRBS~JD$m(<E@rHA+Grmxoo)10U+
z^V{Hu1T`T0Pp}(a7x|w+OiTLPQtvzd(~55{P(a53ddb1#govPV+kxt}3LVG-B5?%g
zG*(r>`ob@V$dBe?D9342GsC_g4V#H>F^5&KfTUF}ch<{EL;mdE|Gs`^Iv>t}1Uh&t
zh91XBSM3=DN4yQGXclAW+A7Rkx$x#=weCq3T1{i8QSnvDtv%+-+2Pn@p+Kn(56D=y
z8oze{s{cgJh@$xm`U_o8Ka-}voVRgOaXoxg24}r1OwI`LbK=^t46=}#Qkab;G`%7r
zi+^uMxcmsaPsy+|Vx{m@kz!AaxJmp)lj~4=gy}*V$>cue=L~puoh&IHF73dipEjs*
zXj<@_#2s|~{7F`k=Tj~ao7bFnM7Mq0>tgzTW=)6f&V`$Gwu^Gz4GMfL<a-g{TFAiC
z;rY8c&NXqr^Wm>ZK8yU}+0XsbQkqQ<V@_G`cuY68WDC~r%YNu}GH#7gj5D2ouu(CF
zd0Fa|okDvak{tfBK0A~oyf@van%*l)=D5>zHC)~2dCMCsvyxm1?qeCa=ljz-_lXT2
zO5zuP8+5|P^qqauK|$51%4Pu0wx`;8f+>^N)umw@PPm`Rc~k)Q1HYiO7xNG`>&!r3
z<gP$P(2zDV7nLqHJDfUm6mmFUX{yb@gs8qcQm@y@Ch7DF4IiWCn#LP^sct?KU6Z?5
z11-aVM0om%7kMtNlrA<4ch>*hp<6S$<_>HLfztMaoW81Uq%P-O9oBy*GD;3uY`(s5
zyOD917Jl&g4Lyfzp55@fcA+<SST8))wV~-m-UHrFF{LLehfVU{M(^vsaJsw0u6)Oy
z4ETw@8UfEkveHz1W`~D8`_?*BRDp~tzO_}KLJ+iW9qx4sfG%YZ>mG_#BXzeLk|j>N
z?d)7Oc_^Ob4=QjQmyWkfX2qiRPfxn@5mi@+@v)syr8wjt#~Rxyu9AZ+)asS;u)xsb
z*`-cyn^{GLxczk;8`IA_S#fVZXvE78mpqalezw_t`_JNh=f-fJD^)e&!1b$7SL86X
zysi{H*)-J!6H2}s_5RIK21Up&ng{~PeE2)41H92_wk$e7#y65`>1T${je3R}fPc;e
znteXH9xi<-a6Ss4hakCKEqYq4KWX1%gKW*qeU)C$ncm2c)gx@4@jfFot=QLn@(|hV
zAl+C_4+7zK`JD3Q0{4%q(s!8y??O)tLWDNM5eoSmhpjfE$%p>6^}jcls*zLkC!XbI
zyxULl-ws<#u?v-08?28HI}o4mofy9V=`r(JpcJ9H<ES=;bit5<&IQ>$_ljX*CC)3O
zJ*Pgw6!`4IO7JE6l-H{K{k+FAIa=WplXJ<@*6P!&0mG(iqN@`vAt1YweZXGN*3x~?
z+XkRALmwH})MK^S9d?g*Wy9%@VbsL0O0M7|*Q+j-;T8^sW+J`rWe~w~pLLfjL`x>B
zFE=!VeU>|_;zpo90cebB4bfVCJ>wd(GGD50U4bs<Ih>o#N&f2uIl8)rtaA@w&UEuS
zWzLkqtk3)ylJoxVTr(N`W-!}=DN`cX?fvn=YitoG=-U+jR`zf!%r<7ooSgId2Uv`R
zPKhV-uPqeS%I;pAzu*+|&;HI=@!{i5On{J*2ja(aMh9@@A(QU<PD(c#a!q%=@jhiS
zt8Df3$Da}9qiLb@7_T9j(+0bmbrREg?OC^mjXxXj$$&b;FUn~}i)U!WaS>(>Q350m
zJLse?j5~-0M(Ipn*v~0Ax{i5)yfff2DP#F`b)R<OCo5gh2RLv<VJyIOasF!vvh$dC
zDA(^D2!IZ-5ZKc4HS`M%2pxmY5E3<`ksEdUmQPx<%bhYjTa^HOry6EMxVl@^44M)L
z@kxxaE~nE8(DhYHb2@0Dw0R6#cm^^i*TFC0m!iF2u$Na(L)9V1>tX4!1#-<d12I>4
z-P%RF3l!3h1Mym>j6s)?cV;1_%ABVzj&%Xs?zL(J8Fx;N_YQhN9yJh8{haiYmVVvZ
zba&FR5hR{id?L}!u+q&iw$LyX-TXBrmC9t<3@>akfJ^{xt@=r`9v&-<Efi3H{~BtV
zn_0kz*dFm)ZhFwROvzE~6Q?XPq<bF3kH8Dv&&D(U1^k#3X^s3WIpQ`jC+GF-r5y%d
z$s4??Q_+I(6`%qt@xkoNd78Qr>%SY^KdDQN7AQ}BD;Y&B+%#rf&pNy3B#J!5Ow446
zw%R4<?D|TdP)jkuzC=%e&8|d(&lUeorM^BP*zWsg&ubyqvL@_gNQ7W6-^6(>qTJut
zOK-LvRsS6b?|n!nFd8<hetxa4n^%>*6!L+3tA`;BC!6bq&CeA{1oxAQ(=;^_0klt;
zXau+3*<Sq)7JL*U<+_cgf35t7buZ#j<!yZ1cdgrD5oO7jVN0|!&t<UjdK;l9Ciyb6
z6R%<UP0w*{0j!V`V5xZ56T@Y<W|S|tcTf~Yf{!@hiPoZ>YXhn=#%Nj~oS5AA_r3xL
z+yd?WY--?dwBIjyw!BZ+=jYFsShDx?SUF&)+IG(-?Itt(^$w(^>!wN)`U4N$Cy{_p
zNLQ4JQ87M?@N}{%PXUEF99`$TUCIVEQpDMGy{2g4UJqx#z=7ZWGhy!%=!!*}tdI7H
z;qmkxjr(nR)8E+6L=+D*@8|q0q4kzLxrxb)L_vR|e?|Ti0e9g_dt_z1FMMptjA!@v
zdn`Jd^=SJpgSQ%LSPeZK>EI2^eJaUGlsUI%hQtAz`0$}9q&G~4c5Vuo$^@@LO%=Qo
zA8+{1^y7H${(riaBW#Yf*m+wSEx@|iG(75aBd}h;TNp*)VA;z9xP`EZDC&sso_`Pt
zrdQ2qkEpj`h35e+Sm2+4(*jhW!SN2dJ@RY;IKV{wfU@Gi-;1iaiQfJnJi`Ld2Tlu8
zmwibAZ)Q}bD*Ah*gBwhN8T20bw`Vgl+hh6FrGIb1>S49R$@!$Vq&w)b|8NBtI;}yV
zE(iX@CHyti6Qh#;hC3U|MZT4fK1`EJ<@4F|6~?A7MTyWEZb+mQxje{I{NCNP&D4VI
zXnxuu{2c=R84QkS7FWvQEs2@X#%T$z{cC(Jm7&hw*HW`Cd~>_DRed&E>lTS%vrp)q
z*UDVTZv>a5zs|@Zg8!y}H=CFM_hf>f1H!;xf=kxdwd6%f6Gj$}cLf6U5o@w34y%s_
zS=R>@JO}vHf=bTBS7R`?e%d|iamxgnann(=^J4Z8c5ROieu1^I9Tguv;ma_u8p>T*
zf^yNyt{zT=R|lNvxs=I-8Tt>#qFV7L)UOYR1Jwk)n}MpVtdOGo4h*4pR*v4z40au{
z$%5(D12>`+OYVlIu)^bjaG^|Q)u%W`dU6^N#G+aPR1iRzUG65Di?}G<LOZcyUPPXC
z0dqO=$@F%5Fez45)BhZ*K`4SU8WAad{)BBMcS=IBVj$B5&3Z$2T5w=O<i>+f*LPmi
zo#6QR;Fkv9ulBmeuDz<d!U;>}Dh^C>6{*wcD|o?0CzBDyGlYe!IV*gDfgFni5LJSR
zs4}r@3JjwWMiV+uVNoogW?(FzcO($2gszU<@`F*8-%+5{We^ON#D#x?D!shkb8ykD
zdMf7hqJAblydE{o;q4T)Zp%vc;F})Wjx*hwb`E$DXOq1S=#AJ@^9#s(^>EG2ua9``
zl<pjy&))uC_<E5$p5xU(NYvZQ3)Bpxw+?rmqK0muLph-N5W|ylFbjq+zh-wx5}nJ6
zVTyb#-6o=lzNJUXLm_XVh3V&>D8d=vXuu>H_#3-NeWcM&yL$cA4F_d5YfrtU^0gL#
zu}q+H;D<~5dZD>S+Z?<j9d5C0r|j$Jv4H1Ewl_xn1icMWjw)$WV|vBV-0vrKZxo}V
zSNvJ_k5eLBd>5&mVz6ia&AVLI^K|$}QzcN1&)Wi3E);4DlVQpJE_ak_e*2XCVdo&Y
zV<MOqGDW3E5NlDqye#{c-!KMr<X@=o+<3;QGWlxF7e4t-L!;{%d#+Jok8$#<)RCR-
z2h*Xoz$)PS8tH2G3f}n5O-V%)RJgD`bdVWfz-6mRK(PR#=zS#y5g_xBq?vMcW&M7X
zk67S_-33P<1$0?VC3Qt2{diWqebKBg9CA5Ie0@MQ55;{FA;=1E23n4V*(^fZe^h@3
znhD?oB6YlBhMP>P;Z$6Q{1{zF+k^pbUIzU_1+wP9tUy)Dc*{8}s5#ej;+3PqEMd8V
z-dZSmCG?fZ8KT{L?bgVRZzq4CAtDoI=N2dHS6Q<+EPjb|*Jy$`JyR_nqgQBamSEM9
zGw{}IAiU~H*y~?$W<Bnp!McJ3%gI&-WkHv+uh01(@f_-Cw${GMJ1eTdsuam@s~#4g
zxaBF;B^6aFJL}%sok3Pl^b}-wwvM&8@;xeY?J@s({ye?pYj%~X%AWn5)#p|ly-5bC
zoOp+ucgALJ$5+;9KJ785SvUPt0?PUQ>xVz0&ncnE{1r$<H?8`a%+fDDwW6=0YR*Tr
z=UfM8eqZc21^mk!Ys_v>J}7T234Be(t|2{|@<)+%P@E0Rdk<a~3hPH<DH}`ZUvW7H
zv_8sX(`>X6x-HA_nOffDOGrnW<J=|hmC}JJ($zrm++d8)9cd;Tqc#S((|&;J`>Q%v
zHh1uI%p#9f_iEyLM{Y#<$fNJ0+KkGp^hGyMZo?SXbzlmk41Vv<;1?_qJgnI-a&M!z
zCGdOn5F3~qYjNNs0X!pB;;EpM2lb*Vt3a{q3RQVsNqYylDVPrY4X39{>|5=QmF&lg
zhXxlgRKQTeS&6Od_FJbq*1qnNfKo@hWSaBUD-@m?VOjRT%2vf&$*(w^gIYEv?nbUR
z-<oPO|ESV=Qs-I+nQ06zfA?A8d+j~1`ZyJs4NX)M#@w}|lJ1U2{lDrt_OhBurR*e)
z(eRiN!Q;}gYc$BG9h>{z03|gG$0rJ;iMxzpGC4iw*!XQS6U>P@h7jyjovR1s;7uc3
zY&&|Sbm-Q;aI0&9Bbe&0Z9yoohXy<J3pT%)vDWA%bJ15d2s@ibsiJ#H%5N=mtkb5m
zjYN06y%yzi+OQ5dF6hs}DAY+AHMo-Y{0qT}jWV_nZf-vdj|pi7^@?u7+*jBU9MJH;
z;-@$3%wiNI{)3~7fmp>fLhBJ6Wy*!nVFi5$VwKVBk-{&jxVB7xyn{z%E_gXTm?N~Z
z;@%7IGp0T+*N$24Upt32yNS=Ve9-(1@Y}=J_N-mk*eI-nt+0uhY~B!U;;l&{r@j7Z
z8=57wY}j;QcO7Am=YLQp459zn&1vzp#k|#kQZDl#_r+OzEaY6G`#59m>_)hhpvkm{
zPjKujA=N)W=9o`AnoUR(Ef1Uj1oMX~D8Vgt8Ai(#9LBQBUQ(>?Fx2d;WwXy7e?OTv
zaC{ZOI@uvw1Q<@yyre1wN%&kzNK9z{5y-Ji<5Qe+6p0jEa}_hQ-0b`eV`n{`s5Vv`
zs`wZx|6<$PC+YU61}$~?pvjiM)Hd~h5MgNYxAFBKC4u(y!%D9e$O+x^wK@S4rUFKH
zYNP`6(_^2Ds$xbKl0X_)j;;n+5H;49gOykLl++$S9AVt<JO-`}K0a>7N4@m@*n&Lx
zHuN+(FQ(GNGgl9gi(uzF?$*Mvmv4W#!A!d2*vCJgwstKGNxpb#Kuf3fIW`-(upT^)
zHp(#De%2R%(zDAy-<-O3S(*m#(x6WdaDq9AvA1ztJ^fN=A7a=*MY@9L8aOJ)_-8A!
zQ-+S`Ya-73Wmc5%e!)<j){4tcuAAxECk8-CbJ%IUN5wC^XNxWWNm8#K|8cCg3-Y4v
zw7Ov5`BOuRziN|@plUdFq{XY#sI%;MNJ6v)Wlpuqe?x0-;qRlQ=27cb<%OT8b9>TN
zk>}bu8t?d$UquhD^EXt3Tj9v@Ef1bf3K<=q>?}B(rmy9c^t`cB22|)>F1gY$^;MtL
z-qUz0{a874#{R9!7;ux}{CCs%D6ga-vXq7H95?@_BQ?>$QC<x_6-jq)ju`*XP`_Il
z^D&%nGdvP7x`wFdMMr&Q0Q%<`8B>pzs;%!g1V26W67=&82e)8>W6zrp{YDSx)JMc6
zAR-1waV3-e*%$svIX3f(t_61ni|GH80@NRfTGhwu`fZs;?8N%|G>?4<=bLa8+XG(+
z`-NG&(XLcHGY9mz46j0&a(aiOI9ByOa+4`);xvkjG{vNHA2*oHdtbpQ2T7YT9WSTb
zfmfL^ru0pBVGgWB3)Dw~qadDN>1OOg3p{rwK1}&B$CCxr!iu5oqyCA%I>+IHIjh@0
zm=^-Hm>SKb15J&GHiXd1R8=l8Ip@zM{GOyzr~Za&=J^ZnA7`<Z`rWpcp{a;HiQ%8O
zz$A&~TW@)qBZW%++P$AB{Ai45xuwYfW&K!&z0&>S<I2e04)sg$pwTR^?(!x^5E2FA
z#lMI;OSoc$F4$d{cb_i<Q+P3A%@z67V2v6Q-R2BnI%D@!j($KCs(YZ}_Ap(6PDo4%
zs`aZL<$+|uS#i*Pqj|9q8W){}p$A(xvJ8qs%)>hR2|-CUKXD1R>sQ+bl-Io8k@zNP
zw`bt4q;B@Z8TYiz&ST38%+)DVtsn(LBQxdw={@#!N5ne<U&U-yUiO~axBj?ly8b!l
zweCEjfG60*W0&%YBHC7~3a6H)rZG*fqhe6|zU%zgnFGci(!Yayp>F|(N1;DZNxb+c
zQNl84XZndSPa|$%wRIvfHHgt^bb)OwjO&XBu;xK_s}GEy4)O<RnU%SNh%*bTpx3m}
zpYU-%{r&sv5?B&rb^5lQ{ilj<>0hTEc1;gD>hf#S^lUIkkZ((^Xf#|p%zRnmB>C%e
zjryBMYQK-w#!o-#N`x#0PmBzTqig6h&0r<0a2OCBRl5KC|LG{6PSl9QDPF`J3%nYb
z!b(&@$*ZR2qy{5Vu*!(W>`IM1r^LPD$$`UP#A0=qa!Nw=$mnySS-l;Jqe~h`8ocyf
zR*3B07##(jWr-qfCs+&uv9s}b!>&yi=%1vPJ3!kg;ET*l7X7I*ZK}z>qj_tsmMF87
zCCE~|BncrDR9>9XDGbS3WRxr?=37>2(4L#0RQ=mGG50edV*aNEGE?Zz^IQtgid_$9
zt$1*)6OSR8`iq-xXKK$*qe8ngys|8rsPO^vNxRS0DjPRu%N@=WA=h4c@Np-4mIGKR
zb#QmCQ)bFN@W!l1({!^Q<-ZL*eNd?EbW>C7mql2&X4zR%EhOy)>+kyMYevt{ezvGj
z@w)hM?o({+OxBfakSCV5w^@)ZISSRQv+E>_-7p#TT(xj)?7~1HcoyixcOpg*{MkCu
zy}%QozNLFn^ia0YkW`z+<lcYw$REp*o#Jsc7EjXY3R64u>6ik~tKEn2C7y0#Ot#~P
zeSR1{Z`zLA{;8RLz<cs4%PCokC0yi3?Ypky!^Dv)hAI&b$FAdZ6;b6KH`SB7F^b4G
zuK(C?{XnX$yK^H;*&TP1SKb#prHe$$KjUxb|NKej=+@0C+4DOhrC2Ws05Efu!Dilx
zn{@RaTg(Q9ulM=<d8dbL<VXth>|d&$VtOZ!%BTD5w13*6`8PZ+^>5kXF5gcwZHC4g
zkf$#f<42T05%NL{+3#vh8X;0g`WPui&z5taEeH6l*oMhPhNE<G2$y`-`+hiqv~Q{T
z<KY&M5&pxL#v`NGL=K<A&xqI0-BXd}y$oIbKTg6r&wN(4{g^3#uyhA*pX+{;uyp5<
z;iJ@A5KqreOTX>9W|5!mhxxi|i%>hw8HtrybMI<2_-DZsoD`5@z5x#?1GdHv3Ap^A
z!3nC;0sTeYjs2y>kGWG6|58dC;_noNJtlw9;?HbN;XIKbynDP(`{v8txmRmCu$_U1
zXh3PyPeO-w>Z0B`Cs?|uwq%UcgV71;2AiN0#5)+5DxgoJMh2tu7jMrR&D(w(Pd1pE
z4fe3?RZnj5uev;Y{G{*gj>b{>zIK)wi>(&=;3;NmBzo9Am@V6Z;Mngw84jyr{WDVl
zO04}Bl)n?;<>MfJboEqUOB6p-E?#stY~aG3VEOShLG!RY)9S#poU6W={eNF@!qL_v
znS8tm1@!JSjkBBPdmLW0mOY(sA7OR*5iU4`<2kzuHT>s6`(J}u^N0?a2s8G#a?1Kc
zC5jFI5Iju}d}-vb`+Pn*Mup{2qT1`A<Vudy2pI+pQDtuLZt>3!@Dth)-?&-y3G;H|
zC9}sz<gLBTO<spsXh`W4m3)J2h-VKAo$oSQd(J63Nsq`z8!X9>e=Qb3%1VV+!~a!B
zvPzsI{9CL>N<TFH>1Cho{j^tv!(ZPF&kIDg{uMnbZ4fMPI<+h~gRd%Z{MUDc5~!27
z?RgjGRkdS0oMW@D+L2kq<|&~d<gy@W8Q=ent_chDVgxmzra19dQB*7LKO+ZiNk8`-
zHoyu`1o~TB8KY9a>N(;}-b?^u5W=Y8RzxkzCbb+J&hPcEn)d^dbCcXs&C9n*Zq{u~
znI?@LqNM19cu2r59h*;4E9*3I5#FOu+#YQE>k0TL+sup8YrK8tT9LgMd#pjI?Z`-U
z7!mvlxsjovra|nP#`mLI8yM()csveE<sOr)?~@;|zv@WMzF#Hm2h*pkIrUnudJ+87
zE7U*v;k-+v>XDYX_2x%=ThZFbbWPo(T{@D|b^D<)FIy`xG+b-HXwUOD%h^4(=BVVV
zUhI<rrz`~y%B_D@?O;yuoQ@<cbI5GT8|~v?Trun8FBx{5uRZkI5>b5`FkE1Wofz`C
zp`X*VWxv)NkY&N9Q+YB6zlS5A>ae!yVFS(?9JdV4QuoYA&%!%^{*G_AbFPXYZU>gY
z&m0?;F763IKmWVRsG*(>z+n+9vY$R-hDqn~njbaCDar?rjvVwwaSdfJMg9zVSiG-f
zcDRZdo-;%=KDPE@_v-q-+F_bHgn{0LOAY>$9Q`Z2L<)D^lsWYc3$Ev;!aPD@SArL!
zprHf|<7##c<cnf7S!?W71FJ+9GV|4f&nF0}Hn`rAfO)iv`MF1+d&mwTXDmqWu^qR0
zD2I&>KZ!{kYC;0!r$sOKG)b%r<En~AZc6W8Zv=;$UX8W8NlFSEI>KCP1gnkt4PiqY
z!S!k2{pAIW`@+yS2sk#FR5st#^c!ryYSycD<l~ht%FS|mH~n8qnVX|FiE#g<B3L(t
zcWLi;;r26nJ&+CcKv(|S<NV!m@?Z{#%O2I5RV^4XE;|9luU#dZ=e`H{hMcr9)9qJ+
zi?HOII-7i_I;g*pA82x9{>XsKZ2`PoKDhz-z%StB8YKWH+<u8lMbuS6S4KL#gbCA<
z-=l_062y@{=T*GeqR1^*SS}+x5hc&%Z4@Pqk*Bvyp-+6^qU=*UsqH6=KBNK{FgsRw
z5)dq4vXtox8;U0IMpek5edz79u8MBBxt~NND=;}l3`wI8?<Z^1%zawCh?)3r>1Jn9
z%?3{MdyAtSRnbC`k5$p#ku9z;4LWjtA680QwD-|dw6r8X@(M95YDk_+-yvrJ&G@}J
zQS!=YV&vHn@Z1c>%mPmVhO1K3o>38qY&voZ%8G{?8^y+n7m2EnL|4;mJ%cs<2V!B>
z&|tczAz%pG7>R|blV9V(MSV#yD~3eZ)CJsR1Qnq^4$EXX;q)lxOvjJP!uHgJhaPz5
z81JcNAj=*N9L#b4m^S)6+|gw5AbCkRY)o{5#+pSF_wpn*SY&7W=?x-={qD8xq`?-g
zsb(!|x4qpbjLtWu3^he9W5P`qR9Y+~wx2bZ+xM0r$w(^lteT4*YN<KazD}YhDP41J
z1WToXpVL3yzOhgEYu{io*hCYsZF+l~?Gsot=Pi8~m!racH$xp<lZ%PEToW>C$gson
z_#nH2K`s|r<EDNiHPxa<-8QB+Ns-r_UoZUQ>G~1wW`Q-ors3i^SohAc#ql`!`*{X9
z%;UH!cpCh@r$0Qbgz6;6)Am0?ODcA=Hd&$Tj)b^yOto=oPHbJLZ=R`EVv_?G7?i8#
zKb|O+ws}2(6gU+VG<=Ljfhmj=8q3|0m=~}hIy<q6d?BLPxaU!DMgH7<oUbzaBogBc
zQ=zk4=p^l2Te2ID4!hs(=r@jjGJcem4|#@@6wn-efA38;O7#l9MiTFGh1VnRW)++p
zQ4pA_<a|DQ&6{)EPt~(r%9FbbT$Dd&jC<0Wp<971GI7lL)NJpIgMWv?<yG)*F}{NN
zc6y5))0GFP=1|j*SJy8=;wb-7s;e%mW}+nf=fiJ*dCaQy5GKb0ssny<E^4Csj#)vC
zKm*W+DglJkWy~}Ss2Zq6C3B$4S(h%M%6%5KQJ~w-BRt+<6waf4Uhwo?i_zvyypHr4
zm1OiPcl{Y8dG-%QJSeUjCu`pNKhzB$CbgwaZoxYMRm1lm<&>no;oc(I-N#WOFE?7q
zAaH<@2t!$M59TE9(xKkUrEIxnGOG4PW6Y_tS-kdYCqp8(3n4n2nP}GIRG$H}8KJ`*
z(#vS3=<l0zWAAf;nB&+t>t3#XN))Ee1j4p26ZNCk9q>dbu`!w;tgmJWGsv^Kk=UA2
zc~8Ufc3=$?=A1>f1*pJJr6DccL7PMl`HjDQKK^!WbVxeTDh42*<|BHWS+y!|+cs`H
zm<wAHT{+=Ks+Sy*d6<JWq<avSciu75#Offfu~PT8n(I-hfwJdzke&TFY>hwcL3<3#
zm~eay=_hziX^&*~Sy!fMpZS~`?V)KI18}+)ZbuzU|JI3-4z4g^I&wM+#EI9A+LA}l
z(9c=GYFLU-+BQ`FSo`s5ZiNqQbXpM{rN_H02Qs$wb!9@+U-kb&bJ0&wNDhUu-5-)}
zZg~0VUe1-GZ5r#W{|qDvdOt=zU-v3WJC(b2TodA>gra!ko=rQr?G?DZyN=M!fXO@z
zElG!wA8MGYqVbV4&_^q`x`U)`(-ZtLe|v&JasiCW%lh|oI}2hH`2PoJ%1NM?=<S}v
zbosn(Q6fsza3d<VxI$M$Dlfl=j*9V>KtWw#3YUoysDq^MPJ$-ez6}FFuLo!?R(LU$
zGg^*=rYbOJw<H^Ezie!emU}LEmJq#lpz3f(q&_SsX{ADBJoxTd+HBH!pyTfEv7lTI
z2a-pWXC}0p3b0~K+~*IzCuCBbal9M|4_0_I(Csirm_6|u3?x!?k`rbUT(0ei`*>1V
zpmeAr87`39^>H;AW>Hu%>rWhx6!uuO0N5?`oK}G3{m0OA!xDdnN0X}SvwsbVFVPUc
zRi^&(7*c-$$gfeoAS|xqg9|iq>A7X#5#sA`%~~n^(Zilox1LEc{X>N3jX~^3@8{=t
z5N~}w?JCf<j%vGMCW|tNB}94F_qy9?iuqcw#bd|79P9fe<e2_MJTe3w?cmtITZ;P5
zGq};2{1BZ<g;6!NMC~87FZkl7c)jx|);>&DxxTW_QW-}dy?@RzW6EOWsh+?04ml)?
ze14W2`dS}YzSRx%oXQmuhp=c-4kT)4|9a5ZL{vxpr{jCxeMg+g_p(wOxoXOx%riY6
zVDmTsmZC0RAWOVYHZ!(7Iclo~u}M3oLBBI(#*MUzT*uJh_akT_cVXXHiJA0)zxWVX
z-cg;K=<yf=d!(Ef%!sL-VhUSf!E{7c02SqW%;_QaxbI46mq?x>J8tZn2^xEKc|{I2
zS|xoBAI?D=vGbkM%Zq6yxM*TP$4o0v_q8*Z8+)fLK$QKjaSGiv`f53Hzb)in;hV#`
zw5sYf)b>0>h9)y-f5oq^R}A)5u)NP@B-`p4&HKC+g-X`;n7dBT(sx)(7n$x^3NV8D
zcWytFJ~c7doWZTZEMl^+ERlc13Bs->m|oL3rMAEW1)_^0yQaS`eaw`)0!xsJPMD&{
zCDlptUBl;yzuT(^_w3YTk5~aT|69P*2+kh^OwC^f4l^Dx2pa5s4Sv!!qlB{XO+{d3
z-p;0b2<4x*X=*J8`Hf|_QQaG&QQ4Ac<bUi}eU_N&!3S~dE-k5L_?v#{Hx?Pjp-&+G
zpB3Uy@&VpBD{czUmJ5s`zR{}D7j3CaogAim4c(U}ln~h+-8uJuYZ-?{%hjJEFK=S!
zAA%ZoG=!T+${b?ToM!d3PQPne#<YLW9A~-C0CIRtqHT6L5y8|yrApmrUEH&j%9AhM
zIjFBMMy_Gj67Mx139yhHaeUK72l^A#G854wo?2n1Guy>>L>4Pdcx}ga_i&-=C(53o
zI0?~{C%E<s7ElVnIQ4_Rpk847a8qY`m6}*MHzdpA_kKNkDa%}37j->&-4K#;crRXO
zcv7EtFvTS|6ra+g`EVv|PaL)IY*|YflC^FUBKso_bDgN(GgCM!Se=wM&O2V^7{4mE
z<-&jxIA)6sclI-u9xgRrce$=$ux8Jl*b`4YMW5**rpEgDEiJ@`ua7)3rf9Aw{4BVw
zOl@u*5pJF%y6Aa+TY1aicx^iX&iIju8bNB7Ti7x!3-m{b2ghrmpYdEy>V=kpHA{{2
zcklo=iUpBPvSq*sJJS@3)F*%EEI}?c#i+A~IE{qgG{w6bp4@&U^13o|mHFT9#TYRu
z!rSrCmF>5`JvVJ>1*oL|#Q>%QfTT{zU(b)$6Hz`F)~Q)r7Tz7Oy#I6RX}|q&!LDiG
zLvU^E4!4K@O>6;RbJP3Bv=rf=dGHjZy_R*BdP>AKak-hs!b`#LSm9x_kmWl*K2eFo
z535P2GT%{EbSc0m#}b>@atJoEEhKs#&W?=_*KW-=|5_LWmxCL^ppeV69+PW3lTrs8
zVb5}3@=m)d^N>`X4=x~qe(XnYgm(?96KUfindXSW9f@#1uA>qX_+I7L@Jy!j|MBk^
z0kE%nm3ozV0hMZ7F8ZFL{v-Cr`avW1xL@jXCgf7}IoTrhxpKJaLjTOIsuV(uz4KP#
z=b<LSFxf3i9hyCL+<wxda674HNONEfd(z$oZ9rSh3~EA4`bQt^n7t}GPds}q&4aOZ
zB@+xGBPDtIDZB9tYFuDk5%&^e&*R<qZ*`kuudaJ#_S<X-M6L7H+(gF3_%bujC4_%T
z`bTkD%rsc6p-3hUm-rYs9saiOT1X$pYE2~WkAA*ojmy|B2;cFa`O4dnul3GBzuN?u
zDd=4Qv=hMJ`oG@pVW93+Z%CBG4RjJcIUT4aNcDq*)X=Efqoh+|<M`E#wSo8Y{r4bT
zEL8;n^H)}t4}KJ92lKB1e<}#g9sjAK32?5xw__ak6ks1Q^ipwN=AzENe-vv^aAB{0
zR%^O>mY2;E%5|c|TlQ@5|5wmu-wJ5Ihc-Uzme5KE*pdr<SU~BcJc+R&rNCR(**8mY
zG=Dpi-}D@3O0yE1pB@zXQ&vZ_Tb#E5ub2g-*Zg7}ZY?vN82B7IZ{y2VR@JR5DfW${
z*7;R>PSsfJ`guzY^DW$`iJ7iqo=vFqV+klu)0=0_A;GnAJfH_}J2b1tdt59V`B2@~
zMJy#;s_EkDV%5bfCQCA!H6<?<AZj@-ydF6vfpum3>OHc<L$Lt#latcxy8N3dbq)A6
z7JlRP;E5!F#OY?BXsqd>3abux$jt+e<e}HAEZy!Pq+$)wz~jk#5#x2q!uUdkrGR+7
zm<C~tk89yrwx^iB^vv;7oY?HhjU~*d{I9EO#Hf-kC~$->*W#p<`UkaU08dl@>%J#a
zTi9D0DfL%^8^>{LTXapmKrJTX?WnEm=wSLe2Ura&yaedMPi3{6Q}a5p36fOm&XL7k
zy}BH?#NUcG#|mlRhZfF;mtXt6n}@Qxf>)y_pW_`oVGfqx9MjO|d{4w!m>zOm<kvIQ
z`|v@6;~lM@;gt%j`-q=)|5Zya`j-Eb$Nxet$9~5K(TI7x*7$Aog?EZBcu6s_XcLo5
zU;17ZUw&}i_-;rQ1nGvnszzF91}_LNoFO*%7Qs^ha#q-sBV?;?`n5J}CHnCN_*Ym;
z1jfv!X3sEw`&-T27U0ib>hf^Tn>8yTeOtp}f>aojUTl2GLgr;bCd><t^{%<RtA5uI
zQTOW8VTBR?EfY8wi7|tr*YG*0kdFqv%0^VZ`7l8Sr?Y7P#pihiANiK!z#5*nD*f!;
zQRDOM<&dTFF0VzE=|cg|2?a;4szb1&o!OqPiq+utr1vg%#d=`Z^Thp#;7}`E)7D}Q
zTDB3M({0>5rRB)ns(-AM@n=)Fb4~tdPsR^k*R;0}w3kCnulB8lY*v4a1z{K-zj;8J
z1Ykp!q9jGGu*b!I+{Y#YIMf3^WR*T25LjZC(YW!yZPHuUurHtR<^ePzI9%sgX|=}y
znlQ94{Y5vmd*Q6HyS?WLG{HUjbMDF*U#bzKAe*<abI%34N9dQpWDZq&v~jzpC;qHX
zH>11FYq;b|w(+oE&%DHl(g>YMU9&LQTV|Lk=Ek*GL#+vr8>E(xqN>xskvA~txvshb
z$HNQzWP>4m14x3n4VBw?-TIxtU$;s!X~4<^rRLN%#GY@rH6PbcgfBK0SivXADg>>K
z<eoawXD9N6V;=2*Wru~dS;KIs<MJ8xb`DR&$-iEb3=7sDvhHk;-R|5!ZV^I$tn5N}
z)-`_f>bf1uIP!_|<6K5DY=nR<pJ*+4g&@!0Tt!sX19DyDeT>i9jyVH#Dy!|ul0!kc
z(A2S0mPW2Erbdgwu5d!saoP4v^R|Xsm|1F-%Y?%6RtHa?7s$RnRORr{TLZ=ztz+|^
z;BvoSR=@rdTIoL3-Se_tq^~yYGMcZzjuCIuzNiz!1!oHszidY%JMBk+7M5=P9IWxC
zS>0Fe_A^~^DvL2j@S<t*_N&=tb8KDwimSH)3|%DmFB<MT-!$ac&Of;(DIAK$3T1wW
zCSfkkETe7P2siL|fIU`$?+f4q9iC@9=fStf9@Am2w@Y4ZN`+ZOBo>h?=DWy=`S9et
zExnB;lLMuXIIAdMz*un0RjI~rFzGf!^Es85a|VGmcT+dPv~>=4MLxdx?L2^~k!T|s
zb2rc<N}d!JJ5lr1Hh$q);^-Rn)iIsc?u#-txm?>&fo2HzZrM@4$=-i^`7GjR&}jM0
zX5QS3dBXbQuRku?n~5raLrpurloP=a)w9snjcvhQh7k_XP23chl_AnE$?fA&ab{_<
zEe@>Y{O1jF_iR|tZde+s340&d>e<FirEh#`!iE-P#=(Wm&VqrdT$rvlq&_+}BCe``
zM0^A*hb%g}wW1_WfhZg$ub>v%1UNfngTE1r4|Hx+4fgkTTti-QYBaR~L6bqtGE-ra
z`f#kNvIi3kM2&tfAgPOP`%5^JFQh;%k<dSVp@+~NOL^5}MYXIKqKAQRuD{WERyBOc
zwCj8D`4^_<8;<^;?bm^6Z;8Z5@*}fv6D(zx_bPZZWkp_9gk%qVsOr>rZ*mcN$d-P1
z16%mts02+p)aAkpg+j7jJ(gcvV%iBo8Zq~BRNLtlM3f<MZ{NVCl>72K*?;C{Y@i;n
zNpIU^Fmw9NZ4ig890s_tImt^_p=76|_&J8u;`6umOSP^FfphsT%wL4Zs`;ab7*yk7
z^1vF#Oa^>Sv;zZPsJ)U7B=0<ZK`R+1N<+TxeD%kLE8)m^bJz>*{lmNoNZL9i?HkHE
zt_ZI?KC;%Sd*iG#c&J+R5?QK!^%D7N`)NY&oa2Ku@(K>x#rN`buu~yJwBi=~ylI0(
zpL{qV+a)zTX^3LI$8~3px!Gzvz3!BDNW?hc_V8(P&rw{}jVgQhD)7_;0WY&*2vtt@
zQq?Sk3>R{P07DfEoz8gn^fPUY!i(F1P-!Qj)Frdy@M}HEceT-poeF<W8$0zE#de=m
z#|kfYYYi3-_2uA~#xtLD2{qked1DXdc7HywL9H7hvXPT(=aoL(7S@`fRh4Mtxvo#W
zGDtXB5!yVyQQjJVnTtI=?7~tzk*xDBF1Ay@k|rSWll!#HXTYR`=oj5-rxUrB6zFT!
z<yqER`Yam!^Y&pn5UX=I4PKX#d>tmrNd&i1vSIU@6XhO^=Y3K-eezS?g<o>Njs*N+
zQ*27@cDvraBGT?oL)LK4rB_XcX|z>JnH(BFtLk*Kkoq&YL*l`zC2uE33%xi)@|@j#
z<8RhTeGHjX3DOwGG{?8Z;`3;+%VwY0p?P-0*(Ji~y7x`kJy*d6`netY0xiD4Ohzpx
z8?(jNx=HY?Cb_*K%%iZZi--^sBFjjg&|`DNU!C0h>;UCqymqlEGDl)qqRXpKA*tJ-
z*<I4{cKln@zPhii*{eo7G0V?MHPtrtjz!fWqRWIQ&y!W1b;bo=!4tZF3Qvz7t(LcT
zB5pY)HdoQlNr~&e79XC`u%5WL)6|%Fq!3s$<ZJ(~!tEx`ruCyXSTK&DI2tr|=I%lm
z5%)GVRGZ*mugv}YF%~iRt&7@k^_T~2D#V0mZyvnq{S&cpB>a*3<rni8O)NBnNTI%o
z2c*wJU#QzHYqmbD5e0x$_%%&>KmiPCK~k|%-HsH10rcWAUq<#Nr!3^2QlNFmgoP;d
zj=JjE=^&~)c7p$%OOFQa|EAiqso)W>Y_hs;gwh{$W}+lAgm&qTtTQU5@Uk5pLMhsZ
zM)ea+V;ws@!aQF0*CR3PCn=(O9KCj&yn@x|ceG=a?J4PqJD+;zOY1zu2bq?GX5@5{
z&=rJ$>5bzI(f0ZSCCePynjH;JCuy_q1F66F_~WVupH<D{Wy|dre>I!g)hycAv4KW5
zt%pP{>NxD;!80VV_A)d1C0mTy%8T(sQyPbs-P_D%KK#dq{|WVURY*#Wq4o{xTFe9b
zWH0;DFLXVlD7J3tdGQf<U;aYB*zPClZ1JP6e1@#tC)SrAvi#{(X(idVO1GFSJI@A5
z*`UiPL*{Of8xvX-hZspqyT|z_sb^FI(*+3lgqqMu_nfSEa$FDA&BBbQf(OrhPq)8E
zx153QZEMs%k3yD)g?eb}@65JO|5$sIa|l*fI}>X94hx8IFhc){LuLE2z2YGw{GXg0
z>!>!+I3sdhI{r$X*tHYszsIbM1a4m<UO(A^lZ$h)eqv+Uj0k&CKTgcu(U!ZUId)Rh
zH(YUquZ}YV4I~8yrh!DkTKh-4nsf}#L}H*-h45U1xj)f~wD9*ZR2$TQq$NZmD-@80
za8{hEOz20^TkLp$1om?SR^>ie9w#Cx`$h*~hQ62wNg#l^31F46u#15*m$i7&0nv#U
zh|CDAlz*$t2g0RMj49d`=yf?>sU?>HzCr+Nj5&OO*K>mC6)A>G5txT0Eml&~*I6iQ
zpc<#DFj_xam;uj*z^*r7)jkk5^D@oQEL6l%klmY;nn0TXW*l?4gb-xRWc22D?x7(I
zIHz7}ih@0C<K^VVMzua2OrAgemY|sO-~IqWJ5*@;g_ANHj;0Xj2;#YuDZ1p&CcswO
zrjR?$_&GV8+1sliK<6L!%9w8h$a4Hq7T~PRelCq7yamWoM1soH44eFZm>$pa1a2*6
zSB525Iy6kw$*j*>gApLhmFJuzdp!0KIh_<E_>tCDI+Vil@JBI8Vd2j?7`QN>tFdsH
z*<d69Z+&Y$BDT7<DA2k&yoG!`653}<S_UWje}F)9XS>v!-+9b@JxKz8@QCxm{s8UD
z`X}Z}ARpQ{v259=g61}cHS0EWk`7H76o{{<OZ;~TFTy~8gu`RxBp81vDLKEs%B4zU
z(+PSz=h@~fhNy{X)R7>l@uPA5O}rz&nMU4-j}6gc9!EcB00}yKTxiOKnSC4W(L-R<
z8?X-1*-YNtEj=5zw*6)5vLoT*Wn0f{vyB34?oAt@Wr1p3sysG0LyC@`nr6(2jdfA-
ze7%sVk0_=KZd6!t9;{gxbZj*R9o$}TU7MidJJhO2|7=RK8NX(mecgYDk5|$e<!2%<
zT>ad0pcET%XlY8Yoa}k2Jy1xM5_lxczs-t^*C&<p`@YURvo@4@?EU%QOYQD??8Nw_
z(*|}9jC7veeVfUK#n2S}QH3=WKfP?~FJ+_pg>+Hu(x*X4v;wK-K3E->b3^vk1ZeDb
zPtyucqy%mjrAE2$BW@%{Zq=bdRNPm)>~hGtScmLSpUVvn6%0$hcZAf|HSJWNUYzL5
zYl=mVl|(3+8Dg@UJ`e&&ceVR>otEX68&!mT{EEm1b~%2HAsCd`Vyo+~AG^P-NO|7;
zbA6{IsX;ZOkq`@XxMD)XVTE*nA>N$}IZi*!KO0k(a>4!pfEX}-m$hKVbIvz%)%23q
zme+p;wi>SLkLWxy4G)gV*?!r)?Rel@^$+t##h}4w`S<YH);*Ho<pmr4v!wg2a}V9O
z*%06EQ|sXAjCm3}&(uNJmv6h|r@|`V(4V*k>(ZfLgdlfl7PF>n?)8x30Rs7C*&l21
z9;>7eDiZZXdwrkQ2-5%Q>AQoPc)zbv5XFWF7^NyGNHL)!AfVDj5LBd>&}$?@=%I>8
z2T|z+R0LEAy%U0T=~WVH1OlOi8c2W;^2_)0&b)K>uicqDvpf6TeeT(F&#liYCxz28
z%0bLcapj~4Yh5fgV#n(5TtQAF@BU!OhIM22`RGuvo+t!=j4V(|YxWO3y?er@_{v_;
zmt`<CRLg99Ehwiv|5069xkI?>Q|udtHZ{IVUk%51sZl4!^J01G|0=V0<)0#!b^%M}
zO+Pn&y7rmnAbdHj6ZAy;%Gn<$32HLhR{nj>3AUTH_u!rtDw6$-%!i?zZYU(@0DrHC
zHp6>{6@%<?F*Msj?H3-n1Et`;tx@F=j`F^97n<vBLLL;s72D9QBpLFlfnUBR3R}SZ
zEw8I+4$SxS*_Ox}$pD#d52ZPz6YgBLtwA1<&9FB9o(3w-yO+a|mA(}4!#EIWO}r+l
zqG)@`sp)BsDWq`A>xv`Gw4)kR0!d_ptz-`SYEu#NpzL`|#ZccQ%sT{61}ZTO57`r|
zEz9`?qbh>piPyFw5c8otkspR2VM(M+YRsnN%$9E>4(_F%MoA&9d%9be-4li|p-kSP
zQ>rY>U*A6ZGXSm?V+@@3X&~KDi)XXEPq=;;o-8Zipq$<XB3{FZN(Q7mH9@#ST=H!#
z-Tsu%2-tIm<bTbORccyf{pRs~Bah%-#8B9FQfvdSm>JO5zd^ak>3sZoWxd*VCdr9y
z&$vB{7{jG*?~0>!k`7H~r5U-3(a&7CUt1Njah_gv#|$tF7!2V?7~(IuD5xez7CT;$
zjt;gOWDx=1uL_g{R!IXdoXcjF44$`QrQO}hN?ttJgT>;pmFF>;h=<s#wn~j`nsstx
z<VUs+v7UFzrEerq%`P``Xx6b}@3)yS@oHgziTeo0ddjbO4*_UFIpafD5v07m=T+K7
zMHm4;s^M$04EwM@Tx1JZnmKc0u=ljX^Z`>pP9qjbpw=m_bS#Q#|B2>u;HmwXgVPbE
zn%Bg?e&8~;4w9+;bXaChT-qhcdZaa5g@!uslE&sbeRWoS_t$+95DoS`D>Z-w>0*E2
zPKRTdn8dY_ElS-gMvMZ>PH3s3JAO*Bf~o!RZxL^Bo-p+uAQaex25MV@HMbIVu+Mn{
zPiA}I_LGhsVZi7%(_bdX+6OB4zBEi_W5JV-FKr%SM<(;0RHXM1m<iO%o<Ab_&3ayi
z!0ZGvPz^9a4SO?(EkQ}|dXx;6jqDf4GzWL8^P;EK%qU<{NOJ!qwX8yYp>}>9p(4@S
z6Jw=s*{pPHN}5&J-zHndXiC#Toy!52gr4N1T-lk6Uzru^ilF5P*M2kMdo@$WL3mt4
z7Id0Q4Y7HDaj~HwaME9zGb`PhaqM^L`|Jird2?rIB@EbG^a(*ZhVo-Bl%-OD6RklG
zv;ftYrEeXh!-2h|mksCpr=&ws))4xF{F3+^m<~`Rk2VpR2UGHZJ@`D$DPj7E6bv#Q
z;ZZ*i_gWH{T2!T$u7QY6S6uvijcwByo>r3rT`uunb3d+EkmESwQ7n?|r~5XFnx5o#
zsfp`!OWWJA8>wkk1(C%3DmTir5`|o#(EZ@J=8Aj@fVb1?Bk$kci?Lfx7_a09-xjEw
z3Y&fv1I@BHfc(${x)r`~hqm(JGmFq}IVu4q-}AtvCqxRv_FQ7)7~hTGuxXcv0x&j@
zn)ZrDJ@dhjn>2>OR@7^=vTI*E<x98xP94R297}@RY8clA)%C)HqXmaEXdVooBhprf
zVxvz{#d4z$V8aLbqsnx96t`$`WJFS_o>Sp!@Y~d5-_rPctm3R#u(cA(pDSY*baYsM
zm!4#Pqh=*o-}FjZ=Y0vxr+|S#2cG5ZfP0hYbuS|#yj~;@d`}*&*KezrYw9Y*TU6K#
zc2I_S+|&9rOy66P?nQfJGsU8<e-ZfMmjMsAwJx_L5%^B~F|Bx?bU>(VVEoJ>q*-YU
z78;?P=UPUJMGrF1pERbF87L87NsLpl#Ju#ymir`|fA*KWk%~LN<{e&^;dD21GDGxV
zNlZdmCNjDA5<hE<NFG0f7J9uvRWB5T@F(QlXlR7RrJJCt%MyvCAIegRiEg8FT5v#K
zq!LbiPDNs(yn-Y{$o_i$-_*{TxKj#75VSdX@<<NUL_PEmf}qO~y@&38o;+uVjNtbM
zK_OOyr~LTsOhqlxV*|REUF`_o7IZhkFF9p{XZNQtX?LdneKjaPn^|>>Vm!z(pvOR8
zTXc2mZl;@!`+vCH)!?{!u};1Fd>=sca6aYo1Lk0<yz-bL<IM-MlU3Pu3>B70Q|7w3
zvWtwz9h~w1A|MC_?lj`I<<!H*&+fF0C1TR9_3ZpQ228&FOWsKY=XSVBow|#oeb%_m
z8Ch^ds-dN<4T!-+Ad3De=#df&mpJ~E69SsL(87=Q`4~1!lQDRQ`sHMrb}VZ|%&{j}
z<#x?w4!L+&z%0UQP849YMC`Mr38Z>mY1dPSrP{Y}2_;#alUkT)VMkh$SLm{lELPvv
zdR$>mDz2<C8C+Ab<``D*eu#ug=OS})S+70E$}vAM7$>hR*gRk^&*P1js>Du+T1(9V
zT9srOmcG2Dl|fDC5J4+`LT#b4;uqjM&07*}MRj9)IR<1LQe+FJ7K|*#6^ABHs$h2R
z63?czKMc;pY;{sLe&R3-)EF0cU%JGcf3#<96j_XFx3&Af612t$nD=SBpE3&9<X;UM
z3WNFf!+b|P4qG%6IH%tV8M>D`+lDE5aF+eu^0;HOF0g(rsAJ3RL_6t64mdvG8ETne
zlK6)%$>1?#F>$a39bL68_tG7wag(F0Nm;R$43aTW^esLsGXM#n!}*ch$9R6pzw-Do
zF)aKXUY$0eae{E(ZJ(acyPKy~bq5a*lsT4$Eq-jr<1#7m4VoFZ{GVifoW?#ee*g88
zD8qQKz%z><PhOFgc_rY#7p88pk)-22aNcKH<2=&8`@Su+*2Ms^t5a5u<U3Y+VdAu%
zDpZ1t?sREy%|!7TFbdv;siF+goA*vV;DZMr9k%dUrghC$aE=azfcY&?_phG5(8%`g
z-avK86|5P9zJutqE~=$Pda{flPbnmobN|-nsQq<*_Q|*6A~o^k3+mv6h%WKYrIC!T
zde@SE@8Gfund!euD>7meUsOhC{FLz6)m4ICU&y;>EDCw$HyEsz!X@mzcZf4YzcvwO
zUTgFAxKPtOldE)+_P1gajHU0|fM;PvR-Cl8Cib@NFC(akjh@Wg<!Ouly1))lRV&E)
z-N0$axx@FaR<|)PL(I3kka9qy@K{Xs`^I|nCnztGfJI=N!5M`($FVi>#)n0_S>1s8
zOTVLIhf-gq*Hr8a!YbmkM-^l1C%(qk(ReR;JMru<<WKCfMu*GGxQJ12CUlc%0;GXM
zchI&m)E@3}m~HU5CHp7t)`}tl5Wt)G_l9eBzR_$t3KX@b_x;$QLxb@Rnde2vbZL>i
zb$|^Ty3TZ-(5HNhoF|RY(Swc4lY3X~I7CxOUZbdS)ZU%v>jisegvgwkKRr1?4lGBo
zP4H@k^U%kW8B<@aOgg#sh^&l+a^!#8A1xsUX2v5nKtT|weP4}@Uo!s~3Q{twLwdQN
z5xlyj>}F_}9B_YwmwEB};VCnXz~=tWR-&~NsK>*bsL^KwpBky1?Ad?g$J`P_f5qPI
z#5uhsT%@!G=q)`fa$=<Bqpt35qIz{FkJ0sgToiO=L460D!U=-BZ9vJ>CPij@sO&xJ
z|Dk2ujh7{?l-tL|M+m}IL!^9q<j-D1<B}qu@hAw&;V1)z=_4GPXi<lud;$LQJ0w?I
z<!;Y@rP}2J2At-Tf$DCDx>PIIZdo;Cx&;W~5A*w;uG@0Nv{#HdH_d4_2;Aoz;b&4l
zba<NVYvU2Mzw368UJla5&RiDG`;-Ifxw#D!_WrfJycDq)3|df~^4drV!RQ~0(yyk+
zCXzvH=AtK@^jLaaNU%Zj-y=(v+*1A_z-_t@`WML<QRcUH_zR!+AHYSuE!&{LHck;?
z=s1U%Tk%#@s8$BS&Y$Sjmc+_X4gLT9IPVz<w_SdO>SOG6TM4#oCjCI_fSk$y2w;Qk
zNCeTJ^eCr^Pm>@BdZk3i#;?@A1*WUKVgJT^PQA|<Eop0g4p%uho-Cmv`j1gjj7b4=
z;3YQM`p{kafM$#8&qp++Y8tS)TkAJaSkgTqeruqE;=BN_GUx%^4umRPka-adLQ_Iq
z2ZuC*lFX6VG!qQ$a>oPcJ}F?L{juWo<D;q2fMiiNUS<fV9WqzeY`??UaAspsC&IsP
zj=d6B!^lk{HWGvOh22N<y$0ANyHA;h>pEBgPmvPqLAjdu6I(`~i}g~fV6$4mANn%o
zn1gG=S2{eLM=||vCgL{hxo7=NVRlbTbxRK`XJZ%^Gg*v?CI^Lk%T|>}<gdFFX%+4h
z4gXJuBaF2EE=uroaDG4I@ldWp_4~I!9P~<d6(m&b&gbTIP0qgTa%fq@R#r#*5?R{P
znEFPZ-E1t#tYtsVtLGyTk=SE9)9pQ+c(YOdcNa(PY3$uL6XUPEx<18^(&1{Gid|88
zAgRRE%r5c2E&#AOc;Hc;HC=MR7}FgHE<EZ<MCN}YUBa@UDbVpXm(pK(kO@;?f*dHd
zY-p)!*R@vr<g4hv<kG;O44AJZAwK~0Nu&CQN29qns5g-5$O;?n4V}M>Mrd&`h!+8R
zwm<wC)c*Re5>DoCq}+4@En&Cd?^gN?3Fm^;wTdSvx+n5RCpGcVIy{*EIc(v-1+t_U
zpYh$GxLfp4^bn(e2teP4egrK%JP<mGEkE_UmXqvh3+1R)EKfhjdy!c8ZiAVq)kZ$U
zpoM7Rsyf(Hzin<p!ffd}*s~F;hGuw9t7q6J!(M|`9KY}9+2XG=YR=>{v)*k<%>PyQ
zX&edy3`$39`YfA@+L%stv+CrShM$3fA6MOc2x2Of`Sm1w;5DgDVegxB4_^<l&c19@
zV}%IVRYiE6bo>MC7*ni*7%y?|&R-^28r+jQ4Q1C4Gg|TP1bIF=?XPEgJk=M=PMb1m
zbXKv}TdscE)S&j_&Bn2hER<qVI4e=_a%ALIH<Dd&;6?$c31UZ9Z8jefu?{gm{aTv8
zQ1#oIo7UN~nTf1zgRFj>c4HB<to;~<(4d7D>a--s#w$$K$9sPuMuvi)g+%uU3Q7%r
zC97a1;h)qA$X<%r-ohXLdPMoG^k7)OZ5b!oX4vc5ca3mb){5F`qC!yJkM;?{Zl`l|
z{<4XWY#Rb*`O-)dKjaIIT0spn@2%y?DR~k)l>4eAsLY8PEy{lpUQJbu%X$N8k3Tp7
ztYmllFEYF)4$xMMZ@}Bb&hGFl<(2Ld+DWJn6rg|fx8zFvBfMEi)||tA#vI931r7$;
zlUBZs#6HBf)(c|x1lSU~LAyK0>@}(!J~{a=TvBO6TM?2Cc~jb(h@8Cc5KVuXO;bJR
zXzPET?!1J^U}yf_C{lX=BSDPOwBdiW`6;q&+X)Xg%tdNbG53xry_7){cGE&1n{8K3
zz^c$zLuc)YeLRFy|KPb7nn#Suoiu@)bN9~-S$SMKhgdeZ;8l;K?mJo|!fVsVs;m-R
z4lmn9pLU>u@D@PNZ`?j@kvpDBw5aYasbSUB8)HHHR}=Mq?L1sGEdxri1gBb(f+|I#
z(L0d${+7Np8cWx|^u2!0h>BzlyjaVpmA38(imcJFpY%w`)$3*HRT1}u8g+l0UB1+L
zeAnYrqSZ_Fuc7M6p|Hb((p!z7@J;u&L1wZ;8~P$qyv<%P?2cXJBhv|siefk<jTc#+
zw}~F)@&iyd{HVJ2(Q($_#vn4D@F4I}1C6k_N}zj7F8oeDy9|%XQ^+iHY?59?HEy_$
zt%3YbO?vjfx4ZP(m}=2{lm$_AuAF6TU^gmf$Md2CP4_zt%!m4FXI*oQ)O1T!Q99$9
zrt4)rj2|X+UR~%@xINK#GL55l-lV2swZBi_#l{)w2<uxNw~OvWDDDOUn|n$)(2sct
zWIh+fd=|fj6Jp|qr^8x%+LFd_Ow~q^uO;Jj$Z43KOTDvlyS&F4dipT`B<9X8Q>yRW
zEZe$!e#klH5Pe!OP4JeX>ax&+#F6rH8#NItzHf>Do>T#nt<?(Unq9Q+9c6<%s1FA|
zT%XSJ6&OCk{g_kzbbJ@DGavd^Df6EC`NJ0|PH0E20pXN0@{8i?gD<K>%y__O%7v@y
z@p&N|BMtSFH?d#t8(4d5c()UDpbKFz<(9oheut^^K?tH966%qns*n5Qv@^k#zSgZ7
zdCVl*>8M<L2`lg}svoF_zN-e>qE0_;%txo7Q~DyUF6!g2^e!E&=mNQsIu^;*0^I9c
z95G5`4`dc|Txd?J3~|_DO6Jl8`(V^7XcCgy4mz6Qt{<Y_u9WfE^UX<2`HhM&7h&(#
zSi^y}0n6eB=!9voc#Bn4B_R|kooJS9RZ?aj!=-^=zqYPcA$qOF)hb8PeYJN1P=!_T
z5Qqf_58efN`KuNc9cHO+;uC#<c%8?8cm0)T>4nV!`Kzdw@z+a|dOJEHfH3^xOu~Io
z-KFBf<m2@mc8k@7S0_&rcL2lpJ#s=eb%>@-graNeb!zH;$uHE{c6C1(K0eT5tmBiF
zPgiQ&E7!<1ACm+KEj5GhESm?z(J2$c|L=|q5^vgbzsh!vPJV(!u{u#9=^NcY4u(->
z%?rIwl(g9<GYYq<O$#cW_LO>N15gb<XKhZFklg^Rfz^BGKz~9D_Mfc(7p)SE^e0$h
zw}rZX&Tg0@p2Pon(;)|*fOZ^N<((M%#ZDr+EARB&58q`TSPRkY!H%1M3thWIK`;(l
z8X(_UEM|j@(Ry+G!e428>_8Z7)E0X4Q0PB=9OH+JgDq6iBTG2Lui(_w#V04%cI)1t
z<7P^ydqg;0v>3UONH4jcHj(U*LVxaX9F2#5CEZIe>iyX)%pUKZK_22OtN@szKHMOr
zCS3n!avwLUI2!bQpApB)h8M0p*CM9yQeMDHTkcy|d&(b=mhr^b+qW{do$b1<w6QzC
z8B?958NU11UJKqNvJ86(F3Or!Z}f$R)inpyJv(U(z_GW#r%2l6F_HD!L{2wFmsf?)
zKF0FdVmQg>wiu3U4K95_X_Z?To-Hz+iHV6$4>;wM3fAW{=IVleMLu`cyHF5yt%=55
zJ8e9i;r;=F>Yv##$UtQF4?g`Vjk#3v&avqN(LMpa_Y8ORFMp@UHSx<%r>0;+a#zQ7
zPWczO@%Lv1hZP;{6L3l@{<cj|yc?tl{zFP61Z+q@mU$uJ$~Vdc!+M?!ED0_7BewQ-
z4}Xc<FIKQA>N>K8oP5flnt4i$#j`Hp%rXvNP<Kh5$LQ;5t@qN~q{oczC?SlP_E|0V
zeu|nILm=*b?xDG+%$q%C6tq}l!Tfq1Ym4jMa6Fx_^@%iK<^z@QzGN=zcH3-?V#Q9x
z*iM82fjt&r*W~B%+NIt!CR@xkg1+(Ni|V`0c~og{x>|<@*@sIdsuuCwJVlTk7wH%m
zc30^rtYG57C^_ynMDUuR@sLv5nggZ<ib3aVRI}PQ8CTws6aE1XPKbumsQs4}-Y0cF
z*Bg6#F)2<WKyD5z@cnmmxk2ZjoVP2XC2D^h7DCLl3)2nl9b5{vrEeUN#K)7;NiUB7
z)z?P~?cXy<&Snv{@Aecs&HYbt#xm4yf^fFBaq_$g-xZCA>Ct|pbWX9JTw}G?mmE*k
zxe_fP`4eX5rN(J5Ei?w#4Rak^V{VpdswVw)1wJ!uu`-8DU|gQeyu{b9UR1wlTD;%s
zoqa2tpGz!f>i9UHQXVTU`KwMzsbfO;0%M5JiQ5h7hPz2+%x<s*BO&(nGm{s8RBK*#
zND<Uft}5WmZ(q2q4^6N>76{smKVnrKKu|Y+@1~2O8z4k^jCX*xe(MP6Zx2wTrn7cN
z=`~-{o2)OKBXg}d$suhd8vV+=OmcOa_wRD=#_pQFkP^$#^=G4*_V_iF<g#xm{>4z8
z*JK(twI<QqSaF>?>35+u!n99f{k0jRQ_F+MmNDS0qf*LkjcPtbEd3ltft!Q*u3fcf
zkq`^_5W_4j<9Tu%dTK{M;h5}?g7uBsR{}+Qy8)fOdfkh9QWGidHwVqGE8Xdiuf8Yz
zGu2f5LBHbm*!<tOJFj~y)_D<}_gK~*7-m<P_O-D7$@o}^cbnqklh`{{_<r?0P)>S0
zvIB1gUKs2#2Sjp>tv-G%kffV6t7$*T@uq_LOR*qxQz-Bb)`}S2m?VWNYEukHST8F8
z=blk0{&l<GK3?H|z#%1_d$8JC@TLEmnyXc>i_j?<cGQWdO!Do1O};Lanw+HeXqA&|
zRh>jh6Xh6pODI;o`0?<Y@9z$bH1->Mox*;h58Dl;jI_0xwDoU{C4|t9YIRbD6P8UE
zeio=4Hl^Kmk(0XV7LNX^`vjV`%CySq*m3&4<$3<ULCHEQW=Gd~6j&1%yOsY{A+`kw
zpN`EZ8^gAa1fPd%x*LUOUtbK1)7f4z&HJuKZ72wLJGJ&kRj~Kw^ZBs<vcvxYME%5U

diff --git a/SPI/db/SPI_test.tiscmp.slow_1200mv_0c.ddb b/SPI/db/SPI_test.tiscmp.slow_1200mv_0c.ddb
deleted file mode 100644
index 1344d2e59baaf2e218b3c4b91db0408cbb5cbe58..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 124461
zcmX7P1ymE>|F(#L0*Xi}EeNQjB3)mlr3C2?VRTB2*a+zc5s(<6fb{4d4N{}osL>-v
z4mM!K>-+nkd(OS*)^pFj=ef`4CheU&cPc1|{`q(AIQ?_){)PIso?Z@auIz8b1>Oh<
zv48M(aQ?zB`c{NpNJ8+<Tfw(NZ^YR@e`Hs8_-f0p_{HJtzp~qdwEu&kT>C#L!TbM<
z{?Fsz`oA<kB8(YXHf`S|MCvi|%hxec#ku!MzwL8Im28KQb3Z1w_AAH>{-PTi7_*r9
z9|<kj(>s5)SCd&!g!1ny30ZX?(bjUjCsJJ9D*L%SzyEIZVE>?tWl3(!uA!{8^wMHx
zMntAo4G72L!U~@-8~Ou)%U13_kv*Lk!B`?R@$X@>nsH0RA92TR7QA1@D`qnvPspGK
zz=1DS`Xt*wp>jauh7SMf2B3Jk{{zr+(d}lD16miSAh@=$I_?*ELsh2B|E6zrpXWr+
z_Xy>Rr$l}X+9p1$P@$X5EODyHPE5TVC)*8R)KdWzfE+fjQq$%?uc@#_c_}-gvPzo2
z7Ii4A)t`ox_!>zF7Hv&tUdlS{6SC4;5_^+nAEV(FLHnXV-S%6*E2sie0?O(SXLQ&u
z{|kyO50Y!pX*Bv1uloHfcQa>s5ZnLSX&szTpZvVr2cO1MHcMVcmzY#!qpEeXOe7Yr
z$)_CKlk^KKJhOIL@*^&N>U9Vv68L&th4t@-YERjTdAu`keQw%ilAgdlko@y<^BP?H
z(#LSF=}%E!G{pR_g@5(O(1rw7o8Pj|o6eAYF+&2#Ds5q8-Z#I#YKf=JhyePMMyRfL
z12|c<HiE78oh;-BOzLZtBd97o_xC0|olxr)#w@nKW#_x_U_qU%ano~_CsJwj5DGQ7
zcedHn_?Pz|l113LHj5!P1RUJf1CjTF<)>mdH0yEoRpL15h6=p{IntapLu8OmiymOg
zo@R4#vec`=)wJaK3$$VV<*?+ksD3lHJbPciX>tg;Ja=F~c)H5|4&&8TIn$w^jW(VT
zA1s~8T<@aH_gcMEw#ZP9Z49SFr<Y4weA)jucKA!x25OhP#Cu^JOi1*Kb=l%RDf7D5
zs!AE%PvZ(p_yPp#=>1)<a_Q1%%)rRy)S}GIxz>2qlNU`sOU++bknGk64A%p+>SHTB
z8;yc`SodE06@6e`pwx*8lQ;Ow;CgzkkzZE31o1WWcD1cf4id=Vd>&tON9k7;K|zM4
z+#*4?ckEj~n~+`%B{oa!ib+!m{a_GmGGIbcA_+(-d&XY$%skk{Xk-2md|Q)HQjsj|
zpoc0EcKl{5!z{@--Pi&Z)RwEk$xI*^Y^{;^A_c_QyC8Z(%Q^Y<(q!VFhtD(XE=fwi
zsy#kK{WrP!WJY>erT>9aMadz$(+ID+Yce&DH+Dk(qtm`8lOaLrPK<jFlM!KllhqER
zW*_&)IH`nx=Y!;e2X`s3IO^%X({#+`va4d@k9xO`nNajoLW=%gxjnP$=r7@&t5Us#
zO{L~(Jc|=b&dPfh&3<O)gi)KGPpSMz_pPUD6&P_hk)humc*B7O<oq08y13XciXC;%
zp*Q}Iv<-~+ozkVI5$WO<_Gu<+lX};dKh(0}jn*RFF<xv=76G&}1L7Q$ORNv%1R_k=
zD1}UBzsJTIQ|sgGaZz+-x%xSfYFuBOptS#jN3I<+bw0F95>IBdX>L88DGs*o%`6cc
z5C6)YEhjw0^*Tz#FzG3>{aSz*E!o@ax9r-t%f~y0W`Y(_i-<ZZenjjCr0X4|>*rWN
zhw3>U65(@30|fau8&4R8Oy!@yFkq6PIqRd9M$__+&BU*BDH=T6Owsp?WRh*&*U$LE
zEcmy=dS>Wm<dT6CFxgBLoiwH(qg<*dH(l*$s}w2@v7Fg8)cbyVp;Bf__}sRt&$M4R
zR#RIpnFUEtUQ^T`qcW<m2^cd`s!%zw)h|z|y~K9CviDAb3L3#!{s5$5R=qJleg6N@
zWjFp;aR5}vd48rXhw$iUk;oA~Mhrv=|IKl#Pc{<p0HGWUr{av07a9NcvSC=J_jTiM
zJy-8S>C$(@TsbBt&=y1t_G_Vj^xt~4-4=87SJ~F5o~pdqDfa?bsPU>Br~a?BYY7bd
z6Dw(yWlk8wQ^Kd;nZgdXiB~v4)7G_Wiq!lzlS0s9)C+BRA;k{!6w=<JprOX|X1av#
zENH_1y-v66XW4f+uCXni;a*_dM91{MDe6<Pa$J@F7!a@ws>}ym8O2>kH(ckoH_VX#
z(<+mv9z_Dp`eS<Kx!BSfFV}xy6Z3P<K{eeu`W2VKra|!Rey3$H_ionL=Q*kepuF0#
z%o4H${57~v>cg+KiNG2Q_YI3&{Iw_afj0K}_H@#hHL?O(6dVtG(n`V~J^v;6kKh}r
zic*7f>+tX+>u0Vyv0D02@ZJNV7vQj4K&RO>>!0!WFYox5BmN-Dx9$ag`1;M)WL$X(
zEi2mpKyVs8vUOkGY~(QTiC#z6x!HRS5V3w5FZ#8k1(ucbZyG9CCaSa$El6bh`{Vgb
zSbO2nK2P0gThDK;T;K3CFRDNFL&y#b9+*S9^;t`=(Y27?<i~wp)Vi}l5>nZ^2$#m$
zQ}q$G{;T7)zA#tCucD(>m--Kvi+a0d97uD=vRz6d)$z~!t;PkVL8EYYRnyNcLb((#
z#vD^$>4fh>Pozdl$I{A!;K?PW@eLo1|A-oMYtM94ycjDTtFibaIehr`k2qEOMrn4|
zN6#6m6G}br18xs}!XsVYUvOm=h;I2<l6aY?*7wU&HscbyNx;QJL%Onn7tK1#=RzhG
zNwR`zm!;X8VlQR}h2+<Mf$U%FmCy$or|^n|Q~4y$7}!B!aS^W@{~&Ztb_9~^boiT)
z^LX7qRWUQeIu<itUm&`hL%!yv@v=2TX(%0ig1O+C-k+1DR7sBi-w^s%HG8JRi|d_k
zv*(vj6GAW|HOhV6e>r;S_2}qhW)LhGX>j8M%UiWz$i|5WrVn97DfTGdE&zU81RJrL
zet5z&PeVBIow>mQL5+Glgvom&i?997lC&{PB$&v}5G(Fxg{7;9aNW(3Wc+DcWx9X(
zZR}>jbY#iZzSQJyV~PIsuFjy1lQZn@6kp!B|Ho;$=chDm*MSS(1*YD<${>PkR<|-P
zKCEy=^?-Q&I&{6EQSxCw#dSvlj%!!5X?3ptxnsR_4t-T~CA6eAd#1mldm%m1hjWY*
z5N&*2V1G8%%3YyylGjyW$|&OjI@eCdT95;3=}O$f?I$gNEH?_KQ~2M>_zL?ks908T
z=-MRNgn`*Kabnu{Z{XAtO+0=~s`t25ST})Ifop8toVxx}b7I$BWluE*<T|1Mop(9i
z%H%@$Zy~lD9P2svN=Km8bR(OEP9q3n@f@djXlIi19LH5v*g?RZki+AF1X4xUO>OC|
z&XTTBy|m|5kt|IASZkjf_QH4UgEpF9TUMbWpknk=gYkiN-7u=FYRz{*g4}Fu!S|&n
z<SD=7S?ULuFYRW|<GQ_>98co6|3Ep=Y_y9lUug-fG&7l(W6cH_wT}1GIe^#l-(}6Y
z9MX6vI(h!4g<hTw`GLz{UXmiC3^9b(s9Huvi>w#BZK}@>XZBszbL1>AWeL7ZPNG$N
zCrN{uLL51-NRQzsEQx4-X<|?jhf@|xhP_PEqOKd?NXxPk|ET{%ZRU%%qn{<h$n+8u
zOQ*Q6<M4`wD9Kn}sfIM)j2S8Rz+sNDWc`Pw$a^M`v|8NpIsRgr&J@I}zRB14qma7|
z>o7V>&Q&^Z&~SI>BYH?k(f2Yd=-_7!eJ-9!_dE7=fb`Ix?2d+^B|Z;sH=gF#f~9DH
z5tX+>Sh*)Sy~L4q;r)|ep0WxBrJ^amjrRP70w)1+uhF_b8<zit&i&ymI9K!^L{t6h
z*p1Ts!Nd#MXuO%G)*ji+(RF++<$0pnA!!bbDAgq-m})(Es0X;%sr=Y(^j`Vq<zaS-
z@!9{?n9yzB!e2!9@i{2ys-YXVyZwIPd1hKgFP^pU?w<@*Ue0_`<@HwZj+_7VTV&~I
z!%#VBTRKx9w6+vGm7@Po0p!a5DT8>KA<}olyl$vncdgu-i;z}YEB*O}(ouemZvKJg
zpxaGJ_U3WvO(66gM;aEIVoFz*{6ud*Ybarn_zB>wM@bbx2LMw26RpFarS^luikr@$
zfruCC#T4t!e{{<(H$FHhl<Ir`?kEj%QqesN`=<v6xvzHp2&%?^W>GJqbqMpm8xg8O
zdhlm%|8x^rY6|q$AK(pWjj0WSk9HU%@t4M`oI3T4{Q3E7d|)+$zM&gR70~C<4IQ8B
z!OTr^v0LvMha{R0`X7K&+5ur>)(sr5c7j?1mAkqKWB!~{h{C+qr;eNh%%#6;fntdz
zo-baw@l<q(7n-gXWsL305dQKiRN#1iOw=;-=<UH?$N#vB*{Dp~I05u};2>(Adhf=P
zJl(v(I-s%2`4Wc(&+uC$sLSDVeY?gqCiU8vHoF-v?4~PAgMaoPnpm4>viS~9Z)^dy
z>3|YcCUpDVc5bAWqz7j2%aB77Qx3wCV+L+z8hhMGM)Rw5l3F%iRvnd*!#jwA!l1_*
zuh$k2oxd+|zh>wdc;c)>jTQz`rpAUU3EWSOozA6-@(tWIV=CdGJyQRZ@#hzIBOe*=
zWGTmkR`30|INC#>E{NE{M_eAhcxSJ`Wcj4vrO{oFWjVoNCKBCe0B5%Eii#vW27VV0
zn<5VKYQMLb%Mqd3_iwuw<+$c~#s8k<Ro%P4xI$`t%xZbpf%EP~Kd?)>fIlZM#YUMw
zm|r6@ct|4k>k6Ms)|Bik^dqn5=@!SZD}M1cN-4!da>q_{QSIvbQaZ3r$emC#5pK_|
z<ID~b5|4GJ4R!r<7fqj4Wg&(<@=_~~tCvF+po_84&z+DE@}AK17r!gPAC0@rQ4H27
z&KJsI7rZVx$AKx;q1Wid-i{MZ@^WY3zr`NVZq74Lcpn?qUDn$%7l*VO&%893q9rs$
zC*T860ThHMXQu)jV=q7RwZD$GXWj=^!)OEvAJ_FJguty3e>VD4u6LcEe=U5y$@w}-
zS^a?ZB4unCrARRu)!9@PV{dATDiT)R@DF}6slJN0ohIGtpe@++X1j_JZ6vm{bK}WT
zzSgchs)y-OJUPp4Rs?ByJSI{uz@@t<Jb&8m$MU_X<vjC}mGq6W+4Wil>0F3jP4{9+
zqx`JblgX09v?}(obY&SbYk2XC`zCy@G2(JG`xI}j=@nk1kow6l#;6uwR#N1_>H<*@
z;fdx^6|eGU9u(+r(#On_Rm`U7BOFcCCN7U=)vHHUJem0D2;Es`lVKGiqtL@_aYh~v
zWj@5M@_nD<!AHwCTti%Au>yTYN`~);*<<=kC@zePsu%~a4@8j5AH;iNIB9nmZU=KB
z&eBUL7{3Gv$_rwD)?jOMNCx_q?qLm5k~lA9{iL`+A@sNl-b1=hONV^Q%lSe)DzERx
zs91S`+(Rb@p5tRj2f8#kE13&o5)p{UJNTJJa|C=R%8oJ?tk!PJwV*yL;x}UT`>f(%
z5TN{i6JZ)m$L$jka_vQ2e(x_-?U(EKK;mqPyp+WN)Z_L?Z<ThQYgc{l1K#ue-3yXa
zHSu_;co3+O@BMi8yH<faNz`-_hJwv{q`K+~qgpQua^k*Gcy{oJDTxEo82C8ZezJSM
zopE#04}A4#K#iC0KkBv*s?+&d$+gB&k{C1xq@Tu3ewuh86x~}^XrRFIz`~^O5W7uc
zviN2X66(GA$~=Uvw}CEsXd>@F3j6VWC81Iau6OMAy{@Cxf^+GY-2&pj4;~nH$qqLW
z{hjFy^wft{n63_hX~rHukYlK*?rUPvGQ6g=82men`EME^JGdsm_g-}x2>`P8K9TRD
z-`7HFyMJ;vy91ETWaKy#b0~^>#;GGQ_T@w8Gtxc^9f@uQ5@+km;A!KOMtQ`*P~J-T
z)pzB1gLX*2MG=Wh=-r*;xfkhkE2uk{=L1g(X%IVxj+?o@2|vY#f(WXcmcl$uzB01Z
zdw+NxzYUo14%4|VEc4A9DB2*3Z)q`X@+bG<u`aikDBYwDRyCDSeQ4Kn^v@?LE8frr
z!(a2sy}s*Vfh<~n!YYXup~uT!ER}iRgw+eE9s@QQncX-U=aeS$wnGDx=FL73=eEtr
zlmBSaf5%bnhACqG15+_4!(C@$VyAV-SCZN4JMT)8?a7*RqE+YC{Tv+b`I>PKr9oJx
z)?%-#nXyGtN_@;jBqpYO`9!tJKc<3*g2FhStgsAwRSPN;3xwXaAGf*8bK4q!%9&5(
zYkv4|B7fK={bwuE!BV{RmW4J=fp_hgPZQp)P*TS*FjoZ?{aRz{i<c;wnR>z)d@04j
zc+yVSUh&PQyW{HMf!rUGKMZlo@3xg#IE2PZ^4RN}W|c|*#2M7yFs=DfeJ^M8GDwP+
zWaHF^Mv$9hEu0IFLm7H&7Iph$gMjZijUJC$H}0qesn@V8)NZatZFH>NsTRD^S8eOP
z>s<GdNn5sF!&YHXe)c2F#C->aYIwCeb8;JungY`~Bg^qC_nrQ!DuP>*q`oUuw`1kg
zX#|~eOM3Q#d0c_e=NH-g9`Xs$H71IejrqUm_(CUTQ_RXTQ}mN&ctX;yq>>(o*pSo@
zrPkdA|L}AZNdF<0)Ed)bW6>I4`q7+60E=jJWoHQFc$xHjz$YL{var3$Cyc~^o0}n1
zFJ)u$V<atKvFdvGh%{u*3$^0u(8i9Z)BbmGaZ<I==L!y%Nhm4!mDbc<aK-<AEZD%%
znue_sT4g2xe2e?lmS(YT0h&{SylPmtTWu>*J(B!PHY9S~f2$wG0Ls4^?S7~&-V2LT
z(0?bWEOa4qYeL%w?yb7sZdGAEGP|X_IC5$-dGNglh73_imKzYKe)V_vvp^EpM|6z>
zi{C>upJT!B6Q^FM<g77ewBX0pxiyRAtYrh`=tlGzM}43Wj-`v~_*MZGtGvg?e%`5{
z-=Xsf##N$<yX?$6WHYva%3?0r-$O<jBg2Syi}K9d=tb0j-6RFWm3YC)#eV!Ja_?4Z
zpRaJ5$6odARg3Bs6!t%RKK8LPf3OS0&(U*n9^%UUcS!q{y{|chWtx`6<w^5ayTVws
z#rmL1<ub2&%S&x%&RM{k@pmqB9uD5}c0)mPo^Ih}(ae?1sKsj0=xxph>MF%ttF!33
z2YXp6L55jE&DH_O#?FvIZ;qfo(KYXytJM8HLei9?&3A-Bn*hVeX^ye(E4FC>&^tKH
zkTHf$r%jWmidIjxL~2J3{Y`SeU&*g{5M^RYZcwpmNG$ba=HTYV6Pc}UU?rMv%eTv&
zYBPr+VkVx|YekyO;XFc|o@QT2^CWdsLVO<Ho4S$dx3<u3s9v|05c2iSaox^IFiGu=
zsRpN=Xs)Q4^GQ_k1FcD}oOMnajgto2<F2d?I$z4oLcgDW^BBz=>&a9h;p1P&nf9W<
zh6~G7JTC;)f8m=RuH?@xCELw~JVs)U!1OYBC<4AkBWlqiMSL=-#4WAn&zda2&4m04
zT~(FVSm(5O`?nCp{nJG@36i;i^qc<*{aZT<tE2}@EUrQR2aScR4;EekY9`!=5j2@L
zI~x~yiVP;@yaKa*o2q_41Lx@B&NtRrtt{A=53HkPsV=dx&*Hm!g=u6hp2te1{#A-T
zum5A=fhNDGcfe$J);3B1t<vzv)s2iQ1d8QIgR8f#V@VYIFb1X;1-C}X4K-1=y1&D{
z=gxlf&Q7s_I?b&9-1}OouutZeYncyBoqej2RpkpoVYaMDXD6(1)-`K&uji0&>e+*}
z%yNir_rb4N2g<%P;KE|v=CY_1!f*bx?&4cKp!Cg)96x&B{ijqNQgnyULAKt71I*%N
z1i-mT{B3CSmq}q7kX<aGufBCO{U8{6`0aRAbKM018<2E1>Un*2B55*7VDT#}6!I&+
zlP_@-R)+X7%V`+jHd}rpPet->nha)kvDg}fRnk3x;2kawfGEf;aYxwa3>eEf%l$}m
za_q6kHQV^%)P4|l7BV4sSkSVBJvi(n*viY$)4-j_(_nyNPyP{jsO-56?Pu7@cp?a_
za$uBkt3?fx8(E|(4LW`p;fLxD3`7ybkdqhl!161Q95kOF06iJ*Aa{Q+N4bZmIz`@9
z&So$pn*F5Zwyw=D=LL*9i}0kX7EZ{9JI1v|wtsT<VgHA9v44NpEQ6{CYg0v{?d>iw
zG62XEk0`clA8x6?N}D;K+jHJ)al4y)C-bDA#9LrQIor3-Yx6kF2)noSwxK2|$bCI7
zn8!1?@&<J%(<yu7K(qjwX?LEf0o-Mr_huSb`FLfT0b_efe0a=A&c=2!9o<))kLupT
zDdisd$5wSH|FsRHqOV2W`~ca@@tH=PrxU&z(!d%LWp2JaUk<bISa2mz226!7bLvW1
z^ZhqconbF(R&9|X5an%~^L*MJ*tZkuB{*^*uGNik-HU9{Xw2>F>nkc|f*|E`u1A`h
zF4Cn1TyHY(`dD>5WDvVe!3#IazBmN9UJDa4v%q$yi<kcjG>9K=L7r)e-HtS2MzL;k
zO$>e4#WQ5)!vYnzPZtndZ+#9#dP0M~SA4ov8*FcQSi3ehO8oxE)m}**j(+QwB;6`N
zvhQq<1M#ghD9Ru}WRP$;YYdzdD@*mA*c>1YNZ7Ot-u1oPEE;~XnMZXXA!^oSu^b$h
zFxI*`;t7n`*ME5VTrN(os9k<>ubXfa2FNu$%tA3vGf6*hTyCG63vtsPz~5neR-3sm
zcFiX0cAbd%Hjzavm1nx`xQIPxvL9qv7$otuDj5iBrug~DDja{4Wp?>@34?hr%#|w>
zAls?A2o3hW*kkoMiwwM_90>ODbN+;CtFpkP;|JDUfxcIZGEdn~dfYs`4`w0WJ5srN
z;9q1?r@sccawXbZYuWmx`^I>|oP^aaZ6X)4(?9>1jFD*N|FLjI@}>*LCmK)W{a}H>
zHG-2WWF!1RDd4;s4=@$Px#a!-HHaMdw&SXUR$0cW@w(~o`A&b|#jaV_YncVV<HIL*
z-uhoQbD9c`mq$_?YTsC}GFrxC@1u*%&zFr6=SSiD)yK%Kea&%U=bH)HRtHS<O7l%0
z$T?_O)%gg%g!}{Xkk-~moV$Zr2dWql*ho+m`sVPaUv_w*tE1ywYPO;!4zTbF2}GNH
z;;)*B1lJy7#dG}xX@+R-t!9hH!y|aCBfQrBw1N1_<`r;?kq6GZZ9F!zYz@9zHum1V
zN)7w%Fe6;jA@Z}Eb4uV^H;WPQ+KDw&Yz4ZH2|dxf3+Xguh%hRZ1y4nQFeluph>#io
zYV7ic=!Ywhz<qMD+QT_oXaeMFutf{Wu_IxZmfq++LJef7zf2uv2~_#mp(fg5V<z{*
z3-<!|^*5{YfJu!2afGv_o~wX0lTYA4jApubbH1|A@=m1wa6rQzs(ewilHxM(shudS
z2!!Tu$zkz9e`z?`&n@qhv$3&JHhqm>?iDDzqT;>1p(+OhCCpJ0yi$i(El0P1t`6NA
z3LPC~qnh$6$rhI(Gv-p^IU)^Yt#zB{83N*{D%YBjQGyqlN&B|c?5;3S*0e@c%5AWA
z=H!RSMdXbC_dOj`iw~ymtnIqv5dIsxw*l54sTx|A#8uPFjltDC^bF95C9s_f>9DBe
ztvkdFY&p{=+=1+tt^De~A~;B6)9!FHf#8){N)#~?&%DOz`}XhtJ<)_TWiEA8!Efd-
zISE*KqvbT;OXu<CNVJHwFYm(P+E9peVE>)9D{`mH+JZwKXlvuCCC}MPLwz6DDQBQh
z!$md<5isBn+&2guF1j=cgRWmzmw9`gkenfQEd(tu&Kzr*W<}zyB3c$bHyLp!`*PJk
zA#J1PqqlGKtYi{y6A$IS2-4m3d-}JH=3+Zy&*WRHnr%p0akxa}cWuF%epF@5MD?Ld
zRxIM$tZb_S=s@9wjJDD6qHK8`F6Y~Q{Lt}ju*~VauIN>YIi^KYYrwCdZK~1Pj#KdL
zq`&?=I%mPvBrf7)%yD~Dqy=ic%)sMpQzozhQZj@HH(Q&d|26~7Uh56=O4s{alIh-Y
zu_%Twl;ex45XBW1HdF^#k2s#m+~N7&gun|o{XfH0<!~=)r4%Y_a5G{~X+bg`?&k5Z
zsiZ`XNgDV>3bUPC-t+1t5Qg1EAq8_{5Nd?mkq!qw0y1V+5rfex-I58qq89~<3^2|?
ztFI`rD%oNF(TQE(m37QN-E@G;LB-L|>sJTPNL1kHd-EubB_IugU#!v=TpyUCSOgPX
z1?o?&mM?RrB7X8jR#TN8AFnR<oEM~@3;U!Byre)Y)cSZKHhIC8M(stlZTXA7$qbVk
zczOjXN2Cz$1euB?8=$nh^$*|sfMr=2?5m_$tts*b>)ZCfz$w63ou9=nP<cz-bRU<`
z<!K>_|Luc_+1hzmJy%v%ozK=@Iqx&bBOcZRo%^mBA+^K)E5+ScL9q^U-OhVphUy*x
zGx+ke3reSxK1tPdCa^{KjiiGJleDue0f(l0;<CQIim5$GMJDe>R;N*&9Iqmd$A>F}
z9uQn0EjebAxTH1@VX>MYZn|-!8rg>rTiS<n+pjoh*D~giNVmU-o`GlUWthp=5a_jM
zF5p_KgJIjDm8XlTQV3F9k2n<|>mZ~4VKH@$xMam5Cu3)8OI_@0Ipw0)qxVjyr6Oxx
zE!3?bV4-hI9;$&$_h>E;=Zw2?7RRh*7yZ(+zX(iSPo3YSoW2_+JLy7!v*4Ajfii^a
zC1g3;phh5ZjIsabx=-Op<L%?wMu2qVd$al60J^<dr+a1M>rZ@!DQ{dUFDNMGU->NP
zz}ylJhFwp2su!JFp%|V`ap+~8s&fw-0)03Pu_l{MS?_HGJhX{7&lz0KdY7T++;gVh
z2N%-(`gb3GiHGz)YR@B+;#14bLM=-~&s4#FnCV*a5q5oy((8SxZ0gI@t<zvEBj%aC
z-l`&YXSbD9Oi-J<!;&3LP9jSEoA7iVXa`lf?bI0C@Kzhw-bq)C6$X)zYPk1vMUAOh
z;7>1K@97Db2{L8iH9F^LsR|P!LKxU$n?E`l+{auxrXpu!{c8yQeQ)GpvfQ^pxD_5J
z!^0|+C82)Ri-y3i)!uvE_ikX&g5FFVLidOeUSA@Y#s6m%wff%trQ1>PDrST+70Gb;
z$m&)-cJImg!3q~9q7h|N<}?OKUm8MFk4Ap+elAz$z2(!8|Mxj?6TUP^fBJo0N8`}U
z=XBl45W>Z4WUeP6pwWE6D0;S}Y&3UkI>dSzU@ufWVbSfLFX7Tng5s5Ul3>%}rd_r0
z++fB;=m6sn(1*Q1G<Km1Z|D-pV{h%!yV~JuUSiOnv1|SuMRGHk0oi@IWDUoKoYAVM
zki#f6V4xjttZvpbF8~e;`V^LE#W^h&AukwyK^9W(yCO8<Y{M>{IC>q)pAn$4OcUv1
zCs&bn<_vVQz^N5Rm#<=6F()&}7s39nPcLP&jt3a^i*Z@yA5MchbgwASnq7M6ac8z^
z*viUdUMaBpBHClr!Ml%u=>^DHAKo03mSysy>*$6phC2`^FPS`!Z82>&5hM+YX5$^)
zgnYg@8NC+M&sEkC*RzDS<4J#(+bP*<HeE72(Nq;`?9xZ#MU{VXJSJgay(v$Cf3|H{
z*6fH{YPVw!X~Q$v5*K*D*l=*HjEqL6LosxJE}pF#p=AtXm03~@&cfoIr+H1&6GOz^
zXm5}40>YKCi@KY;gK#!;YNLrV?|WX!JtsZ*K;xxB{L2HT`QRndKf((jGZx&|=>FZs
z6Yg&?i*xgU4tUL45i15x(t|i=a{Ka-iluuOWqfB++?6TeV6e@I#;Cc#3CRQSM3D;L
zBa{jnv_dpkYJ+8@>Vkv7yeN}ikocHj>6sM-$M;3vZF}f4{(x=!LtQE_{tJyJ<5(^W
zkVa}}`MI-PlGuem2xZGiI4@dl?ur+TJamvDy|u9Ay|V2X#VdiDoV7Pnc@JVv#T_++
z(RwnUnBcsKqc2|&YjYeL`U!E14F?y&4S7Wd=Zj2R5{L<os;L(@3409|d(gpv9K*hu
zdZe$G1w&jrwC|Cd!hUtWfiLbl$*P<YQwBPovW$XyNG%5GGw9zeMVBwJ&DA#S+e%%1
zwU)=tIhh%bv3VOpI>hdsFdA)mL*rJJo)RywU&?*8$zptHqqVpc!XoD*w8ypWISO{Q
zt9q0%Xt(Go!{o_6xA`uKq2V@wZR4BOA%Z2)-)B|NvT!v^NrBY`@3(RJQ=YTXM2-=W
zkM3yNy}W5M=~o6Zw!EG!+*(i0=G9l&l6(6S*3G*rYTO~ydUSUM2yTr;_)hghGo21>
z4!xh!tekwj$RQDycOL$oxesCx_qIKFJM?eovT)8?ciIEA7Qp$w^<cXjyWA*rJKlPI
zm$~&X+O2h%mv0Q=;{S8bWO_t4g23NIS$7_*v0Rsab@%jlamS7CMskdWV(!fsyN&i#
zxtOmg!z8d-?Gf}AqR6m-aPbjr3g5G?>A6XaAXJW$B?1!`aNxb%I6la+@vk8)Cnvfb
zD0?z;8G1E_j!cA$MySVKKc~p0gRI(|yKkf>W5<H{b*k`#gF~`#WzBu#B-CEa(Hq1S
z7eJ*6Ud8lDy4<DV8jf0Q(2_Nj(t*x&CC5UfWIeG-#2h@7&%WPkhr0tsr6|Wn$*uL<
zFR;kHG(h!(LO`v&q&lVb><_`%4>t5$GFv(sx5FXQ4Xoa~GRb|uvW(AGZ!Nwol;^*9
zW;q@h3#}G8AR4~ntwzj7Af<vS#zuc&52BbQ&NBy*wXV@45D}5_-+4rKRz*4)u%|bT
z#nl<Mu_U79E;qnNTTBKxOU<fdRL;e#1x(kWe~m&VD$b4sy`4N8TuruNWqt;<4}1>_
zx9FLBoh5B@)wW<Q$7I*=hO*w0^jF??6Wx|Uv$V95>rWb1iU{L=p%a<}^a_y;V#}A!
zF7`6cQa&+IC_|a-mdw{N2;A)^zo&mLp@UBo4cl|Qyy$HacdI)1+y)F7au+znEet5=
z%ZiD<J#3&(o;qY?DVH!9L!YQSbv{<RdArwg<ma>beQoCg)av;@@Y#_GK(rjB)nIKd
zCAu#sM$*)lOH&De5Mp`UoEMJXEQ<4HqwC|FbGgBp$CnA?8Mat0tg!L-PDtc-jre|k
z*Q$-Z2}C)pMdpWcLMzy3V~JW&p@v@b!5VS`9l3WgP;*2`i@8`ONH#P#k9G^Y`<)ji
zLWOQsV%yk)c|db6V}f&v%N@JBgJzNS(TkFasMs|S5j{->`4Q#9fSKEBG+y&5Tp8m3
zI=~6#I)XAzH^ZqDI_0$K6V_80KO+%}!w8$i1VF_V7e>y6{6txERG{}3V)J3Rm6Ku@
zt-LG3^w>xkQ`h+@E&YJc@NvqX1zS73x$gQU?hFTY#`06uHVekt-TFGbziB(}2A2kR
z+x!*+huKoH1oQYSR+SZ3TkHzj@Gi3qEH?ulwBGoF*dm)ARv5-tnEE^qa9ntf32Pn=
zJzj0E0<uH~#6DZaS35NlNW3fqNrIH2@5=_D!v_Hw?Pr}&eICXUfeEY-Y^L)!_+EF1
z8!)(!2h<qHvLDv>g32YfiCN5rbiwU*6Emw(ZJ>Whf_uABq1W)80z>xYH|^G~zK3#G
ztx(5$09)5Q4wiK00KV_!D?C8Sw4QAruxL|$2p*`ZV4$>Nd&oGt91fKuu@OU)9;4N`
zWfH5;4}67u+648#e@pbqjf$4IK@hGbN=>bfZok^!&9}g_<b&G%6q{gI!Xe7ZOs|*W
z9K#Sh#YXz-%B9@<fz|t;6-lP<IME1f%<<&BNo~Jg^z_l{df|fw2v&Q;`mg<m0Fkv7
z*Cy4H5Cc0eQb@ZRtms1QEF#%ib;bh|A{9})C&x0XblB~y%}}?mt)^6yE5`rj8pSep
z;CNb2%fk(O6UH(Nl3)saDecyDOX71R<{?wo7I=@4*BxHIoGp*wl3IhKsWXX8*laL`
z9?n-*JxGVdIIEuj(o<z|6i2trOp{K5FAlr(l5<5^6KTy`I4127c~?>^;6cisa<gf4
zBkR443d1p+n^bhrNe=}^uoDC0`9T$UGvwP3Emn@VRwGTc;?V?(Kkd1I+|Zm~)wtkm
zX7nPVZk4cDGii5s=fDy`aegAy^SU1@w)MycHN)0p7n)lKwyS}RlDRdvn_5*X+a1*^
zv);$oGOJ~u|9!$U2s)%JfzRg5W#$BJzQQzyottx&2Dh-Djq~9KFaNy?G~lTXd)+?x
z+HK`n{mT2IsU`fP4v;1VpyibeWX@_mwZdU|3XQ}%NiM8h$A4=Q<0enWFq!3&7$li3
z5ZPRdD1{CqU(IRQ$(#F!$fV@8#h??c*9{VEAq~KchfgJcE)k#5o6IJ(;Ew~^KJRYU
z>WP#=edM)lAS_>BN>QJ{;Rk%=qb`n+9zxKO4=0Y$F#yf+ecRxre%8t3@3yMaR(tss
zH}hSIuQ4b{^x`A^_#?Ld+5&EoE#;cqc2UpIzmds6CRAdZu;Ztj$f?KAq5u)?6Um8@
zXn=HR??j>n64vUp2WVApdI?DkS_>IPx=_v9mT5}Fo&~ObvkY{WVuLtP7Mcq#KRvi>
z+r5l_`R#qip)LiMA|G-E{!Q~X{37j=Kw=<I`DW*0p+`FF?WQ1u*h<Mv)``}a3AHq%
z!~s!d^H=k7PXjJseq-h^$M+lGc<mGujOWBW503u6U!H=wHo3HpZAup#Fh1T1^tv6K
zYHsH!rrM)^&lV_tPI3ojaSPk=&g%H;wzNn}v*&XYWNFsMu%7|PE{VZdhmFS=|K?^$
z{@jGUl^(Y}kvb<0N2Z%E&+!J5&ZbR?bqtOjoJ+Je?OWq8=TwO2@`s`KyXV|LyasK-
zxI%tb&etWK-K>ku9{mO&@e+d7Ih$mg>*oFlDW<Y{EXue8utQva{LIEM-Rr;>l_MIe
zS7DTddVHB*)wemWXLTbrPUT{PP5>ZgW(;btii>ODvKC#QQb+Z~-aEo|Y*J+=L{g`)
zqcQhukn?GaUm(y4^4X|9yAqQjwp;Vj?L@|JBVqRXF!|Oho!|8D&mh@I4O83`LWcx8
zI)g4yGONAaTVw&YsOxjPm`0S_n3HK1b(^?z0)c;_y46pWLHv21h1$vp77hG&{frDo
zBjp)uq;hx1xGi+jgDmj<!4W6!louqoR-ooNq?g84&1<su5q*l2np{wXUYiG9R)h0v
z6N@aEZ>ax@I-_U>QR{8_0R|58wuHCIcVa0i?0AWUPh%Et%?CRiv64*Zm$lBvFmpTb
zgOk_k;2&mnmoB)k@eY`pXH_K9J3&xZUdC!R*PU*qxY8c_neT6;Za0ACJ9(R!qCx;P
zl?T=da-<%>Z26*V6Xk#q4O5{kJq*^alV%{e;hKOZEx({MLyVwztG#fkK!F=O)>fN|
zk3M_5MwmVWbL+5sxx|tqfZ-MxJfX>5R0>uwf?cS1h`5?HLr_=ESh4*;OHZUf7Vb3_
zSWJJM4s~Lv`5|HskQ)p9F(bQatFM`a?>Y7|S_d6M6}|1MJqC^dI{;0zk62&m=A3&g
zL(W1Ji*Jw{?i_c2*uORP3w}Y+s5ipRFKkmktEUEL(Z5CEW8ZXP)KIrZR<0PngTej@
z4Ez$=1rhr~roJ;2p;<DV+ZKiLnlavExOaP7WfgSZ_QN1b)^I2Cf%5{@6k@TP2MI-M
zSe9AIveR#eP5EzBlLs-?!kr9kiw*t^Xtqha!X(z&zNpblcOMKjCyHFJcUe-}$h~Gb
z55(echbyJe_4M7>@A{~h{U)m5yn^LFl`j=@?R<T>ka_*-&m*Rb=io|xly&5c_ARsj
zUf}FO#vJ0>03H!gh(-#AvKTMRB<8$XlW#bfHNsqTqJ9MKgxEbq7*zS#ftk%Cuifs$
zpZQY?8bmdnihEja{5!}{o=tD%_w4C^{(h0gdm&*3#Mdf)j=tI~U+On<K8O^oHn<1W
zD;=>}Kau+qc_8*rG|&|p(x<;8NkzWwN8-Mq8%u^kGIw%YyW9ItE3R&Eh4Hrx5~moe
zWGT_J1Wfij@g(1UA}L~^P5+3E@;i$z0XFGaz1<^@fcHthGe#5$ZyGtvdaqn7c_w!t
z;I5sO)8cW?dG6vsqew#e&DJ-S8Tma$QPGk3)1QV(a_ekz)jcO@E!*PC-Tvi0HY+yY
zRgb&-3DC-!EDA)*p1F-Yw_J}V3r^aEef#Yp_5u^DdRTiPIBHjn9`?eN>s3K_duE}+
zxBj^~!bifmCGrEor@o2&V&C~4-iB)Ic*zCa_}q-q4$05Yg841O)68uWvu`NNAh*&c
z4^IxrEV{GXrxdH7xVAL9Mw<9fUvI~>?sDX+p(s&WqE-7Z{|MdAT5X12?`8VN*$iZh
z#nih>M_eC%%&ncvNJt*QGiWvQo~&-KCYz9*Mblmn1r-oVk7O1x3q5!_JXk337F$nb
z6e3VNdzE$eD!W@V5Wrp57!MO%`l@nXd$)Jk6w|!$ps+c&$aEd+y6R6~zj7_x>gKVr
zc?gawm)S=pgGVpl9xa80wRNXkYNGt%pJu;oe8p|L;cHvLxsT7U!T<<ZI=v_<Rz|Kt
zU_@^H`shu0)VIX^!<ZxdGY0khusK&`E{bUxW5EDC?<(UI%bDh$+;-{!M}X)XZbL!e
zP=q&UOb?qff~GqXFI?nhak!-c<wxycy<b>pqH;vNDYXQ#X9t<mw>^gi9)H@e4+tIR
zf)sXk*Uj-l+~M&oo0)_UpdeW`$SYU}^%dpegoa;2-BBA!fAD3-<$Lc>-S(~Xhi}em
z<Eyerv=+sBedjf#*&5>x1)F%f&>q|)IA|{`R+g*L0uY<)G<F&q6k);&NRGuzaZj#J
zq;k+Puu)&EcZ6PTDuT9!WlctEM)5>wEC5ml+Zz#BYUJ9Js%0s=nrZH8$AzhN+q{Jp
zzC(NSFRx191}p>*`fAD1J_Bo2sWiUElO1EyNLQs@py=9*#dLKa#9JY00i-)LzRM0)
zm4IFk@WV!_>_19Wt}GXMS9433NP5Vy0iu?b%@Sz9TR6jCxk$Ufg>I{S&xNy$HGub;
z2`C%RFjpqJ<~-E4%0K{Xf-@=G6^d(hz4pS$14S{^8^9ncb4c<Z@A|u$m}{Aw0QUL^
z0lvd_3p}J)bb(A{e7tnMr|}mIT_Gpg%+JRT_YQ%9z5(L1pCuy(euLv5LZ}+hs||ol
zX)j?oezg7~@Z58!Y4C)Uh7)|sMALk}&l7fCTgn!m*tOLv`tu>2q&QNJ!KMA}6|j(>
z9`RLT`=u+)_LhmM`2w+%%u^hfVCp&WZLs5r&uPkc^)LZ(B&~v#DXm6Bq(A(0IfVmP
zvDY}Mw(e>)xaVht9OtE9_F>OxXg=+hRyk3CPF|8`94x@iKhoQI>IhxXfPZt`j;fZX
zKoJy~%$shQeLim9ot4I``z)b@kR<`<tVV_j(T7>qV@I3+EJ?Y`H9}ZQ({?{$ziYY8
z<<kw_UTqj*L0Aw%d*L{gp)y>R8)GU7QrLJgNGI6g0yv5rd?!0US0$MjTv7Hel4ZG$
zjlk#Yp9JUBFF|uf8rXQj+oD|XR91&G(#i#N%hNea;B|K&4DJ!8@3%bS?@`CAj?XcN
zTYJBSU<<=n7j>%X4prwQUA4kJ%YF3#Y~c8G6{97~51UD;S(i%3LB{FbA#U`nty?=B
zA9}FVkH2@~Xg)eW6O2-NI{h==Xg*{$fNQ|#0XX6Vyi?Kz)1_1ql%aUJ)N3wr^S<Hq
zN9c;L&>IHPcoy$oW^C=OST%=Vf<d9YiGGz7I3W@qbSwj$IxjWu_w_hsIcd9H?ol#(
zo1W8#eI$zhcw<t2?)>18<Wwgk5#D?xLqzj%Zyc0Z=h{#1zxekNqqijC_fo~b=fYtp
z#l-Mxw8U-AQ8sN*gA*ev|3Tbg{v&)f3J?B%T{X($Y?PXh3CiHL3E{c91#;i^`u^+z
zg{2=DsJCE-(%oe@W+Wrqy&Q?kkgJqFWpjx^)Ap9tjqPPX!l=oGb0?4(acRR?`*{Ip
z4bBx3^4nsuD;x{vx$FhNH>*j_1g*%<{tQLrAVOIrz1Z3N(RTGDJT-z&byleTL0g+5
zi;+rJZvza=-Klf?4nYvX+-IVY0)b3Bu&%--!HAEhkAn;+BJtJmRv%j$?7{af!eG6O
zVJ`lD+1x5OuJ3}XMsWq(Zt1$#F{(%biF<c=WBhCCC^>oXGhCVV8=4B(yowb~K2)Oo
z2^5q%?*TfmF%cU@+Sa}>V+{1>l{!cYKnDAp8REWH@{DnMRWgH@5k4AJKW41OosO!q
z3|k+_BZwZ?ZcCIu5Y7VMwDAQ(h#+nS*W>!0uP8UdM}7T^i{vXVF=2_4(0M|3w?O6)
zUc&`NA#wV)qo^U|I@Gh;!kr((ut-86Igi`^J!Jm8!^`vN;SwU@FtTPB6fj#mV=1bB
z4*Ji0DGQm$NP{dw%|rXtF7R>A9y`fJt4`}=6ZN~{Kk(2qEj~+d8dGm6F6|n#9{UJj
zA?V!o2-fyi>Vod(fN1TTS2>{Ypd2C=S7A0260`^uYNb2vZNHc$OB2N0=@7NMlmoK)
zmg`onMrZ)jy~`d40_<5V<NFi{KT_lBd_R>yX}9Jg{HM`6;_i?-jnmGjerE!Y*wsib
zOnGM9m-o|JQm&%bDR|RDgw|)m>HIq{@`3SUYrwAR?n}SiE8V%)W9j1x2eLKbrdcB@
z9DVJ0lNyh9l#gsnKx3<_PDFE=aHSxw+{9}r*iAaU?EIw4@8+Z^J@iIQZh773>gqB<
zF>wW`#j9sS{yzBlJiA|<CeW9(K&Nhnc)a^cu5%I}+PK0oL__m6C-0TBqymLo%soD}
zE;%p-2g&Tpdn0_8N<`?=G1I(+d-mOjYajAMKk^%@m39%4)5efd#cT&>13B)ll|Jzm
zZw%!$4E!buinjmtzH|LfFSGc&oA-uVn!(fs+K-irEMHE1JmD02FzA0L*8@eaOx-4V
z>vP)a1b4c}(QHH`QZqri|DJGHyk=FV`*R_gxmTq8Y^&(oyU{QzTUwzzb+EgSzPw29
zeO^PpeaDn%D7z4nOFDJS{y<4-X+`|?EUQx+QfO>u{M?sKURP-Q{kbnr;g>&a$Em@F
z4WfI#Jb0Fa;Z<%Uz5PNUrR_0DOFiu4OM8gtd%xHz`k-EiY@Ud=r~I+5(&BHQlCIY^
zw%%K7vstNgd(3F_?hx)Ip%q7seBTr|wQSx`@L-G>7w1obJD9RY%!s>)fQX-w7h9FY
zPib~Li!1dUF%<(XV&75z^_XXni+M*m<zYO!?byF*wXMWBaEX|2Lrr`Nd+x-}96sT6
z<t%wX@kgv)Z;$oU<p-q|TdQf=#?3yoDUzd6ahO7|VxhoxPtD8Z>;R!^Y%O%l?bA~*
z$GIZ?_|dszRpc_`IdirR$-vb;=4oms8=k90)`ZFN)uWP*ccfF#2ExzQpV-Ixv6DWf
zuRjKSe5zDk^Qv6I>K!>Rh2}y39h!Rp5C6i?MMbp}RKi^4nEr$%xwjsAeI(x&sSI>Y
zZ3BAp`&`QZvv3JfxROJTwQnu{s1S4jSuJceqw5`$7hqE^K`pogZzb2!VX3o2#`X~z
z7|ry%gpJMIq1`!~Gwkl>rn!eznSKS_Y562>F{=f4_*EWKDHE_(!}e^vP|LMlrH9G2
z={ZA_8cA-;0Nu~mNtz4~gbVMDeEsy9c}QHmE<b9$xIC0z1%r^C(7ey=b6-R8=)y|#
zv@^mlK1E1mX1d$GL{#a);Pw5_WXvzQ?J_KL>dQzq)F0*vGy&pvKCJv8ySwv&!!Ao4
z@m(pbSG>pjlQWBJFugIEc3Q~zof+da`yLyOhc2(nMZN#a`NZcleOgA#^~AJQlHmj4
zT0KtXqX-RkKvXtaH{dJf&sG(A(x3i@A@NjisVAruE7LUMqlh2r+6azuZ75tC+B~Sk
z{_Lh+xAT<JWt1@I6#cfBm$#R?2JiNcqv65wBv0S*?sA%bDCHPWO-g<bF)p4tt)!uz
zoj2xG`NU5C>EobBiunS>tN$&<(NOHRU%IOdt-0_#@?~X?T`{~%M*r$RYm%6rNy<mj
z#MF#s->O!MJ}JyZg&^3!Uj2MqB0}#Xer|8V@+d-`GTEL?`tgf<ytFS|UJUB#PRIAI
zu#a)K;soAECJ-}V?nS=KT=9Br@Yg^C@TQWTB`AQMIbXKo<7jyQPu=<nkLY*1_Kx4m
z2f8L^6=;hm+&>fVE+G}ZNlLu6${2utuEH10xu;NcC`HFxlZf<^D_}FXMY7kz4OvMT
zbhMm5^zMqTC36?VVA0yH9$oHSqC+8Y<t)bNPxNve4qcCy9>mg{Ky~6P3JRi1ytY3X
zcszP|6EcQjHpWtWGj85hZ*~4^$`Ox?x_?a^M->@H&2fA`{s9TjliJuLqLzr3PvC<W
z^V*o6&Z_~^CQkQ96-``>@*}E0I|27pd5wownT`9RvdexkUvf3|aAgX7pqPD06shDe
z|2^Z8U}a2AEM%p1l%}I>vms>7bzih@&2T&|i^nxLSWx9zY!=TusWpI@7H$_<;HuZe
zBKOLAMLJ(W@|Xoy>KfL26{V{lvUI)Sp^c6qvuV=d*<gjP<Ew=pE?)n#6hx0VT_+BR
zi+@a%Z+)1Olx~<UFBM6@#<VFLu<+TdF`Qrgy}N4eN<%f#gM0F?9cLQ`+DUbsBm3RY
zUop=o^`#Y@|NHwW51&86O6)qlYMN8C0^T#7YAwvKx)S+JSJa67S$5BVNqe5OYc8qe
z+mjdi)5O<z*xXYalq~=8C@+jua7ZlXzxZgGm~Mu^$KONTzuo1KNn*Fnm$#<Mf#y?_
zdB5NiGG%o1JnUP$uUkdVLigka6-TFg(|zGCHc3;cHqEwWT_yFz$=!gB&{>VKQm(vT
z;%wTgKU4E#9BY{+pY2<HV_{l10NA`tkx3eV7nfv86=#R<N)D;|c*mgjhb>&k)=gpC
zYkRH#k7n;ik$C8L8kwYekJ-n2DL+hbd@n20?~8p%j3pzjn04<hAU7rZeLf!hga4kn
z(l9IOy|-Y*#q;~hDpKqr4H?L1u(uge?19)<&))Y}`ITD8yp4ZNRX3ef)aRNlG~%oA
z5X$43W5=l}M*XHTRaP$~z9<Z_a{n{2<;pXdk_v1)6f==|^iL+$hsL|ycep2hB2Gtz
zk16zD<_2adFy%a+&lHw?@h*(BsJdyEyDrW~YkEB}nZlGTJc^;SI3wyMzxcCMj$PZY
zUL1^OsZj$wTw2)!_t%SymJ8OO7S<v1qN9nYxqg6SFX%Uow{7!_T)1Os`0d*Y*^}Z5
z*{!$l$I;VJD*%KXU*G-mt^(0%Zw?L74uHP@mtn8%JVdnb@bQ^4(P?ja)I+W-=$c*>
z$9?*rbRi$K`;$xJKPVSQi{CdaZI2baE0KTrT)^*}=MyHbN@qRGXhjN<rZ_o@0cmmi
zF6g~Sd?^Gg6471`BRbxw@yC@DW%ZMH9Ifwp%x1rS((4+peox`P&Fz2U<K_AHqvPZ5
z|4yVSSyf%9kK~DGGSN|WT||_<VSaNTYQ4jHVm5sGK2ugR3hw%5DEEX__cBkNjR!#c
z-+yuH0p92HpY38Y2A)3T5EZKA+Mero8(o|J8_l}DviRW9t8YDk{fh643XCl2DuoF}
zN-x>G|Bm-?^DB0nD)x1q-&g+DeC{)@ubS;<`0I<3X+izh`zB>OpZvcIORDaCiD!Qn
zDSx9!!D!#1*&C_R`{{?u{r|_yTfep4JYl1_6?cNWL-9Z%Sa5eMQlxkxXmNLUcc(yc
zDHL~iiWGOZ;_~MCzUR8m`3uf($tJry*|~S-p83p#FHa3MCdJCJD9T2p7VZEwwcp%C
z;WR-!=p6pkxe$53>$?bAthJ++Nf;mhxBXzg+%MbFwEZ3gnSoIlndYJJjwu?ZGyNIL
zuiRFqeA;f@J!Sl(O2z+~HYZ!8{M|+LF{I$b=fO4%7zPq9YrS%dYgL+Gd_gpRPUT@z
zch(HGeSmg8_}}`>BWGLTH>D4c)v1wep}z%O1rHG-ZI1j`m;@4}ODrd>VEfd<bQAAM
z_{2b|(_mNkb<1p+NQ>cjM;*bSDjfBRgPuM3cM4=^ySXiJK;haJ>3r9WYg9tQ8CWbB
zB5#6vmOw@wL>!9v&pQ7%FCCe(?#|H&?|RV%K>lc==f~w;DPU75Bf9WE=@UNOhPJ_U
zkKCv0Zo&DiIA9)*6myxXv;9Q;V>=TW9<f2zDi)`VK7i;M8}#5FH+=Y`&)JYKv|ViK
zUfWQb(p4vIChCT;rm3k9@rg--W16)Fjxy=PEpZG)<vY{?ObO3R4;l(JB|vCp?ag-)
zDo=9-KBxBjO=sq2X<7e37;=Vr3n;Wt*=&b9rqv@T*_MQ&rQEyJfm2P|3|Rd6yNX8!
zjgM++93I?$G#pjyB_dU81}@tduf@#m=<VphFGA@#-wLquQQlfZa?J|9!gF}HB?CbU
zm!lK-0vd1#YZJ8Y2s=dO@r^^1$+xF0R-^$-eMrPLl!7y6WZ8dxf32#d@vE-+3XP-H
zS<MZfmC0;Do{tLiE>Cvv=6LWA9pDW==O4T)wMGVcIRaeui4IeiPvAsgxG^x9DaGPH
z=gZ*l*2^Gx-iM+K$bSH~iA~i__ojciy&iXU7><S$`<#C-e3eyi{S=UiZgI;oeIs}Z
z=OdijCWfWENm1%`K;9*Qom$*3b^#}H{;;Dch>3Z2uB?=OA8rhC44_aXVCR^I$L5$W
z5@;2p%)z_TDBZ9c_%4WzxnsKkwu8fH>}Fa2K<u|jK+D-F9d~c`aAP8kV?$fS)TDML
z7yuyglhZ{QEU);}4K$ip_v-p`B>FUV^{1AEED^$D!k)bEc$z8Z9ua<p=a@erK`vpB
zU-_Hv(<_ZCmP<wU;g?`N`{eBUZav?SK<NO437~F8=XAL1tUDzbGb4A2Nq8Q`+$=kz
zU^*fuF$gn&$cxB0*rr!ixsZphj14a3mtct;v5h6PCu}7F$4l1Wf`<B5iLxl^OFRh*
z@}xDbooSHjFn2%TEOK-Yj8zn9Q?#3mFu8Rj;G%ojT+jfAQ-n0aOnb*0t7T_mULE*l
zw~(LPNx~$0M@FKThng#l=&wKwX5N~gV(^Z9XBZC6jH2|1aEwGr<5NB1#mav%pXa4V
zm@{CB#8WkA4{0X7C9WBj`*^1uxyf^R>_N$28d<-#!Eb%^6vj!qcPXk8k%*WNk~;jT
zZdn~lT%-k^Y+%{)iCaQ;AU&(XY#avr*&j}D2Hp~8pCi4H*kQQ9sMCN=`!mys-j^5S
zB5ZBIP19uop7)>}M~eJq1R!Iw6`pl*3EDF-4Yp<!EBXxNwKk<)>lflkkS14(iRWAI
zZsEg$d`q0|Q^Kef=<Hq<j1I5bXu!#<!;yT)vNbm#4=(bqn0Aci#nGCN=H!fwaXgjj
zD~P}e;F{#F6UW0tRzrV7$a1bsC&#fr&}_f_Whk@&6q`BJ+<pgzVb)NzN6QZj5@FhF
zhl65bVik(jtTZJs2jb-aeBx!Ho*_=jH}cJk&}JiJJ%0=iWu-gEZ6mX~WhT?!l^~7a
zDgo3^w}xY2u4@I&3*vKL_FUq%pAfy`YeWY)QjMlNZ89X`*p~~GoyuBa%AoVsv*Bij
z-~h5vh6}MVi|7m1t6-EdM^hb{q;LOY5nmL|T4M>!)Qj&pI#G6m<@dpHs>-HNeGe1_
zZ|ADk#B}Gs+-yyY(U>0k87!-w(hK!{YyW`|GG3D;93bt`NQx74hieuN2`#YQbO9R=
z9$DcR@N-A1lM>|Nl_zX!@Xt&~*n4YW;Sd*<n!@jVR~ri^BPHbzg2y1hpM@0-M&dG)
z?WT~16AXYbfzioB6ZSw<tc;%dVViuOBQ8Kw$$*pmU_So0erMgCdBLI?CKl2HY*OMa
zY*PF@_$|WG006P87&xm3M^euvJ=m~@j;645Txj?<4{_n3rw%2S-q9pM7@hSp6)TEY
zxr!=q5P<5%W6P|Lt&Z=4EP*K0IkPn{U7%bR(f!Qd<ZeSl_p@J3Rn9J_bn!d?w@hkr
z`J388qL+_UxqO_$Ft!C&lV6|y;@g$iF<^Sp+TKPpZi*fB5zx%@^h--0C{Qx0()O&u
z8mK2YAy@W0Z-bf_A9cNZBo1eJcxDesj&_2JlD5-ZK?)Fb19b=pNw!}d01gp0cbD0?
z^^kr7QiCRkMkl$mK~u7nWr>$u*_S(;ANP^Ky!!}X#ZJapg(rQO0n4l0^<iy06HR%u
z7y=-uuFNc^Tr45lpCBB6xk>vLaAFn`jwOj<WIHg7Yan;eL2vFjZYzmre2ZdZa!X$)
zUOuKPxY<hDha(v|7!$1clfs;(=ork4nH@fI-w%<a5QFCcjcwnvk&g!3RN&OYF)|UN
znpb_qMe(T)ll`cyXp1G+Iy@@N)Cn84!K3+l%LcD65iKtL_n8G+bvXlnRJ56nfgCH(
zI*~6;V`5vzhP81dLwJ=2$D?h+G*qZZ#HvMJTXXBo^oGvrs{)=RW}4kO$F)rkNtmgV
zrYjt1)uh5eHP=adg(W7&iOds~uoMJ`E0m1AVN<Z}LX--Z#OYtDP4$$dAld5uxISPS
z6NQs;rR)@@J`2BaQUXZM$0u6;`D^&J*AnXhc53sP@DfpX1t0tYteSxf=E;v{szjv0
z%Hr(YphmyJ#Ewds#&S(S)8t3nTWkzwZ>6B|v{E{(4T<585)~Gv<)3ZH{KYfYYH3wI
z3i08@%*BV76fv%-WTDyD5k^X5AIQqZa*8C$8c3=5b5%YQRh%hP51}(haX~nB2(hPc
z@NVVUGF|J`5z$W?(UB7d)NO#LvDirzKNo4_f07?PUAXZrk|@(~BcKQt@sn}g{dx(W
z`a`v-4rlf`rp{1rO?`!&+QfYr{A{gJz`iIkgR)Hqd5qYicTLN4oa$lvv5!<?={syN
z#_`#=m%6MAUn+VlGkip49i4qBtuM=)#PsYM&ne<i@58~jcd*A}0F1(U%IUTW%Mu<o
zBX%_G2lm3p>M@lEQqV}(-<@kb(14+DFQmK6CO6boKQ+Yv3-cSr<fi?3yBqPbX-MZ@
z;)(#m-2I~86L1Tg=Qp!IH!r8_Mw13E;K1yV@hb7NY1nIa94rbnd=0b`n}LCV1_KJZ
zCWl~9cjU|HvFKm;I&Z5Tp|`+jXK@tQ4FTcr02f&N(bd9e;Qbd63D^o8^gG-`?KY(%
zYu&^CrM9!39%XhN4eNmF%P8wYxzm8`D((uBw*GgnoH-r*ts0EKrk}wqBW9NqM~yZ)
z#Jz_SUVXy_?s?QLC4;SV1%)ydPYfL%)pFU=6>=HSnO=+V+)9kPJXGAE*STH%ax9B_
zU05e^y!geGMS`H^v?@H1r&+^DrA$Q(PpA*RF}SaZ@>&Wht!(`s>>1x|yt(d5{la}!
z^I_s}w;_7_9{;tQNyiTRm`T6f8UYv~GB>=k#|WWBui?Ek^xeM3Tb5x05i@?oiE&O2
zw?2<Jw)Q=_#!JhK--wI~o4Kzo7*hd$24L#uytzY|36st9vH#!oAR7egsj}mhwN|nb
z8*?rj7W-6f7u=*}Gy}*xymY2Vht}C>9HNj%IbIl9ffi}Mq0p6I(Q4X;X@A`_*g1pe
z>Wir(E-PivW3g#VDF>$+_)%Q(?H61hBgRyEjpM<2o^Y}AzDojgKXuPzk6K%X`!CB7
zG1Br{Ul7RQ6(q)Dn=7G6EDG~mIE6cDByxhHtL!sCgECn2c73)FAOij{3dPgNE;c<^
z0IE`?p>Lq78na;rhE25+;(x0Iujxf!7T|R6F_Lj$AXh2-`38P&GR7AC;x+70J>AQX
z?Mbp^6|F0)xYqHo9cZmPkx$V!fHpcv^EySTD~^D{MR!_Vb@c&q`>fozxnjlMlrUps
zQ?%_2$0z^Re!T4mDDptDF~7#PmJTN?&Vo&-ZK+TFN((EoF$hLR#~^^Rteb)Wc`<P5
zoHCj8F$GNsxOb&a8X-U2Klm5A$1c;M35NM$^B*RUpNe@XBdp?Sy4El{bxmqyEzpFs
ztQFl|_*FpEF0CQ+aR4eK0QY_(W|5FISbqg*QfGOk$4G{!p7-R%8>Sl09D*8>4xn=o
zIo9)DoPEK!Gyoiw-C(D;tB(4IT?SlsO-jNbLq?0w51=n*b+xI4vT#W3;-w{y?^sF6
zqT@~L<DZ<8#207f8Gb37LgY^qK9@!a1f+kZ6-uulAu?-HbF%%t(*8gbkH?aMCn6yT
z<#QT@K(7i*n?%6@rmS?q0g6OHslXXhH6L17OMe3Xx&Rz*ggoGj(fW;0k0tv`L`<bb
z(Em456Chd54Brk9%>tM>ti7Jv(NxktuFcEhe{qq9&ef_DKicz`EyS?N5Tl_Lv7;#k
zz4`dFKklK<*b1xgMBtGY`I*Yt35#S5@+ihwCri`P;0%x$`a^w(BDwI)*i~2Ni8&zi
z4~k4emTb`uuJta1Yq(1Bx8-@keB)no<W-y_DiePND|)_Nd%-00hvRvW@viLI4N12-
zZdw%=ItgKxx7*<ht*W7WW)6zGY){jZdAYA^ITRsE-6<)O<xfTz|7p0b#U#gsi~G13
zD9Hnd6LaFuq`+Fx#uV0p=S7TK-Yo`<7Q>sd5}i?O2nd4Mk$ioPR;tmen4NK^B&Pf;
zvYkT*I>r2Zfn{sgXryaaL<yxAPDliJ-q;*MmZn(l<za_j(lG-_1^Nsf%w`=YeGvXI
z+Wq@tvj2FLL|$DU$6b1aa3OuN*75PDMgKZ0{-Oei2nXLP@Q^oSg<5=FGg_9KO9>%c
zYrsHQH6pl7`Vbu@XE~N{Q^6Uj4C(AOwUemM3jdI6q+0Y3t)L7MQ8D^Y-97QP>_hQE
zu6+q0+75!_C=Kt^s<RhKJxaigXnedU85<v&qmU{bwvc;80N1Kw4!ndB?#VAY_z-ou
z(<8K|L1$W^Bgs-^Qh_*bWD36=AzA4Vy*VShjIJ8&yI8{4kJ{McW--Brogdkpn9Qo^
zyYQ3XNA7W8SkxEAQodt0l_gR4;(rb^rT@!nHaF=ztRrw2_>P4%^b=NMXOTe^r%{mN
zF7KmY7udf9_c)C{Amyvg{l-1ZGD2JHjnDN;;^kOk{FXN*+b<~NQX8}J2W~Ltl{w2j
zSjY`eE1qrp4sLhe`Mg2Y9OUs}9efi?AXEO~gRgKj3>$bdR23@sTmzPRFS*JjV%=7o
zs^++iCS$u~ZgDP%WTAw&x!w9qD`y|HGn<)4k&vyow^frGF4k9rgh_GY#n9=^VOZC8
zOAgovyV?0aN+Z^ZUja93adUaqK|vxF#nxRP!jhmjCrlC}+CN`n#Sz;~1KkSgU#s@O
zX!&6Zm?}<|`~(V4-<8YSSzcjFb{Hfjz_C2<V|2}KRY+_J{>0-fPm5|~Bh6f~WZ>=)
z4YiWm5NaHvIL_Zhe?xuG8O)tatYlssN0Z@MV%Tu2>{lpLD=P&B=zFIQTpT6CB19u$
zN-;p-niVI%+{@~8f06AWVqL=^D2%W2xnHN)T@Lrh?~p_#>1O$t%<hT_WMfz8l}{_A
zMN=K7RUZ;^#Vn6&AS{GIyhy3#4T9H*%?H|sbYurjrs0W1>lOZ?;zgXvp8Bf_>56(+
zddp#E&Z0(^4yA{vG#G>^C~(F;tQ;m8$B1$MqnBZN%LzY0U8KT}-hv*!i9|o|J(y@l
zI3MvpVsXe*EGuWrti(EB!vYQarBthU=?yG`g1+MSiqqmdgwlG(5)@+NvxZk3<W)F}
zv6ooHoJ_ozT8C+ln@8Um*_lMEmdEm|aZRQ(*zlAOeZ*ru+^(Qa5kl4+{!&fcXXOya
zm(Pn8E9J-*03S8;GlA+cIsIp;^_vihI#3)~8{GM``lsKet>Pa^MricAi&8!T1V+~-
zhYxy4ftT<$SIw~D3|+9g7cin`_}s$C)iUZ6;LAX06HFXYi&S-`odvl$!qophQ$x?I
zsS(W#F@?9fx7<Sc_T!*KT>w{efdogCG=8w@=K(1u^2O0J`~aQM7eE-@gmIb_E!|+7
zn!4`M2Q=?A{E!obs*psoFYddl$_(idVjpYNz`W9iGypGvNVmldOq6vJ+dnd(E9Q!~
zt6n2g#8@H3NRnA{S^D^%6nZYQCDF*N<-jUbRN$v7ScDcLC5nX7wt&;($AfbqcH&>p
zAvN5)>H5+hgTD^wUyLYO&s!KwRl%I{y_^aXq5IF!x5?Ff2hWrDBgl<8PqlgKbEO0j
z+1Ip6dB&5(a0}&7A&ov~fr+TkYwnP|_Nn!>i%%QwofqDs{m7pu@eH+?LllUy)8goI
z<B}1yISydG*aFSu<~aaVyW15TCCR}eInn(GX<u#9Zi1`ap}YJT9er`?#3FQs<gMuo
zw|o611~lF=X0O}KMFNW{#1$A%>Tx;8MuEJXPJ$|fP84VKZMOG22~xE97iWT=6TFY^
zs)i~b5duuS71F}#BiMz)_5tS;CSn7>NuoP(*atok{?T);sqbg`cTcHt;7_HH0jI4h
zH%IF6Kjla>{$J(jOGiNlc_%W(MUeV*d(t*EWLThzjPKLu3Y}TUv=tkHu7-!CkhzUr
zpSW)vx{f}1TiEo8TZ9oWJ5223AR7%p2MASBp|K5Ur`}3zps~aD8Md{<Yz7yhY8)MT
zLccgjnn~f2WxMSF<KzEO5+2=HZ}J~XjQ%f5i0j0yvS%2e`b=p@<jq1U>05!p4%GY)
zB(xJ7=oX>MNHpvz)0XZ}@;kmIy|3Jcmp9%8KeG0DIHE#FQZvYa|Aap`q>dHx$;uzU
zQU4DjtsJtf#jUEz1$MNq#p(x}wXs5JC?ya>9Ma(R51W3N+-R7zVZRY=?X#ial$GH5
z%)DrU!s;Uq(Q6zlG$f3{<N1f~rm~qo;g}|68{f(XcqNY<D-^`+F-}{CMMwQ@5ig_;
z#axmciH1qvVIKGH(h86#nM7V!hy=yUs<eg^dO6(wTMIIKiYn-MEM&#AW-$YxgYM=R
zrXE@!Gk;S*FGy9a&L2piNwye_kWIb_BO&3=hLX9f!O<rL6=^N8TmD%ZV(vA}c{?kw
zfvYb_a!9O>`Y&<2OGK8?9y9RL;!v0Rzo@!YfGAV^cY-8|+1U&CKCKJR|J;O29CZyt
z=0V{vhN+b8yy2cYq<*j%omb+&D>jQD(>RR);wUs4YOXv8H+Fz(l{%CO+eF<#s$jq4
z#P1gM=PpZT_HD!P@P*|>-;Qt1mmO0~h+iv!qvcCq5+8P!N3ds5YVeF;?yP>w``}M<
z!n<P^eYXOs<LZ`to2l=imZ}<f%w_gE*Ww-x)hPi*&Zh-UcP!V1lF%cW(yH&}&oBWm
z|K0~U5*x?rkcM<p8N<;O%Q9ec4pc?!TEL>@jD^7jfY)Yu;l#kS!C>CIenttwnZ7>;
zcB-L*RI==w<%q~e3L)6u#5R0|D5_W3;8d-xk9Q1(I9@bg^DU9QF`A^B3s!_O2Beu>
zIfJ|Lq7Z<1)3la-0oqCO%lHJq1I!IhxdTP=k@_CkI+--*9wM=PCKAA<AqryhU3m%3
zKfS|1hf8idt4nfZ2CN)xS;bDvV`=!h>kB<KnhRZ8UR4zAj#MLLdN`y{yvA0$GKE}c
zp`M?{c0~e{AtJB_J9Zxs|H^+{&oslLg{}UG9jnSp#ijX)R^hPr*&>9cZWR^vq9i16
z6@Ad(?E|!Q`i1JZ20bqK!)T-ilD~jHt<vx*{EPJ*rod%r&lLjMAPMQ>A7D@)plp(4
zUg6TmXhs3gi&geCp+ZDrJ})u}hl;wF;>nz8evgOv_D*}TU`&#US|gY3S7_3gJa!sw
zRIhJ`jcA6K<hCE-zr+ZHw+6-r_O&)gU_`T){K@}LCgw7z4c?8R7ZK>KXj49xEt!O^
zi{Hln&tA+)hVj8xnkXe<jxd`l;X=V09O&91WKlI@=LNS2WV3!tbdr@#QV}E>^jbv!
zdRHK)X!8LRP>&!e>l;yDLARea511;@{X{~e*yC!d8#0KRkk>dyg`16z6SKMUg`j)$
z1?`LD4Xq>6Ra_P&ETH7OFL7Sdc0RY1*gDj~<I5pw10^CPX`X86gp=c`B||_(NweZ=
ztjv4h>T){D)a*-`PyaCj5w0-2CMOYI$r2pgGiltG7$s3m>c8WK(nWAh=q-gMi9x&1
zGYN={%)8;f%pqh6CgT8&jUA;N_*j_+0qxAw9Ac_JS&;}RTHDJgD7bWaxYYBFY$>3W
zCnj8;!0>Eygai{_iDMAdueu;$Fju)4MKFQKa`EkRbOP0&4~Xb&vio5o_%W4dc?nPl
zts^lc%q##&0sXoK{O8R|y*4cpG}0b&KU5_`=UrPgQS46X(K}LUf~>HZtNT+m6XVd^
z3GVvIl*!soaMFb&<E=81$Fi-zUN!JufoWy&ROpD)cIR)_=LI+YdA-a^#<FojtVjq%
zY-P_vGpN~-i>Y#RbRw<F{lj^!Gb0gpc%dXZ<0f|#$14zXlC?(xD&WE2se*TqH^LjA
z@Ta~DCbI-?mMX_!b)*Ph_<=P^eWK|`%|xsqWuz}epTamu^fd=bEJiDfn-CwhW4JkA
z$<(J*piaa@-j`HL09XR~X0<3W<#<OplltzQmiROoULMfkjvsT|pFVGCc}(UgM$gsN
z<dTo`f{TZ?gu>y<!Wu8@yXVAmdpGS}Mprw#sGFuS;3oxJ9VCTb@ht{XtR7Cc&`&;R
zD14u7y~PT;)gQSV1MvKwrW)^O<&tr}>%?h3$uT@Y$^Cn_G$JnvhsbJmyey=DPxG*_
z;HHSK)=4lWo4bvV&=5CrHEox(yqye?-Lp4c@dm(DW@gU)kC*IEC!)7>()6~w`ncXv
zF~;TOh?uHQhj<Z^Qf+M$^lt7WD@FZTo`{1%6A;Bl5)d`L3FKINL5X*~frlbAs1(|Q
zy)Oe3l0}IUlto$RAx`9V@iI*j+G?o^ptCqj$XHD(@Syy3v6z0CE8b?QdTx01=kqSn
zw(X~L5`2^GT=A4tOI3ZifFB<SV^kNpqkg;lbChj!aJ;w*68P7BDr#;G{&nwJn0vH-
z0=f8yP^0>E@$0VivD$+0Tb_JSag{|9M;UHCv+t1;OLxznCjAXZNwY-ZecQ$DSd#kZ
z;J=`SZ@D>EfC*cOp5h-{Dd*IGUJUF3j)Eyru2gZiZn;CB;8#jmtsdv@1sC?4dEe&F
z4SHr%MUs)2TTU!6=I-lP#T3+F=U>@qSN-Zx0lD*pLNIITt`Qi6rT|+GheqkmgAA_7
z;kfdm|C_(~-<fmeD@6ToK2#MYdp;~JcUuUl@`o!2wTX_sSYAZh+m|I93)o#RbZ18q
z!Tq&P+_RfHG^flflIAJQS!o0$lkRx;hG8jvEU64puws!qg=ywCuYbHO!fVTjSEaNg
z_99HNM)qmM>)T|RSh+I;?n%l5ny>u7*?2B&Mt{VN<)e}W1rhJCq6}Ih$oBgP@2n%@
z7*72rr(WBa5U4;4n<4E-k~YSLX00VLhlgmB;rUP&VEZabrG%>FREeet#We%pPy7F5
z!Ntu)f?Y&<QtoYnXYn-D<7_J?5XeHJ<X_ZA&zlj`CEkR%=+&(0OkM!UGFC4VriUGF
zB1M;HTqpq`lKYI`2GWN<MgUZcy_#QD(X>HXFcV++zhGlV5^s`9#w2VeGZPG(kKVVH
zU3Mu;`v(E-KYGL{WkH=hKGaVpOOc?4)qO3LlA@CrMebMyzz#71qe)u-bf=p|VnhzX
zg2&!%!%!fRDzxzeDYFjjWO6n|vVZj}oV(RzA!w}S#a0e8uIiF#1T4_2+M<0-hAlU;
zUYMZXC~#e>{!|@8D`$^iVZaKFa?nQBb;aqWyrODoN3c<uN2#=~PR$Xl!Vg3DTV{21
z`YB@b1EA>=IiS8A9<x8~lT2n6jkqTPm@Zhz<k;iaT%tdRt?sr`>`@7cbvE!pO$~u}
ziX(*#*V&3oS7A<>E?D^!1~Yg%*wu6te1)Z)$W247NivdK_@u1R&8g5IUvm#&Q`kda
zeBnP*qvJp8Z=+HAlz@HAl9_t=nF9$1r69gd7V(f6K5^IgP*!u+-(t2w=H^s=q=3#;
zOs}mBvV*dpMSL$wJ@XaW%@sl^CM#Lxq)P**3jJn9^vcSqyeB?A&OIOdj5!BV@sI;~
zYB&r&5j+sHXUB8yH1V9V_N?3rnFzj6jPA{joohIpJ(tI0D)2>#&|RN~TbFKw2v5h}
zUfvUtofP_;2yg^P3Zx{gsFW3v1c={Rp(mys8ZPaaBdncy@a>0PEbZ*6_!q6BSw75%
z5PS`~l_Ei4S9B(i?@INJ!c8S%$;^*NH98T2Y~w+4ZqL0JT>oL*FLEnCvW;=QEi8Pk
z3CYoo@i|?8)bd9bi?mn$X{d^pY$!JvV!pj}1{mA3vVt^lcN~33{{Ez^b>rS;#>$g$
z)}7$|)HkKt<|>StAC(4SE7XD7THY>~lU6(AgEVf6%aKQV|Jwhu0ao0t@cOt=*Q@qv
zP~{u>fo`y8^G!x;1Nf(ePbt)5o&Z}U<V?=Y>CT@gIdhCzRQQHHK6fC{t=&*KAzx*`
zJY!nWGqisndCmWyWPOh%HwqR_h5o-St9QN`=bZLVeS23Qga@U772U29`;7kEDl;l<
z(EK26lJl<+$A+6uj54MDH@eqU{bRDvYzo4uDG1t`Qh#qC%VHc|$yfd=lbo=@QjV<$
zJCzQ>`xf+DJoMC(QEBJCpYLFaI4y)X=e}Jjw8W0NIr=j1Y`x6h?8Bn8n~@WBK{y^u
zWaD#jA`z@(2vF6taZr_|H=hwu-_V3PR=*pQJ#~3*{^sIGdG!-77rzoT33n6vPT{*Z
zwYBNdzDn6m{`dV`I930<q-zJ}t50_#Rcm@IL-;H5{rA5pu~6HIKbN4ISts#YVQxd#
zPdQ~F73wA$8??H2KMDTHOiD)QMT4Zto$`$1C;W*77GN>;Lp_f)(Kr&O<dCQES&$_2
zS<bdNT<e$+3L?5Z99a1oPAMXH?o(f8Q!V}umVavIqn6<RXCS3k3J+e(oZbF1B6xa}
z-N&F#w_(UyPAtud4UebzkT$VBB3RYknH$bh4uzG75$4CA8gwOY;jmvi%y|JNecRtK
zkvr3;$v9A}<inPL>^-)3l~&rgofqbG6j5vFYpY*Pj%r)8`XZ*b61yv1zQ@ctIYfP>
z-+ES--mV*-=>Bbwl!_U}$R7qT8uylP$0a3kD4q1bl+>pxUfFD8>v-9zUa87Hc`$9O
zo33?yYwHj3?d=+*h$wn!IFo7>->O?EzHJ)u|HDB2K|k)5fqLQvROz`<!Tp2OcaGe=
zma=xf;ZzJ?vFgH-aJo4pXdY{1<7;tIC*99F4RCcM0L4~VYK9~G&?qwg&x<UrHq8&k
z<-QRy1Y84AGHp!O9il>w==RB<*~WrhXzxA-0-|^4j{zP>9~{j+&c?jf6<d4ZZ8y6p
zh4$Z!ISuNmDOXiLZR9<~T}1Ket^XCbeM9zodaFy>(aP3&;el+-*{nER`^-k1M0f3Q
z#x?4Dv<=>%Z9xl7`K8`WjQ5lF76M`Dnn$j`1@W84T!_}pn|oj}&x%~wgv4Deg}z&e
z?e2I`vf6h?vRfSG>ezR#MH#5>?mQ!Af?f%Hyx*y-l~k%HY%@Uz3+n$ozEoGm9njAr
zf#u4#Et~es@I2Vx_V|C7sYI!*ve=Ce&6sYzdG-#~Bk8R_XOr3Pcq|os66?wR!#cLw
zrg6UKA<A;cblo+;p<1rftfMU6e}5pxxgObgT0nK;v05k`u9A9Rx`^aZ*bgCie8w{X
zI_IpC_5axRR$i%!-IgF`o~?+v&_T|rQ&md6-?;8TdUrxM?tZU@lkb@51RRJ14(wuX
zmH-Ege3MdsBjJy}cb%2DDJJAbGJxCy*{SQvRgSp7_n+V_$zWr8Z`KzbYlupQRy#=T
zgRX&q?;$R1x;q~8AAWkotbAbZAc9QJdOm{wMYe3cJ{V7We4iiH9kT6wHI(kVi3P>q
zhu@HtQZEV|-;7*68a7OdXS$-ncyQ!llRlP3d#Bzfg}zwoW3Wjl{*HVhQKfYX^+2P9
zB=f3o_$eHvocYwue_uDPmQLAw{*lbDx2_RcMbo7}->1gdD3$77j7u-fsdA-g$9giJ
zF<b=H+-ZQ#jIehBm1Z(>XnGlTsY~;XofK6$=ejp=w+kK@-m-BT+J;7kTH{B>>c}L(
zpP)I&HHaNF*zV#b>^)bq{WXfvU8Yl55_IOv(>@?BM7Y)3c(=_tMO{WRHmrImxDeob
zqIMeSrJZ$co8aHLopk7tSLB(y`KIpq)nn?+So!*h#&7xJQ?X#)nc<JyZQIJjs9bla
zLo(VN?{^Uksj2Hx*6g0LFKQ`*OIkE(2U;7P#8#JKI}aX$P&js~nR^(e*mEy-gt2`%
z+08U4Jfsa7>t$upP1^elrra8zq5LVuA&W;pDfKoqW5k5zW#Od-gTbcRCpyB{#gy&i
z$806b-ga9cPdfEUV3cI_ivOg^D5=S4O57GFh7F5{q7I3hv3dz4Pr5O+usFuxC_r`d
zWv{@Xk<li7Zx4pHO$k$1uLf2}>p}6|poaOng@XQm^m@^kz2&N-Ex_(AY^P|lj?E#1
z8_UC1kFpBSHu9xLUMW?F95#}WgH>T9i7JbEij;~oc5oR0=(6JZmK8b(hlnxNUYJ-_
zaIsOaYznL(N;&r+Z}iX?<`t3_oNKNBjhDPOaAOo!N1L<qeVs}cqaU!*B|bvifbJV!
z*l(2U?yp|-v*MTNO@!IOj>jI~WIVRKkR{@*8Y7#P#s+20_&wWC@=9X%j)~-I2zwi2
z2jM@;c~t0=nWnT0zx;m1jV`=fR6rl)<N?Y+Y3F+mE?#}dihkBq6MuR@#KXf|i+)yL
z3csF_3?k)Jkc)&w)vyEnugL5zWqs?Uy%_D42VcMH7|)*<&wrcv2ex)R@>sl0M8ztY
zuboX!HG$Xg1kiuz9HR{hS2EljuYM`)C2?bG<mYMPkGRHx@Si#7GquHZ-W0UR74HXz
z)c>uEWBEZ-^#BFp1;F0tqM#pP<Kn|Jo+&4urD3X3(MA5D{l}KAmk}e+ti-vn1N}nK
z8ma|vWLnP2POlGDM#DNoLZ;2foN@13fk`+G%(fG?^ugG6iTWzal+(iDi?YU%yKmFl
zTMMJnH?I~eHZ^gtL>2^-*QHjr?!TO}0+>Mh-|5<vB4lf6%O^^GM#uR7y-wj{O<lkF
z*NtTlQL;eQjpQ(u>fPt!2cbBmUFp3yhTk3d>-0b=z54cW+cj2gOVd1deWwA%`;a7v
zy7}srGo>|tgkP$?(I-^^l?spVwqrdq&tsh-kYIA{!b>w+2g15dj=6A^xn(d9D2}SH
z@7R~e*pMVxHTRNX1sU%8mE4Zr*;v_kF07npLJiv&m(yuMA@z>Jz@5=+{W%%nO+qiB
z*GISctQJASG*u=|ym$PcBvo4-MkI)9^wJ*vUaysnSFfy%{7e{L{azWiwS*ri2guPZ
zr=DYzLimZKWdIjVnm=$p(~goPN)HIs##B*{CfauUB&RbWL^2(C$Y-%#m_x1n&SKd(
zNnlp5o(u)0&B$-eIh)I`u&XBG=sxdw7RAC-sjJh!1^!(Nr<dY_^m2tbrar-iQXePn
z`Q#5AGJHfH;LmMQZQEhFe%>wjo_|)^7*bT;P1+NwqLwxra$7r)VgBvt%}x1x@P4dM
zD2#rxtgXKC@$J!Zh`yzV5w|e3^IbSv^V^*6&YbklP1WE59bzBb1#3ynfnk2*Rfi>h
zw#bEuh0?->ozMIBVJn1=tgj9*Su4jFqv_`xR+PGW$k%Bs-q+R;s!|h*1<DgYla<`?
zlka;pjhV^-<Pmfuf4I8pKvc_%Te>8CpIT3WR`+PVH~Ia(R0*A?;x5H!mZGyLFGnXC
z6HetykR_3jP%oyrQj|-mQ{4|^NMsJ?)-E4cpLJaFtKL{S$^E@_|M}vf?V>ZPT{Q20
zQsks%|M#8ao$fYD7K;jbQU4=}qgz#IgWn)O_P|UF5^mRVj6zJ2NHhTV@naW#<Hc;&
zh!g&jO+*-c9#{t<eEg4jrL<(p&ypQ0oo{ZTXY$3a4srw0_L0|W=b4(4G}T!Dj%M)&
zp5g^1>>4F1cu6Rm=GVy!gB(gg2-&2d7?=BWXNv86Bv8aTp&p-Q<Jj}iWSED?W>FV4
zWr$Th*)6Aem@c`IdcjcU3|X0}+dET<)47@*Nk$3YYGK^9BMV8}qyw53NV00Kyb1JV
zfNDJ_Q|iG&$$yqM24L>8nf#VEs_9cM%DMC|`NlK6^y*4n{{bAe(|QZum<(B_#z;ac
zq?nr*JN^5nb2P3ad2w3{H^MugLW;>7z5}!z+52dG_E6$-AF9!`b($J572NO;4txq)
zF5&q>!v3tsatbrTaz8W9F`zcWk@IN(I6)2O;-^!AC%{QPPYJr6uV>gcp2g#ERpym>
zNHl%>wAsH5*e`=YwhZdp4cx70W?Z4Z?W5<9j4j<_g9oO;Pe%IRz-(^X)z+kQh{QFF
zj(<WBWfWpj<#K=rLfky*K)scDY`*I_57^b|eoJ9eV|)G$pv`PdAS;~mr@UEG@KP7e
zQ`y+*E$QZ%Ux%6@eNNYky6aR!7@L=oq1*V#@KBC};2#cKUdOkNVJdB~&#&=10XNpB
z6ph^?Y?Yv$;g(D1dIdh!qpxZEv86(szr>PftJ39!!qdm52x*K3{3>nVsMk57zug}m
ze)QuxbHshcd73&-o2>!=Og`5^CE30Y`_&>Nif&BL9ffTJO;*ZE>RxBKZOnu3k&nPn
zh-V`-;s*^Pe06G)i&r`xi$I%*fx&y{_8n|eHLYGGC%HFGD=ML5{T(|r;40jtyFMiO
z2NWS)p)bcXsXe6Q8(4x?Z_JM$MXB9V;)#$b3#IyWyT*OKirV_>=E~z#J;{Ro0#dKW
z3bJu0VB%9Gju?J@JV-epQhNrZ&ED8+&dC$rJO>g)#9}6NcNm7}%?!+VPZxXibf^vI
zys2oJ^EW(Wq<mR^Pc1Vbq6)z#CmIc*E<fPQaCu&R69DNlX7gd^)y**`#07fJV_cn?
zs*{+Bc$(GrYBMhY9gwmu`(%Dw<%)96tAX?4!)G@P`zY)I<~S4NRO4OhxN^!VhcQTp
z?+$_w&tmk>VkCvKkv#p*AwmI%bkmkc-C<T&FkgI&Ia{DTcReHBWNMZOmEa8+vJCX<
zPV5LmbYlT-ZtU{#Z?Kgdwq3sPnnlb%qA3ft`U&{JK68lbPpWR{{%1*K;<<!oLKmB2
zK}^c*C;I-wVou#N)bW|ep__GJpaIt(;c)(oCN{GBxyLBnFufrx1=&?A;#dMO2poq5
z8W%;oq2e}11yJCWuQ*b8RV`valY-mjNal-Et$epw#2!mS>&}Z97ATSy$p*(QKm69%
z7xAB>4v4F=2@&dPa>n@gJ5||5_`8{}bysp>L1YoO4?p!rg7fFUvMw~kD>P%)=2F?z
zAmZB$I89dAgYdbcKbYJ@;qteD&7G6a3!n*$eOox?z(<QhK8Z6%5$t?@cCqxU9|#Kc
zliXC3kEXggL6BToZ5_$mL9MKDR<U_Qu8LU7eO78uqR_*?C=cGEm(-p|Vlz=YvQgrV
zY*cO9UXm~xA)7YyNfN#|_L$x@lh_xqPga!qiwB0?g4?%NtXe{hQIdMG#(PeMOUNiQ
zC)Ai+p2o16wr~s9urk^@AHWN03RO+N?4&h*2K@1gpbfw-$37U9(>UO9b9MuJg>oV9
zTY$xz)RXo?ro`ILM6TQD!iJYpO-`~T(~ZfgCbFY;=n`SmS<)iDVrd#aU|%Nu!hA4U
zjd2eDR)KA~YNqg)^vh&TGx?(;+S9GoIZRKRXrt}N_r^yL>~}h+c46ezMT3@AttZ9=
z1jCezarJ8LE6HF!(S4n0%JKW2{nK>UyZ)pF7!YNdYLH7tgMoeW{;3gj(sC0h?D3=)
zWVnCoIPt5&`dZUjuK)u9B5gZ)&QG%8c)qWd6ZeA}`f=*;6Ti?-c8)h#TWyKZ?4aGs
zqY@P167!wp_qZr3yKEsA{G^_L1Lo!$Ixq^Etk7NIC3&{Jx`pChGbGE|T3y!K8d}Qt
zO@E6Qrwo^Eqo}H`8*;>;@OumU`3EcbYCe)8hri<P82f_Iv`VHu48%S&p{maRf%QD}
z&;1TxJqXjgHm{cOQV`}g<7wqvA-#WGEKUVS49LKJ+A?nXon+gHnErf5l{hHJ4H!{_
zkW;oG;9JOJ-lKF>$1u1SW*@&p&0qR1&~#+Y#p#3k$ihth)*@1!GNWc1M%urzb_YHP
z{n<?2$?vF4SAg@7O>=XR8-3Gd!BlqJa2gE<I%9n(8?;2;<f#Y67~0Ct=^pd+e^9Vy
zv2a~h>+bkN%SXAKf@2!=n5fDEsfu{yutrw!F(UTHeFY3ItlkqPH;?JIn1eAMDIP9O
zyTvQ5>3=Lg7U2}(toO%C?k3Z}@-VU@LQcngH5K?``VVODZa!kp#7RVx^v*xn!Frdb
zfFhJ}^jtA3FKe&|w4fFJSp8F-xTsI<*Xr36XRzzKFjUH0nol4;pY%BX#xHsmT^s`N
z&b|XzkKhww#-q`{cA|K8OL|VPf2$8?7O6g1hGh3v-1;0h=Y6s2t3Ul91mAEbig!dG
zY{fZ!FcnLs(iDZ_p0I|d`AcRgN$qIZ9wVY`$7Qu{Rx8!>$JL+Cj~BIOZ@+s4ajU|C
z5zQDr{0-%)O@fZGMX})4B{SyyUJu?B?XgTHq*I&W`|e03f0k|ZE9P0WCGS!gtu(He
z)<reGSRwZSvgNXdcV1sd7kahNJl21j2+*<syGFF9?+*3nXduPlX7{aV;QejMA3uhZ
zT`C1-(hPjpK}d1M6!&nORX6g#8Nsv_(Ul)n)UC!}ee-`2m8&kIWB(|FSr~*~TlA|0
z>>m)`-14#T*GVdJ*K@d;g^pY{m4DT@1y$-4^m;07R34dC?eLa@megX=#q(vPyI<m6
z^)}f)5p6zi&&>{|)O+3vK2Sv4K8EvS{;SMhg7LmP?mj5$X3TB>V_{z~Kh<riF@0b)
z&Dd<!DxJX8%natNagzy>-;pdzdusS<(TMJ9^l6DP?LB&Gx0b^H5#^z#|6<O|93!_Z
z;eiar`|??H>Dt<<-JBfSC0@gTW1(B>yn0`8TqMk^ca)2Jn)r|aoZm3ic6hkOD+6q&
z6dbf6sh;JIIZ5(isrrzV^CMKc!=%*g{oq>z&6BoX%U?|}wEXx`Z2vnISar?QfR6L;
z_k!c%)6iZ;9-hy9|G#&EsUa<+*-wZpd#5Ais;M$L3M#i8j0u+QRbQntG)N*kT?3e!
zol@00RYk{gO^0&^oX&YQ6~uFoWh>s!XTAD<{|v1}*7Vi4k!)fa_9R#J!85l{uE@r!
zN!DOD<xnA?ZSFjO$R3loZ*vxu-B9#P-hHBr$Y&tY`kW$jn-M*$YPc+}on0b-OQkBM
z*9@n+*UE<XdP=>-_tnCogUi`<vRP(fX8i6?=W2vL-y~W$Bd5w?5lLPi@)V;!tyuIy
zLyPSqO`l>aS&`m916=lVw6QtIb2u`?mYosDa~QIw`J}B)K-_n@&@EA~c9(-3#-xAr
z(+9dT@dvU%R`^NOZW)!^8*}f{&d|}zyx)dt?f*f*WAA5TT=oVWP$ytgz3KLZONtNV
zfDF2U&7*|R`v=!AEAR75e0%iI;(~3y%FBv;Bh2O+39n)!+olOwCygq}2vjPLaLKBo
zJ6InMv{?;5#E>FAUqd(`gaFq0*{0mmAw*uAMTx~HJTGw3hSRFrZ5+U_83nuWRji3o
zbpl0G26ll^jE_^*2eW)TjkBRfOT%YDzlj&;u4r49IU#mnL9;cKXE6+X51aCnP{Sy7
zjOhe5e^$#VH+s~9d7i2vK!5|;fsH=<<Z~`ox#PSiW4@=ZX=iL*l=xz%7$4rS5KejX
zsAle`@n3gxNs_oeI*#c3%_oQ7s;8t$3JO>vt?&LUi<LCAWCB?1(=hn!*@`_;$_uN&
zSwI61eN2vYdV$$@4DWAfLX6k0(W8?OR%be%v<ZXhjD2lz4|c~W*SutQ8tdplcX&!e
zwf&KYHALXpr$Awh1O09f<V|l9N5|7!>~1~Shkl5x-~fsv2+_NCw^O~`Msy0zdpZnJ
zzS;1%{*7L%825Yjho@6C;1X=CbTZ>kQIj#dt*=ac*_MefI|h*HgX>`WJK1F${_PBo
ziAw2|g}-w+?eLsq<AiIzL^$TSYt);4eA1iq*^9#3%QRXU8u*>WrQrS5`QD4+qD$h4
zpro!?bwJ_P@~ANlDCw=P8$;7l<WI<`6zQFcu{hlJ{$70(e0UdK)X0+aXb{kltxSEX
zPFctUTj-7ON9AkvdGnQdyaR&I%3>m`6#I$)G@S04KGe|kap!7Ecs3?RrIs!KGoU@~
zQ_91j-;ies)YC{_(5*-wEi>>P0EC22ef4GlZG?I+tyQ?Diq~}>kb*=}syDEW@m^>d
zZNz+k8<h)Z_|@RwK$9?8=EN~o1CbK;zKadIWrGJV9WD)9&SxFUJC6&KLv@OM_Yxx-
zIU*u>%Jxfv+{aZFGY92}g=b-FT3!@W)u#=_Z&Sq=d2K?Lg{%_u%RrfmxNKRna@@Z{
z<4Z5JKdEu6a(+H~v#8R~lBlVaZnaS3^81HhKRYC=SEOG|<+``y{ynh^gP7(89*&r_
zXBU#0@+EwgvL7IYqnnY6A0tw+>CZC!nMA#p7*~Z2tG6<n3Y3hlo~WZbiKF`9^POPx
z(AuW5XjB6u>8pvBdU{Lhbqz3cJW`hwZ(tp*M}R5e4lz3FX2wVbW5)SHB!jIH4w5aA
zC;iWM^K*JGq<9&1RxJ+;zbfX2R*ayld2g`7wDnJU^N8;^-j~dm3M2O^yn%MNOt;#e
z9&OG$t6c`J%@@WQJr65&pWWm&6Deozj4@LqWmClsvP7%J@gZt(`|bv5c*|c5aAi66
z4MbD&d>;lBN!#tqP04Zb^O`q&Fm%+~-1@J&WO8{ZS@PMe@i=L3>9!fIA|Jsu34-ZB
z^R95eAL^M&+<IY^GdBih@O8~=FmBi(vVQ}|W;QC&R^sel>N0dxEr+iHB<V9!LJ+Z^
zEU6|>wjb16Wj&K@2^#k_PH@ta--~{;uCjBi<%DQlepzjONHW!7|L|y%XYN$0F24|O
zRnUta<YK?^ynCIe_C-{j%wT|eX6Q!M!|YjqAiw0g2QU3|q=-^#uG_h_{z->9;ryX@
zH;t>EtTD~)P-HFsaou#=T_~F}nR3wurKNp#SvA|gUtYO~o5Gss@nEdOx<bJU(71uz
zjjF_{GFu3*=2+NpGWl$E6L=)d!|k&2ni&%AYh?cW(K3Qc;h1zwW3^-hLJ$6SfGVJl
z^ZTFizZlJNmEK>)J7SA?v;B+NS{t~%9pNtIWR*gUPqyXsyPu_NeY9&0r{u29o4a@q
zX-}|&&b|l6I@gw634(3Rlqy@SfzHF6`-YpJ&dW>&SgC!JRYo$bypGhD-j?NUc5oSc
zFv{H&Y+{^+b&tLAr%r##zmJbl(XfE$mjX+`V+bhC+>%uye`X`WOaC+9%i^i6A7yoX
zY(OhvY}At8CZXjpJKj#+%y4GJ2d`8o%Kmml#dzce5>DKDLX7z^3v$#Ez7;<OnZejF
z2Lf=+)h3;4AWfTLg#vGTBC3cxHbowv{SU7Q`%MM-!gLVbu}s*)d&(pmvO+Bjyl-+T
zvO8tL!x%CRQp0kp@OI1t?WMnjzti>{;^jJzcTmadl%-{Jac6a?3y=MjT?lpVtYr}#
z!=M!>oU!To!R<`k!D8$ePedw#Spy^3ilXYna*y>FZT}}o%S%g{8^t?(eVH1$Y<NlA
zx?)WY;1vJJkdep)=_id3GRAnb9*aVRSI#;>ESa@b&HgEdxn5o6TrYdcMT4ksJ?k|s
zOoGsLMLAhwaD^ZCIG1?Aw}1&7_GG!t5_@2>O7Y=!whH1hSh_pj;pl7s(C-=TLC=^_
zrpHBpNlmOoFG2R6Xk(61I)$5m;}8TTx{)?QGVQzlpiSDLAWX)wsFU1*!+=D<!m)a0
zc7jAE&NY$@nnrg5+|tw(QrThit)S>9(79z{ANK(gjp17*y80M#XPhRG;Kn{6Zf%~l
zifTn-VQNI!@8j@_xUWvl<nq;-wdQv3s3^ED?bGb3zcUYLwivzas7S@0IoIh5`Ep7G
zrbjJP#yO^Vu)=U0Qsuwt5<tPukX{0k^frrMux&H8Lfb^|l{x1{Zz?}FI+?==Y?+n@
z5q>Huq0v`I_22;de7(-|py=Yvov@0#wwV%NM$I&sLK>pBiBL~*4ZfN2x=rqdtk}KB
z-wN1}<y2I>I!7UFH}-Ko*6>X3AEGEm(E1S#R-Pro93Vw#J&yoaH%bh-h06M#5&`dr
zr|J=XFR?H5AbUr}H|G|^raAK~Jo^@J0rY#ML6)c5s66B$=2tdG0&%jz3_d~5%X8we
zq6m$ru*c0+DqpI>Uw<fW3Q0z;UJ_q9x=CbAQsJ|W_wDRyZgK)OJQwy46;PxDt30yJ
zQw6+TfR)97ZFYFeHZw*s+-fpy+Qz?qH$~Hd!xi!8ZXWu#z86P_kM03yT`cHoEQJGs
zpjje}4XXMmF7HR&YWC!kl8C`~9d=(l5x}v~qua1YWiHoyzXA6i?{vptQBMYL$4rrj
zvC#%Ir$B}<fz0Lpn76R6`5XQ<O|y2YPsu^Xk`;N+CoPv*Kbw#V$naYbfr3?>TZTt&
z*W`N$>AJ>EmQrvs_7|#(&{L|D5Aw2wc_An%7n%`6xCf78L%jD+cg4{-sn~+<q@&BC
z1=;^#EWaLQS*cT6bBz3!>A4v{8NvR1)CbNBNEcxnvw3)-ycDGE*T3h(DRF5_;Q^ON
za6m#Ia+XV_DGd$Ow*OR2(3_wB!%2A>k$+U{`2i*sg0c-uylz1(D%@)=UoHpc+maHe
zLHBOz+20suL32SG%AvqXp8F?8NZM00C{}2xqmsw2q>5}jl4`_p8}PZXq5I|sKwP|J
zqaBkMbn^H^7^BekoZJeVl2ttipT;aNHlCXM4pkHK8oTxzc26YtQQ`I@lm(iry(haN
zXNKyBd2tUT<xl;F22Xt%l#Y%Ab6GG4Slu!#i7dSlZ}3G0l>TqMg}r5ydl!?MlIc5V
zdrp#D#Q+(%q8{R7)Bv8FXs2Xr<|vO^wM#7%Ov@lD)|tuz-)1Y85=Nnqsv|%je-m~V
z6xcF*L)2|qv5>V0;5a27q$yMZKV-7dV&S7FyR&}*UkfQDxt#%89AQdtxi1-jX2FhJ
zzYeeI`5eob<VrZ4$+N3d*s%AhbMb(`yA5I6@k}h`u$f9hdXfq=j_99_p6#&uD=zn;
z?i*>)q?3SsJpGA{B$+9A1duA0!gO9w`=ryIpyPkY<Y28sNiWtPl5&6(_Q-=B_A?N;
zMrgW2j!oK{E4zf13gD)M(PXl9jc;O5Env$on)Y%3`ZMi=-&~p0PtACZfh&Wrq%6Bh
z$fi%C#FqU%f_OMaa+YpGKbDeJXmV&>jnKaMn)%v0I&z}bDEuOSsG{#tb7GjCxdmLy
z`K6RCyHaf)GPOe4Cz+#*)R&kW2I!y~JAC{G>_WXio;%(M0HeDN|Dl7_u{JI6M1>O`
zbb$!cfU(5vCSjkXgqaMo4tM@=0&n^GlVCdAc#odgqDi(7h2pXvc;Z8L)6%Y3ABp1s
zq39~Zn(m@F4bp-%NOwueKo}B|3P?(e#0U|PlI~KvV=%fyQfZK`jYb-&(KTwL-o0P=
zGWKkH{`cN<&aW=D&+~a?oPm(lu>hQl*o05fuy^=yYULfNsA{^oB<g1dfRSeV!Z}>Q
zHr=FLEA(TuBBQBnEWlN>t<S}%jjB8G!l<39^fO)bPRJ^hj00bT(N-2z6vpveEXKQm
z6yS&%H}`kMDA`z~k3ZtZKD%Ld^$mnWSAxHjs=_aedYly&7r&o^5WnsOlQpC*FET8O
zrWw2#lvs~GCQi%3m0*sAWW^IOYgT9#3@bJrDc$1wM{UsDzxeUMY)f<XIt~{N>ST)S
z3>rhGBF7+P1{bO(cNCx~j}@gSdy}^qaKdiymwq#o`yi>p7pHXhv)i!(_mz!~3TZw{
z$vbbZsZdR1shwessmqgn@6EH`g3##ZucTLV2~(a0&f8oT`4w7hX8jf$FCgqWFK53l
z{^RjV1y1ezrdE}&M2^p&+5P)ntYmVvoz{FChdF4ussjA>Y@9V(nUb47!709t^3Ko~
zsH;@&S;IQ1Wdl}9R{VVFAKfeSi+CoE3i`6XGcRRztK3nw(fUIvOJ$>i)7Tw8?XW8m
zJ&?#_-OUg^n|ZCf+28|xMxz|<rOG_%#;hJJPE$JsE*NXhVF9cXKxs<zbJkXT+j8r&
z^7A|LS~fi!^P!x2Og7MlYRCIc0iF<tA!M*yK~(RZFD;3$Wz5S1q-e2ZR#K7ncut~n
z(&(LfQRQFts0mDKT2{B`X5cA8Bbo%2Sv~G1g)4%QJNsC-&*sImA1eNG#$CW!3m!Na
zKn~zkYo|8dtU(;@VYWrm3na}hB9;_&hp%i3N>fyF@ZUMfgF*9PKUU<jLbJs2Go5p1
z0{0$E$qRlca15!3%CuE?(L*y)AWiBgw<7|nT;r^v>p}&y|4abTQ&C~Eqr4L~o#T;A
zWR+<xFu4leY_+#A>=W=;KRxG-f_YiL2a?T)?={6ZPi`%8xAh0b6iD}<jKxjH&T}iD
zDfcId)AslJxdw!u!CB0MhOQUB!g(k@mjOEDW-#HP%sq#&4T$~=#wFq!tH{ZQAg;z#
znDM~$L2Jm1KZz5ndb_30D1-1iymW<U4|Y<c<i2W|+eK#-6VZKQk82+em|F)GXyHX2
z&J!2&PX02>1c!=dGnQ=ytYL^iHOmZ!c&HnH4hB=X9%@_x37s-ujS)4C@VQbr;TV{1
zjST5tCZ4r+N79|xyFBvLZTAOs8wKj_WyLhalkm-ao?k;3XZA`DWpE-=9PCUavUUh{
z2R~m<6>SAn{Nieu88O9XZG9uq<aKSCzVMw)N^R%uvn3lNZfw8@6(`!e&t5>O{O!FR
zBgN~Cv4>X*Y0!3_^<@HpGcI@(6ZEFLh0cW<A1sFnJ-~o_cB4aQCz;2le(M^{iP%;Q
zc&@B$RHdk+<bnz<oFt$XL2j7q0=R&>XD=W^gyljtWC%vl&t?im{jhsD9(RpFn%faK
zIuYUsQd6A0(geh}^=I(ka9}QoSLmp7d9Nqn<-&dDDc_p#Ouy(o6G@sTCSS@W;pxBQ
z*WvY~xJEv}&qpMtR6v@;6eeQ0IW0GmxoXQu{DO6R#(5(1-@(aAkeH+r(|M+M1z_dM
zMGg}vgUhzh!TYWWQi}x^@_SBLpK(IqM`6#TKT=Ph7sfF~nV~+^u*uHm7Avl?&JIe&
zjXE?Wpy%`Wtkootg5ofyzv;VbgmE>FP9mZ&mP3-nCM!d#BH}m7xyBsBeFP>ASVc2y
zb4B)JZs+5%!96!s8C~gSij{uqZLL+*$v}#WHx{Iqw8y1`K8G*!TK#m6NOlnU$_hrc
zkv_!p{`pn9ujjt!n{xbNdRz-afnI=}f?>;|I`85Aql%5|dst(Ep+28&PnF5`%f6{&
zB6PdCH{4$hue+Y+FBNH_<yVnYGoB@HXn<W$>NA+B=!CRvhE=~N^fPvGQJ4_`U=i_A
z!<T;r<sr@4jjPn+dJfY@kR1r6Sf6Yf>KARA=YoB>gwh^W!SKx?UtlU4tt3lpK9V{R
z8+znL6C*_rXV&ECswB_RSF!pr`X9hh(;<)j?5xwSw<X^d_`6=D25gxtmRx?%rvYsy
zrWfrZaw#I$Gk7r?Q5t}5QwUw$JL=dZ0A2Xt@z{5dferTwL5hte>o<J05pJdnLsbWq
z;u3&w&wH&{GzN8KGJ%O=iNv4D(3ML9;mu_f&}tnjc0M0gF9}T#jxd{(-av`04NkfM
zrI#jlLt7gB2JFl5rt!O!y|CMAaEu=M7wu?^#o8q4!Mwo@)%{<0c4ogRb4|AX-*nIk
z?wRZ81-S<Jrp@lk9I@vodc3;9*BEJx4^w8&{7vdHTCfh0!`4i9rHGFmK<HvI7i_;a
zU`)0Qa2&9|F~#<4O-bn)a4HCldJ&*pvp_F5m{on$ned@O#H)Nk$UC?O*Ng_0S*p=l
zsj^ZzcCaNG$?@Hya4g%s+la{p{pHq@Pq0!8)f_2y1hF&=LUGJDO)=1<BlIk2)1O0r
zyuRIQpS<8PLS%nZ&Z5tzDM$Ulw}(mP3j;ynZokXKPpZ~RP2Q}#b4mXSLkrdV8LoHK
zf>*!pYZIhnaf{-{bUM|YJKHYPJ#$oO1|?|Cmb9|1{jzDN&3|=D6&-QJ7?RF<XcP$k
zxlvv+9M;O;f*vRjPy5{f>DILKG}1kOqIp-vcC`c{5G(%BZq8U8dM?%A3JnB4FQSws
zX&QgYbT_?SlprO~d{jG!Y$Drj_!uNZ^O#b<wIxVPN$r7#?rPHBKaDiLco5lThrjY%
zcXP!DF1fF}$>Ren(IrV2AJ|2@`B^x{JE1G=X+^WHHP;T&h|lMrt`C0|9T`B55aFMq
z@XLL|bK`VV86tQ)dYSmt)TO4UF7pO8P^|MXYpJL1e&E67k^Oo+X`1{Nv|a3H-4BQf
z33z!?nDx@ITAyzRSHUTH7vc8C89Z;<v6dvY6K+2Ta-9H`lA_wFFQhOpkOuhvX}JF8
zhYyqBNo(Z8^I@WQ&z}_fs>h#s8mVaTW@J_Q=Nq1ezb#HFLEuq$heLhX61aQ!*c?x%
zBXc{dChHGe&a`+toXi;G`u9*gTKmi)x6tS-(twhOU!ZrFfc3X{Q5Yc+M<9|}iK8mE
zvapzYDFyUwaTOx1uJv13!aATMd1$)5RpX}u6iVZqqG#o7$SeE)v;0;G3(qTGyqs-t
z4F;Vr|MKiUT**=Km=ULCvoKEGzNMk=PTxlnT9bS1nTl%Mh90nZ84OFenT?$}j;f}(
zg*G(a8I4Y%%K#H@4n1_pFJ<b^@Am~#132bNrom=*ZN4dheIMqA0U`(9qzYc2L!T8p
z<E@ECxdGN0G5uDF07Gy$QCt1RTvZUU1_5HJhvBS|$0%Bw4^V$iva>4;tIL<R&lP>7
zxwQ%k^$ixrM<1oOM6Nf7b4$OWFpm|@UeN5vHd?AfdZMV2pY4CeRJFvlPBWHy8C+6Y
z<Lc^y%7~|pZZT}axP7>H+tC{F{VWFo7QSBhRfUHN4|Ad2v37wf|3A7dSHzpf(WImj
zK~?!!=)-iGzLSme-;<9gk<x^&o><_8JcBO6eUhyPqo3lFg2@iG>bJO;A7m2W&T+&O
z#;M}v2$Qvp#rX2a;e)?@S1stdk)hvD+iPepEk6J<Up(#QOfCNS|L2b6)tK=Xa~q4L
z$hRF8_0bWLtXQEtyixaupgaBj>E@cR?Xw=c@bP|_ZO+0Qcj6c-)zY^8VdAr6a=BM{
zLv9QFX8Y_1Ss%d`e9?I@WqIkzd2Ei!(E6gnG2syZ1*KM^yuP}Qr6wSNECkgqp~}3{
zvDE}bgap3mdWrAf$;=X+i}5JH5~A)*p0lzKp(q_)y^1~wnX;l>!}X96W-j<rlrvdO
zX2ba*?(KH*rPw6ydF=p_WqqCW;9db%@vap;FxFErF_l?YOT_5Ouuf_4u{klxWJ39|
zA>X(vE=+>R;%>*W{WLNBYp{WBEu!b*{;QaNFL8iG*s~}sUfDGpNC|kDvdl#oZud`B
zLok%PIHEpX>_bnxZya^MnDY>aV&2r63@_<12WOVzdQ(+Lj#9326aUcEWq`!2aInK@
zkl0ksY!b{4>B0f5DOyb3<$n?4CEllX{N>_F>UR`8<MJ`#k+}X@-@h$VKNrJqWH)zM
zH|sfq*=?e|sCLquLu@~7pF@9-1>~zFNa>qgu0ISr<BvNS_a>d;iZhmSe3QZ<gD%eX
z%bTo#Vk-L^pd#l>qZ3@C)+;oiL}R^IGfM%_ypre7T>u;%F#pmx4hlzs%BvbShm1sm
z#8PfQlf=zELGL}ks<s|)43`ILRDco#E@N?xR6MSd&4<pxcGa-We}TmGTnfyZcwns{
zNFWx#E#iiCQDA-Oz&)t!EdoXp>MqsGeAtTZvWg2B#DWS1A+WnMY3>RSPDcSL^LrTY
zGj|}{p%=C%I-zdT>wd(aAGy{K@c|atU_bzm<X3z~?;KL{RQreMwiZf%oI{k^#80|4
zyBkJqz$+|>7zRG9?MA8l{SzzZ_k7dnY$X;m6yoFjo1g}f^+O^+B)S^iM1yFEmb$%-
z6=S-Pk#-ilkA7Gvxz^IZ$v&xeD(JaRo*EknK|fYB&((Tu?PrSvT*Cnd(!l2Rq~lM9
zZ^nrH=CFX3fM}UmzEyT^IB3W8YW2<jn%ciG^?pY9T68Co-Xi{~wO%$JxLG7~?G^eT
z9mw)fra?ohG((F9F5pxnX}KE}!-^CMIRu+#QZZ#UK>{*}Y=<Vmu#@sehS<O8hdlF`
zml^B&@L|@Iiv^~eUBhbbLSqASjiqfo%F~M6?d{?7PyIoPMHU;J5G5bq9Q3Pzb@CN@
zmgt8qj&pIo6A5p0<SU1^AZdNtFaS-U?9ymg|2q#tJX^nGUN<EKFk^w+FyLyt*Q@vd
zpaqMZb&fMW;|!9gyXWdapxc{i*N=Za4=9OEdNmu5!^j+-z+7*}jRj@PgBfDr-+JUi
zo-;(h#0LI-$wUCa53NeYgp&m!M7mq3T@0`p?}To|*TGnR)YxEMOclfFa&B>>F$jNP
zOZ>B>2**o2kXAR6`qch9{(Pbc9DS8_P^Eveg3#c<u-K9dU0kxja(7CchycAPyhFq^
z9V^L2ICtVhY8;t%e8b+@yT)shSLmcweef>tYD+U24Jzt=i#kU(MOWQ6KuKB8CnxaE
zzk=Abwx?ZYnPU*IF0}sFxHxx9#gPwbY7Zsji^fO5nCQ>|eeH=x{o~u#T2=iFdBPNQ
zppHSyezxLPqpiIOc<N?s8x7JAeWlybi-wZWkN9Lt9Ne)jevBn}|FYQKNSNL5mCcU7
z(O7Nb(M!(0$L7*Ku@6dn(VvPhp6B2`)mLX2uH?D@76s>K&1J^fqlK9jJ+fSoMs-pS
zNJOumpM1#rls!?X(S5~aYrmC!R4Q*T{WUZSF)4;dA#UOcjC`k6n|jWkmiC;M`6|5}
zNJGAH-}P=X`=)YC9w_%McXxoilB;~n)LnW@rQzN@|7#BMSJu~l;$~;h2BD2SQrEkr
zmgWjk%><Kws*ZVGDg5PzKF#HJZrF($8G;zY`HECtQAf~{<^lr}M2FN|1!kwb@N#M?
z8Yu?-bQLH%6fbyLr;>085IezSlgx^1X?D<`e?%tjS#w>93*SL%areG9y!!TFJpt#N
z06m=?O!ht${eR~K-08)nZHvYED7jL^E+6w$Y`m~YMa-}&oy^H`O8EInb}4RkPQ0Ey
z95qy*)*if-kkV(9d)mWF0>Pu0XN*o|eVK7W7@+B{8}x#iLHzB7xo+uW7n-J*^-w_k
zwGVE{v9ADp316;EaAj2B(5SDN8b1W6+oNu1a&?=|5<k~|%3T1#(C|gT6JGQ~cE+Zf
zq`*|sj6#z*H-{{fmCk7W-(>0IuX~X6BR&f*%aVjSYiyui@08WpFGb;Ps&G2n+icOI
ze~8g_&<=0qEI1m-O*vOAV5Or#;g39AM2wNCZyyk5To^qvuzG1!>u<_1?ZcJR9*X_w
z2_uIxd*UUvlZ(5&>7Rt$hl%Aue!7$yX4X<R7auv@<D^%?j;Go#bdK@&KoJ?BhYR9Z
zzvO`5jW?uXL**u@HpiO;foEPB{{%4guATqUYR(p?PfY-3zv$Sc15@->zy8&Gk|@mb
zfzpSeZ@&OdhwM*EV6t60?2?o`ly`8ZE|@8xFF6_d+A+>hxh2foud7;s){cBHO<?U*
z;%iINVvB`SD2Q9xSr%VYiKnboTHe>INBIHIJ_`P@OV4D7LUlGA_6CL@B-=DGG@hye
zwL+=1@Y+tF-LZC3p`O&uim4Sxyg5r}e%E`X;2gO-{4*d^wVDS>-odpC-d)qy(bVZh
zvmFfzW19{+ZqnaAFTNkgn{vh&;_v6WjNoe0FnELec(H52iocE5MV{#d+E?X#pGstV
zgqp3l)vrr>Rx#z<XrEYJ0uyBwjyg5ZgWwq{)%kOyQVB<fzq)|(7Dt|L*#idgonJtB
zJZ$OY#)-2{SoyOQ(S4gk`1x$1p{h#5R&RTXsvxVVFY}#%RWHlEMxLcieDJQ7cBhN%
zB5^m!a{`_iROn$cgY@VTDj3C11%sK&1g15XD655gl{t&5k7M0*b(kaQlaR)AFZqxh
z6d*nFSQP!ADhQg`2*CVQX@zEGsRg}=nEmzD!}H9>i1<Q^5?vQHWxf)Y?l<f{!pT!4
zn*FZGarJ2CRrXiOJEFf3kQV@^Mf>DPo~{-i<u^$K8guIp*ivehAfXR`zZkVC_g)7B
z0|}=nk?p~{YF$Eow37q*ew<mDE(YPW(~GrNe+w~Hd~h+@uEC1PRxFni^UjQUl5GuW
zI!P1tBpqAlOahYGk|r^x<e_Px=R7qJZH!M5UVa~J!FeAf{E67=A>5=BNVa_-%<4nA
zLdBxg&@#GJE<u4P{fM(erjx}uzAq9u4Q~woX0LuRDKN%*PQ`mx)s4qS^;%9MK@t=N
z9IN?-qw1y{qRWXQa=BXg9s#Qri&I>@X^g)rV@&V&Qw?xrk)G4r;P`GuGscY#H5BW+
zOq@*ZmYQS=9@zn?8;!q{hXn#1g1LT-twkSQ9Vc)iDt$Yhgq|So-PgtbX3Q$IfLkNR
z))IA$95QyFdRM!_gM+3$E6x~tY9Nt*gTDRIjWqCKnVrJ$*gz;Qut2jI@%KP?UjXEx
z>bq~hIHNjpp9z>pdISsTSFoCacGW9NauMyjz-h_Vo0JxI_>4KlCg^$8sZ=qyuFlgv
zKz1pY@QltoLVF&@q#+o&N0i?^iTJH&u^#4#VzSzaC`d9*FLazNF0i6!0MA2nI(d7Z
z@kQW%*TJ;QKvsoNY5b`23<aA-;y)9v#AZ9rIIsf8VtT^!979^$?N9&IB;v*h)uQ>!
zqo|+wMSScmpD+EoGvIAQdpot3+Q8L2Nm;95G$(e#Zq3MM6hl6e{#c_mn&T~|>dlMe
zd!xt*dtm&GqpXY!fkbmDYYNZ^YN<GGS-TAnioRS_*1Q-sYzWx$OV^G1o>y-tg~7I8
zI>J#J!)|erMGrMo-aHxY0F<&#T~#)3e7+g5sOq)5Gk-zf^(w~Mk3YsX1NWh8q1f@e
zV^r53TE?)RoApSi6v%Gu@aEnk@V#9DyW9iP_xgSfdGfxDD_j(E*15L&d#}dCEQIk(
zhSf@{y2a24cRmkmXw5UDr=6O@df{J18FnH*L|Z5+-a)l1HFtG)px;ilGE2uS2n#*x
zOIc#dpYFvd*uPmq?GNYcy;#HdA4w;K>qt*(VWs*Xl?l8vpx^lA6K@-Q-sMro-de3Z
z<q^v@JbDz4LHF514=k6!K&Yg>r`X1vp8^%owUvj^v<0fii=3cuXTQjwFy?3_X0s$a
za~O`a3tUH7t|QpjDdE0RKr+8MOdt&wq(dGejK$n|@N6C75tVi+%Iv*Wzv;H+(3Mv5
zrSc$-;%)JSwasaG4@iHQNy~v|64V`8i#LP}F&rR6q}D^xc-G>RbN9g)B``moU6YI5
z(%|pNNbQDxvs2NUXD(Se?zy95$sy3zbJjF0`!dCrzf_AMM_9~^W)kUhtG{{2Ts~AA
zYz#@2O^m#4qwCXEDDRU<iB7|C7GVC&ykA?xU;TP1oI|^5;rTpw(@Go7U{$6KrhckL
zG?3Q%=?Y205tjba*jYR#9Jt=Vzy9$K4FG+?04`z2<%LbT2L`R@#3(TnU$Rc#P3_gV
z!TZQzp*71ZxVD>Vr1YNC@aa65WjAP_KRQ#6=JUy9@acVn*@wVh88;r+XdwA{2(n81
z7r8%GS#Ho&BiU&tj^~qX@{UJhaw%r6n^LFn3qvfBK<Joc7z*SA`}sgFbLBGBqx3t}
z#E>HWOs0<vKVHoi7YxiR_=AdESn}Fly&zOK5)GsiPeGdf#PS$GaYHwLH7UVy+RD1P
ze$T0x?9)?hs?2d?LNC`LT3DpYC8(klPEMiMQE9{viPMI84-3G)hA~kr$W<z;*j*|b
zYadb_tVQF$N`&f5Q}v@AX^S!YGlX%>m{6SmZ?u2D=SRUkExQhQN@%B7fhqN)J|)66
zSpE2xfPBX!L~<+O6r-o(U$iC$P{hZk-x?n%hXWMZUpz$F<|SP&U_EucADSjenbh}B
zEi%_7m;_=*5`cC+aJ`Q}7OX{#DP#yuiVjrCu(d2}V5(j{5m1paQmW=i<3c4*8n?`S
zyx@F7JV^l3;Dshyoa(hi--g$_U#IU^4IiSC@AQp>#qOQjo|&g3Ho^x$EsTO+43|vL
z*-(WXms#7S$C5u^HpkBm+Gj7BuLcO+425a%oFtj)=f-sajfNQoOZVB9!84u(b<2+~
z$6*(pu)#kFn;aIWWd{$zN&m;U!-Jn%!ej!G7h(zz)9oLDM+F}=+YU8bP`;Zv4nG&Z
zT*m?h4Z4a~LcqjRHq6kEZC(RO6+Z&qEfTmJ?knqQD<W>Pfh3@+@)4ckD*ChprC;((
zjb*#W8-LN4Rw-3~G#3lB;h$k~QxvwrL{@LHug#q2>nLy)rLUs*W5{6c4|uq~oY9Qz
z^G>g=hp1&MJrZJ&dQ=!|C;Mw3ckdya9ix0a?Ya^D<o<z>b3=Rkg&$w{7FG6>t@$38
za!c~hC%2>-AV~=F6Z%8?&q~rgQR0(*QSO__$;D{}ci$+Hv1u-t?3$p?h+n$*Uq+g^
zX@8D|8xuyZq+X#v=`$s)e{5z+4+B5E9dht*J$4o-&v?W#7$ezCFzJ0D|MePTu(2vA
zQLR{gc$2+3v<k(t28jNq_-xViS_iBo7TLm3;0B3lmLslITfFw1Yu==B1g|BU1u}gO
zJmFaYCZ15U2sio(7sl=G7KqgAxX3grEvlUV`2-NyF4%EXr0rqP0&661&`}+q=e%-B
z6OPl~h}tm9oBpaIGUC&@sJ}ys=uCJeDSvE7ny&ZeBQbnk0(av4f`fB(m_>T9Vi+Nd
zF7aBTeTLnC&%=PRg9D*~0%RSsE2ig_VdN|1>R*<8sxwNRZvT1_)%CPn#^rDYxSMvQ
z2*FEn2rgl}yslYotj{VEhZ*5mKJHC@4s?k69g>R$B|8o9j0J9~sRSKJ#_XW~7&2w`
zl}7Qj0UViez<kYm={2#J4fl;-g{(3DX%8s*N-%kjCZrr$@UQVIWb@+|Uno68{HciH
zNKaLbw7(o@{pv&H5<s>adN4tXSz(CJmstq=x|L`G>jIIO`NW$ixiYeWUSojF>df{9
zu2q5pI|=j{LIO!grp%akt`8tdralEX_d?vpSfH_5H+g1R`1H57qXQfNXAaddj5#ze
zapXXW*_GGD2UQMekbYk@FUzyu@8!Z#(pgwlu5Z(lH)HmbR2{o|`n~WTm0PP^fi?eC
z-F&=6*1Lb!<ilGDql4Ji^Op4R!paIp00jhs5tpuBEY3$q&!~x)c!W1QFM_~4W%~85
zn^oHM`>&mHm5_^#^(92(UE3ycGa3GT4_8(v3d{o8C_Xaa(1>dJ9rockH32Tlr*pnH
z*<A4Y3Ef3ehDk4g<H|ECH=^^5>Yt+qw36f@G3%w0!mnh<4Yiapd~ApiiV@r6=Hu<}
zIHG1TEel{}FtDrdtSm_JA|i<W)=F_N&19(?=K3zZX0oPGC{YhXzoi@Kv{b=IM_y4z
zF<k$f999OK(V}-S85bpBHWHy4318O2rw3BAIC=a@?3rp#j&JPX8U4xtQR@bw_8Xq>
zHfW#^aB{eQj}Ce{$|^THt%G+XgT+=SI4VaJ!$!S5gB^?y*h?;xn}3e2jd}78vDEc(
zqT=ohc3$lZRQ6!PH+$ri{&otJOnE)g6SXA;gPuHR|6ZAM#F%Cb5*$T4daAtYL{%S2
zRpTf5Um3hcIfsJ;`BCJK96Q^JS|uuvLvDp5qvC%0wEmw002kJaSB7L?cT#Ade}=50
z!MVm6<5Cql6@n{kWyj(#A<5L4_^*-=+VTg|#0<KHOnU+-FRanml-QeOu5HKsgoOeP
zNsD0S`KH7^bAMy=4PSI1{pc=wa%XEWOOJ?~{1uS(!gH;wQL>TE=#)c&nrd$L{jh)^
zFwK`9KLX%sQ%h)xe&!d0N;xm!*a@*KkDF)Szd}qls&7F<<3&B5Y%*3~E?>_Ml;Zo9
z)B+DefZx*kA~8VCA9)e|EwhenmZ7gX9cdMexW2#Q%}5W*9s6)l=v_OE5)2qH#qL22
zt@6pG)qQXK8+D8g&It+p{Fpv6X)SmuFp&-r(jLA)4>8jSB)UOjb}z7>vQC*<@fjtn
z&1biyG;kpXwuAY4>{GweNU3(I?K+akQQcg;A$|l+F()?<C(E}U+mw~BvUKFoZ-7>L
zX8;Fp%eX_`dt3?q>GjhmxerA?kr}8Y$$HF}{(zdfw0;P-va9P_ypr(I8M<!ncs~FA
zpG_l2)-x8-b3DIK<td0}bhD8Abj!_lee8~Zz3|efoE7m4Tfd+eOG#5CbgHo{<(cKI
zR@P|rgV19-c>5}c_&rB|ooocU#+5yA1<vYOz{m$JA3ot5ts*{`#bNWMZV$7$eSO-A
zm&vU?oWnnTXxhLlEs{JPc2RfH?rR3wLH4kutDXrJN={Bu$Z_JFXfb~>0+{~0u&XBb
z7&B2IDxl{By{iy&OV@36NvnXdJc62G*=s3n3XO4gNyEl+em0{{gdg|L74#N?GY}JD
zR#{^zft&|;VoSB{p7h7Pf{*37Ek=v8p6AA<{p0ONJllKg+_kf5os<GA&jic}1UhI7
zt~WPdXdNsgL)^(VhVn|!k=_gYDnHT;ahE8^>14Rpa4Dy5i{Hq;Y|e$q_8~5Ee=N)O
z4P4BD{KjLsjQL|Q$UGwomvb4FAtjO!z_p6F$Tdi?Z1%~GzNpCc{7s}?;|`lgN1C4e
zeNisAw6Zbcf%zOFA3(F{Rq%t!k`|7UH<c_sN}Yz{<^%lO9j%a(%NT7_(5FT)=GSuG
z&rU2QUha$Hm>%58A)i;V9)Y~l0AqpF(VR-h;gN~m*Wp>!)$#Xgbms4g#4`sEop0Az
z!j0%uxMWcVXdT<5QW|%0(ydC*)tm0C8hBb-n&_I~9oiiQc+6t@yyl(q88YNGilp)E
z?6TKk@|ZsNn@m;+8}<J<(FS1HA3MPAyV5jX{l?^xK0}dPg--itlAp87$^KriJY7?-
z$s&zY)!o43>V$aS6RBkJ%xe~GLF@OxaQNv8VJ`s`czJ<C<*$27HC1?Yj`j_a;lz`8
z;_W>Ii%k-t(}L89N1MyZwvW>$*ptqXK;=!ik`K*$I*+k63^_7S<pVf2sDK6!avN$k
zCW@nTsk3-xhsHJrLm+kSzaW+-JBGh}HjzW<u?&vvx7rv8m?8iHCAz!?6H(X7)*rjL
zEYlRU-#6u0-WqpH0W}QG4OILwU5-h%f9y8AzNE{eH#NvS8S{M&qXgBI29yX*4u7&7
zmYN(?f>W^ZV_3%e%Vek9Ij@V!Uha{sSs&Jk>>BkLMqc@A18dXlcsmw7J?%`5lo~(W
zEGGH(sHj1{p^s$agWn3nJ6h`er=<NoP@ON?xVg{RDxAif=R0yo3;QF>Mi-#2mr+!Y
zQ*ti}-zx@CkI*10dZu0i8*up?_Sj>Kfg%AeIj4>0OQt>^GF44Am(guE__B^DtfA{R
z#YR;Qj5uZO^7yJpOmN)}JKtq;Q|a?c!umPC@;u9e-|4}-joLq&tJnVw#Wdke#)nqH
zg_#lM*E$b;wOJ=wW0j708kGN9`d)<^I+LTM14;r&Tb50qTMs{6!+Du6AzVLZOSfwm
zD@XA)DElwpkHx>O@CW=Y-yA#hTWoGZd8tOFBl~3-E-00@3DI>VnFsZ=T4iQi{4%>|
zsz=jiUSGP24ECf$c<&#+QS_<%butz-&+~}+ymBea$>@Wbk-Q-2mBz=2DZvs$z40f8
z`%n3CA8E=KeSALotQQ{eY1C4nRR~{7*L?Xm4H_@2Hh?T_hxz_Ie^&=U)IZ(P_ChZi
zTvZ0h<P^S^8SR0@l1*oPqy(D8;g4vyJIMEtitW;X?IU|s7^k!hR{e3?LaK!8bfQj`
z(7D#dhTA~24q<l%r_f`t=K?<MWq*|q?oO61(MIg2^;kulbImFo&DMrBNAIG>RL%st
zT$n#q#i|?(M4ol4+?Ni2z78lsi{OTdqoKQ-OM%KB9(HS#{!i}il!kIxAKnFB@YD>Z
zrL|^^y)@!8M5re#Yz2@byT)uBi7vd}DSLDd)q6$Wn1mMkiF<RfFiS<UjwNyb0X{1B
z)UZD21`U;E-3%7BP?1H@dEa`Vj9NK9(3{A%t^K;Vn>dxyIPQJEXZED2cvDadj;8?&
z+m#jG$^&Oov&f<-KcJK%Wz1fKby4JnnqT_Jjf%<coqdhNeyKPQdpBwx_~*xYXpB>z
zyZ_XUir)Beo#(glLGo!p;yeE;6HPM$3!TutWS%&JcQGF6+m4^A+AbnytvJJWf8|GT
zNI!RIUdkiRHPQ1jm`9s;pijZZb5DZAj;!rZ3R0kcMiFKEB@M^Blagq(F#c-TnKUs`
zXnoV-J|qjdjOpj1Y3grr;6}OY#&o*CSayo@$0P_g+YRDhZ$S5s8u+p*B0h)Ve^g+%
zQ(F&eMZQxcJNLcFbH`B1Ya6l3K(q|O5resSYG(bT(1|q<E_9$<r7PM2_#00d7F_PS
zO6oU<2X4VFSZs+9RT0)hTfu(1315?fEqMHP5^i|!*}0cM@#SvS4@kwK+UNaD4&SEv
z8<cat&RP5UT{prt<DV0?Ojm8WGTK%RYD0cS$1HxI$HGA_lXwb}>Sg1QMB}|Go$(@X
zGZTIWG|m!lF^j&4F$nd(Z_q*O{5FPdU&fgsCTAlgAn_Q?r7qB~6;IX~as2MyVwWa)
zljl!KMv5mfu~{5+;~$hQ1jBX|^uK;_^jd_aR+qOnTENJFQlVdSUbxi+KC5U714Ez5
zaB;H&)CO2lT4*g#%s%C74_a+x#a;y8YG@`}r4F8O5cHpJpRlgqKzc+6@OI52FX{Gb
z=MrkTn`iY<_vVVZwSFklF(60Q6ZGX#_V)G#hFh&~cm;*yLj2}+jC5CaLn6vaaVvh0
z$WB@W?;pHwq8{?GZ&r|aL9&L64CuNuZ~#mhc}tMSam!dBe_M^qArv|x80PmC$;7@?
zqqml?x2r=bvIS(hjXEmSSjXluW_|80j^qlHSUX-9^Q(?7*BKXtk^3{B%jTlkxWn;)
z-_%)ivG^=H3rqzMkUfJ;j^_S6Ci&W<L@Nv*7E@JyEplTVsJ!(V(c1$@yJIEb`2g#A
zZFYn@OuWqI9Ts0K#CYz@YpQD{nRG;zx_=auxPH+<@t=cvHOn9a`jXG>JV<w;_GnLX
zNOAOY_oNubi=&unZ6*@Efi=~m6UCtYuX$5+`dZ4{ZN|?W=#NSDu1B1kAoIcJ4`?^~
zuTp`h6&ne=?m_4uTlOfPX}Cfd`Qnl7LzZ1Eu=5_I7eMNwNCnc;d8{Gf7O!X%gGcPw
zvK)+SvS}6iwA|^ZUpr&WlG3T1#qb@oJK82QfVFUe3IgSE5Z<88hAEgcFhDfdq^GyR
zY#$rJdMxqdRcZz^x?^R)|E=q?+%VEIU!Tr5moO#?g2n<RF(B`@21UCv&X!Y6g5$4*
z9Q@JL*vWewwPT2q@UR}CKK~Zf=U!JQG<4I-hdHtY4}`bg@GJirzz%mLi|EFW_C_F$
zv(4SsiB3X_V^UE^k$&tb3v9sv{;iPhoi^6%x;RkyVl5q?@8nj(&ph2#@5yDuG87Lv
zYa=sq;IjSEuQjG}bBH5OWrYaUZe(4C8%tGdSeL|@wCj{$p+c`7jOcm$PtR#nPy-Wk
zg2jx>gC<9Gf<pZ+7!BW{xiK@eR^?q0fMfD54_53i#L3L$d{*Sm3ilvNJ)f{n@IW&n
zcc5Eu+Q<lJe!DWgRvmG@Ul^%#45&7)<R&U@GkZC*&A*QCBwlIn?Xzo9Tg``5IR!bm
z=A8qZ&qYb(u=L`8=b<03M}h~2_Bcj;C$63bR%(s!wY4YGt485n?`-hCCigJFX;bb5
zlP}&J{`LaL?#3t&g*h~}@Ljr#8mq!Fc;7bf&)>gzWvko?#&Z!Yj@)_YbMk>Nm;*@5
z3~(xDadk!&m~(OT>Znt77v$%_38Tq93`^LlSG2N5pKl~P6~=|`P`8j|YsP}wpenKu
z0Rf9OoC?|C{UsKn+e%kv?SkH5^#kN0VlcRBC0IQkQC03<qJ`ef_sP5McXO|8{mbu<
z$#=MOYs$kov?VSr6+N`N)!eE5FlC>h#>A4(o2{j8k^?0?I=1U!guH3;)|Ce?qC+9Q
zCvl;AU6gxqwtA~VXgtMt*uo)sX_i_LZQA8&&W#qrH9|Snd=<~EN?*{xjIO47f4Dt$
zT0IvV!LJ!74MA;Ygw`fvlG1>t9_vfG+JI`UE2LszLpeKg^o(Ba@CbgGHlE8nD=e(%
zgwI&47%EI$DbdSG#O2}{DP%m7oCz4c|0jMFu2XR|CcUG5zDEs9ehmSv@?V<_U&SXi
z5D?ZcUi64%){>?*BjL+*v272|o*gv%t3(VbjG1om4<61O=d~V@Q389pe3sY?Du8*<
zdoDX3M^kLg0(qCHlz8q2N8W@>v~kCs6z~oD^1Z1uJAZ9`>KFThX@$ENfimmlIa&T?
z#>V!j9MyTrcX(3Vb{TeRNVFsNq8AhN-5uZnHY!#SVD*2h8!o_5rFw59R(NT5=FvhZ
zAy-R&$S2CSN#61F!rP;!USDII-S@1BUeLofV2J}MuM>(O;%VW>bAEAx=Dp|e9tChv
zzZuhKX&7a$oKE8d66s2Ot!fzG6G}V^(6}t#HK1eIU){hhPhp4akb^!sw3Ngr`e@x>
zUa_T=j0HM-#FnI9nZ#LYN~m-X2c}SEvkLp%x5a3HS#JWg+$B$2L|!jyQUSQu|1#Cr
zpwqs-Jn(6SljlqNRjCC^Fdh}}W^;v(eH6yUaka%}JT&uDb5VCzl})SG{xrRCOF^0_
z=8ct_vslsPC`wW*@Mnw#mE%YohrkSEDrq%S|BfA7VJ9RCV#2+&2Gr4jt}SLfEg@T@
zp!rFK#zXJ-DE-P7j(KD`?^6)x)7lSwT9<0i$}^nX>(e-|ONa8_mgg@|&E``*I76Az
zIVG8GhDp_Cim4u&emA9PhIxgP&GARMbw&%3I)P(9rA}=_-%WtJa%t0?8cgTj4<@P4
zMTU~){OOnkJrzhLC-Q5osFY%d3}dQvCWXasW=0(RfO0bLM2g03(8bj#3A#pY1ZeeF
zBOB$9aezDr(Q3-&kJ%TaZn7ujE$kBW`=qQsYId6xInNH+P|<+8=1<ew(-cRf2{_eb
zrlQ}B5#Fj1G7eE2-$eO4Ihk1{tOp7d#KA<x;<EsR;Ni(}>>KBJ08MbjQgE>fd`RyL
z*TL&um7JNV5kTn{O4~`dLWx1KWg>@2eHU<EjSrL&)fPFSb)g^$Vdqx1CDF&8)y8_8
z)M+oOarFN*#qHjGHtPuMgRd<_e(}wJi<aV+4)Iwj9!HTij{;twlI2P$iKG2Ui|W=X
zU>j4m^%u_ME>)nKm-eF0%aCe27H%B0wbIg$J(o7Y4)IudX}QY0-)dGY!sBr~`5>Qm
zm`nXeopJ969!aJgc!_B~)5!4WK{i(t5kg_7EnoA-RfvWUR`!yV{(GlRC%P%32{Ukx
z@*;GSFDO*V9q}m|>qU~UP=8MMJ?oBC8Bx_die-{loQFz@9mwuUq!Qce`B^)C=(bn>
zlA~1+%d$rHMAo6*vb~%P9Pby6AOCcP7$uN(`QA}nIKvA~vP(hC9}U<;r8MtqQnGJ@
z8a$)aorfIDdIgOgz_ALs6ypLAA<j5Ir{F3EZG)g8S^_jJgmO-7h*xYqif&{!*H~j$
z9rW&4J+*5`^en51SGrtT=dQslw#yTw>8yLO02I)bg8V{{Ql0NpI`EIMMC;TKFe-RB
zYe(~^c<m+fy?KM^<lSa%N0Gr6E4D+4`*xQO?*OgcU><crN69i1Xe7$}AkVFU3eC;$
z<VP@W%!egq?>+=2+Xd%|A@b=|0=4<k^yVc0$g^RA9Aaq*vC{}m-oPsJVhX<^iB#V~
zDAk#}uFQ|5-Rt!_{QP%PBHs#+QbMy8Wnq3CE<mvvIdrloqpwz@&5-;~M~FaycR$Rg
zk$jw_o*JV<$Z14K3O}`N=i{%J+v6<$A`>pEz;lh9x{{+wu3&Xdr_|b@BtjFq0x#H8
z*8o;*N{QKhR#M89ix08AGOF2A&V2kN+Z<)<B#n*L@6P5-O<#P<J}0~v;pVq^D1jxi
z5K*hL|Km|Vstd7Gf)>UW(w9YiNESaT8u8=eM~@LOQc&aPTI9`9;}=7dM$;!w-;bYH
zAgh@6(-x&0F5>217N2~#bY|pFG*a}$CV<j*_NYZ5KNBVdVlXpert!7&rH*kELZ+sk
zgMUl(bt+8xXY2~C7dF&zLYgIxRPe#(k9Q)HO8!H4PXkjyR~6b)6D$DV+wd`?vzU1C
zcm8dRQ!jn_lZ%5J<}!0zH7pp5oO#~PFl5EV$6vn$E;AOLSmEH#G6jV;3u8~&oO@PG
zd0{|NIUD6|M;4fSQ@fY!^4_u5x5X^}Bs8*b!>w)%v1gMpkdmi83s(qC_#YYNBDoCc
zR2~U;s0>#9SILTa5a7etIz7T{au0MYj8G_FN5p#6{oiV~gwEDyEl>Of23%76zn#Y8
zcMOOj=xAn;ocu3)e_^8qCkJYcO1m8<?eoZ*uMPxIyiO{Yg#9w~BC&}=jw`^Dk>DV9
zI5r04@4!>RXD%7(Pnu0?%NzM8PjFQ(=d8{3p;yOE<Axq({M?mlp2>;B^!1js$!hMP
zUFRsXo+*3T9V27D&HVzGCy6J^>u_<Afee4^mgQ~Vi7xe-2KCt^-&30&gi>Yra^ifn
z$Us1Qh2c^sz9h|W?or|YwcDqonuC;#&K!J){`dJe>!KA$mRBTjItbKCG#1Dl>OCN)
zn8U*TE7B*FpzDig$Vtv%Fl^jXM*a(Hx~7Q{_7IOx-OuhrX^I^>t)w$*t%!zdcQ(f%
zz8A3H7-M43u@NzV5wC;~t`$G$&@mKDS>`@t-}zwq^;ZRu0LeG`S<ljuKOpxLo`yp8
zC|^__aZ^`dOFu)+>s=DJ&mB5@@k+l&RI@j{?a}D=!QKvG;j6Em;f<@5^u}?Ut^Lgs
z(J(URxyhD}8A^%1wF`UB%@k6J%$jx=^}_RC5wNY8O%V${52LhYW=?>On&orn18Eor
z$>!LLNvjFwl#1qh4L_m;r#_<MqbJ9GA6Gfn{|=Y$TfN&s{wB2j6IpDia&8&T&d=1B
zEujQ2zSVqwg{Z<nwvtM!>8OwYQ1b1OoG|{*Qr_cTj2XeIR8QXZoqDGdt4}i<8YZ;{
z!uKa-P-S+WGwp4jzK$QF76-hM<U9~V&!EqC8^~@{RI0_L;4_d%VOAxDXLr*9Ff~Zx
z9ccR#=>)to54M^YAFuQhRh6+I-KV+7V<Yk?<9GCQZ{Hd1+&7+nrh-laq8ul7Gg>3K
z|E+`{F08TR(aoTu+Eu)@T)3h@mM8@U48JulBa6Jbs4^?Gl&Su17a7DZ!fZVZWylbd
z5!@9xxDJKB>l!E?tx065Ji@WaLGU`I`vCha3&Oi$7DNq!(N|=8W%0{F^tMLjf$^UN
z@8iZ)X7<s^b5^KQj$f53*I^kTbC$<rfT-5<3sxV&_0OVbv4BJUTV(-6h>;;nT0%3j
zSVNIFA?JP_`9`rk#hG`QNXx+a^Yfd(wP;FIgWY9-wqd`lNP#gd$|or|lTd1u*Xy+e
z?`sNwzMBMOa*vLTVg39cqlmEzX?0Hsfzst%5zrdg{=e$UTvld%NZP9#`FZ`Vdn}zv
z-vH&mN_yw&bjoPaRKgc~CzKjyVELb2XO1egCHn<1#XOk5g2m3qY~W}xa1q-T_ieAn
z{iV@fGK-e;ZXS#}Q~gba`wmqIFkC|{ZkZRqc+ZDuovkY^V(y>A2Sk0>%)tLx_+$B9
zF>uD3e8u1K1kZjNB<`i2TEC@7<z0R0U3Xg=cbeIIgNK-Bd1;U)z+X<$A>Ft`@jl;6
zqz{e<ttX@%Sfw6nDO{E?j<|`|CM@EnWcdm-%}Z)nd)@1n|L7(^@zW`OlY$(9Hq(5g
zNOi~Ldu*)k%@O++gKW!xRCM8sAA6>@z$+_!u$}*iz)N_De9I}fC3+L~z&m`ud~oto
zEMrq3BhZVH%`DMOLj_$V9|uQ#)Gj}ni0Lm1Nxq1@Nt_+;fkkVQJQXU935C-{=#(Rm
z)kaAtOR<=5n`Q<zWrbNYES&TR`O(2iWfp_!fKT7h*${^TM1V0J?T{*l5J{cDQd{01
z9|up#2a!`s8u-xi#}0w+fciOyS7_Co_vHcGN-!R>4Xx*Q-*YCOd-U$wD*qw22S@Wr
zU&BDfu(iBLM9{>%dH=&--`C8`QVu>@I#*saj~5CTq84lN5G`xI)ROv)bfSm4vgtL6
zOd!o``z)+`2uYsc4dz8)r>Ocp#Qqm0D*aQ#PI<|b$6d`c(La%Zn(&iEh;-pMYC*|x
zRB1KzLhNW6=H%NHPvrL#$ha~5CvWB_J}Bs^+0&aB8ithx^{*QBY2Mj}<9!>Z^Q!r^
zCsv7}QSrRrCsnnX&d@wnViNO{^mQuT9KP!Yu|hU*jnLp?cLg&<()L#quh;j8CLmvR
zW6JLO$M8K}9TDdvw7gR8<O#T#Um8pdzwABH^!U)T>~f3;JiwZz!Bo+TLC2=79{!({
zaP^VNF2Nfelm~ahK+0;bkdT|r?+pVEoDw9IRNxLn>03=#?Tf|a??zMxSh0(aWH3I{
zU49|aD$%0Z)4(+vV}8a}40M{h1h*Kc1(bf<7xKqVs6mkGCO_CGbQb?e19|O524ARP
z6^&nw%1ft(Oq%xZm1LT+$$Se~9F}hX>j0WEqwxn)7R8-9G1nfvWi$1bc7lE9xwM?q
z<xw9B0~SjTWCup=yy}7q^J5l6snaEtTJKoXn>0)|dCW*M8CjxNnba8(_G0sT(O16p
zX%fx!H6-)_ZeV-b!C4#pJHWJy^XWe{Z8i58(^BP`de+ORg-|c5c8O;9a*d?5ii2}4
z&iklwBHrcwyT@c>#}@TH7~Y2;SRS3mSVl-rTAg~x$il;2f8CScLMbkz7E`%)!uyGi
zW23$Q)fbV!dqg~O@c%+4fzeEQC9kK$N~-Xg7M@w2fVjzyypPo6+p=z&3oMqcW=%iP
zw%3e6XlCk`u@-tiXz%H_7+!VNk<L$tI5KuMz0EgJ8MlH8kJwiYX21#D2$kZ8BDRy<
z*!s_kz&1y9Gk?)Ap6tS^zTyC?uS5rQM)K_~Xvz`%&GRFh9Ot6H>V-bft6IGHdn%a{
zK<=0oC}fc9Q<c3u`cKT;9ZV}?PqEzvz1*rfR8ZH*G+Lpl$fejt>UvQ`sl|Sl`3iA1
zrN_fwWFQ1Iz);z6`@^$0y^T}fFTIP{IM<@fR03jedrNm{{k$zv(kZtrklW7kR8YLv
zjONB|&cV95JGC@h0u!ypFsCM&D2J?Tbi7wsgsyhJSYQ91j&r>(;{3D#di=IhLWbMr
zc_4^xpe11E3G++;q%Uy!PNKyhWIIN*wSg4<h?7jobM3^^CLdp{ty|WBs7@~M=r=BO
z7?e4?9;rBVE$AM%wX$Z5z0fkVXcC$Ge-;Q4I@g8|v?I}Th5U$D!7`HepkAiFH#LaY
z_Jwa~?E8F(*>BkP^v87AMhjK+g~^A^S4x_3Wc3+vthSdyGO<YL9TFYI7Z`NNHRZSz
zkG=FrpjV5r)P?QcO7yqWd}lMwv%#O_r~d<~w~v0L%)Q`W3E{utzTvHZw;PJT@V+;#
z<%GM1QvH>jV`8f*db47;k%C<HR6Z;h1?y}sGbpQPQf{4V{(JRH#e&$o=Z;#WVy6n!
zmquRjn<54&GXYx6H8MG1Cp4fRsd+mA?>~f4=Eglt4hj0(;kJZ{OF$H=N#pf4tkqy|
z!@+}V8LRdS=u7hi%w%U?+J-;W43FH}<$8biGehm2SD4RQqjtYr_)4l~1I30?EHi29
znfpY`$n=5rK(Q*PG%EGvm|7irD2LJ1`;nw6!P+LEu;*h+0Q!mO2`3;bho|>^ZLhvf
z!wV+NIZ(hxR|p|61yN8mk&4W<vosPlSFkSiv~#nGcd&C?+Mi9w0<CE1qpjSUrs&+4
z9d*^E%#8p@=!VhWs-$+)UW0Z5C;vZes>GTt-r`L&m*|%%aSGAwz8@6slkJ+iTi!7r
z<)`|cf`gk8t~Po86%TY<V;;5_WIO4aU(%(29m&#Fi>+I5Nq7osbm=-oUHPJYe~T%x
zZtMlx;TLumJ(Ft^bkK)$!*RF`IyB(-z~$?_R=P6kalrXdz3ntw6OGy>lnj+3RbEjE
zM(kupB3Mxb>u+yL&%`F5tTT`Q5M5h>3eO|{sWTQ>6?dT-r{e`~tyrGSTOuo0|BrcD
zuVx}wuDBq4RStWB*aXM#=9Y{d_-4x@K4YWW|K1k@r>wnEioU!oqiRZ06E5bdjtt@S
zTcsOFdN{-D%SLu$-6PyOAIqI8?-KBKO+2~PSRlo>oKm7tV0N(k`xkcKGEUE|4Gswm
zb{)`fq5t3X?oqeF`*R~8ACg6-66$WM|L}AIAF-j*jX!AJ`az`OrgSFL2$@38HvWTx
z&FUoCA*#wMtaY3Hb(6=gv+6iA%1ZIBQQWYpDzkUqwE$OMvAv^VG?vgA&)*Z@zjd`t
zd;e`C&o_@ha%r^&oySS3Va0=0N@?W$Se$))VH6<%;gppW{A~h5qFJjkW`gaN_Ixy+
zQX97u%Q!G!4B@Ta_kLFbYh}9deiHLdhOa4%3+-y#!n3`3XthW;&~R|EO?+tRF-7$w
zy}~tbRPJ@tew=xQj+jYxWy$1pO18#G`jcWlf+>y33(T-cC;cb>KuOr2Lx=^la!w4D
zfB3N~(6!S8AcET+B}oGf4&_Uod1nLJ@BR>kf@d-OsL_s5Loz`01@W*kWC2@qDQXa3
z@dxZqZ~|n$F6Ncc-)`L9<ALkM{=B}Za>~2$3l6<AY6vNpublM!{VDAw$XNnk!icF;
z?aR!wii9uh&z(ulIejo#@CklN8@I-MyUCG>1%5?p+ePo-KpjIaoTUF>EB0qg$};Xx
zzhs6+pL*teQ+HVENT)Z<Jv@nzJOSJ`rEtRM!%;t%>^bBp4J071FwgM}m2*qtSJjPm
zdDTfWu`keF*x-sCaCzBc+dhF)VVDyov@Sq`w%iQK1yziq&wqs&ku`3=eVP8J@j3D+
zP7JB%7gBl~gTc0K%_|aH4(Mg^&%JH~^06OVK@OcKfIa1UeJ`2^oBr6}(hZl++yB%*
zs(}17N2PA}=85I1g!Uzx!S(oI_d&Njgfnb?tGgK?q)F&kWh8(kc9j{eR51yug5Uz3
z;AW;c=CfLjI@Is~ufAnI7iSo(DBN9k&1xB2Zfqh;IU{RA^=>Kt*JyCB&V{FXw+S5J
z8L&R>x!P|Qk~}-i`KCA(Y$Ir~ZiPzGYo>1q`zvcJVf`IlT0YO`mSU3>fJD4ShbGZD
zUZ?<>-nU%rIfjG(sQT)-Cg1370ci<IDJcPIX-15cmhKb*M@Tn}PLY%n*cd4d($WIb
zxCux|$7sn>Bj0_0Z+t%QKhI~|vwEKU-1mLXb)9paN10DLad&$jnH~K`ghRC2dv;Md
zETv?WuJqSy<L0xOlH(`DFDDR~!JW@MR~#8|RQG|deqXL^{DXLVQz!z6U1*m67q_J4
zp#h}~5kHI0IschQ5eLX(vRE=%pBo*dVB0>7v=S1glqK|A!u2EZQ~kaDL!d_8nIwct
zvvAL-bEWR!uy{7yYVY#1cTrq#ZBNo?E7fqTn+U5~O}p6vthgN<1<=+&ufTX6{*T%Y
zm66({e#!8G4vWc*DS9vVx$~K~*;{X*(#i+^AiA%wu~8{+Rd1g+=rm>kTj<@$Z^yy^
zB&Uw^3f5>gw3K9PYT=t9^8IC{dKKP*@A%6znR%o1v9zvKBZudSEf-|!jaxp_NXK>Z
zy-~g#*PR`lx~%O~9*PUd|6MjN*fCHa{p3WU*Ul?hw&@$C3sau{tNNMxMA&Y}h5q1c
zr3bnm%lJ?jyX6g$IYLCI+LvzwJ+R4~g#Bjb;jiN0vx6m8t3yDag#ay~jsDW1rXmoe
zNj8)2^!=Y)QLJ4E!rpAz;V0ktGR2$EWMlN8!AI(68h2M2B?0eg^OL>$$Jk-5BSjbg
z<9^sX1ZocVD)ri3_Lol->$_)w<5f`a;rgJWs$RP=+6ggjnRKoRzSa7EMa^Ge;(!lA
z$6qi2WJ(CGjQCT#H}={;P3dx?2IuNihE6wy%5|!+eBQ(;k*vFuz<rrKI6;il7HDK-
zdXCvR!s3zY=NOc6&g%zT!&!_PD5e;8$J|GUefK{vgvodIleb1Zl*T&vgYhu&;;fwc
zrOC2Hq0WS#+{=7H?=sg=vrG9ThtSN1FDHj0UB0h9bIlb^R0A5!*WY3$Qs(FqmY3}}
zs2T$QWtULbkvNmPe~tFelrj@sQbg{F&;{Ts_oc3v{c|>cb0%LdCHv9UMURGnd_s-Q
zrj9AU&ZT<kLLIs|VFi<E#CRBEGIiY@X}A<>CcOVHPnXeAs0H?)V-+WFzh2qM5bm5@
zPzbpYDP|S(y;{9w%65ngyk6;%DNMOsHW^P_ZCmt{JC~(XWu~!f_nwNtJ88w+mM(;A
z`K*?-(>MUNXkKIn3T0htv_eb0pX~J8PUE9$zV+;uzuNj;jCj1TaM-p?6eNsYel1RU
z&uwQHuamLL!}{^-k?g9}Z?XKdzcgp)=RZv?Q(o|1Dprr0Wq1J$oM=&9wlV5O{Yv8!
zcOj*Jq$1Fh<cKNho_msewEFLF3ues3nuD|Vy^VaN1dxT>MwXr8n`}+^(pJy$bM&YF
zgbw9>Jh<yM-=YHZl7ybimFcRC`Q^MwieN}Q@CnN1Q@F(8@Nz1%7q_X^Xf~%Ht?c#Z
z)o0_L7w{fw(h1%ulLh$o9Bp|hzF|AQLYs4gKA@_L>RN{A`mKOF5k%rC(D%h^APeQa
z(<zidRmA!q$n4LFi06zd9e>-G3ube#g~LxWG8vWt|JoF%2fEDK#i~2-oANXY5<jw|
zj#b{bim*x4#xJ>9rBElq0i402O;60AE0nO)dU!OUXXU3k_MU%kn-CUWP-!`7*}ROP
zro8@g!{37mFSa7C+6Y<bar4KCx*ob>cZU5)+WufxY(uLe0m5ymJs;8P=;7zvmP(b6
zHaZuhf&H?B+fiZG#1mcs`4%FyK$Q^JbQ((;XY5nfqD&6)QAhQ&tYcX<Q2<zw?4-^1
zz^$mc-x;k;{5T}uIL7pjbO)1Xh(f%LSyUmpUVL=Thjl$pcHPNvmUFB#1!7q5!Fzbo
zE-HV#OjNX@NSaT~{)#r5@kN3(<7N}dvvjo6aPMvn;vu3`4)5XLQy<O*iKj25p53BY
zuDA{d*spD#QQO|@r;2smp(u|LZTc>m%_Pa@t<YZ-I&yYE(K+q2thXIMbyBAnPip8L
zrN8Vng)9Bp{E!7uA{hY#c0>es{`1_p9B?7ZL4DQ-umE$#yf9tZQ6$jnwsG|Pr0U_5
z$frEa4=0Q-u9YsnlrJL;-uGzsdA29%cHzi8(1wFqui|$6!#C)~uN{@0oX-N4%b#pX
zZ8$aU<804$Q-)A^ShkM^dERWZ9qaJDp#(KLmWWQAzPr>h<M{}r9_5~x#VXpbm-T&c
zX7~{89Jp(R(N#Ya3j4A>lo#d}eml>+j&DL3isb>v8LpFgg8VDHcaO(zNJbE1g|lkf
zd()dh7&2PU;C^pLQMBn84k0-~5f3}`cpK>P5B__YybxeFlwGqNd?r%+d$2qh5e<CZ
zA#;C+Qi&Im{WQ`)&Zc@4%gfH12ItHsf1fl>Pk~U?ILpIS_#3W{Gagl_zaWP4z+FM`
zMKUQtLeQ=y8EAL>nXwUv6ad`z3PxEXBFOBMC2fyGI<b#Uin=cp<@j6}`F6G{l6w3)
z^F{SFrn>Z12mEn|Ho;`hHWjx6DQ7#^Dmg#7#}~xjTa(4+UKw-rx%W4hxB~Bmky?|-
zj78KxYAJgK68p`fQ^+sUw@4d<_;9?IZv5i=_gP4T$>VesKgrdrOcYINMA&N^`Eky?
z%;GS)fZ;tnIWfTqJd#qBi%p*w8fSZ|JzJCEPxKfyWlX$uCVUCtdN!4}e7$34T^g&@
z`8NCoeTP`W$MyNE-F@b*k75aLT<yey==e8W->e2qf!-y(qvKc3i@yHm`uJ*~TSaf-
z$(xz(BknuqV1Kpjj?8mwC!Il%+3w3fshC3c7d1-1K<DFT-sTP*xpHct3+d*}$uo4z
zeNMW9&sKS?%#+k#@-)j_-EAUiWuD7aEFkP{MTatMvjFD1h97~#^2v|P>K;SLBCE4$
zi7Kd1mmV8hJbJus+QSLi7Y-2A?ZOki#rr3=066peGK&D`f-iwRO7q>HLtkKQ<z(1W
z9&CzHAlQQ(-k%(QE6?No$vS}tFs4R~W*dm6PK7&9V2_k9&qt)xiejpXB^0aOW+M<n
zKenUb#`%MR-K1avYM?{t2)atqNXZQwUWW@ksgBiALYqsV^bRWvp@!A+ajStg;|46D
zoM%ol;?Hl30p;qbj6m`D@i*R#K>}m<(D43FD_GPWXd;+i-Y>TNMq2)Ma8PBYfyG5<
z^;RgHkqF{&V($1$4#&@S;f{3`%qH(+ITrE$rt?3aDS&BuZW^Z}8JC9%Q|}DcrZOAF
za9K?Fn|CSylV^eJc*W$X;~#N)81f=}x~6kT&#WykSP`~%+o!i*f0+V`8^pu3A=wxy
zawxVGpmHXE=!$q7W(_1(<o?}UpbemaHOgN@e}FDY!J!1;EYFEk(cS-KnDUP+#!MJp
zv!=iN2(<o<{uVJhdnCdv=&9^^o>u*d5@Oy@7?OMKA#*j<uT-3jCc><+18aWd`~eTA
zC*dgD@bO1NJrbCra`Mh*wD}J+8kW#^CG>X2mXAw!88DhS7ZebwAi;6tfN`=Qr85o6
z>nE+kelCsp`J1x=C`2qdzZ?$1@<|iN>W;R(&imaVjKmRMuhoaE=?mflDRTQI>{KO8
zUIBSrJ7{G>3+8f*IlZedfY;#-z9S6DueVH;c=$jx5h-%Y9C0;aCW4<#g_4%)^Z2ub
zXE=8=+02%oD6-7eOm=KGrjB$n42zZglg6Pc!uW5*hnv>Qy}r&N#8D9j;-kfEBO*#o
zZ{_xQ^9TC%F8#u*pMaAeLjsm@CuqYp{8<AVb_PB-Na{KX4yEg1#BBfb=OGx$f$37q
zCw@oBxI#;<9lJvbM2f@%n4$>ReeUPe+2V-3)<=Jtiw^mI^iqGE1Kj|1naIc?Ayd-7
zt*}tHf8~9f*@qj0+n)X7H0V<QJ@95H>T)_6b3vpNUWD??NIEG-xg-4Hp$KP&A86*)
zw<~yn8*phuWBfesRVSIf(9godN4}yPQ4ZfUgD1}27R_gzDX(Sh<eWRvAoh3~$V%XN
zqiH_9-gpNt!Nk)?y)#%hdI5)*TDDZ50_9daS>?ZhsXur*&2y`My=eQBpxj8K_MX;j
z=7v|+%t?w!M!f7labECvXIjj54vfGs@6qF-_2>Az3rZh(=j=n0NwenF8a6PR4j3x}
z9=8#m?FIq;_(P~M7P8xDj~}9qAL64^-zW_Ha#a5?4lYQ4qA5qmf)VV7)GZRf`><6|
z_%H7f9GG5<A-cZrlW+5$+=49A8~KN&@K_|tq{&tT62(ryE<bF6a`N%I*CVU_kuMjz
zmEyp&-efX4L*IT`7307*!Vy*lwzG|&Ttc!gOagOb-7z9vBL#>{474l4V3)YlA>S-6
ze~(YU{_jz8AdtvV;?Xwsqeyy;+;PvNVL^qNmhh;Jt~{LS><A*4nz3*V#B2Y8&DEMm
zfZ_j2WS^}`TDMB+$`U~IKzwgnai*6Zp`Q&;GU?=Nfo>Ho`~Q1SP;wj&Nu+0d^Ueaq
z{tF^595IW9e?utw?t!jAQ8OFcjO)>~)FZ&Nau4vm<d5F?c?QXiHgD5*p{)s&X?y*p
zFP)<#E{pqP5)<s<Wcg-}RkZ<{cQ}`V?4UE5mTzieH<}xXosX_%-Q4ryn`-{F;+;l^
zTe^O-{*OGf_Z~O&r0PIIsDA4tRR<%xehRf<5*9x=)jG!uSFq|tQqK=!WRsS8ZYE?Z
zsK?`m+o2SSXSsBDOZ-;k9@Y!bK6iO?2H>E_YvRn1_CRp6p}iPV(B-;AQ>Q0UviNoL
zI`XAUh*_dhQ1yXm$u0xGM8ark?D(~jzG6H)(lKqE(C?0t#j5BvsKePzknoz^mXY)C
z*lvCc7$fL99QJ4(Q{`EHR;M3C8BHVx!N(y*v174G=!S<qE?r-Ik9)oCtDAea^;=)5
zNZ>w@_Dc2q#NIC{D-6S(I}hL5hm$X1-%s4~RA5Annv4ZKDXb`+Dc=7}ziRV#t}!14
z`^HEAS#c=FfEMmxmW^_mm=_7M<Xf3kvRuVMpL#~UuaCL!ru-D=p}l^9yC)i#zK_iG
zPsiSfbO_)8Dc%_!l83OQivl}E12T6!dbxeyThmI)VMD@jB(JE^k9E-|_QeQWtT;5m
zw~&0@b&92D<>TY?2{omrm<q2U4R4Gj0Fq^#2p_Fwqn5s18Mk=@uJqBy%hBX5AQEO+
zU1ZPHOcLQ;Wtn#8C~;0XVAXOSt|;UT^xTF+DZ29c{KX>|1L*?p-G-rU7(LDK7loB5
zMNon!fj1ww?6v9-)^-W`SW}R!2Jqu^V#!~0{t2M0$|Vl!2}I0jVM6-Li}9T16f1*@
zLNVmCAKVbBBA?8EBchh@|8NJi(#>m8!Lw*jhYi4A0S5^Rm?|+f35a7?ybT+#%~>qW
zAE@)@BW8SKWY++AdxSl0N;>bGy7PRpDia@XWy@pqLv$Y;AJ|k3v-8K9SSh}=5+1;>
z_IH=a?%M?Akxg31DT9`~(=GWP+4;-46l<fZ=@`~|QE?{hS85BYob2=X%TkdZiS(C-
z=(C;C)F@XQc^&z~on^@9#xpM*=Awa^uq1ER)oO|}^|LA#;&XK$o7H}dhKqTYu!<T@
zw##R+!u-pXbEoq`CAP6bJhMTYI8P$^uk`%GP!=XYacsOdVvPQa*@=)7gz76$U#)1s
zD-jSKXtOimO8hibVQbA$8T1IL`lyYHg_h{2!3_C_6&Jjr<3+T%JSUDllV|D_-FENQ
zEyGnc+kFMV<}rU7rfN9-zF*g?lxpjXKjTMq<hU0o>Jh6s@McIBc3ma{$i=WMNDP0n
z`w7dw8eQI9EAn*DyL--PgO5OJKT##ASen*(c%Gt4+p)#v&Oqtsi2}`x8tr2_N~SD+
z58f|wM39qDS~qdcXM#6XGH;ZiQ`I%5FE+kcE1yWa#e-5Wno2%PV=3`#G?gB6dm^*2
zj^`{&fYqL%wAWo9Ye@{p>jywUtI@Y3Toc7!Stf+6*Cwf%j@-N7W+by8^K2!(Zs$VH
z^}emZTu1+3itAfdrC(tr7+DyNOT;7mie%E~>{3|HCDiiUNlHt3j(Tae%fCyEpVY&@
zW!$F)7jRCK7hNje$(R(dwX-7&#!c{`l>C%lac0}Z&bvZ;@ms{;FM9n|B?y~S)@zy!
zVyhw34L(N9;O&2?D9^krhK_#b!IU5?yWwELu?ZS{p7$V?&H{$(9zaK$tD!Yt?`FLZ
z$C45pKi8|LYdv+~6jf8V^lsPh6DyUgP{T?1PEVfs#3VOE4OKE}X-F?hR>`aXqW{g&
zech4!!TBMkr`2UXDgbH#*!~Mc01!9TE4i2R8;x<=e}2bm_&ej9Z5+}8zT7rmC}*4p
z@1g1n3`fBkeED}Ih+URZPTgKk9hGLfwX2sD0kMrO_tQakLt3~mJ*58fua=y>I$gt$
z9Fhub^qY5vr|$;NYgVuZWk-j0*RVDYLY_v~1&G0s!-w?3nk`Q@`@jYpC1-s@EtAp!
zp+9nL#BtA?)k-M-TH+szZM(g&vJ!2mL7F5L+tB%3bi`_X74czEC)s`M;_nhatb8ov
zRlJGQ_Oxhi@pyM9&q^arN5itaDZ%;b8*r7PR5kvWW+M5y916i^S78ouzuBiU!u`@>
zc{bp5*BM2xuO#(X;twHr7w>9fhs%aLE2(rFth|=Bu3N)XrdMvy*Eug=0gQ<W&sx0p
zWR69F#UJ2rQMdMP{tyj>R`K${TCZQ)uME`#=ClBnqG5O;Ded2nd{)9|j6T+~+24y$
z@Lv6cbaL2$8cb7ZiMPQ#b$Vog)nD0-AnR*W<+^>`=Ch8EEFk@(^?#{RYzw-irvjm0
zrE;nRD9;7f`3>2azb+4W0kW5>HiBqpwSUSi#otDq%ei*1Xta4_pYI>zc7D}x!dZ`6
zc;*7p?xv|$+g<<BmOk_pWX<gR==InstMIvnMN89_>T9tqzrNym6G{-SsIeo7`h6fS
z8vV?*(`CV6{hFNpC%vl6=SS+ho)L|qf&^WkGV+1N%P|x&`j4z+%-)=m_N|G%U+j=S
z3@5uXt2sZs-Ac{)HjBZ2CoI|{VbbUnz=gp3H|GKw(`q!AjLI|CUo(x$6MTNpUQ-b>
z4qZPIBOPndC>}7A$e!xkj@BirepgX3s2)~+DKNN%eBPCCIfM8hy&*#tMC*I#SVdcu
zt-8<c`rJeLcWx_KfVkO{ONZqAOT55^lQRV!RL$M`6!=4k-cC#zS@GiTgs$*sp~0$C
z$j23Pzr@gQ^s6)EU2H2;HZHvS^Q`jk31^sRB-v(hhwb}D2kFZgP&Eg!@?wV!XCD)A
zVk|nG;Ryv5v57b0^~`HB*dM%w-B(LDH+nXlBs*!Kvmw7~&g~wmTuWWvPU4$wk)Z}}
z>+z0$AG{Ml)SQQkSXd0NsNj?Am+v<M(?hPTtw@vGaS12#CiUmTo_D=h<C^1|o{^)p
z4P`H-79l+T0m`yua2AK+7tE}=7uMBoaDHwkQZQyG(6Fp3l;Ez_;TpqboGDA^CFH7Y
z(4Ba(#2S!GHd}P@$J3G-T1eF3Ga#5M3V`#O%|0rAND{dYYA*GQQYU$8Ca{{Ax6lSu
zJ3^Aje3u-i07xlE4xc~%2vSS9<=Oa74ZW2b&eh8MJ^mx|ehF(?vBC15Av0qjicA`y
zakr@NnqC1(8Lpu#L*gQ;$V47Wf0Bqi6tj$bI)*y2=IQYZjo;3mh>YLTy33rszn?v+
zIH(|y%QJ551dgXCTKqb#eHBRq&;k@?o#z@O-$ou^XW0?pSG|)l<Lv}wey?%dx=?!j
zH<D$0k|!hQBoG0uhkc{Q=#(ji?2J?&OURITZFN<3-u3jLcC*ofcp>9VIxFaXo12SI
z7CXcj-EYgzwL5m3Xxcx(ape`d3J%O1yFA|<vOZZ3$H#Lw^)aoW@6-#nd-$C_GtG2?
z+6hBFJKB<lT_atb?>3@Ue5IzRP2Wtk5bxVlxN}PZ_zeKa!q$~-CbzG^Uy}~Q3?Uav
zOiK3?SQ^JEg024UKBso4i0(?&gXs)$PIwp#T#k#edI)9jcOuoEDXcgFO8EgOC6l5I
zAw8j-rTdBzKij4Ai@Z7sS7<6}YUt4(Aj{71CfK%%NEyyy74{WZso49>)+fQaqH{hc
zE+w}yvW|Y2iyERWq8WNc@;<CFwk$1nmb(`s`sa0`!c3f6zs=`Zuv<U6_8YKlirhD#
z3ehYpdW(ZTHYi!cEm><r_8SB_#=Gm(#7bQLCU+Ttw*9@wn*O$xK8eEF)`lBb(yKn+
zUTRLItl&4bEaSFd{Fh(F8dT<8prIc3jzn9-w0KhAwH#Q@+Rh_3tlwhm8&`{w1LJlr
za?BrR@U+Uhn;(_>p?>CMXn_ayJ)qe|wY<{K(Sqq;=X!GmziA@3+D@+s2Q_@Jk&(U9
zMH-%>s-l40T^S`ubhmsBE|@B->*1;%Qfumyx*{HyeJ~c9D>*|tFmTYba^y^H^7!|o
zr+?!m*)?725-eY*8ey{RLkUweM0x3f(Bp)!#KUrk5=P6{o*C0G%}Cv<mU?N{-2>=J
zmHevYV^R2#|I|xwWTR2mF-`aAl6g{5`QEm4D^#A6euB;NYd?bL`^W@9Z4R9=aweY{
zb66$^y!c}mGz%)f({E?{W5?zaV>&J9SlGOWy!v5=1SrSQ{G!x|$R8}8#Ox&|XU)@e
zU6!i>>Iw%2pHBb^z8Cf!{@xAyemrjq936f!#XFgL3($P0eqzhhSiUudUlu@hwv_}}
z$ed(X?DmhO<aF5uGu(X76sP2=E;W~xmy+V(Ed5T*$pJnpNAEl@{h^A~i|#L@?&8%g
z^|4QWdB=LADp4%aaIC4m)jv(dVsM}%i)htFpahi%Le8Vqn72AfJTIghS$>G#@~xfi
z#xW~Q=Ac@B2I@3~F!$|F4Q+M4h3DzIbc<#B16|(X<ns3vRZ5N9%~B#??rFY#Xa*JO
zxoS5ag3X7=;m`i2^KDXMUxFXG4oM}=T+EIeEbO1w@}iY=zNdRvsH}&O$W6-BpyR7C
zOH|s%bS(`ijztq0IzRi(ceVP?Xua`Ud)4OctE7G!FN4%lyQbgf9~bHPrgJ3v0-tOS
z%#@J9wJS>fPiMyQ(Fp<hTCkZ13&;|ec|5_PP@ShdEvx5NOS<$&gqOL(ELgt}@B)(<
z)7^WaJ!7Ql!gyz_29&YfhtX^YP3z{Qe9pAACZ-yazEe?G<w2NZ8m%Eo4Eg!tu2@BO
zMQ^h&svSFvl?H!+Mjq`Vx3NA?DpK-i=5H}Mw`2ennv)uGG`8w1Oz!$wU={LsXi5dY
zSw^3NwGRh%*P;X|pF16*XTzsPw$C5t<2d4&RFUbuD5$0Qke>cu_5y4#?jJVI)wUpo
zeUfC*g=sbA^*;;n%YS^1`~wH&VkMOSxT{#Xt!Vb9J<AqkA$#?j*xR0l_f~kzuesHB
zU3i$>)r|dg@mxZbFA%;=1;&2i2N;!I1=%=6x>fs5K|NL5bBABS1TVh8^=1|)%gC5f
zK?fjN04wq>*G;tL^VTw)NoOEi=ln(cYT(m<VvZG|IjqMCXb_vOQyv2gn>iC6koKwe
z2L~y$i1IAr)Qn^!AQ2<S4*Tii#KQ$l;o@srpR{9%2bo@=ML2UJSzVq3oS6$AoazI_
zx`I5POyShb@rDV_cb62Jfq3RtJ_KW)y!(^;@MZMIh~|RbmUF*ZRHHrC<I7&<?`(23
zIU3}@2Zh%(-Y0WuqCcU~WCaH>)`ixbvX)uj$it8D5Po@n9ig}_%pCUE1BR*!6Cg%@
zPH(WCD5qrz`~oLboAOkxjx?xXl3XZn2-)yIlPQ>3jDn@m4qth$Auf)^55M$Dvfkck
zV%Dy2N6ncr&c(4MO&`K{EFFHgF(iGYa?RiqJO=#&Ek19}`Fr1Ef1{C!`gPGYe36YC
zBDHq(Z2_0j^?X=v<;6m#BhMR<^tSZuhqseKe2P*Nu0q9r_yL&Ie3ni7mSAau0tN9&
zOmkBxqpIJ4!8`r+bRuo`1#0qn1+^N7qy>gP3GP?aEcqB&UD~(H(1k$CX5%QnF_N)Q
z=#76<(54eNyAN*AMyW;-tH8^}0$V2Eun8hZZ8R=(%Q+&{qRG`#V+V&NkYCE>PyUP{
zxTTB$CKlwfwT9^q$f5SnJ^t9NSTd~+v%7P>w7<B%6#*s9K>iBIx)Y5$dUd?llPiRU
z9gfLBQWF2}{vDDdPHN)5-XWYMs|>TII5YbVuY?-h^6A{Sg(jm1Jj?x`I``CO#&p{d
z?^4QLv85nA=SHUIS*y?<G7pWL#HZDlzS3re={iY1bl%y$4haVPGMf2`vyeoQ_O2Rg
zlc(F%ILSig6+ST*1;PuB<%@`){y8)aYBS`z`_#Aar(ao;aRPtrBK;L+?AwK^Nf>4@
zm6d8)WB5BZuAu|nL0odbPAtnW5PI9>X%>p(WG>h)a+t$YZZ+d9|Hf=0;c7xYezl6c
zr%J}!8-aQK+)&?|bKSYBg*{Z&Z;C>(B(a0Vh*#U5CzK9C)UV7*ZdNn=82%Wyvom+L
zLgIWqeVe|7-G-so4n${{F8|zRp`@C$w;t1$=%k-N5cE|M081tLLDq3Cnp-$B9*4*f
zx=4JM>*>sHmVZ$x_JACqG$qn%zTU+Xs3Qq4Q%eEs2Sw%UEuQA&nAoUW56n~RydMz1
zuhfb9P#V?@T+Cq^dYW1Hgxk-R&O=`+yz|=X6roCZYJ0kO5xoIUI2%^gd6chd&Gc?s
zct&HCylQ|UDC@{FVS4inCzh6(Hxu}PQ?B3>6q+pAx^=NsLG!Oevs);@j>?cmE=ocL
zK3wXgaH+DeZlmK%ydp8Xt<C%|Q(Q2(X43}QRZ0_RrsAO{(SH6!J}&-W+6tyiB-hjJ
z4zFE5#<Wj_pcOu1GG1ITB{}SCht4Ww(jp#FB=sZ$$#zE-*=fur3;kWP!QewYL0I`p
zu-#h410ED={?%((=>T4w@mu85K8y6k;GJDO^Uqk-x}_W=RZWdfDYn`Z^0lKQ&%2a^
zq8flpMcJvZ@65pYQ?uT$R4UOlU9<WlvXB{?{MY*&w_4P%gn_gv0rO#ae<Pg3nNwqX
z^spT-5zbe9lgD`_WF^wSMUf%))u@jpsAgH8?u5=Ds=)InlQ|}K)_X+z-cohXRp{3>
ze?dBfWSs)aolOl&eGFF@M`-&@@X{{R`G5#pBPOO<$ZNNm%q%hGOZgaahs8iro7o9Z
zO<$NmP9-bbC9WB?8vQLx1NmJ(bMn2R08lfFjn~<Xdq8j%3Q;#?z~@P`!PE3@2LCog
z-XLK;_?2;6cm6eD`HVi#*UQck<Z{ME)$cb>eRb!IKru}htd8^g01*Q3mX@Nd0rIc!
zc0)WgIv!u2EWd^KGloK?x0oMRz;jMrdm}7hl<-Okpz%hcZi2oebAXGX=Sw&DEi7gz
z{<o;5DX7H)DEVt4eQi-SRmAuB@4C#A&AF4|LaM|T^x*1x3!}nlv{iB7`r?TMZ04M2
z1sue)NxJfc0WrIWw3xSxhNY#JZ5+Dg$-(1}Hek5ut;JR+L4PVd0L9ti#4G*Z9hl}{
z#_oh=SAUIm_di$hLOIRjhx0_JkE=QL)(R0}6*F;S%xPWa51nSexk^n^&ouk46fb%3
zfZVbvDwHd~JuP{cK=p?5Gj!5z<`DeT_iHXur9nfgzspvB^^vhJ^kAaEBjs`8MZU%P
zdp$``uCb}RC}2=<{Jph-Z-d_;R^hZ<$_t1ZN1s1?(MdJnlT7UTwg5>3ec1}Y-6bvT
zYHPFXjq>j0=dhNzkAR#onzOu${Z8_=E87Rg+WV@C_6q6mYo?!I-x=IO+j{Is_DHtg
z(#!G{Jv&_>vX@+88BR7t+1+E1{}44B7sMy9f~J7SBV27R(-ZxT9d$E9Jh{{gDzVuj
zPY_!ux06&yw>H>A^E+4Ze4@wq%kGxXf22Nrc;k0K>*3>|79M{Lbo}S%etse$Q`YD*
zEQtDy1}$%y<Q=)s+*Yze8K2Lvmqt^TIzv2Ly>484ene42W%$qZN8db7E|xHe+cC0o
z93OT#)}*T1>RRv52mlAUe$zzG>1s=xy$oP4mCkf>k%l#2IXm0RF-s!Oss|*<nR{9W
zLQDa=Vlmt2z~4lUPn(I)WoU`oM3BMIF_b~KMa6NuiS$)rC@{5qYt?=?YtJ3C=zJ<?
ziYL>4<ssT{3uoqp+i>nKP{MKHe&Um8X?E{J@9QB|^&r@hF}Pbw+wlWCQL{10F7k)2
z`wr8hvu#pw@T&^E0MN@eHaS8_^ZVlEn}{&+U60af3T85hZynu<g6q_tx9>BKk9-U&
zxll{B=3j4mCo}RXJ@n@RO~OzJZMpKY{Piu5DQklW8pPG$GE&gkqKt$=F&nS|8xVZ@
zqZA37j^vXidofq*AA+ZOZa)y7J}EIGr*v!TV=hB>Vh|j)vF%X!P`vi0)M;6XrT3I~
zG}q$#Y|W0}$f}WKJGOawHLyqISN(9yBL3<fEr=2>{s%U+N1!vK^S07V`TU{j(6wT@
z;=GV+17)&4Zc{+9b{oUuKMCpQcyWh6KN^q=PiS+v*;c>CL;bmsqEPlg#d9_KEd4g$
zO$c(%%NlDYd8r_FNKCxKQLpEC?gKP%vIudT{%@Ee@?Fr)CR&q?k|wMZGilR_k=aOU
zZYxGf|5M3zFvlk84St&0{?y29V`=5gDhyXD6j<jO|5Co;v~=i)=tNanb2QMEE7YXf
z0F$G)19MGelABOGTcF7lq<(I1rCHx@`4TrMn<g`o7I&9zUl$$3oLNVSxpN+;_O7or
zX7$igFdysu+YOZ7fE*9cRT+YohI)F3ox<dWUjq>uJ-a3yf3Q<=Fq!vM4aSS}qBQ$4
zJSbVzbD4y5%l-sqG>MM84(9J#p)I$=$<$iXd2G!|oCNx1h9LTS6*!>$6A)XioACow
z@o3`67~}R9MKtDLYD4_>cyzv^u#hl(;ZV-^NZ9K?(K84}xqq;sv@n63v@lZQNog~#
z8}j@Z6RxR69viamu(y;H|1@@A@6}JZ#9pmjG{sli;wkX2jj(izhe}tX<yK!@i&m2D
z*|>IZl&BV95kZm<3pJfa$nB&WGb-erAeS5jp(uOF``9vtZUw_z+&!k~s3~b^_Q{%{
z?n91ef)zfux@)k;4SxKv-_JlA1xw-lslQ^#?7)#*5qDG-+#s#hF?5=|Yg0UhNru8F
zL~{oae>{%#EOalvRF1ap{qEf(p^TG7`9Wv*8GW$Z*JJbkP*9tk1tuc1?h>2h@X~)-
z2IXVyOq*m@yPhI!kU4J-rNB%}#^9^6W~l#iTjqbr386Lh$L+a&0Fu=|7F>5HBe&Tx
z6qgxkbStL%DSuLHCEU8K_>rneW$>MELPC#x;242n@jH0P;mCYi32atLYQ<pu(*7KM
zKfr~&{F@?ve`CU=2epJ9VE?&|GXF!#;#b6p@py-)&g!xdbxKU7=ceH$XZi9RUeW8}
zycCiqM#{0P*~T;9r4zpaM_(-weN7;TvX2@LTrf*hhUAv=?%C8F2*SzB_M(rt`mU&Y
zq_s8Xh%J?r*V~~v`AR^Mg^-I$F)DPj^UlZz8#9{|>CXyte*Y-l58658uT-qk%DsEQ
zCW8tzD@yoQ79nhywhh|vsrUyjzK`;jZVEH&<{i|Hke5wTPGXD=+upMKK~&Qp4$b-o
zP!*K<Gk-qt+%Of3=SAcCeqjvt1gYBbUf|=C>%Xor4neW6)Z68@8f8ymwA`UCxX+r%
zt9>xj>n;9{TIxMMud-XQ-sI-vKyzwC6<@tUPhP1u_AzXoRjuSURy95PkgK11X%0W+
z)4lUK_UYfA$w`)#B)jvyA#D8FT-shKgTY$;S4|?EAz{bV0WZuSL>3-YQe%eYE>pug
zjqwFh2n2WNoSXz6$$4l~^|;&?%q1S-HClFVnkbdFk7L9Y_wogAYFE8P;D*j)v*=rt
z^eNd80*Yi-bMpmqI6Cs@ZuBA=?Oi&zM6GTab-*)v3C6~WQf}Ep0|)?;q?L)sOxYIL
zo37Al7$o4oezK98kxJVf%Pq?;;t4UMIO3g{qBSyp!x0(a#wzg=MiXgX6;rK3{x8?v
zbCFNp)<m^~&=jWq&TM9y{)Hx%0&}c#hfgh`TCOF`jKW221_TNFZQ;fr!l!V31#)^>
zJaSGa0Zc-R3ErXf4L}+&vq+kjmL^zO{%au`lwnCqm^Jg+E|Qkj*bEl93!{z#HtziV
zFaxr@<<q`jyUD(}0{tpTSq`}fM{|`P{T{7a8;{e^iW6bp3+l&ijx7;|pmmEJAYrj0
z%<qq2n3I2Rwx1S0ERHl{gopb!4793dHx2D0S*q~WxY9KIop2!;?`*2lJFt^Oo5}7-
zy5&reRuMcL`5mhlh96b@);@M)6b!m`n|wS!hZ<g(7S40FE|ETM6ANfg58LcIa5K>;
z!*k!Mk;R%ES%tMfA-z{P3qbcRKeTXu+(%0TVn=768g|z{0mpVd9P?1@)sI9Yq?=)&
zb_w+S5769=O|b9W%}S6`UZifj(AezyZG=Xx+lo`UevnUmyLSF_WB!EWzXUEi%4Q+(
z<R7h{jr;r6uX%-&?_Xt)%|;zD&qYTEDcR56$ayJUsNBZB?BlHypPG9`f602?#2;;9
zO%nXD51fqjHpY2BA#3(=Az=m>5@jqDQB+gBth%2Yy8hwZ34(@DTC;v*_XI{<fu)oR
zuq6E(jAB=@)HG~<#HB*Cu2mh$#=<C&ARpeJ!GuF-s$C4J@K6JTSnP_R_HIV1;s6#Q
zxmL`a!yVL<TX5~iA9<OT{V>t4mBnK?cgV+1`lFu{B43B?veQgf6#q{MBIO|v=o@b|
zX3ER0R88X^KVD;chlJMS{U8`l&s^-$>ll~(!tPuVkn|UjT%faYD^#@ZE8V02uO%zs
z>qU|*9qh(e)|L40SN#bOfwD}msMxJW^hjKYc8OeG5nM($IEo!5TI#%UEXCo-%9tZn
zO3sE-qROE)uqUOT2^0#uI?m1*15=El-(T#6eNs>EpW-I1J9ruwRzQDhKou7iYn=ZJ
zWtp{2#_UD%5vq|te&Jmai%F+G16bLgwom0z2WyKV`6^lE<kJGNGNB4eW8`C>!i<<_
z>eD7^3g7P|8EoMOD(pMC8c5w-ZYxXGFn&0jEx5ArI>LFei%arN(bg29HbWI_`<6Hc
zb`;%c_bAYCqTK5}#JKZeE@s?!Lh?RwVtTI6Je1)CSqXg9J~JJ9K0Iw%(<28FJf%I+
zixVM~NLV($=IdJ01|K7|vP*XU?`P%u^YOPR>V}LvN|Q*E6NBwta=B&+a#<nLOX1a>
zuqu<*Cqja!giyO`^v-p(rJp=r<p|YL;-S4@0@}4n&KOnSJj0JX)5UwOUFvncr`sK1
zqRAB;>s9IP7@?!VG;Q8PTB?s!=8t0Ew%2?;C-(^hI|N#^P-UQ6!#qYKxIV$&l+T#w
zt%Eie#Umzf8!PUORQtZWpShP_tr<;i8{Aqlo_3`>D2487JpUXjHGmk5NI9%1BnYe4
zW|%hK>Aq(TRY5z~3w;Ia)iHFenKKK}pH`*km4lu9Vy*x@^2*{Ya3X>R0rtviZ20#Z
zVqGF<sB~M#yAA9F80}N|DV8cSYV>b9`naGVg`iuyY0@U&Q{HKFKP}qZF+Bc*GGv0I
z0^_+uMrR*lPM44FBg2Z@CGy8B&N1E}^(o@IvXBdfz_ow<kHJ4un$nhba`7BtG+KW?
z$D;rXy<cmbl?=@XWZ$CX2N6+6FukwaVA{WaDrG~9NUg6|$I#(m{a+8yi-PJD=N<oQ
z7UMdGvk6P1bwj<?G*U{0zrXpa|L18Y0SqT<MaE1W<C~Lsv7GeMd+EfY-1>U+_i45R
zujl8_dlNT|eH#3KsP9%`2_J6#RZZv1PTcnKBSAY@3qOo6+&ZI}yxYX=C5O5%25)_m
zLtL|mJ;D^M;smKQdT%q1tRKvmihemc5?_?%9JKDd>?pnd)U!8rbu3X3738t}S(0q>
zSM%0%;5HiQF9FW-DnqpP1PCM%9J(Fb`;koUTfTo7mquIy>YSKIFM&2Q0Xj6WSy8n*
z6!cC+Le{4Bh`WZ;T=ZZzCh$>LqgG~Bg)(Vj+E}}-o9cf!vMQFSiN^zM;UrkY0v{eU
z$#-J*<t)(jN`(^xlunQEi|!8xBPB>Bxx1paWa?NRI+M=;T&Aq2&rcDc;ac7(_#OxY
zIADWh2_vq4n(?LGICXEfDj0LM;w`*B#1MsNd*SI6VFu6E%g&y~T~%O!N#?uI%FVI#
zbF7xH0rxL5d*zS;cpT-NQ)TB5=EhtdI!)wh2TEtjH*VBD(mU$(KS6EBzAUA*k9XPJ
zpE#7I`$kc7A1FH<d$`(SNV0ZA^h=apE?iDCy1kV0p%1$Oy&!kxg&es>ZLJ2T&Eg^a
z8=i->CP-L2NvomntrM8L#WpA^VRizaz|mglX=g>~5gP*@uN2zx8(V3}%g`1~RKvUu
zLYn=I-Qq@X2i3UFU$w>W!Csexmmus|8OGhBrn!uND1TtvFZ$C_PiOe2Szu>|IuM|1
zxq4z|z>78bCyVc`+$kl?&gRJ1pjzbH(2UXaOu=!r)_VFL`Q4Ad>F_hQnD5<uOYUb*
z&0vx)wnUO)WaM4koS56n0PQRXaPch=g0r5aY9C^d$xtSlm63Ny=CeCYC-E!f<dFXD
z8QM)u<YDpa=%1F$Pq3?Lqv*T-lSbWRFjorOL+3KI7_s|*Y@XSlptJA}gh^pt4_la$
zG46lj<&7&mJWJ3ZJ$oD7zfzMtDNG>xlN_^$sQ+j7#Bt)<7EM~E<$&x!TK-U!DAa1@
z1@Lx>h?n02c*}%DC+KElGlivD*^o(chj7R%1JxU7IO6vs|9^YG+sL)S82sJ;82ojJ
z>#WDV!a<T}*+;lst5v|Ai|^Rft#76Ha$dLa>Y<3L2oL7s=%RZpm=wk2yzf~&-$NAI
z1A`8O^4-1XqE17|Mxov^Q|ADyZss>=I0S#!H@_il3%Y#+7Fx_cT{>-_$r-y@ytW3Y
zVf<uoMDc4S?6{0WGCT0y;M1TWwoYtxmSt8iG5Gz+*m;=FAf3DKehvjJ38Qil<*Bd9
z;br@KNZSqH{{<=vc4*Ba$?X#TDkdtkJwI_Tg>I;3N15#5*|}E)K4iql@r(DfZP?0V
zlJjo8Q?kP!r}p=HgS~RIlrSzli08e6^`Fo~WD6hD>G<Tv2tx`7W8#i1-SlU=va9VG
zKH`8MIa;cp!we0JQ+})8u$kb(8<nfFID34W8&B&FG(Psc6=3i<%Vd$j3ppcxpUQD+
zaf)Dz?w2?OXrEx5#6TqT%&Yv3%8m~WKHD;y-y;7|%E-ax$}pSCI#hDw@LxRF<UXxd
z1Cz_V%WivqlE<Of;}G-PZ(%Z_ivqGPdcm~50%SMm&hAhCJD7$~r)I?7<r{}q|Lj$&
z3A=yB(jRJjg+Jn~_wTY=mh*Jy(FD}r{HEFP#%04$=`7e_5Q_@((0mzOiS<`*?g(%-
zWFfjzXL8%`5}i+FzNW4H_3Cfr`u5@KcG$sP{=k24`Kgo0T*slIgOC+>YZCi+8STFq
zhaYo_c_e!DJq+{XzA26&{%}Lge_SU@58W47pS|$h*I$2TtcoEqEtqR5)&s4N;(X&w
zQ#|L6obF$_GnNNVT*vj~k=@AdW4;Gb(^Zc%fbjN_YEF6?)<0ELONRVGG*TX_)&S>V
zoY_X|F6``TX-v<k@nSk$j9{W*V@7S87=fZX9E=b06XSz`^aLKhtRKH4dmfT|z!)c2
zAbFeg4v{3uC|d1Ol9Ra^*G-0gJjSzg9}#q(N1Zdk!-gr;ShLDuM0}~o#C!A4PZH0o
zYO0INtvAyn@JW7wvZPUQWQc;G%vnv#y}}}$M(D=zs{|!*t22B0e)K*!DOoAz%ON3M
zQejsf#0mX4+!P+zm2pJ_Yb&?D;%R8BN|PKE%MzoLwZnhwdf1oKv$2e$|6mr`Q>ZG|
zcwnmaw)72XIj|eiUYDZZed+Y^%03tvXZwF&zrV{Uvnf2im+EV4RSlGb>;-Pg{O{f1
zNM>a_I+clg1+kxY1WqS~^$7jH6J;@*)3YJ(y|#>RfNFJic5Z?9W`<c+MYm+*>EryB
zIQ6f^x%M~rCirYc_1?&VNFEBfUN;$HV(1gw_~+WMiu!hFC7}>z`_d*uj`1sqwUF@e
zk&};Qp%yRH^D0zyP*2ah=`04>pVvq|pl{_jajfn{i`ypCxF2x_UOZ2qtV9O>OT4hB
zU;f*Va(4VS7Ptz4mL^UOp{mlhk9OD4WNF&~ig%$Ep|XCWjR%(w?ip&|Wv)P$<IF~F
z{W4iW0o`o5EmYr1wWfzqj40aF?ei}Y6_0t(o=4LKhSogKhf$P-eHofV4W)0x6otYp
z2Zpa?vV3ZF8~EV<gKLw&B<+f76@^1W%gTxagooQ?Wy0dEsi*YO4Ecj)WXL#Vy$Nnz
z0;58zLq;VOr3`Rob!UUG{Ko6|6(*_QZ;JsBV$f4bX$p95bR(X^AzS4p;dW!RH_w_!
zT^@q4Gxcgwp(RWQ(0UJeG5e^LGlE)7TjM5ni3AGP37K2xQ!fj<6~6+Mmhmv_Y#DXq
z-x83_<ThfusxvPg$zLyOUUz;u1EhP<a6<625m5Wt^<3#@3H>03-9-sOXJDsNNyZ~&
z>L#2p!$wH_Y`hEqRj40Z$g--C+|QIuYYaU{=5zZ;Xl4YVI0Dt`aEJ$bB|5P${^^1m
zLhBN}JJ$a|Ue~e^C>9T(O;a-`5$eW93h&Jp(=iQ0h2dfmDFm&3lD#A8nVcL%#*BGr
zdG|5FBdc(V)QnCu0ZH?uB$+Mp)hv5MBZUzuX0^%YRlE=)S_D(9<GD!YcrAv@w38a<
zcN?T44NgS8o2EPeT@)sDVh~hNfsPAy++H1mj1zS`O@s|idHjiab2jrVxj$>hbP)7j
zwuzx(Ool>gsp9WmaTzDi@MaEQ^069+aO?G8b{cSQWOL*U@Dp?2QwP+yi5%vi*)g_c
zc+U9^qNQISogmSs0{T+UMIOQ(Erv0S?^E}u<%VSu3JYU@*ZI~kY-;5*#76n8J=yl6
z`eF}+!dd(_84~~vK=YvTK~;rEp}uf71RHM)j^>->sgb<@czSH3Pu?n9I4{p~v{Hyd
z^Tj^LtfXmehx~IO5|(zC%*+o`mFh6D>QBCyzL=$xA%dCUnQ`~V)rwc|AZuUWE_q}6
zH+lylO6k2&eVnSqGDn0B%FA2-@w(dlDErjbt12MLDQDy>nE&~Yzt#9~ym@k|T=h!{
znHwe2qWP`Yy(qRhorA?B6klnjw=PmVvNJ*QL5m?j3vux?kXH3e!#l3NK)khTjns~h
z&c1zXo(=~RHw(cmEaC(R6snE<6Q5x;-Lz&Cy{l{sVUmHd!NVhbLc{dk;_I+CrDfUw
zIbPKL1ZqEB_%Ru{T+aSwTkNNRa~<Nqq?t7_Wu3l1ZN&1aS}>@hfPZA;ta+vCXTI^m
zX-T%8F)LH~H~mYEd~q3So@!jYFa3VS>GabmjG1o4Llo%+^nFcPWlOzJ`QD^a>)@#E
zeq%)7ZWoc;afj%X^7iWqcifU-Dnu1G*9{bu(m){i>lrs{_Nl-rtxbqQpPQx5kBQlW
z!hDMzf@{%`!9?4S&T^4I(;|m2x#kxqx_)FFeNTBJ-e$#S^R;{-zsSu_tmNz7V;5|T
zVGHg(xbd!Ab>IiyUzfQWpmE_y41B8EBr?CwNIP0VAlWL3nZ==#-QL=}UsI*;E@ZCh
zIN_?Q)ovNUUjnPE{x70_($p|yV)q||Q|^S)j2Kx_Pd`2tD9V`%@Le2sgQnW-ou}F;
zI+(p!HZQ+)a$c}nz)1ZNfu-8AS#-$^4~5b`7I<s8#FSIE32jIkaWyu@xS|(jvb;Z`
z6xYEaEq#D}zz+rHwCM_mTgmAc9Th%0#$K%_CL#3E!9gH$SU+{gG&(88l{KqhESW~2
z0o&$@3&d?N6u%rdgi|#Q!!%fK3iD6%0aW{22W@wuAJjSAOk5SrD_zSW0$L7%{kobZ
zK3c2Q1i8*|Ho+GUi&aZg^r}Oo^U^h}Y#pg*j<`2NmGN0R83GM$er}eUS8bK1XC$c^
zEH2uTS4-&w2&*v_UV%xaNb`_nbeAVysUDPZv|u@=rf1p^`Y+8B;w)^-SvH`M(jFUM
zP&cjERPZ>S=DR#Kz=ed|qkRHQCCT2KFj9hBx>hX~-siH*lsknN2m07saV`TZ(YwVz
zOkE~aS#Rc(P2-d2gSkLF{mgE8G~cX3C}d(=*~V5FKdZDz|2gZ;!+`BC!=|}dvdlvc
zYYPVPw?l)4f#zwVS$j*pbiL=hx_?EbKHWxOR0b=Q^Z&7g<nraOtooAPIK?&_8-8dg
z9ZQ2Jq8M?1eMERysmy`{Sf_7S7f;O+R4DU4!}H?i>(aS+K*tJ^)gwq$S2*_Mh&rX-
z%yl7<N-9n3Xs{-7y!z@LoXH_qcCd<BE{@q-xN<;dgW5S&lB^)GviW6v0^D=4=CUSk
zZU;GM$sv{5d=$W&s#-zKkF?#J#-xC-EA<GJeiAEjuGD@`DO0ymhe=lyV(mHe3x6A|
z0h)Y<M3Vb*AE>w<zOj8SuftUKY=QeYZ6buIxfIGGF2gFQKhI}?<(w*86^oaueQPf>
z^fZw6ethKg>}^7pZ-)0LZ*qkIu;;PzxL}W1F><%wY#X~R<=T#G+mDX^i`5nO^46|;
zgbtT`p(EPtB{w&J&1Ms3a{JW`n`@rnF}_F-4O|s*`7>0DE@?7JhAE0!Z$DiaH+$R|
z!;hrx6<{iMU>t6g**u9s1V(1>-Wr3XU*o0#$R%flTT(M`jbO3fLVD+PHZp?9Y%}xV
z4~tjp>XbtMoZc`R=Nv1O#}A1NHn&Fg7G|IDDl_u6_54j=ma*v!W7ug2Y?xWG-?ywx
ziuW~G3b{~t>{@83c(bt0FJCC?X<%!*J)BLZdv#&llk*FudH(6uJA*yBsG=54ViP#A
z{4W8wij6x@f!Tb{C0lq|Wy4oV82KJ3&DYz)to)sg705)9<VW%6jP-Km5VeLa8J^w8
z#T2IN*I$eRlp;%I{JuHUNXGCaGwCO=XJ;(y(5o!mcn+4AWVzi(biZZ}<4t8(g62%p
z`TvYhI9QF8>3%kAlEQUP{m=&M&=ahMLo<B1J=1L-h&DdCJeAK)urhDf;;52nDkAZ-
zjgB`g4>wR!K4cwcR}qcTKz^i)j2kg$msfV<8oqBk@Y|(U+$wIIDe+FiHQO)_6oOBc
zANd2OZwo5f3n|H`Q%9mjim()fcQGxK$<(;a7nOdc1Ql)Bq2l<Xz47<GFql(FC&l{)
zpHbFf{YLDcD5{@dQ4bW!es|cJe#d2>_3C3y-t#y*T`y8!QPtwA>Zvp^3Uj<a!@nvq
zbTFBr6FDewta!G*s0G4!%`DHmaQh^m?Cvn^tDL=l9yqaw>PtvdPpP6;zW>stcV^2o
zez@-6Y6S|9s?M1C)gukd0AF<IDg;%rYBRaB>aalsBTB#aZ6{%bZn+~W2in9@SX3?h
z>hQL)HN(cA6^vY^O2;7Wt@_<AEYbdt%P*~c&7k3A%Z!sY+qsqL*^eJee3V+2&Ufu|
z7`p|=-j5$kQ}}3e&k%A$DJ1<Pcaw~RqD!JXkL{PQ6j$vIOg?QGVv66Hbf!Gop5!uV
zH!0d*u99>WHotSFHx7qHA-a41P{nT8@n#D&a3&%~JYwC4IP&A%hX_bgXsp_32W_}R
zgda#{K6zL_4S9S{BwiY@%>2ZwK@yyT!ChGTTQ{TxF(08}p<%#0reI)`sB+PD?n2I+
z?9vE>r3Fs<QU9HgGM|&1IjHu$8aqEe_<oMS9R8?n1RViKV`p`%yc3i1QX2FvM;n&7
z4s~6a{@da#b?gwp{ovUGLB5llg5iB$Th31=bG0itpyKC}GDL69PWC?%Y;;=S2Mf4K
zrJ#=jwBx2gD?5FEF8BL$JZbFBR8z@lr~4*n#2-{s-_*z_Svmo(toCxkAd+EUy}I-c
z)FfRfND)SZ7#wEv<6h%Wv|%40)+71FaLi-0xWfAu3-ms+{y`NYrz+*HBZ+tcnI`N*
zr6O1$R`Dph=>F$7SbA1k<kdw!##u*7i8*rP3`&X9%U{eAp_^D=bx?alFZaG(&rdvw
zb9W43f*SUNNjZSQMpGw)ZDh=~!RY_R)L+I$*?nQduqcRtbV)Zz3y2Iui8ScYT~ZDq
zIkbe*f^_4+NDN)lAR!^mjC6=J3|#|A^PboLe%||e_^?0B414c6XaDwE>sZG+7H+d_
zfZMzGPP7o#_dAE6bpB&<q@%l=kRtKusVevY{}IJ**6U4;IuH*8@HI|FsSk%4;tBQG
zeejIg|0K%Bla`T#B~{an>n`6@pL<e3N2Qv@mZAg{78|_LJ6X}F;K3S3oq*j0mx=u)
z;G|Vlz=p&XZMl-XOse(J;(7Y|@mFO7FjO|2u2|<XVlG_Its2t%cH<%?js*MuwoFFQ
zr}`MkoEQ+-C>{plgOsKW;fjcF>RaNOgmO>T3d(a8z!(3%<@OJri|`}|NflNw^tajK
zHBRtQVrsdqEw01ZVF%^4f4FL%DE+n0qCICKpobLFhDUAN7ro@+FQxv*2j>Z)zI1+t
zZTod08)olO3ZWr#e_BKR>j?<pf^(wKqyaiD(ra=3t8dOXgNI_8G}RAGMuLRsg{X^l
zT0}lj%NcRDaxQu5`s{+^Doq}P8t%`2DvYnX;?v8Ld@~&LHvFZAcu7@7a3zYqg_`~R
zcxL7GLph&gf2P0p@CVpG8Cq=ptQ?4e2pR6CVZ}ltc+F+V-CNagxNHGRMA|+XIb5~}
zZrUG9Ne->}37tp9{cvFP(T}o7G}mw88OpZ1(MLR7mhD)ad#u+zt8nM&)&4qNWg<c6
z-#tP;L9^{m8hrjL$NuWt{IlNwJ1Cb3<0xHZS$cc#Ry43u|5zb({<>b)CgKu!-@jTF
z)n~BXkU*^bL>v_j5S?1H#+q|CXq$zWHs3!y)!|DqgD#YOGk^l&;TvLO8{fY_WKQd4
z%f^_-x1p`|H3k5H0g0ppdL-k>s(#!4CwOnB;-vXOW~OX7A$SDkJ?$Y4Ir@&ZLd|b~
z!oXXt0v?Y)-xVHeR=~_2;Vk(6P`r&{cU0QWu2hyO^VxiF#K2IcOXt<@bF8$jUG<Vn
zDLpVe!&2yQlwtf4^!vwrnaIbZDshuQ^~_FZ1AU&biNZ;1_RnUxI*v4US@9=bx@Cq#
zm1L3Uvw>GEKYB;Cy#bcl{qU!APv!m94+dQU3VcRq*~Ltb>^EvSLlo)1?(D9T6VIw=
zsL4PH`LzAr-#^QcJ|0FWyTedaGJ&Ox37iGsB$F?Y)A&SfW^qMN-h`O@lQ7H6k}7%B
zFkWZG(aF%QjoVJ=wnR~a_G8^Yq(cU32vIoAPr!<}WeP_ItecM;6SzDq+m_&Dy`j8q
zkj8J*di2;HOv61j-n4Z{5ZSTbKT3zEb!j<No>TQTDMK3J7l$cnlO|oseqN_PRcwQg
zGaApo;p(ebq4n@Q%+5*`z<!Dws|oH{io*z=@gj69?uN5TX&|?C&@y}tEUb7o3{Gd1
z<{8%5M5RAtNE_Q;n`FV4%`Rs=)>~VAbpsz;cpmIq9@k*P-&*-#;yS>x+B$9Nhk|qZ
zzQS+~;iPZ2sWH)&QyLO#jEnN#r~Ex{lP$nh{9f=Wkc`guvsmF<fBz&x%*A3wBrf4%
z6HJ#E63jS~7mnd-0UT{F*ICzf@6Xq=bvf*=BOLzyVn2fDu5CUf@3d~N(fq1pV;=%M
zjLM8C(Ddl=&tx$Kd*BFAw~*HLgrJNZSi6&YAmCgjDafI6hmd3%JroO?3GRg&hRlnl
zY3?8_hkY%&er29msTd6qG2<DD|M3r+Avc(QkL~_UxoWT^0FvsGP2|T_l-v%3R8G^2
zK7cXBLF`Nk>y8<gsrU|j!HR2YnQEU}V_Azo$N*<T8q0E}&0ZF9_E&Od9OxBsf}Cvb
z6=mFOc-|I5-@S9;TwHa^mAaqNi|<-duFoWo7++T8+aU}gr6_Jv<^%mI`{{ywuu`Im
z@JTotGglaIPP4e~1CfXXYz&jVg=p%pOt@d3yMaq(4JPOzeMP_gz`tf9ntisxJG9?_
zo9^2-nh}0WRvIFlrG!il&`&5x37}m;abF<!%JwLX`z*zv<Q8-*mWv-9MS6?+gA&gJ
z64d99>H1E$df~4^WncUzMCsm7?SIoFd3K?cqoIev<C7w0@Q5x?6@Tj()t}1dqjMia
zU&IlQtg}j37@c85Ho2BD#MX!{_oI2Uv+QsP(M2aj*O`>8+3zt`mv!@g%4cjr&mJN2
zBC=QvSm`i6Tb32()8r_bPhyCRZy)0B$9+MyF%k^cT)TeAiomDPkF^7dh5C}ROuXAN
z(HF;y%6_*y|AuB`$3#^rrOp5IN}VjO(oT217;Z;~=k@wPL4J5eNw43o?^sc(YK<%w
z6$!8#+eV@-e-O~+6@}qN1^wmDK5F}ud@(yD`mHx}mCRCe1}%<oci$BM3~LyF<MhAo
zd1!LLEBiky;{q$6QggiYJ$tFlAHz6OP!_@_TE%u}|7%fEZ+3^!g8OeXxMv&jf@JkC
z)ZiZ&xz)XXAG6*7y4|SR_8Bi?S?l0?p1gnh<m5$v3;{-GtWQ(*!M3L!;G1EjT_Wqz
z1X2ihXpVyaj(&NlGn*ziBSQ1(m^;MbO)5n(Yx7c1i_3f_27;$PQ^|9*f`)Ib5r3m2
znKs#<%p*Oveg~e`%kHT~dG4tL-qYxK>Jb!DXoZ+L*I(kuQz;GGT#sr=mX0^5nvz5s
z77Kl3%|xu;+TCW^i2Ve(cSZOpcBv=he2bDVUgAAJ4DoD};{yD)1o!XDdU45PhQMSS
zlmFLa6TEbL-*gfpW`UWLTeV)5nDw46N?xtSBS{?XZ`=^uhX?PkGgE`&^SerPReup~
z>JHKGs~5Mi=}MGR#k;?k=Ks*XwAAyWl`Yj5=w}}jJ0rEX#?xyZt=TcNbl@+yOU@8K
zR1;18okno={<p45cW1(a>9pv1I_uZA1R=XbX<5;pl@c!}S9}Et?T=uwVosbyWSrxf
zu90j0-EGTyub>$-B@O3oo5SBn4KZ^ztJWNqw)<-spu(a+^JOM{Sf_OYu6t}!rj@&B
zx(f8U0S@n&!)*yJO0>nVp-@K)#dPfm(%9HHOblO?&WzySn4Vq!_=Z2`3atSK^5rLl
z32cU2uK~%TzCIEm^EK%5&R(_fx6^B25IQ)TP?V|HfAE-Dq2`h0>mi3d1sV646*4Kj
zFTMHy9tV#E^acjAoZ(IQiR=<pCw$Lxo}tyUwgHA|Id4mEVmjchg(v@acv|uNTd@Ns
zJrGBFI&7+-f$2c7r{&*I`4EZQOyOU(>EaY3nkT#lzBv#cqQfos-d_>Apw#8-{Im_L
zl#<jBsTX$YEDhG+K=_z{5dhGhG9DV1Emw!Mq5jqP%N0fX5wxT2%q-s1oL`rfzf}of
zHLlyt+j{0PI~P>x_JX_r1Hmy*$TJj!|I)l7h(|w}wh-$G-rBN%I6L+q)^_@+3sjCZ
zPz*O`0F)F~uNTNq96)y(fN21e2EAy^?Au5~$bHj&mBZ9KyLh-ux&>p|(Jk41Tr>P&
z10ySY($ctw8s4vyk=kt_n@#k<jO(9eL`YGhfU+ZF+)g1*e0sz>5K=z8xWCM(0czb}
zu?O0p;_^I41zeKt=eU?&Gd!wke<e+aNFK2HlU!~NxNyq#l*>yowLIO%lmG4T$LDCY
z=cT~%lM0dA4j>0}D;kn2R{2po5Wxz#vj7#k{H%A_`iqShesqh?qnaX?=v=}lk%n7^
zSugxENvk;Ke3S#4c9-B>nm4DCNxLgI1{sF`Y~hyAXM>t)W}laf?uEIo1XYNZ?0{ti
zb<@90lO=L_1+|ASDW=mL`v5G1QiD1W13oIdoYuSeO`-Dx1Y{)(4!NA~3ADI%!6P1)
zTl~+FUFl+5by*2=I?N3!<B~%#3QYb0TFUJBD5u6<^VR&;Sp{5Nro=x~k)D8Nf=;pL
zp}gpiL&Fi316<svJlz*Bf&38vF;)U56iTL-oPVY6h-wk_W*_v&`SozqiBE=rE#PR&
zN8@UYiUGl$eX+CGkti@9G_wt({ndLNpz*=!D(tckXdkNOnj-pCbb^6~Nuf<-g2Ag9
z6m_W`mE7R+nam0GG1S(X@V2yskmMVU7difc;N&o?63yn-wr=r80b3I!UU$y9&kBW%
zUji*j^8AU7x5_fVv6J-iweb%4C}F<;7`yD%PKsTQqK;4V3D2qSFDe$kd>{U?%f{m0
z?`3fwMRWD9@A&Q!*922nO8Sej0JsJzfNSLWG|d*BVITO_qH9v56gVgRzRSe=yqJ2V
zlKFJ~J~mTut>XEDq*QsKh6BG~sCa5WdGl*3lmcglMtPc1sI`||$f3Yuu%@D1AEoQb
z!WvMWYFTKUh{r<#DVTjcLE&7!|5e7)`t&1Xn=fmJjtP%0b-&UCcwV!{0LLGac0f8k
z%dGjZQ%yiHOjB2m&FPVJzQ1R`ZX)`(^gw$5m?2&%kn93mJt(DY2jZ0D`=ylod0;EM
zQpy0@mq*x9e|F=qw1}eFXKpU;X{;zid|BQb?zOmV>xoOcMJ5weqn#Wr@hU3L!>JUb
zq&_3DOwc?&z?(_>EzL3&Oh=*lvsx<HNtQiJlI_LB?|YpUB^A^Xd=R2fCp0zNibSKC
zYJUUnGjeW9|A#dCT2}0vX$_}g)#Vjr{ytaCjzSdTsbgo#1^%+J7sam651NF4<OB}C
z--r%60@WxBn*iS2Z`?WNE;VrpUq3wtDsK5hB@}50K<qITw(p>RZPDz@_iBi)Mom~`
zVR_-6$FwpI#30o}v~7#@A)QYeErRm}`Fl+V!NDpgF_JZ7PHE}2rTHH?32m3$85zk+
zT@Q?T^xnwFDYys=5z6iw@{}tiesulq++Ov~yOAs|?CL4S<pe?THxpDKJ5D`KO`hWY
zX#$COV5eEL<_Tszj}x^pzd|Sp%EtL(;&n;)?%1?oHU2ix;K?HSGq!%7&*;Bl-EAR6
z01BK}N>gRG6=Z!+>kXnv`^_=^3XD{K1N!HWzs>0`M(0j|t~D{qATpN*(8Vk@Ap)^_
z%CZNuMu`c5K%HZh$NWe+?Vx3E0?o7{6^HT$gYyGldK5>A;9JJ>+$>52#$Y7Xy*)vl
z5QyE{Q^K2(Eio@<PJc_?1X)d1*RwN-_-E|dk8XtJKZW&V&Tjn^MkQ*sf_qBW6W38>
zW%Uxw^G1Q_SI85i{Z<{PxrW_<r(Z6m{H!ZyUlNrL)P1_O)b;YXQY0cb4#yDwWaUY|
zH8DX{$ES_c;0Jcl)P(;>0lC)d6U}*CBa`~?ZfqIsrY;%lvs>tClBVxQLV`5K#ZuJo
zv1^Pz9xj-(7@{zi9!@UsOnkv7&LZO_?Q7wqgR80@6Mj9$WVEnb@^U6;%owb%t#Po{
z1Ypt#BEiIk7}{Qc-Zo3*2hpl_;loiZ<}-Rha#5ZD*k1PSqKW@Jusc6Nr6Eh01&Afl
znb)LU6(mKz*7r6c+4#HBVa9J>Wq+p(m%V%BClB={yc$TqeJt>_26hKQ+IOm!Hkhwz
zQwbV<xL1i%S*t3wdV};S)~=K0?uqE{zy~Ncx%SOGz^*c>1bOhYb?0I|F1Vx9vU%Qy
za$&Jrja06Wy)+om3V(G5ue2H`zK;@23kvUuw8$GfU4U>kCsgl-Z_N&0)?|&`Hr!!k
z3mWoN?^D%yP$mc8>oFm{_oR<40tKhj!CIA$=}1DyBQGWrwu--WG#1^ykil%7*VRNM
z!*^7dX1~;yEFP!q`v1EQ@l3)x)j{_M29F`RcO1ta$1{C^buoA-F{F3i$@;-Si8=w2
zHXOjH;@aAUkjy*@ElH0#_$!E#$NelO$&RmCcbXgYBUkq9`(Tlfls}3gkQyu*j1GVJ
zZu)Dngs;xh_<(6~`c*BfxogDOs6GG99@uKyBwAvLHS=WX0RV&w*NP)j&IWH5{{H}M
zM_7`f51}`4;_r=LzEuDdPVv>wcl<`kj6Zu?lU?^CZQEkXa)au*bE8vDQ;qVMUR~23
zZJ*!|^?59Pk{+u$guV`EDQA|7H;GiNIcGTOwueMa3ozxJx*G#>(W)w^*Fm`!)Uz@>
zyWU#?dKu|t#;Q=#xkte`^&~2Ive~T}CXr1wPmy1{zhqW&6;8>A<8L+M@%R1(`+)07
zR=pzb5(FrGD^%ypiW~Lc$^Ga98_yfPKH`P;f-xxz^lo7J58E%3_gx`KY#DXGn-DkO
zdEe+`6$b1*W6rhozmzyc^U>}_9qo?nQ=G|JQr}*N2($@8>?Q+xD~vBItbxLJ4SWDE
zR{DO4mmL_w$XUCCcWKBUnE4Y*QyJxYqBdZ-1VU_i_8zEm@9drF$ZNHm@Vhnek=?`7
z=ZWujf&hmxu<-tGR$+99Q~~Ar?@vGJ8s8E8v-J~&e~pTU>UzZhB3#nZVXjc(zl7Pm
z`tlV>pGaes*kNgjN7=)bL-!HxPHDHwa9UzLUKu$1GDS45YIuYyci<_2o!o>n60CZ?
zXzw*%W4g_<`@B@JG9`ztgcLs{<j_zuU=Ms}z~jbwp1DvxV*MWPrmX%%jgnln7Q9^5
zlgY8XMMV&)%_+@>1@MM2{2Is;_RhS{JiE@%VbF22b;A<NKK^-c<%A7eW~|sU&htlA
z#w|l?g>L638MSJc@`}_Sc<26cFizx=JO?yTfppOtbI35dp|O53X%=mv;7wr|f-KP2
zvuCQKXF$HfWBka-?m;TgY^6wzq7=g=T~ktb!j0<R!<A$Uo)xa)OMw^t<9pPBCh0pE
z%VI)}+pl>@rc$Z8+AaSPNrK%PHqpUcDvXauWB<719Nty*jO@M}o~$p5T!Tj%i3j`2
zyyz*t=(a9LXCa&E%A76CGFPdz%4Sz$)3Oi0;8zu$-Y5bWK!h2C6ekiZR*(|oe4^6I
zmg!j)%ar%w`TfbJuQX^~IC=7L-(5q|1zo;iBty^Q;Wd<XrgqBY=+Nu|2m|rWp2YGW
zaksG#UY<W4xcI^#?^-F>Fvj1@A)9TlOJ<^cHuHIng&Ai=ish0yY;bJw@p%y?vt88_
zx08LPkhftom-zWFULK-BchH~*BCK3Gj9s<-{_VtmyPhE*7n0YH6J^}s_cimkA2dt-
zS=Zyu)=SJp&MdCITpe~Veu{$uuLKxGP_YCl&Nc*jOZsM=NCA;C9%sxyA@}B`R0nN?
zo7?#FLw?E47;{Q$expQ+lg@)U8>dOfsGKqyH}KJsN3MycyR@-IK<0IKLshC8Tr+lg
z^s0*)G)kB#!z*k?Gm{ySd9-Pj(6|GyRv`9}I$FLeDBX7ya@R(rw4U*&t=M?%U{vr_
z)dNfpX#IUpPiMo}hn%}8y^(d+4@XGwmvx=&vDcZ;u72C$fU^y!xvLh`Eo2bZscnRG
z^|HL?bgiQUuW<U<OOY$hO|llJiRZi04UBpd&!yn903N?2o^ANuk-mfD4Q-iEf}z;k
zXg*}Irg~q3p`?K;A&7TRbj9H=Q#P>ma{jfrRD8ww10EAAC_#&QVf@g2PjJ;OzF(@1
zmjqPm7v^6l1G|M2wQ(^H{CB}!BJ1n$?62SWZa<4X;H~%?jL!^8|GOW~HznuK<51|q
z*d`fM?xw+1M5)NVz?ei)O!W+pmj`yAFp!(l`v-^QWO<@MXftXNIOSQvh5sIE5%c&f
z^t5f)47LrBY*mRph5s*su<3{Qzlf9t2$ElS%YJwFTH-i14XKv+NtYKL(V?qa0aF(q
z>UcnU`6Y%}wW?%y=OvMGvRi<;ZX)VC4xg$JXkq$3)qigmdB9WJzsSKvs~`tJunjp;
zK5`tz4{+4b_1MCLwxYx*n`V9*371Ka6(t{>@1#ToT8Bc-f~i39WzGTKjYi~GWvedL
zu~G)RWuDGkO{VJ8c{Ats4RxxRnS0a#q@pRt^0MgvEVJ??*n7c^Pl`9MeyGjlWO>Hz
z|Ez#<|NiM<=r<lgnzzUAP1h@QUZduF>9pb?Mo>I9qDu3r!P_#G3TzX@d&w89ZG{w8
z*@zVEgx%-E1)Vrzt<L8~d_EQT!RGHlsjCH^j{twVb?`Le!vp&W$~LwYi~lE*hdDR=
zl{7VvTqGiC<dwjU_c{*pbcBf#N7nkqkOIS>ne&%Xh~?L==Y1ORIIDWA%AvO<pP8WZ
zeg_A?m+jvmub!XsTM$Wd`L-u3J#!l*;F3VX^uYI&(i&Mw)V8XBn=<<>T>U$iP&}*w
zcQ%7r%tIsPwIK>^iTm*v;_xl!POI-gyn)n<7U=iEc?|WRPW&i3Hid0g&o1rWYwM+(
zE>x67GCdXd?y*8OJ5;kMiw6fAqnOqM{})<(6@_n^_dRUqowyM4XgR}K>PRZ0#DSUx
zjj;HbU1l!0eBe<Dw@_C{3@>~Hgx7i71W(Grk|73H@3Y#r_1Ldg(QpBS#nu13VYfn`
z&)pE_FUjz`@2X8o{9Ia8rPK=7n}78cIA33=tXX1SA0!oFRkEJ}OAeOwv$Yr(3pMlp
z)$@8*{^CrmO@ot>Qr$-#e{C$jlo2o$`hC^n7)a%)J0pN;qIR^h-P&)=mdjryECcg|
zW&>Z<^rMlYxgt4r$41oQZ4>Y^g*JP4=0d#$$?vGr9TD<Hm5tNfM~F3PV8N^3)9WpL
zy?dFbReDcHrb1dJC$3jf7x7N9z-!sY|JxL1>GX2BRtS&xCd<(zfcV6={yT8(1~ev9
ze_Bmoqj0P`zFdI(wkvf%*?D<*-2}UJ9R~eEldf&;1FIU4lv53(q5fa97Z81IV}iCC
zfUE6iV-s?CjXwCWba<)10b@GyQ>j1fI+4^$50T80Z#V>Oj;(pfGm@Qam%J7I&M(*w
z#d@i&Yqc@I!`kMNV<(r3i<jp9>$6sHIht*Y#C(F9z7DC_KJ?BB>%&MvdmwRiw=2$Z
zH(V7E$9fmwpnRKYdBLcBdvW~b8e7#_w71nZ%ugI>()K+O)mjfi7gjy{x@q`ii51}E
z8}D~yM70fy+o%3YQvWj;&GRYdeNprHinJ_zZgimWxFtIeop#c;ln%5C4SRrU@{&Sy
zhRD77{qq`I#OxH7hNgm~j?HyOw!~#!2hcnC2Bnb@v&ta*ZYH<=F!KYM0AJsA?P4+{
zR9ErmgMo8KrVYbA2`x}+zy3qU8`p;5=lx?<k2@o?8Nb5lY`<l_Haaqe?XU4u3M%4$
z;CE!yQh_UV2UPXb0xhqD&3OK3y$=ZUl$AIfh3!6w>laP2jMRQ_CXqkmi}R~@kaNd#
z>`)*&mPhOT`L-u|JmqYMoI!4zoWTbw+s_pfo4Zgvgwk?l6_tJw;h!HDi&wT#4LVdk
zPXnY{dP{TPQO;_zy~LVm{D}d|i=9NNgQ{uv3bZ)9jt?^9S2u+keyV8J=6Y5C)7kUk
zJd(_0g=)u~Q96#Ep};@P*<-Xd--%Ro1C?3zIl_d3+B|oZ=bkm}^<OAEqf=adpWTPY
zoE*d#P^t37gr8~H@;iS0*}M*8a}NqyN*-GWgrIWNWf|FX2V(HJDP&a=tDU0U66?j{
zR9tza);E83JAQ1mExmv7Dyqe0cx&DiSC$=LC;RaJ7}?@3{P(3?I)yFvv(XCk8#~OZ
zF|<)*ZA6d9EJ-67V9;2|dv(PFsL50=c-f>P(J@=sKO_$JrzXHn*)7ns&&8;Nt%i1z
z0AO(VQMm_!CJBz(y%J#x6<ngh69m(*73G4HrC-#M(D)l<F&}&aO67Zw044qAo>ZfX
z!tb!`dyLm{w)H&>0B>vTO~sHG+#9b>4I}<4z%ayM=FJ6tBgxtUUx6Sm2){7=+n6I;
za&C{;@x#CK7XOiZ2mmZ^>&F+6oY*FOY?4y@vdirYcYmk^*jP-%C#v85;@^E7Xe%|4
zV@t%Ee2U+DX_%)iigsQ3@1l|Hnf2-UQ4UEX)bsymT-ZelJV%ZD5S|Xgg(p4`(Z#*E
z<aBtdLx1A8aV!qBLMt*RfZu7;z<#gjJ&@}m{c6t!G$>$Sjt1OM$$?;QR6GfN;fZT5
z7e%wsY7>xyVe<I>F4iQwvpM{bU^t#QK*zeuWg9YudB8#d+UieM!%)^5V`LMbmAp5-
zJ1ijr9hCiA1}~qAMTZT2h9SW6U;k-`Kn{DGR5MJy?pHmaW6!Omq*+t-%@6uqt$G4p
zhD}6XCvdD8QO_o_1|8oEsvf&hT7mIvU#QWCZ}a8NeJy5INI;XVHRrydF-~{eXYId!
z|H1UiIpM}^n<YTwIE;~T-@B@fO>}<GVmfo5LAs*V=@U^KRWIGXw*(@ik6BH|=xhc?
zHIZia-%C|((e>%D<C)f&NiG2GkZ^qSXS<dyR(U%2^qzf%Bj1gX^yn)^3ndt9jA5sh
zNCWrCuf3vPsjOu)<pdvah%7P22Ap<eo1mGD5FX1ET6*caH1pZ}BP&i4h0C)})6QAi
z?o-0N#!O0RZgUgYt1Bc=PlT~26Jp_ye>)|7*64%2p%i{p&H^1!)57u;3g|A3fdz01
zjNEm8OCsYV$0}^L;X}Y(;!jVIGhD_(5}^1DYq>K&VD<mR&=t3fD*-Nf;Zd#WdEtEq
zuKD(z<@9T;nGqSL4L*^K%T>CdbnUwLs@~4Zqbo%VpRzA5QUr!%3a`?6O?+=LRh>2s
zXZOsq<NM>En!Es*J2p|oiHkr?&p-Us1VX%^x@98|m7&YXTPMyc$`KHbX!&(*H7YtG
z$ki3uf|t0w-j<x}QquOR1zD-4<4;(xSQZIZM~8o#1I3N#`U8=X4}WUkI{hEuP_bj(
z`<uM*?9*k8&8MN}otZs;EEQtpc+3R;z1;PoAKqmXp(N*m>h%st7RhwG_5{Tt65xUA
z@N&jEqQqdLJtg%I<LT`-UOa|QQYMaW%A!>ZwB=8Hx;K6q8|2bgVWXTk%Eivsy4fhU
z&yC&Kt$-8vx457@-JL8u>b1G>_HqgvuXAR}VW`qUoF0#KrtR`Pv!=m=fKS_D#8KNn
zaF@OCgfr|lD*IutN~k17fOz#YT-~c{wFF{>*v371+u42b#nkYlF0CUxB}mz;%di;o
z(e-6GP;Sd{n8|hSIOQQ5wH<Z@X0Tip@JQ3CPq@g3oL?w@3Cj;9-D`-Mi)1JA*jZYv
zk=gbPptpYA(;?C6WWaOSa(_YNmWBDPu3ixz6yJn+2~cygMH1R3G#Oa-&f^y-pno<K
zarw;q4PE+G^S%LB7M%MToJV9(w0{O9$6U-iM;w)6IcnYm6oUiK9Z>4}#@D@|2dn@}
zQWvl!wts6^KJw9%GoQYy)~X4!x)5B!6(YbS%#W+LM1sl|h@FPNo+8d9d>MM!5>h70
z(nr@=`LToB<{fJcy*o24L2Kn(@#wGvJ0N&XOy@TR?wn>Th~`=a6@fb*VD<2PC^1MN
zsdMm-UA-fo9miWiiWAF+TB!13_PINDjQ*<=JMGu=0!HJP+yRkCk<@J1aRT4?Ru)vN
zx&&UfuGt}Qgzf29NNnhE&cA{;Lz=9CiQ?Fo2QuSjBUAymf(#!kOR+%l?#XjV3F!d;
zxcBq*!sk>Mu7J>0fD0F+g|jcOc3^g~wXl+{VHfZd?og6c@n;~MU7h5<6fBXw9NmBH
zGuOqSdKi)O8d(v_6Z-g{aJs!adS?7OKXkWnQIrJQ6x@6^({?$QHaG{}&}ZTGm8=kn
zFk-^Q%I*+uyen@ZNwYks`3zX=fiN89y9Y%RKjQMSs=2$H^B*>rK`pvcO9f%#JUOo~
z{cxc30!KHQ1#aHVzF84YCqHoreL*bQuG(9f&!+RhGA~kJcmGdzNp32WF_Ip4htQ<|
zUw@hYDctL!dWEL?G@k1wWZ>iYXS8b*HLuL5($4tFve$V`QiR5~J=MU)n&E?Y#3B#n
z|HnsC#xOt0-l;7IFudpG_vnrTkNE_=r1Qabk%m?(JCpnaWlv@&LD}<9+;m=@G>oB1
zL6`i?(z%g_A}+78vJLa8{c|1+iN46cE5dFg*Yx8iYX{2cp8fKh56myZb@dEE(p4B9
zO_oO#gki;GGEvfb&?-l$Ysx#!cUrrK+HR{V>vtuSDmXYRfD|BjQPm<Ar~=$5<r67g
zW{jY~vUf{9rZEJ_O_Gnj-U}FEo=u1s^t%OVFcAb;{?T%Md>S#CNp#t)NrS(@b72r9
zc!NMhWDcabnGgl3gplf9hLLD&Ult{!0U2J1Ctac~U!vHx7h#R4d<521UFK{FzIYt3
z)MY)l<;t<_vb|1{sCBG|UMW02)sh;(z?#?6R6&`Z3lI&VAe9<0TTax>z%M<X*6{7H
zD*6~+S$0!0TTlnG2OSCv()b)EThXo10eOOQ<t67D!+2ILU#HF4v?>GxA2~z21;vP4
z+tcxkQ_pSJ2XdNAGq4654AZOpH^I5vyqat)D%x=V@2eZ)1)F~UeQsXiN|Fn%lfUZN
z%wEOr3qjJ?+0PVT?LqH8YF)k$C>(E<8h`@-I$^?&#a;-$D?)Qr&?-l<OKJW6@L2xr
z<gNa@7gGQDD&kC0L?j4~ez_}DzZ{ky!IBhwZV@kgB-U}f46FE_KCXLrd|K5H{jfJv
zpSHgRnEpQ!$ny)K<$!2F;a@qdR`_W7z+Hu$1RWWi{ciXLo3Y90Lk-I}@Zdy4*(Lni
zK=V8k4Ys|gZbJp?(vc{1Fd3qclBIkdYdhA+TFUpVHUi&pNaf2mr=#v|Wr6`TEga}t
z)r;Zf4D6sgqB{}k$TFPHpZPh9s=$y7ItRIbaef`ZylLbteoY@ZI%BC}7xRFhPUnw{
z6T<P)yZd>;O=~MDu9_Pdn-Z#%qwS+L{gmu9>^xsHPZDa8$2d@3$VKMRwn6wc^Ny}Z
zCi+|a#bhhJ>l9fgzzdTXInw~D4l9O-ZR!O>VP$JM`KbF)PG13}<(FHb{9WVxR0Lgy
zyR6#xOHn|(#V^O_<gHh6J{?KpmyBG;(~Ih)i3XppA728e#hMr}fvPm<jAo(m(!sUi
zBECX84_5c7_@A9Z0N-Ih6NVn_fY1>8ls(Br@{@%bCgiBnTWBU&k|TU5QMHebDf6sR
z!rY8gzkT>0Ozv768<MeD+|5T~wQcd7ns5hNat5zT^_wzKjTD&79o@dxM<)(!{!u0$
zt0iWl+te@z2}mCDHQVhnOJcxN-uqLsR}sMvb-hOjhn%?qVCt5f7<JG+h<YSY*`L~a
zsq+nEJLcZ<jVE+o`NE6rND|PSv-#`|>BR_`tbV7|-cwKDNLAU1ZQFdDEFQJJD`)yf
zN18^HNOLRYwZ2<Wg3Jb4qR~TL|JKW*NzC)4_DcWqUsiVl`T8&15(GNVdp^c`^2b^E
z8lOoNl`b+x?i{*q89vlZqow>$`ib$i!IGIQAX+bk$3s5ZU29%FQalCY)=i*IHs^^n
zqV}WE{*I8~ByQv?bxn>q;Dih7??20y)6knI>3Ves)w|NQZI3>GuaQXS^f~4C-KV5|
zg|<buboI!n9>39)AjQNBzoc7==wTuP=$}$CD{uXe@S;9j{%^?TR$q31n|EV!8b{qc
zu%NJjrXmw<Ac<udQ8OQV^h8BCm|90Wm+Bcx&`kld5nD<za_g7Ju{rMM8&7)WCX&~3
zeP{h%fZ9#x(q?KlADR5&zM>*SUT<Xz_k>M7sZy!U$6A!Vyb0qO8e6;(Z1G!b-Io6_
z)NeKZ(s0P{-I%4S-C^(BlK%3mJkn)^$t8%Trf<KJFv55_B7~Mzzdnua*Uryu20cN<
z9O1Q&POveL{fE(GV~OE+o;7y%Bf8)$lT4}NAaCdB;GaclsbiIM9Hnd<-Sl}9toVWO
zDj8D^95K}tyBTq4L<%FW#qt*k&X?Rpj!u0gLmkTnC$E_Lzn23zrOKMyUVCE#F`yM`
z-1E%LV3f>lGkHwNM8Jvo^cvtPg-2h2de+YGfCM;W<i(SRK|b)H2G<~;y1#bI4mt4#
z6Hnq`$BLim_5FO{f<Y{H%gRM2P$?;*6qoQlu&2ca@kG!$(*Wzt*Ij}Hm*D~P)R0*r
zW(o6`^=Sr~Y%l73@%mkaOc3v`n8Uioy~}6I7edU>p#d{;3xzKWmWzu^lHN8rDgCj}
zNFw!t!;az=>Vh5)!G9LH34&1g_S{Ujod<Z(`*It$plYfP4mwPK5ySL0T_=_PY1T#5
zHLnraW#h#leP1N3nUoq--!R=e;vF&knnw9$&KK;49=hZ2e;I#<)M$2v^9Xm@>CMN-
z+iAGV^+sq;<6!o{G&b3?WOIoyu<jaD&&4p%esOFs750^O9<eA6Jf$ljNWF(hX{WS}
z{V{ZY0SJvA^b@*-cW5>s)DyysX&V7YRbtZtTlsPJ*89JlU;7illceZcDTVEPhp8cG
z?Z4E60977?2^J~E7-X64<vBx+AnrWQ)>_0;cZx+xL*tk`AD%BO9Sd{p@f#WyXes*+
zIp8R37_0PEl-~`=3g}JaZSmef%I4ArJ!|jYD74cpD^Mpb2k^Vm%);HyGM=*L1EGu?
zMTiX@O6osKWb;?+$5=T)dJgl1zv1rrq1slAu|en2*Fq+C3PZ2LIx(fcTm{&hTpvCU
z^5uD253Mz3XKQn{+7qN={ZyDulf?O##XqncpiEqsr$bV)2T9w1hr1<qiZ)b*GGBOD
zhPeASG!LFJ67W+)ZTqWKFT49~if`Wfe7_-d9{V}SmVFrecEObwG<WpASaIU$4N2jN
zL{dFF?z(Mr0PUpqE@E20mtnHP7+NjbUoYRXaJUju=w`s$?+W8L+dW=LS5P$b|76Bk
zQ1o2v?mAKZ6aQvSX#}gOcEDq^+8ac^wJhGT!Pwza2Mw{wR`}p4FByvxm=o!05a|`7
z$rH0f^+lH+>JonQZ-oW3OU6L-0L`|c&?;$b5g%?oG$ki=!!o3MxQ)hNwJVq%X!++e
zivH>-)Ob4!Xm2dpjnPh78?UBpEZE^Ra%xda#_7KIiP8oN&Q^-$xL7b?{pAP#$?L20
zv&$LJuNHS(oMxxIoh#~QVba}n%I)=imvI5|y2tEsr#fS$jSlkQqZZnfv?AF!{(!nm
zhvJRXe%cmRW6ma7JkfW(USY0xbozMx0rSyaDqmB`yaZw{hQAO7ENOFMYj2$I9(1j1
z*uJaD(c_DLf0foe68;>EW_W$L864W$uJe;sg<^7VO@!I9HQQ|l8^moiiV-eAANN4T
zYj$$xu>t`v*!a&z0&V)#wh9G?I0DjosPFU2#GLrC$=|-D-}W?*dfMArXU{-T#7E6q
zNxHZr;<E5AI7vS<2iB}3sgUy2zIX(sH+TU7`H<O0E8achi`X^$^ccgplK=4=>D3{{
zN~rN8e0mIEg%kl(x%>=@(w%xXmB4<$V?3Q8AjBI8x}p`S7Rxs79#Xwj$2G1b#9M_i
zEDxI@zy?a+fI6*u$HiAO4DWvp!M22uZ;?xr9{F}hn&C+@z0OEP_m&>-uY=TVw~-E)
zUvmLQ`f|oos5SlGOjcP0>m%%igVMXPMh>|uIFdG=c*j{?kgw+9I;wi1_Z6DmG05B%
z20k^d-tQvHo?U?Ap|bTJMxMU#y4ItlZkA=7`ZICc{7V6X7_Vp5<b+$bz1iyq28Yp7
zh<RMGPFW|E#%4q2s^jHvmBXM{vE(I;P<T1;fg`J<_z%Oa(Gr}#K}N0*G^>LdMavsM
z1t*llAu}3ybilkvlzj#US8Q3*dA7qK5}3Up$rYWA@)9eX@Zcp&<l`OZC4z#n!kHfm
z-c>byZX{k|yrp7~js?Bi9>i{=k&YuZm%BoXk>~R&0nBEB3kGjQGLYzkerZs=u5^x6
z3pGD-<f*~G$7$Zj67)C~2aue>m;;@lid5u-$>(d5y#OgGR^MH;bVT(M^Le`rS5g((
z<<e+w%XRbc@2eM_rR!K`;-c-fRV_Il;BNbzS-RAd%E#``EX6gP*Er@kG7vd2MiXhM
z!dP-a!pJ!ewfT@4;(5$5=b)4O+H}Q*%XL@l&4!7E-p66gqrAE3V}4ZpXZR~Uxe@PX
z!@WYt^q+#@*j+F{Dp=Ur22`#1EZ+PBjUA>cp6ZSrBExFC6>%~JEPPs|jxKU2ItQ(J
zr+DfTyrQ<>-S`tZKql4TT#&6<U{eCw8b}4j%13bBxh!_<#7B-AS*4BTFtIZFpI;>c
z-rxc3MEs}t!9{G7bFyMv@dTDXmD)+a0~zjL#h$?m6~)M+{}g}!8A<foTvNZZ`cKOe
zIZJKAZozTFl;{6Y-iYRW0=yHK`uR~w4f_sO@#gT}#;;0bXu10jxyy3$SBwpp)kyw_
za`9I*7pC=H$rlMj$9c1aOLQp7%jx-qpf+{ai)vDLFeB#!(lO^q?Ki1o=8}s<aY4`C
zv$G-74H57WLN_Hoy)zde#yh<C-bJfEz>yzN9=@?7=D2j1-1Ku~{>4Di3jVUWOmG&j
z5J4_;9cpXm)@8xh|17U+mB+`s@LJc=DZbcB@qkUw(d)eMyf3(~b_q1WDrTy3BC}oQ
zTKL9hXtvKl=~70&FzZC=GoNrK<9AUw24MfuuRRNqj%JN;czbo#R)8gS*!}6vBu`)Y
z%D_wslprN5EbYT*M@37Y_6XnW(-JWOSt0$+^HYQ|__Q=wT90*?IHGBx0tGvMQVg&X
zP~$mEX4ld(aaODUWI;cL;IZDfLsfG^cYku6gK(x;jvw*8Y2s)<y^bknx&5aObOp*k
zF781VQ4Re_%l`d=_5%G=C9LmUj+?KYTCeduZ0<nccDlQ`VVS8W;A}L^amIf&6n8mL
zNcQYxbB}X@8$R~S7!#5|X(Yx?(abiJO5ym;fW<}LLJ`#JPuvhnnza7ye^;Xq|MZjb
zzL{-m`tv9=0KJ)pMe*k;y$$DC?FOY&LYL}p-I;yqSuEsD@HBc$Ud-A)8fppOi2Yt!
zk{a}%_NwXuXQhaSL)q(f`t3JReFYo60G?x~k2%S+r_F<pL(37!2P3SDZ2erg##UVy
z;7Nl?TjbG&VvYhoyirKe*Z`6J^<OEnqR$9ns1@7u;m7T3zax(dDl@L}*~MrS32!qj
z;Lu84GuK$W{DHgr>M(Zu=rwhw$$*({Hk~JAYZ_cO<-f`=NkKRn3sA3zwrSJS2J89L
zZUq%n`oYvm#-q@jGhNE?;o>vm%T4EIT*+3l^%+nV-`ieHz51ld(Zj5wHbI~&y-%R*
z9Fw#pk_f^g##ElCn;Q2eqN)muS1&G*WuFCWt+zUQXMBa++tbx4VL>h~718DxJPjqY
zmer{1XTt>O2|0;m)J1<n;@!xYY;DaV=>^vWN%Xv0O_S1(&(de2>+>5+Nze0}6ccii
z(Dwk+#8KKP+bT-@l6auBMy3zDIvH)iBCG!qYB!CPoMGr^NXO?t5t0izH^y~QlBmC%
zU}G0*dznL70IXEy`v}$95+h&`nf(>e_g9|ha_nFeQh(occlewy5(Jt2W((KBicl-O
z>x0fT8z1K(5C<kNrXsshZ+hS=!i@%V4uowW-xfhUQ0=3V9!JK|$5Ij_3j0u(A7v1i
zVzrkJUPHshFXQ3z_Yp}5lI0q)eJ2MN;v3Tj*p%)Y`>7+U=%?+5{&aaO)J*P`FW25i
zjSNWlLhXb9{O2M{>1{BC{j&`C<QB(4Z1~8AooSSkB&^Y{Z<5!pkIgsj`mzu9?6_p{
z?-~8O201FWRhfrxVo-r@^9`>iX~%ec82kL@u%D9F$I4#n<FWo7yn<iDe3k6MRe9@m
zNPjz_W~HetAX!s(>`ONF^+W=X(Oe}(#W{}S*!em$S*_2dljfK*<WRbR1c(P^_4xjM
z)1gCGlKa)fOciaxDBU+Eot|*jGwUjZ$~rymqfx=n$BD%J=Hn>M@Zox+5X|3P`ziFq
z!OuNFlQ{b~2>WEjS-2*Mp<I=~!dWm-{heuXo}q5nB_eImt8@IMIrl!@nmevByJGeu
z2(icW{FSx=IU<8YHF9<aN>n(?0MRR1nLu?Rq+Ow=2S12sg#!|t<@-QHpU(d0VxKf8
z_)J9BmyQKv!pg$E#Zs2=Wjhvkxmnmk$xYCGBL^cM2IG=HXV^In!}5<MxW@U4YG*6x
z4QK9I6MKQ#dX`_{F4wmD-hE&Jx@SF@6!bCb)=;~w!QFzvdWKIOhNOU4cc<h`Fs!Z9
z;CvcsErrf?|Iq0-$77NMVU}XHhKZuZpeUlLL!X|D8{92Q!9dWWJlt5}ws5J|@!6EX
z9;KkzbWtqs=J+d%O8+{tIGP6Qb-3X#!ghjn#bZ*81H#^q=IDYz4T^%(mb3kfGBQBe
zZ*@!NNOE-1_cq(LZP|!U1qJ5WZCutR-U~E&q+Bl;2C(&)0J+|Tn5XHInpcWL6g_~@
zxYhqAXjDXV8wchgNii%G(5VEpdz+Q=s3<IOPW7X#Ag<+elSe8<rbnyuEDyLG`ypxL
zcQQChNx_ZxCmgl!+Fnl3<zhYt;2ZX-@oqTqFqTQfbiAI_o+PW$thybX;2G0St2ndC
z7D1>uYdvRF-Z6`~G8PCse<8l=cf3p4JW5*0*S@xvg<JkhY|GwO5VEW)XS7{dq9)?e
zp_>mK<gF6vR;`U4wqnWj>$wi#yQoGBHCVA2?<rV_J=^6dyXjv17!hb&A6z;pe3GvP
z%Y{$fJP9p$zRSTcD{$)`pQ(8ify+X2)xY#VQx#}?NSXxX+yDZT?@8azaC7A<`EQq=
z%nzbsmXjZ^PoyWWxQhb~f7&Z<fJjHM#kour$JQXacWcqRvF=X0H$KvW{;P(3-S#%!
zCF-02GY%_#>xjKirumcCOZXf2-{4?-g&Bs&?iwaPHr7P6MB)_SMKY#xn(;O>=WV1U
z)kM&I@&4-+%X;(MMwvg?_sivMXC(En5-=E^6U++|In{(Jtskq44buLR*}5Bc@vkk)
zXbd@T`FG)$iuJqQ>hnJHuK^3m83NB`K{45bBn&50<VoDacx40TuD|zSyjQSGfT(Ng
z$U9mC;(BBWnr;=K{DSZTbik}ea{~ts8+#pql~9w5I*#cm3P>G17JhUrqDM%KnNB)E
zQ%o-4x@-Ch=KkZ`4q}hYLRGQzb?A?jfM+LCM~f~n|1X#_ejk&PQ+DfsWFd1?tX3)i
z)g0}%KM{aWlC)L(x$U()*zd~HnzvZXW7bNwwGFbyI;X3PBZ}x&?Uv9AI{J)rE$+vb
zeeLLMS)?<1yo0oz&SW!CV%F3HI$SWyJ>{+NT*M=ITHsUjVM*G>a3fcqC6H@NlORBm
z*|>R9pe;4vTyO<OA#d=F2?ibY@5qjFGz?)Zp>J52i5W!EE1rroId;{pd}j(p@-n5D
z5Td^8d9OrqaLqsbvkjwHvrHc(SrtgPdF<GU=56D&pBYf5vY{TVv%^dmps)R9?{aOo
ze(xKF84a9*P9L$%F$v3Uu>4oKtMEg2`*mXO-;y?wIw=E-LVhVC$dB4AA;Z&_eBW-T
zKlgFsrrY$PuXBI$%1|v)KUzq*XH`0?se4ynb1$g)<$ni5%p@E0e-B1y^SPftV|VXC
zpxZ}t5X0Ve`v3P}WJHz+f`%1nTp#m*GKE{89|;wxj7$G%faGcNhb|V1j7kw;G$`?G
z^`wculO7$-eV<6U8drrwzc(Ngec`-s94Z8cBz=zSq(cGib(;`?7agU%5+L<c!=5w9
zYKf!9xqf1xRU4<q5EFmZpYu{oQsH_+@Rm&O7sKBS3W=Wh$*;v+5tn^`9$<S@t@b_5
zuHhPDKX=k1o=(>l>D*isc1ga^`&iz4`&fJLRRTv!@szRVLBZKhxMDp~y=8|`mkPKj
zjOp@Th!mh%NjDL6BkBfmpmpr<rMdB&2nv)tB=>WkG|QB@RRzV2zdqL4?w(>0OTP6^
zT{vDgO^f4Z3DOrYFVO*KuSCievb^eU`g-tHOB>d6!7a+qgMEVd?k927xdpCAwV++t
zU+r_0N_ieJDt;QXw&88v!0m5>4PWy&>&8l9_PCMGr&g{4MVED;T?Ef)Iz1o<rr&Vi
z@oQg@NP2;=vYngNqk#e25uL{SOAFPoL&qOF$Ks#xc$A5%nkbEX@V3Tn|7u9~U(T!P
zH8;gC^<qAU!GzX-!MLqSL?`X2<lGJaHvKVOG3ONZ>SCS<iQv8Yk=8U9>Hqcd)^)Jn
zT`@Q}phD>gE4_l1qmm2Ux7p*k`9&o+ofJV@bS_^v(NJ`9^;&;Lg`uohM@p^xO|97m
zMc;aiyNf&b<#XA<70mTFY|~|=CbR{<g1M{pVRB2NR_ZW>gmb7-gmZAPt1aJCqlkxd
zh9)KB*0M5chfr|wN)kL>ex!mjCL0I|A?#;jWS3OyjlbBwE8Q;KnEdm%QLMIBthT;m
zakurNV|#xa_|yBlw-?_)JK2hH(oMXf(|#4KI^wtnPfACRg%--$=~ymop?l1l$7eaX
zPWpy^|6u{xDqh_~edA%bZg?v#VgV<Zr%)wJZZ2J$uCb<Bhl77`mA);Zl<8qE@wtH)
zj&OxSSik>ROgGU6##{wf^EJF_EJKdOD`)lawF*`|eVoT^*!0H)!lVDbP!5L4qfx=z
zy<deyavE9xBN?3}G$9?gL7iS@Xf`Yc;`9tv>Y^8idv%-k->B}qzvJPO!~YQs$>&RD
z;-s>8S(-XXE@_UG3@AeX*jQ29dTN+%=$?ymkNSt6cT*I16k5;Et}sob*F#!oRh;q!
zih1Y>(ehr%Xd&2goVYeF0Ec<pB&*0wsC`{|Cpfn=r>5t6Q#fFnJ&H+H)1RHETiuRp
z@PqUAzaJBAcaH568Dn-7rCC$`jOf%s_vZ+$uTx6w-HydjScxGYi<VsJ3o4J|VEDL#
z89^6nI^r^P^)Q{DAdn+R-HwzXoc0SG!M~n!a={qMJA&tNVH2bb3ThQUgNZi&$SCq`
zo5wRBmKa~Eec^TR2aZ-!_i3qXjc4!8sTUE(c%Cw=)S6b#>$WrrnP)F~dVLw6{9wMl
zLmpW#eMM<rnWUv(@s+O+hV)1ppS-RZk=aM3tFPBnwD`yIcUG5~S)2KERhl@2nb(g!
z`r(Kq_nuU@v6Hy${xc_gZ(NMf$%fwN@|U2jJsDxJeps;Bio%xZ?K`P=-yyG}xgzHE
zVA>JNSW9Ak8u{Hv>+8eLAH;O4gexvzvE2#8f~pv5v>bZ;{u<B+DQ_-TcFYZ8TcB>Y
zHgeY9wRh#{4%p4%9@B_6M=4n(cp&`pheAWy!=x5$8Uv-(ongfrU-e$aNq>Jsp&a$~
z=_G^H4FVBRbV17Etab_Git<UkWX@eY%_ql;qoU72Neu44FF0LLR$R1LQI68tw{)`m
z_O~3j6j?Dj1!Bq31qCIBiHjJWDnr>SDc9KFX^z1h$lo+mZ|z-?6m!-{@`hhiRIVS;
zUJZ7!rIIOAo#@<(ZF?afgA-h+5~+9pq)-mJInDhIA>LP$`m(AYX`3Tv(y4>8Nof(=
zYQjxvPvu<AN*Gs7@|>8^`F{icf>dfloj%{H!Yl_l8%1N9v|y5S7Ay7}iOo%p?faDU
zN}JyA)!L%pXKJ)rTok)L^yq3nbXu{S8tKfub(aoG9Y;jQU+JF2D+GFn-5Lb7tKGA%
z+PE2rFXLbg+g7{qJ&&XADaiHbM!YXnO<Y8oQsk-IM4PXP5v7tW7&^2DY<RX+8UI4#
zNV`K2-dTqN7K1F~Uw$J_M*3+zT1FKxR8#7qE@z1oWsHSp+oywlA4Im=eBY)>7L>xv
zXcvZ@*!$Px73e;xNfSNA2^5QMG|{EQ5gBn*j14fv-kK3O4_vLCa2~8HQSyji<iYyQ
zO|X=C!Nce>RKt1VkTN%xaoca1&Rs;5E!|&T*zr}e!v^e;4u0|2rW%zyaZ*xY<#S${
zB#pNI2i0E!-g#Y5KuvQzkDh!wEfu`IjX}p1EBEMBpc{Oh{f5+1>6hUR0i5evsn~0M
zZ%*2#4uXTS=L-!>(m=~Dvui0s3tpLI;4wP0)h3S9ylES>qI2fuK(rDUVRfE=JsFWa
z9XouUD=6?HEhCM#+GQ+l6^`oId)MF!tAESTSnB(UX#Ga|#+-t-CaU&MU~-G8CL=S$
zE{l|LgxDH!6yg8@(t3rS&8vrcDz$Y(B^|`hy6Kl<B$<o9uq=`1lWP@B#Lg>K--CFW
zFk{C)NkY4?M)qvagCW*;9wNcBY`F{q6jR@q%Na1>8{<I-B1!ADy6NeCJF}+;_|~N*
z6Z@&=!wb-3XB8X1Pd%%GNeId_iD`i~>!6ACu78lpEBb<RIZIe>YtQ4)VJ3^npcb~3
z3`>va77;_uTId$7iv>gVc+3W&zfOCjS;_qJiinz;P{8n$zuzRn<ks?Z27rRJznXO#
z%IG`LL3@f1?AkZW&s_S0R8L=R`)_;UN}fP2+ESe(dOKDB)Q8t;PRK-8%@0YLWJ$gT
z;@Y*(1Dfyh!kz~V+n5i_=J<sb^2J`ja`{rBX4?^p9`eLqD3`Y>di=4Hub-{esY(Q8
z<ny-Vo|2`frO!N~{r_=v)p1RI?_WQth?Jnxp`rrPCA|qqONVraNQrceNu#u+#0FB*
z4N@E3Fgk?^qnnM|#^AT_@1O0w&fUG|o^yB3dEU?S-1j>nJu*SrRo#Gze0{A%-_5Ip
z`G<u!2@h|>r1l_(sCqYr+Y3mJ#&rA6y*9_xSf?P3pO$1VDpJlpqZ1qr<}9wd3l-n;
zdjrchkLtqc3xzvmz%$Q2yzaDvfJTO;nGkvLsJBB-2RoAii@hj(u)WRsLB!T^6~8xJ
zuLHje-<F?2P@g_zPrG+nqBxs)VZbCn35`+Ap#b@}?|)f!8u~b_%MZb*7aR_E6<=q@
z_&Y|WOr!}5ZOv`#p;-VMy3pZg_VtCq!JoWIuBM;!q>j@;W7^C$1~1AhKTDo9bLI05
z|M45EP&bu{s``^bkf@ApO!<cWl%6)17IYM|3CpGw$mcu5=#?@5E2fhZD5N`S?A_|B
zf?TrBQcGc{i}^hN__<a7@AQGz>zaeJG6!OF??>kTnEAP$v|_IH(i^7{S{1LpLQU@Q
zXg5U95!-(hn`=CV{~`Jbg)Jre5I+V?>YQ5MeAR!QrNWgP+tYkv#>QKtp>LMF;hB~E
zDVrG~AKIh)-4j(dnXB{tBe`H2!t6>4k5I{Gwyf~rmQ7DK%4V*5(7pIYT@&DSLofEM
zAq~t&DUW2?HI)R@c8xyFbV7U56X#?~8(6(wn%5;QFD<OG*~6`^^!czdcr`1jEz0!o
zhyNCSU-y7sn&caqrhT3jIOGX|eRSQO#jCCT^)ns1%2xj5{9q;Eox-dMs<dI^;_{w`
zH`US<mreJ)w!N-^Itr`P<7IolbTobPUn_2oU(_C5X(ry~NxXkuJ4PH9OLN-N$XhM{
zAtrl&F{iiS<J+hV)U_H-^t7Ca+{@^T=SFg2(G+@UQ7wtVu%bq<_o6>$#VL9=4(GH8
zCIwo1g2|NeTSol|3;qfW%jh0Q={puJ;<ah5o&11ThZ5q~e&S0kI6c<o0<ZcqZjYn4
z4Ho*Z^MHm-9`1?_hl!-=UZ67BL}$;265XFG3(%}DqTCh6u9*dAZOapFn~PPJQke>@
zUl@DMmUR1@PiAP}4<a_wBpU!@aZSRfFfHO}r6wTtFn4z^XJ^&mVF>6F1zdo+^y8e-
zih}T1+=r>}7H-5RQU=^@{qHe)hg-r4Zrs}U%1KVeL=n)G&oW9PnUmm&awVf%4)}U@
zXu{+UCJwAK6myN??rkSA1SpgNB@9$Y|3m1rtczal$?G-PMmayMd6PP1Nc%wG51+*B
zd$YPT{J`0a?{IJO<f;Qx4Aywo!!J^yjT1u*%IbK^EVWD%=C2;pi?qFW-oyV_>01LK
zZ^-lG(0_S;@LKvgRQjcU>gLE_KhDCd1$62V%L}MUa4_+uKbnpDv_AZyg$gD(x05}6
zF7nD&crv-t2k<2F1-U)gQ-*s-(!sC)2NHQ;BKCFMZ1YP^8IORw>hy<-%vtjv0;!+W
z${1e#gzA~YDu;GHsRdIzz<70~`c7gqb$PJl5lYw{)u@fj5`CCJo+y3lkdtI^z~nSP
z-_a;KvrO7uit&1-*Rxe&g+<3c>E*eoE3;l~Qn&eU4wf%8cS}<H3@Z<Lx8y-@@6k9J
zylhN(rGZpw*KIbIH*i94y`j(9ebY6=YFT93(t>ij-r3b$5oqEx-}V}@`!&~s@{Qhi
zEPbH9@;JUnWNdJJj`b+vV3<Jl!MBg0NYdNVw{OEstWbwPB|Wd|h*XDP8)8z#t=MW?
zNOM3{_GB+lN2uiP#G#SvH=lkrj>R0<-q^8|Z&Bg7xhttDEwXQUV{s}~^ghV*H$hqd
znNs|hym+qxb}nsK<|vHor=%IP-&^JhT=4GSy2fVm&-jn0k{wc4a-Va{{)}nXT^K!!
z4yv+p{b9rxmA<)_*ZiukZFKUoDjyrNN7JqZ%393WQwQBsYk$LbDsz^A#fBDC5;tE4
z#YOGZp(m5cC6j*NMrm-#WOTZe1jawtR~<Wu1bv;IEblYw%EO?!2^Zk62OeC&ERU9$
zEtdy&{+dq8j^HavPO#_s*5ao%MxvcN^uw^>aAbcUK`9yh`bqX3gJFb+B$E^pu>g%?
z9hD!u9@ln2vkyBeIf5NtFuvh9+sGy~fBv#)Qj{Rg2zlFFO|*?KB=D%0Z#v_8`v27b
zBG@E-ZdS${q$(Buu<R_qNa>o&o-KiMSyZnRRJG^rU5JVemZXG$i|jkk48z}zC~j@+
zmarNyoz?3XY(v(-D&+=DU^bL|bAXAL*2ypj*K;hY4Ug@1dP)D(HWR;1#x0(S6G;hh
zl?iSS<mOa39e4R1FxLt)vD^IuauJwOeHeCCBU;crAgH9oO*DJZZ{r<J@;v>a%MqEA
z8?xY9XPp&~bDCeFu@c_G?L@<8@deQmxei}NNI`&O#Ci32`oSK1@rD*O_<QKgWZOF+
zamH4BNK<9Kpq}l+2=uSkYDQXs(X>1!M-a8Tx5(@%+$oVGU5gP<B3nLMGRHoZ`jASw
zxh;@za$Y?0vxcF6290ulFjik)c!I<1Mwdz$m5$aDp%^$#w`ya2SnxE;K72B-#=qf@
zFl(8<Jh&9C>U4bCZ#waZJS#Qnpzkw`T>4P8Df3utj+9}nWz}>(%)E7f>+RLhStad*
zA4+j$`hA<TT7i@GnrP`Sopv|Pkn4G`bVlQ)2r1;VYl~8>8N&KQ(^^pDi1|~0O=tCf
zEdae2d6+tZa>&UQ*og_lymoei_?)ykzw*t18mtUo#8HNI)e&J*Uch9WB%|SL&t^ua
zSP9V=#T$g^E7={?OMS5l;HF=tzC|wf_hL3=e-r#_Q@2Wgv*>n^D;H7oY9tT%GMMap
z@tf)1_-@OL=!aT`Xxsk>N$XzTkwl2(4^N@@{8D6|=tehP#eHd9&Z(qtyq>MO<K=Bp
zT(;7`-($wFi_0I5xOn0}K2g5sbw*6xiP{+wMAXd{micbk8I_C>D-rx>uG!fHRp&WX
zu5D6@ebwW<Ng)5ljf6R&Vrqu0d73BHSZ#aP=tC%}o=igvlTWI8*cs>kC+~vsWFll*
z{vTY*dqaUV^L<>h*y7eu#@_PAO>Fea&gqiQ=cTeIalZg()nT{^lh4vy{0@CZvW*<e
z8!%ZdVzu<1C;G2RRZM1RgLvm?C(Ox+6DSp`y=R<d7;Mj1C}!q-2zu=3U4boU-^53$
zZ|Z98$j<d)GtyJiS~9Efo{}1>dPK4A$^su_#U4Iy5c+4|*&}(=(u((Ld3@#e<+i30
zt_ympiLiyq03fD<b<|f${~47xalO3x9DNb-XLf<k&thiw!XVqVxg_&XtefV@%pZtX
zQyt&U*oj_A5Mm(>zVT5vvvYwC8PhtwK)0;;pOP`a`A<f%xA3WB+m%+(y=k|{%TFpx
zcql7WC6{!eL2jSf$gNGg8mj^LG#q8|YOrE#=@*T%59{5xv^WW<dZj%roWr^|eF8EL
zU+>)Nfi$de{kd2{J(jIrrt5DyIf*kk1R!tz)W;?znvJLm&7n~nn4##`3fUJ8;g0`e
zW%deA-ialSXMDVatsSQS*;4K$TFmXVYhdTae-^NT`mjWhJhx!7$p1(B3(QM7RiL7|
z_2EC9L#A|255#k^R#=AvIn##Fl{ZDhcs33(p#DNJfoHgW8TgUOuLLuGrJp07zXdjl
zYB*OTc4{}1;oDgXqo{`kRN93)UeGEcVp%uOR&UoW(E`B!?oFk|n8AkT>ENG`ysjsW
zFX5SH>-f{!6a|B^mBtaJmJp)3S;ZG@Z#Qb@UnttSV+3kcl>J<K^>NprAohRoWSq{>
zb9zJVWqt4Lf0^@@J0be75mHCxf9e`af!qWQUZ9{G>zj?a1+Wst<9=JhV>>3W??`*R
zgLBmQ3k`k<O4J0mnSlaW(vhj7NI0Q?_7;X5t&4R$TN&qseP#FJ6c>Zf3WBOibSHkk
zEkG37K2Uym;Qhe~@{)?C?0M<~v0<@>-0j0L^Oxa~!oZy*y^Ff^S9|i9myZ$^_>La3
zCyY_(7Yc#-^$Up*j$DBllA=qRUKf2iA7|n;eyT*NG0|R0o;e`tP)EVzgl#{7DB<~{
z<59+gz5A$%tkAN_<Cc^6{PeFD2c0%dd20JKne4r6H6KZCb*1AE;@P!O_@9}2FFJ(q
zepIQ`Ws{d`@ldtigaL|gJy#{Dhd-)jC<Q1*Ui|P&6V$nQMF}L|EnF?c@zK{MDECi4
z-I%8SVfH&{?dp!ouh(%Bz>t~m4G~ZxbXw5l9y=)6)yiJdGtEl#G!z{>sA(Wp)p&1x
zwso@tueC`$xo>J~zB4sj%GvAh(fH=4llRWSWlb26n5rF9Cwv;*>|tRxqeMK`Kv%Ln
z7SqlBuz_V|H{hvl%2(JzWdL<kma_i0j7=_jVk6zcHdwFzW?AX*YrBZ=!f~E~<A_O^
zVY4R`;(^Ow(SFD?mm<uG{kyhL{4ohxDaGjQqohy1*-IkODd<OdskdO^k~t5{*17(k
zBJpaqRy;Z-J<YN+EqY!)8o|BA+mKA$nEB6wyFv>#_?b$b_*Y{G)=8g%&xk#mjP?vH
z7hU_y#zT1&<_mz2U3<DdAk@(A84|E%OO9KhGfQuf%8}DQ!iUz>qS&CJaX)OETQ<WV
zsuk1=Fe8!JfJ?g2-h8yziEK1?VX)A`5+*NR{<1_X(mC_+>0TdOrI$$wbDd;`>8R6D
zpqmSzF28wrV&njQR;@k^{q*He{z>aydLL0w#tV+LQly7}8p_d;9`U0{Zo^NhRXb2}
zJ(wQRSDQsEAOS(;^t5SYtnBoi@$<o(rV$kXu2~7FX#(Lcmet6MIz^oz!tX6xM^ocD
zBJM1ooFx7i%eU8(;n7%kZ{2UqOH^7SvpqYW(n1E$SU1MP^cD?k=h+bAr{DYZhI6<d
zbG1xk@Lbb*;`LJ}e}O++IYF<-`d5zV3c3bW0pQ5DYW{FJo4yF9Hb2<TE_zaviT+PE
z4*&RSMI3?2D$+Jazv516Q--1B&9?(A0V&Ep&ze5eA6+W!rVdGNM$0~x-9gr;k{_M)
zv%orl6v9KP@jssW#4FPTd74=MQhlf>=|CtHJ#Q*<6QYVR<62aaVN$k~;h}`#)yt~<
z(!~2^&gc+@0vFJ#1topST|UU`2(;NNZ}uUMrDRFN6up}W1r@O`qHIDM$tvD=<I-S~
z4o9|7sKRjUJAS@L8t{#~i)dk%whSQ31YxdWGqSp%St>2b1lIhQ!#~Y1_Y0dm%l}1G
znZcKRv;XMCc+z;{?r(hM`!Bz%c8Lq_JH$pexsruX;1i9fdClD}k2bW^{{T8<UolMm
zwV95mK`Ka(HWbrKG4lUgN1=qikt29AHbeAoLYzm3Kl@eI-2(f%CbJXW>0eftil`~4
zMplEU{D(J|`)&VIT~U@^_9JS$Ms_!uOK(r12h=qKEd}3YPw8j^Mn6@&*L@!6&}69+
z;<<Xz*2oQ~&xCmSxb^6iTxM79eX4Afp7BFtONm;SK>y4XnW$X3@=FE&*Qr4~wxt#0
zUmJ1#{sJ5`rpoII2H-M^rhNYLUo0XeO7Q57B!!CHq;YW$nBwr!Cn334QMC;HQ))eU
zu6U6_5nvHHx8xbf*48k>L5g$6*?dGxGBb>IG&#Nxs$Ks}72<y$$kXU$_+06f2xg69
zw<|=4MksosSJZfwP7Rrah-pMzQ$8Z?lrz$k9|aU`4|yPKkl0AOtde$t+}LgW>tC{E
zuh*PpbpQVmVqu1ALcbij&kQXrBH5Y|alVEk?^x=9{VND5HW33chN#TGGoPzJJCUEB
zPPD*Vl8PX7RJ!q4Dtb(Dk>0Q<+*1_(86|hL3eL?!9=9HW*wJF?xFP<t8mi{*4T3WN
zQB2Fqzd?RTJIiQEyhC96MQ4oTCvo%{XrHDT^GwfVY-4Rt69Czo`pe~%LT-OAsokW}
z{_p$v3)17Qj!V7a_x)y*CgZ8S|9UZ*$&nY^8GRcmuO>Wgm7wGZjG9~gz<t;UNoC8>
z!k#y;akPd^_NSaUT9Q;F#*#NNo05*InNKjs-}(_Ytaic(9~2p<<I&mbhe7Jkd2C(-
zH!QWT-=<}WBmUGi#N8gI^W0&#aeeXCqu+CeeHTvs8CJXsz;3LDa$T0weaRF{^~IjE
z@+(<Dx&%>cas<W;@T$OS{WZIgk9UNtiIF}j{o%oXN)Kbi4o@4zCJ8jdwn+?V$-Hy+
zE^v@70W<ia(TAezqG#+0s%E<2zg&!%t2R_KMHIb+q`)Cg#erCRVateA#${P&N}e`>
zMt2HUQ9=_cyEk4kRhxeN-PWM?KSqV6hq>PjUe9RGekMe?E7_!ex9^&a2K-392fT<I
zag8>LY?bUm2)^I@cr{2-Uk!C;1ObPtU_YZ3yGs@Zdr`LMvW!6Zs#DPJdQXb_Fb1Uu
zwIZH$=1+gKTnKAcxSlMizoH~BYp%bCaK_osId*f;;^v|$2s=e6bKovjx*qt|{HGxb
zkO)vnYxudfQ;$qVY9v%T&fkOwno-;^8BHw2{jczE3zn2od|(Q&x0CP_6}sot=4At!
z9U4zC8~S+k-mL+|{wOxk!?781JQlx6wG}vylE*GoFPY2qw_v+*KCf`FS(uxsM=R>s
zfs+!*e6;nfADilxUIlEePxBCM&9MKHcJ-0IbmVZ%K8FH)q$J+dlHur6*V81KNvS??
zEXmm|49kUk2vf+~-L=-ZFIzv!AR~K4W8PQvB>80({FqgR(dk|5h^~!mNtHQlm?e1h
zq{q#d$Dr<*tD)AobvePq!r&P;QD&}F7%!3Ot^1DWBJ5IZ+ujU`xR5y#iMu`QSg_|`
zxfzgp{dXC~I;zTlMrnrdJYHM)%RoPtz9RmeLIh2kJ{4!q-{u>)g$>?P{5MxHu<>G!
zQ;6aL5IPx}Oh@W@SwwyYQLvbPnvAi(_kb32#XtS8SELvdezA3PNf=(K8qD}S7_o(Q
z<-{)Jhz5}X4hl{rx6b59Mb?M9@X3CI;F-efZ&O&}yfWtWhr@G6N?!F51N%q**Hy`F
z7b!6qJ0sRV{OL(SASw1AO<yXtwuV)L=|7}{*LDMc`Zuy_+_fdf{*1E>%uQC<(fZ0L
zt%GCdg%}B*nrKYa={0k@Bo)&8&D4E`{l550S@X_^9xEW&6?X)u#JA<0%ag5azf6yp
zFE&AXrv77to0>Lxwv9f_XJoAEE9%W7#!@^}?+S*J_HwVge4kn{c``?D)MY7j`Nk`D
zp%<1$L6lHT=2f#+l5ZLF#h(_v&Bx{Ez~a4Nk%BD~K}ckIrm+&+-2c4((9zF1NytC2
z(VfZ<|Jpy#d4ib#e;z@RUO|#)3V+L07^#3hC^=x?#l=FZr1UgYM~M}Ytq)&+2>kF5
zbBN#y>>ce$S^dm3mwzrIc^%X!EJ6|Nc>4VnvzbLz>z9js??);_%NUvDJw*EI`0#jo
zE28z{`SHfaFbI%LIffsHXozQHU|*-w(#=>;Jw1wUDN@H-Kk9MLfp)ge7B<95Kl8~}
zjcwTArr)(v#uVgZqZArF28{V3xJmS^18e&1j)vNvGe5*P=cUsu)@AcplY3($XOzN{
zhX3L0%<+>N;@{IU>DajT3l&n5C(aNz7J>MYDg&f`Oa^_)BlKy+GrvGsmy%fSHpIDy
zBXm_D_+aTjnalunL-9kA$k>;3#1bI5jphHpD!GHw%7eNb2h}(ZJ8CD7%d#DA%We7u
z5zxT_t95pTQhoq+^=8R-=$Ebqu$wG_5xyjyS+Ts*;bbPzi`Yf}Tk{{S;Ah>Rg<r4R
zT+dHrAAXGYl58KI*grULn5g$~OIb&>UQQo4WAN8)&{Tn`8%aj~BVG2r#MW#I#24Z6
zBNxXb_6>yixv<ReVD-pKM|w7e0(G-hGgTy;i4z5c4Y0*KI8@n(mGX-!3-jpv!zTbo
zuG(?V@V!?K@dmtbx8Dvl9F<xo_-dl{S|?9hZ7Y4G;3dw!N?9AG{8M^nBiHMb=B%Tu
zl?QBXesrD|QblsfZ2Qan#W8=fD>v3r{=qYaPo$7cITzwgmo%i!?OV9uqCf8*T`@LZ
zufGMZoDdnC7m_mQy-TPqWU3^N%5iR@f{_JtH7f^1?;L4uMwv81!ijfJ=Dmf7=*rZf
z`ZW)K1)@O^LNkW2R_#aVjqQyz-E|-dS6SI2P;p{)`1w3#zV+Cu-1%lIWAy6puLvUR
z+)(z>+z?*x+(R~sogreA_A00Bh&a%x?}a?M;M4<9og-xey;ShxK`hSOOsnsx35`Y9
zF(Q3wF&Ap?n+2;*Zl6UhY_8V}*Y|fJ)7j27U&sQ6!EsaJjOzOlC%)ez<7*0x$6k8}
ziO@<pZ0ITVv$6JxD_=5mMItH~%QE=I=5iR9=ci_cgV`J-AH`n2IehE5)i1f%R9@E(
zV6$ws6z+bZNucTXWTfhE@r%@I#)~Kv`Ji$b<F<{*?8iv_ry_nud-9=Vs7`FP9>p1*
zeP^~DStV{pd2sLEgj&ZpgPtqHvDa4`LOg%`0A5l@%DS%-*OxJEEyIC~R3I6^5$rEv
zD06FJixBgQnYUZgyczHJKGy<cf#j3ymOR>%bUl$>O^w}dZfiRV0sic~m?4a;OIH3R
z$lkAE>tAym><`FJ?fX~J-`i51>Uqg&<n(u^eD>dtbvd~yk-R}O*@&L)^<Y2oU=Xp!
z$xnSxR_gqV2t{#P^*jJ#Xp&__-&n}9*Ja35!|zhqiJ<%DZOubjcl8qUBAEZB;d(`n
zNi+Wy!;{EQf(hHBc6BKq7;m6H`Ph}yOdfORvTMU0WZmWwO>0WL@kAmobm8xQC3S<W
zByc8j((-cCZ>V6U+|MK@1`-~T&aMM5<^;V8WYd6$m}i16?1m>lj8k|P3M-yp2?X@%
zo+T3n%iHBt!X=|uKqjk>I92clG%0ivWAiZTfU@ZXLwWih!CCj&f~qiDTRm7I*)kKp
z!&TL>@{Cs%;y8$g(wY(-dJ4Z*vkSdwgz~J9>8=jstdAkENdx1n$D9*8_#2v}NNC!}
zhmk-Qh*`mQLbs**m+sbv7c%R36FQjt&oDP-T+pJ{LP{YCHQxS2$nx0kR%6R?#1_pf
zWIKFIhE|fW;23}5@XdFI*Mh$csofS0CcR1)6nU64v`v|G;)SNOBe!q+d}Zdta`P^)
zSEJM9(3$r3(DBd+FtmH*BU(7(INX~fTrTLCkK;#=dS-09#*wwrr3`c5Tk_Z+qh1f-
zF@odz-NMx4lm*dOW?y@_6fchsdHnq**R7eJ|F?Yo^by+yCyZw6kg@ON3erWPnVoIv
zhjGeOp^@>(BngC*M(7zspLv)x&kn_)4tZnkNwV(9Mryu=Cq^yTwxuU8-+f$^bljd!
zi8&2FKIH<HX<S7=FmQ#~C^pvJ-y4R=-=qKGrf|=we+Gw@oFs%4_oMfg={&DLd-0Ug
zjgUcVKPkaGNub(&C;uX5nFAETp^gZb;((@&v{`^>K*Jjdwk2)tF7?HI%f!(Kr?<f!
zsUHGz28pcve>ASSQ?<aZ=#B%}#uC7j?bAW~wr8Kc8u)eM!E0h~-Y+D$ByIh=M5bRZ
z1lBa}5B0laCI>`{q3&>Pw<SIQr_@WCGF3^bG*QOti|KpYvJ$x;oE~sKnyo?Yu&(6u
zy(7F0JoXlj?HFhKZ2?ofwg2C--ZuL#0wFA=iFuEU^9^*c&Y<GlC0UB!&F!nZbz3oj
zT56_q@jQK)?TjoyZA{p>J(T5``HQx6yklW^T@kiqSbEs*ZZbSJ`;R@~IA)5Kn0(4Q
z&*KWDL#!0tF)fnexU)Szr%O?52RLplB@z)6?ppaBw!^KkH3*guI>7&SLZ}yoiFRZ$
z`<MCl9og;qR~{BMf%L1S#nfFb5n_JpSOD(h^^N8?c_>|esJ_dq=l_`>5vb#j-Vc#(
zTjWu9$!}WncKi#ZlsbfqEVqPm3*2vYx%~!iB`_YiaWuh#xKG~xmMP(_WJLPQTB%83
zWE;o+#;g5Lq3zMz?>?Y+p1<d@YbY3a>pzHIdQ}@bU{#lk_$zKt)cgr>XTXIea(DSS
zf)g?K2wy42NvE&q1~){L48UHB)-gD*7mMZmcDwJj{&mNDRy|zq3~X~f$1i$_E-eJz
z&^YBM+{NaC2eQW{(*5RYtS0;dv*ZF1LFdt~HJE#fZKx5z^Mj@;{~yQXj7I`r`s;=W
zp95waL4qr`mH*9BI-%K{<eJog5nIn0T9fX-C8Z$R)wq5MH;BM*4O07{!ur*1{3qrW
zFfx70Lh-V*EgbEsZf=B>foaG*ui9P;RhB_fw+w=``VMoEi3lqUCjK}K_E`v+4Ta$s
z8|*`5Ht=;FpUI`r;gU?L9ydlv^xw=i`M*mJS0a2DNqk!8KQ~O5d>xo^FTay+bTpQK
z`apB@>r@AlL^@9BT_@T47D9D&%#IYFga?7%21*GGvK&pYhm3@bW}E;|3Czc55@a3c
zQ%@82cEV(;)Y;b`&!>N5@CSsW^J_zjUv6s_CD<_Ve2u>`dc$d7Yb|YrlnCqA%#H5E
zEHBK54bySn{;#_YlGY2|Av61$5b<u-XQfK=NYZ_n!(-yMOi3FcN%BFg>oh>l@ZYx<
zv1EIdeMe!tpB~xmajw_V+n!F({-#Y9?}K1J?1f&FFV9I7V!g(5#CF2k_O2dQ<N8c_
zp%)^cHOKGYuQQG;WB7x#?5Gy3g2JEwSL9_c#LM?=Kk(K5w71QG$YlsirdWJ8?)6&s
z4?r}lQ%djm&Ad)#Kq)l3>V9|I!_SA79xZC>pP++`aOTeMlYGpU3)PBVibeqQ`QxjM
zCIcT3*$)A)$#`x!#~HjzNZ|BNtJ%Xe-zm?W3G4t&Fq~2D=6HE-p7jWkuT{456tDi$
zU0_mV_rL0-&>4{gZ66mieKssHQTr!fKl>1B*xZEeHxZK$`Sk2(cXI2q{k}P~IshOO
zo2+rn*aO50PesFlqED@9^{?}aWz4J!qZqBv@Ac3(_nelRD}G@sYmMq$^%lH_C&jYh
z4*ls+fpE>dgaqNC3p~EBDC2L!T~=HM*PiO>PM&zQuSLz&Icq@HSv#s`Lq6sHq#TP1
z4Wc4*>0RbrvWFt{7OL~=Z>%|@6JS-?{RnbGYD`JRI&4F#rqVxMkptW~O-Me~;SUpn
z`b+f2TwyQ$gTk_EpP@o38a(GWxXd^sA~aVm6bEdqJR8dsu+8S%7lEg8ZS7T<x^e*1
ze^STZq@YA(-<FjmI|0usxZMB4a%6CGuWpP+A$YWaIrhp;t4pD6Ne$3}!Tx9pv56)H
zb0vg~ve#Wd85ODGJTXr{>3_2UQd4nVbg%;_v8|x^AXyj)Ds#3#U1y3t{f5`(!&8AA
z&UcH6ogJHb{v&w(KCm|*n=Qg{M4Y~&X3mB;3NP{#BT}OT1_77+mxWJB3Y#J8RcCIm
z0wVW?qT*^hM6S#QfmCpY@!NPY>&r%fpd(Fg%QWjrb?`0=80CDM1=;(;v_66agI%rW
z;3jrik@Z#Tkk`<zhvzK&{OlPF)_Jz;EvTc{Z<H351W-sDJq`&y=nDGXSKL?ulMLRh
z%0Ugk%T#S>aOPHN8eyUuvekI5<o{RgATy10*7{+8(P?M$CW%*TBILCX9U&4lCHAPN
z7*MS|SvR@L%}xghi>6=YGY7y77PEo%Sp!R?&*=wl!IPS<gAPAeC=%}n^0|+8=(n-6
zdG$<m6QrSpT6Gg!9asJ#kI3MQ$u@!bXx8OaKZoq~<_;_F88i??4uNkTg#kJd{~-@r
z+`NkF5mgTp#*GF_2j;wfSKp0*lTv`y#lOZSRr?S=KPqM5$`7&mEfAw?G(BS+4*cJ$
zREp8*n1*djaddr>b+<Q45x|EQoIaOz{<$@`^>*$(1zM4r$vecm0)nIS&cmK<+t!l)
zF0%)fM}2prUZe4T;)8m;+RV03LFSDA@2s(G(emwOw1C~ifPeUfk4I0_jf!2VnU@Cl
z>Z_ZMo8-Z?L@IHl8qgV+mFrB9;%?F~!iU1BqrBw@7*SFw%F>m4A#jJvEJ~#y>Ew{`
ztHzhEv)7~8=TiaIN4hfOz~j=B&U+kjA(foZ;-jK;f)Z)2pH|IQJwpHOp0j7Ag|!)l
zbxG9!^b~II{ooT<joFg(GhcUl?GpP=XZC7tNo48F_jg!AK!mrTd2xli7Day(O>fvG
z-6(_Ae%2|olti`co3KYna^0f|NC|6+0GxLYE9{TSRPZfN_yS|Hyx2g8xdTpDK{!Ky
zl=!J!f0~~XT-l?LS=FyNf|WyN3q+Ene5#WqP8?YOZkY(1YHOUP{rK2k#7E_<L3VU7
z1IS9sD~`%&0i@wCbv!q6_D9LzY170uzs)PmOdAG#*eb*_D`L(5FYqgFVh-|q1t+ga
zs92o>t^piH=Dul@ekfF;*vQ)hb`0&y^85+|%(eRHKejY3U!IBpAl|VLU=?w>u6Je!
zqzo5iy>fv3_uJ>L4PX|Htt(-`?5xn>=a2@i=zy;+tQa;>j%8=S&EqrbU+s2`GPj=4
zE;ZK=6bevC6=Uo&*tH2|?rky>D69iQT+}`ID+Vva&Axl|mhj{mUPymXnw!@}ccq`!
zDA>%9E{tNARpi+|pa7-foD0iQctY2<Mt%P2cRxH2Yz9`iVJd?YrIUu~sao!V4Yt8N
zJBb!6U}_^6)QC<2Fcgb?4sY<x-PKxfX?};T%~pU8&+M!kfz$KUCRIW2Q*<~8HX|IJ
z{9bC%hgjDF_P`TCCh%Ls?usB^=Fs3r>oSiC4Ju7ygrY=Gp7oJny5Zz%A#|sNlk?By
ztRLCWyr9=nr~e(zb^-&s7%il8(KL4<{Uk*T)-pha)#D1^M2#UA5_ne3=QdNL$qwT`
zAIWGA>P|b2Z*kh62>Y;!{ph&lz14SWcI5j_v-|aVW9KP^xxP+~^oFV+0v2g=L>nm@
zkdR<s&#V|eexG{zogXpnsE};`jMuGCvk=+g!%3m#cP}ZjX{Q^0=ZL0w$P~NoB_T7L
zCf2q|hWy~T(c|d<R7P-s)?<yAQ$}vHOdaRvR^BE7Gv#*s%Q+W+^6V&TT=}TA4&C+h
zu^-&#l}6g!@+tE?({lkCaO8ha23xO>&EmOC3(^YZcDC#Ke>P=>BR44F7Y=#4CZj!P
zj$PA^cxOgewV*!!{;&q?f^QxfMa@QO%E3Un(@TS7s!h3v_wZp2uxv$ZZA%|fZ&ylP
zeXI6m<T>MDxAZHwWzOF)<#F%t!Wej$_$0|af;<S@W5rI!Ivl%`C@@|Er0+^AB;o7&
z(qi_8{n34Yn>gQ;@+$bdonP^{O=AFwqj6pIkm4fn<w^qJbrnaQpaF%`PW$ITJFn~&
zGCuW$4e##*iT>N{b%9gC0@h7qms{12_h&5^W`;7m=~6v-w!cq)yu*`~@JsZ2mwem!
zaIJlT*LbJMjwSMdsi}BM4RqK}U}iACIpBxBytLGPTv7GUmlkwN(S?1NZpD0j=#Tpd
z%0#TI<9QViz!-GzS>5$H)H}QO$0(oeQEY?ji|fo*{Yy6;%}3}E{ih_2&i0@AtCEZd
z0&q{VBi$1Mx|<2xaQ3b*e<CjBVTaHCf3q0!cYIc2vkPna<#qG)*1So9v|ktI&iDR4
zQkN&VTXnu@LQ=3omNsIs;wB6&8xCk7q^_(lGmoLS;oz=~T<1H!dM&S!skNMZ%$kmT
zHdJ3o5)vzRQE$)kc`c9Bq*}JfHsGOF7j{xpys&^|l=iAwFyZ3j)9{hSkGQmN=O<<M
zy&myN*K@R|^8NS0Phu}rK0}1qjVsHWsYT;@CIaEnDA3IIcnBlhCEqa5v0lei7c^Ug
zsQTRzLP(*2%$}HUi=(}2<|(#UJwgfZ1>1m7i+_Q4*T}8;8Z{h5GS~x)Z5VT4%4;O9
zHy~$zb~XMw^;ynKn+Mdb^@Yb2%YCFLp=?^0l+1VE<J)j-)+cQ4Av%e?l<RulR!#wV
z_I{e@p_n$?G}7QhW=A$UPArG)VX??L5J%|}zWM|daQy3l333uuAe5$U!OX5Mcx1f(
zSbB>QZbWC_&+zFYQ6M}*M(E9d$l}|y`}pu@RoE}Li#9^56>TYc%p)s5j)Y3!)#*{x
z<8SX(jg!idgjw8frFdxV2T287vdw3Nqog&~0%N+InG~;=EV)#RgI5q4m$RwWbBr#*
zowy-pblJ(7a@6$;yJLamNE}4gPH-gstDBACpwT_%;rXKnVWWITb=yVhmu#X=K_9At
z3@)mjF2Vix5r2zUg)I}&<4*RKwNMd}r@VN^LePZ2d<ypWkCq8a`}kis_fjQyacrkL
zOVe}n?GTTa#YfWgVfFYrg<P_hHOW$k;k$*vhqBtB5Y|6muUE5{92#l#8-z`xBpNE7
z8Vpw(PMrZs5i%FRqOIl#CobiI^i4-v*$dgG4#KxE6&0y_3RpS*M8yTk>w|9$wBhB_
zJTk)<bv@v^&Dcb6&t0q&@}UvTo!l7o{-%87xExpV<xbItQ%z?fsy5s`BaLT{zOR#7
zg4Eq4A<>exdo{?L)qSRNu-al-v;IE0)J#KXp{<27lk8o1Ch34KlyU3&#4jM^%6jY`
zHstC95hDC!HNtGV7y(pB(8d!3TW+0x6_Gn`Zj!9S6IC=qD_JZ;{STVIuo*e<Ot@P~
zu}3;ydF#QD&qJPCW=!c2oDQ<7_P)&yugpzm9KJ~cZr5AbK<?VtW_D&O&t(9J@8Cs2
zNp>|j1G|my5fF?u*AR}zkh3%)S{(<0rveR>SMM&ym?;RF;Zwn!5V3uf(pWxORE2+!
zRm`OOxU=b3M}lA<wly<DdnxYX6Y~2m*CjTC_|eBe-tvGKSb5LTXT&s3v%mI0;!UK?
zT(WS3W7<C!_LLY|#|?MgxvIok`S~oB+(bg=spiIwvmU>KH0J;aO4jpqC})0bR|e84
z4Lf>~^Oh=+wEYpI^WOI-6%|MYcY~uM(R$0gOB&8DXJoEOmnX=CY2?w?<!%)~E|@)O
zKbIqe)L;qt2a{{2X*u@CrH|!Bzc^m-JM~5+OnPW^EcqOGGaPVon>%V3peP6C?Y8}W
zt>7N~yyX@_G6~S(lNvxufvHw2wl<aP_ZBYnr4W$0F<(;ZybgmKPUgMOl=Y31(CO1x
z6v0O#8KAf8JpbD7eBbPf+5EHgtum<@r-aV)d~A5`FR6ggrW+TN-dY+ZB>fa#O4OD0
zTvhxs5o}<I4jcWcJQ=}WyqIkQ)NHg4xThkrtzD@%0Suz{0^K93duS2C0iaupLS_j)
zP-Xp9b2xv-S!>iH>f<}80Y9MvyuOo**tK1zkW~z_KV{pH%06X0Z>eg?klmJbQI#G)
zYvT8MP1GG0t0)SS{pi5{0vWmHOEaqibo{;Md>2unlD~lF8TPE3;`?58pJ&+8xP`dd
zSJ$32JTf=7FiAoh7U;ZzD|&r2w~}5e$zf!%@H4;gnlj9-^0-5o@?H-6=ytod<Ij_$
z_<msR6_>)uf)jK8>gn>^F2Ycc|7ZSo+mTj~=gt{>{MJ+ImliaXI8!5`P7%e_4bm$V
zrJy<K-5i@R4N^&%T$n+@!dw9Vwgm^oRJ|Xgxu^Flk<RW8;3@O5klF0fD!Vnt?M!*Y
z`mEok6iBBhTW8en6^*iaKp{KZplQW3#hD=H$;#-&YnrH3uyl16P`)D%H`zjToVCWX
z-`x{jnr;22EOXnGJFs+S@JVlQ!;kn<w{ueLGf;#1zuWWUIO*zg95SoZYnTQ;Ks}2$
z7;D%gj27?R!R}lU+FvlIk_S<A;NJ>mA!o7NpFuo(7u9qd<Sj8E&QgRTUh_QfV$sd^
zP|HdD*L7s%T*KtpeFP}6M1-*A$S)AA#Qb3Jse7y^D8qPB*{a1*t-v_z=QnK^I`!YF
zu*dtPA!49o>n*pK&A-DqnUumQ$ty#=(#ICUMiW?ye^2svr;e;LV=0yBo<I2{dmM)J
zXV+(t?02azm){6_CJFJw#FQ|{a5?f5HhgjQA>O>y_dBba49QZ$n)W{JFA@+KUrxpO
zeANB8TeuECzNidv0XIG|o%)kN-S3;!GuJ|7Uq93@$YRiY*Amt+mw51Cw$hq35-RX`
zZ{gQ3<UU82(G5WOeUv2aHdj|yr48@om~}I>>rMFyD5o6QJzA_rkQA)?WgqWvK5+gR
z$K~_b;I$Rr?m%+*!5Iavwl2IEFS0|za;<;=Ht!MV-S+?D6Esk|4@g`*aUYFjX0jsD
za~1w!<u2wCh*yHGjtpCcO_@|X2O2x_*GJ<`X)l)w&W}wMq;I!(iC>0k(p5O#Vt>%`
z9LPUF-DU**^Ss69!rl2USi!)}e$pT-1~+jjp?UsN9pv19nTQ}NOK|KrpLY5dU*_ip
zX(gjSrd1g&_<wWDo5IG_Vt6Wwreq%xu;KhKF)S4YVU#8*lZw(;inx#XBIn#iU<aP$
zofaNFs1Wp#b4d(Fl=8qmjgPwjJB~C5(rI<Du03V$e#vZL)t?G14CBj=0_4~|>U3`R
zk=f_oJFNZ1e8C9fc0THcOtRF@+XMz{FydwY?sKDKfU#od0}#KU>G<H@igQZ-y<`?Z
zPtFdi97MK!6W8(;p*?c@aiM7%{8zPt7<|ITBJwqRl3U<R1XlujBgCQY(ITb~&%At;
zP8s;%#v`_W^&*zj>Nj=A{#A86*7SfK^z7s|h#bCX!sr#lej+E#?j@dDGbT`xBD;Z>
ze&?mIdh|`o=*{vIMg9x<pxSHwD&)&a0)KB5E)rLDLNiSl9PsZ5(P>$FG{V(*aKH=P
zX1R(M>KDJd`sD9+h!K^Gzp}MpypClkeuB;0mC4QlAHHj;#l*`g2Z9RR=KW1)!VIfS
zM+MqWQ~CGLx^1dRed3vxO5?a?T;z|oDkx*!IKGp+E_L`>-#7$Te!Rq^Gj#%S_%Hqq
zSvNvsK_Q0`$isiwgRT{dRB-*+Gh<-O&9`<&Ir}Qmj_)?(o6-1u=?Tko?I98zHwf$a
z9ID{4!g_kEEe|%KyKJ|x*Ve}!?(8o*#qQ08iK#!S@pmp_-`C#c8xk%QwkK<!t7&ae
zI9Nl()QnL72JBwmV_I@UWidEDP7oOyD$MEU7;o@7ZwiYu*9cO_q4P=1e~Rp7YLMb5
z-`})5U_QKot)?Zc>{sGQ8pAAY>kvJ>&Q&cD{QGW%VE<}nYhOL4eUXr;tYyXEgxu@O
z^Fi+sRqgWPBp2<YryMe?#=!5ZtvPdLi#fo0ygfo};yiz5Pjz|nn#urB{}*Qx6~dNc
zT;=qJbys<->gJef3TsoBB?P_SoJ*v9xUy^QCvCNG00OroKf#QaAR%K=`Ey0gkp0$P
z<Z~C!6lIaEpr!U;(JZ(o7xK6JwalXdyWjilFLTb|@MzM2P|Z?A)gk6?WNUecBM}O&
z+)m+lk>^CjL|*x2r$ZgTnhlNcL|w@TOMMt0IZGIa+q8!+^Kon?TB6YTt`ZB`R<Q!k
zAfutnt+zLkHY{Q(J5<G3l7Z$0EUO#tKgPxuWV~pUP20nr#<@$rq5K+j$DgLC*8;G)
zK49tKWlM+@<)wvqcR=l%V`&Bzng{sEx>1@32zN(>o*klCPr@q3&YegT2kU%R?ad_$
zgQoc2<ROg;Ng@NKj^oykn<D`@H@1MT)`+@ky{`6`LtFaqC@dNgezq$fQ0nR%+9I#-
z?T*z>54MXQ?XxNrUkJq(`7$BD^{CfPzOApDAjJlYC6irX9ccDl!w5=(^MK<}BH%gi
zra;L)VArwZ;_3NWqoLJ{;H@JmY*T(na~>ITuJVokAb*qDrn0Zw>%z`jmq|caAz=7E
z>yR0?E#!0f^1RhC^?!{?ooqDw&WwFhjTAHu35P;jMmJaWuDc4-=pJ0N#2}gd6(6pT
zg8dYgpxeho^9$!e#77MKpE@5J?hHk?Yj*KFc3rDhKcVnRu-bEAm`gS#o5G2_lyzR*
zMM?g6*#780yjvnrJxatqq$X!w2Gz!9Wkf!6=|yp+miA`K`udSq28V&v{?4uQ$WDjH
z6oC2MZam+1m?%PQo?Yc%M`I$6tc9{<^^OlY<pZ&OrciSphM^tu)7$M0auQ8!^R1Ee
ze}}0k0$oxa2S^y?7?mbC2X3ABKyGd=xd{y@W6HkVZoCD0h}5HHuQs^T+HJLW->2Q`
zzKCiyhN9@)mA74wkwx`L4xZ2%PrLuLGx1Q!MqnukIDq}UMlHOo<fjA~D*$t092#O2
z4;(oTT<TD!G3f6>o~@&fl`LA`x4z_6m1leO+g<a&A4z`tcj8i+@MypjoWyxbC%8#<
z%DSl8Q*wr%-)Egu-cB20X{4@NR8<;Hk=$3r;Dr8GMX)NiZIkE4|Khc>{aVs~_a2r+
zVIl9`v#k$1>}uo)zhXak1Q-1Jqsu({)a>wJso$pg7x6U(x|}Fa_gBnCrmEX+Li4Y~
z<=*hJ7vV_>9Y+m?%W{&-yz@+<LbAnypz|=iQ2MV>-Gw~Yw*<N7fBql)m?oO!Sto-T
zhferjvpyy|1?0F<j1t>vkJ@jYb9NdE&dY`_T+cGUi)Em3uzelaBLbMZ)%PYzaNE;4
zAdBqHn3d@RpBk%=WsXnEPZ~2_2i1GB7oSYiH!xx{w%ThQD=)|=MZ7MTjA0C|KZGAp
zpbXbZv9gJG?z^z>fNx8iR71({VfWv%w}l}yhHOkb%X#CUP~g{64x2(jmV!N=LW<vy
zHcD9aEIt=AR=ZMy>8GuD$zxXy=sqQM+KS)@$IHmKNsQf}1(9@<IxWCn3^6kh@sL6y
zw_yECKY5AM4<QQx-e6DvNs<jVtYzBUQ6%H7JERqWqwK-BoxOZ(R(1vZ*cZ9QQjOc2
zH679^S_3pFGCulct?zj8$K96?yyn4G47gidJhThz!iozdb@otp)7*WGSE)#1dpo(c
z#dqfUm{YlyTvH9fSiUDq#?`iWhNr_JC(Gq0eF&BQhsgdP_xyeuPJ))Lc4M6VEzGya
zVLS+qyUvC|dg?*R@0s%N2F_bKllkO#Ha^;y2^-~}kGh*NYO3Skq4d@;J*#@^{%kBd
zVn03c(j|C{c3(2Na#Gf+x-fzYV`jpZiQLM|{pB3<P1f(P6_RdWEzI@xy#2i_y=tF0
z_o2|X9YD#F20+w3o!NzlEHJ4P_AG-B`Sab_O^AwOk(7XwJ-lSx{1q{bB7WDo80YLd
zmeOU(aW>Z{p4ua>YIlp(-G`8)IqBD15CVI??z*Q8lj%^OV2cho@SL`E8)m8z7%hkY
z?i<!4C@xiC3_8Z1FAp?rakU!Sjw1}V!`xf%omR){?RIJwwB=bY277wQAjN+8g=KTc
z7!z1V{Dn^s;uEhaXW?Ef66C9i%{hVBaaQeBU=K?s$CRhMYhee<Ov_B{=x-E_#efq(
za>8dm5}CZ<3t&P&YK@(i4;|g08s0mXbUG;bHngPjIcqQ4Ir%=OWZUGTzu$I}z>WCb
zJ0Lw=bmYheJk!V*?rXw5C|B^-=&v}dIQt^o4q3ZX6-~|J=yd;0bpz@=f%+_0cGKM0
zFh(`&oR0$!{J#G|c-}m8C;REp@1%ys`_h-rYvT=@qf50gD~gbo@4;=eGeEM&(9T1e
z*sY+b*St&hote&&L0g5?IE~-bm(=g)s?$W~6<#op^Ey>4-!=Vfl|f3vy4kA_i>7wE
znz6DhMs~1?%+rQGv!IMQeH(T9#56pZgYw^Zoku@Uim@F{jqIR_Ja8~$#N7(k7;$04
zEb}#IyK-hv%TXTcoT<!r_7otwpNN<Cr`eq;WAO(VPs`uz;@U>rbMPJJliOKgfDV4~
z`LhE34ytDx;cw*o-{gT8o2=XIg4hCzMVH}p-NbmAibUdI`*fs&uAhZYwKQd8trb`_
zV{(~~Vf{*Phtrlf`tqwaKikP-1KT`@6$6-y6_?nN%WOh*F{P(tyzr&2QPK6@<Xo_Q
z0g?%uPX&;-k4FE)dR3-(<dKHeZT3l>+ka<o3G)`5DfTfT9j@Y3VC%H>hj#g-BX@p*
z1DOcY#???}iapTylq`i$sTELko-C9y{c@b@Ex*r7r3F-Hny*L9Y%L4~it-}GRyvxS
z_22showzQg$OmdVDkaH0r5PwRo&B}-6eLHe-s>5)P@jR#$2^1%RDC=!2R+ji_NpTT
z$8AIVNX;#b)&f&u4Pe3XW&-=qY6e?{-~$Hs%K(#0=ROnBwI$tkah5d&S#};2LmBuC
zckd}I={a0!lS9zG=!|O??X>dV&SVz$yXwhM{*&`68W1<y{I@t@9`66n@Ze)rho#7Y
z(>#3fY%Ju^Kprd%r@udcw?Khm$rKz@JHJDlR`mTh!5)0OCxW`!!w!_BdRmVEq}_Bv
z1=3A%{Mjz@&x5^o>3)>g>(q0Nt@MGEf!m(R|BScbREU+6zk{8Wo5k;?R1V)b9sNrW
zO}bMZ05Z^~Qr5ejYmuK2@rK;!XG7q4r;@j>(eZcSKsgQmQ~yo(DE-E*B+Co@htJEO
zihyLhBf9#IRtkr|+$-F9rrfP6mZEykf^L`MJIRM37sU<fW>!@&CHp&pVk}qoiAV=L
z>9V|sd@%9{E>iTGYhLYMt9rHOxqk>~5dZa-X%hNd5K@D^LDF6@yc793i5(qNBaL2S
z1PH|87t49EtnRyAuR_hOt4HrJJ610eGTPn%$;oKw$c2M`0uo<A@}kCN2Qn_y-q=!q
zEO4?XXGCzgO0CCwds`WacN`t-FkJ=%N8}<Bbk#h6P|3~Vvbt@-p`FXnVoy7tG2dLz
z*p|(&Xx9~{drSFP741(XP5GBI_ezi0ch}4(tE7R+JWIVw7yh=cvk($5vX^&UIMv7-
z={K6#8ShxNY_ZG>g&t@;l2P-OjOc9*IFA!xz$T#60UgJaU^jR6#B(1jKmyA-x&D^m
zt0>kPnAGCuc?$E3e)oAktBe?ZscC1ck4I1TZg}r#UeG?E->JR2r#{BTEZl1>%@mye
z7Z~wAG8xI)xBT&g<shtinfj*d@I=7H@kZHIS$j(}KcSI^VTz=i!Lo)!RW+m&E?+}_
zcS%jKt)KnWU+X)GSBEB@OYU{bKdt`KH287^@Y3?NfTE18`-4fO{<cZ4C5^x{_-=bg
zi$x@QrlB|Bihb4dyYN9uwc$;dW#hRF|EapQcrNuP@#>>H406e?RQtDag~zhvEeS9I
z!KDj6De}_$&M#aRF27H5g@xRcB4HF2Zn7p6X9|4~{=3GU-TVv!4gur`As&JH>L9*?
z+BfXstZvtkgJ8o&6>g-`l`^R((D`_7ejz|l9jp1Ph18icOV*@1;GBI#Xk=~5JKW*G
z(I{N%UUgXaGr%d7KKJTZf}k|09(c;M^RW6SWhC-)T-)`_&4a3EWlBLvFZa8C6YcLi
z)zx0p&y$=QJab%%Dx2eEIT4%cmszvTGI#gSHAAML;{Yt9)JZZ{PEXT06#4NC<=eq=
z4yUXCit`WL>n`Ts1(6(ac<cI$;$^0{yC)VFCaKG|f{@$YCm`*KC}${)Z+23s-w450
z`m5d0QD-RwTKCS9w>mHBcAr3^>@3O~OdpKOC-}ym*g}~Pgx+n%<NOkhdCr@k<q$sp
zdk`o=4m!T`elGqr=(QD>z<AzBigK*GSh>vs+d1`*^w#$PLpt8`yS>5O+s(`QryyOw
z?aSp;9ac-7Qy80z^n)^QRn%0&<?26iD$_6Efv3a(XJSL~M}dj`e%RA<r^Q&i+x{JM
zq~|n^O?u}*dlbd)b|`p6)z<Q1b;#jQ2Dji_qhm)_*eAKXj%hfiy)o`~P4rvV2(!rD
zvHI1<F}A(6GyLt`Bdp+zWj*)VKI2cmsdG)T;lTCt!oK@_w2K7?+hK-eh#F<8+JD!I
z`!wqS_5Gx979&n6Z~_-%V_Ef_3PV|gsKB3}<*`a91FE+IGfyT5Ln*y1@2^Q)GxSDX
ze;xZj#@;ikspktDRcX=%lqw)Ln)KcUM8t-GN+&_2NR=8X2~q^5N)wPKAWd56LLd;3
z-g_W~{G^2vIwX*gaO3}d@48>_nse5illgFxIg?$UdG_9Ib6{ECU_EZ$*v;$c7dVA4
z2S9-tX;N~5=5Ngc$e1PDTRtF&AR7RJ?n<o>+f7^RT)}w0*;03`=J`+s3gurDUo1H*
zSg06v85&IjB=xPTC&!O$tg9UbK&sHA>wKPvx?NK3a_6tk&v<YE9CH~anv>lAs!#aB
zHbV%&kK)2jWF6YkqM8Z@AondH`aiQnzKbX=?RxZfZF&*faoU}thu5rDxq4j7G1uj^
zrOk_St^@&CmjpaX+26+vYspK<rU^9Z^><r%4f|bU1r(O`7_;xAczH>sCJo5LSCL8b
z8%zAGElFycoiz0NxgCYu=UM(l>@gG}jo|7E7T)i?JZX-u4m~0ELKu#~@11VX>uN;B
z`=?ug!aOUaTR5=Z<Cd|v*#!ljO8UHG(Aly8m4&jzQpWc7HJpSwP73YMCRy4g`i#NX
zqx3@p7A~u8s!#UcW~k5qJ3HHiVLdA9t2Xv``GK`26o;bu0qeqvu&qk3whfGG&6lhs
zNWt@nsyM(qFXC+F{wE4+0>!_)ZL<<Gm4z8=?>XLWf3rm&<Rm`88GQJHK8JH=^T`Pv
z>jFEIqg^%N#X9rs^xiE=P$OXd$$BilZ7>@vNaUn8)0_x?rgNjC>iK4HEQJ~M*|Icy
zbHC;t;lM*Vbc{z!)K_)L`Bu9+xA4%JE5BU3H4LN`3UDYND740k2B%QJWFCqk_#O6l
z?2%UqTh_8}CTPC(<=&9nJ+%5r>_Pm&bd9*Xw001?CAme;pp#r~HqL;t$a|0nxjE;S
zJ<jef7}P^ZIYPh~j|7}aG}bDFgweTW(B5I~UpwW$J$)L~w0>zv<Eq#a(ManIm%SZV
z;yUbfy&n#nYt1rT^tkaiVPJ7z@Nx9G!cOi79v-eSSDK}qZ?u<j?UB0QJ7#{e!_S%i
zGU3@6ExRPXu`?gYOfuL5@~_@!aQ^`sbIAT-pO|*?-YNRcy78@eVcw^`>%oq^c?8hy
zJ5{G4`Xi-e7P>!y&X+^$|D-sYL4)fE;<k4VI$%ZO&&QTqFR%VpW`un~R9$iRh(L=W
zCFo&=AD<t)3hArpuCFN|+i9)Ex-5Ec0M_*h8&cR^zlpXGO1-pSKpPH!=B;HD;dgBI
zOSe=XDICUcaW;h41OK%5I&#-*L-G2)v0}g!ec)jC)2}h6?XqL(UgV0KTvK#mw&D(O
z)i1%W{16<6%BDSX_=$M$c}2DY6UH`bJ;896>j7o%|8N0i>dv?>&F$_Skt2m<qqok<
zxQ}%EZ1T(jjPO)I@*=8~k@Vqc310V%#n1#L*Ws)Y8NJ4i|I#%D!5vuttI=<^%rrZG
zZ@+1gp>D^F^0#z<+R3{M|MY2xF``lexlM0v4HaN%tOCw5MjhqyuwVmz*(6-4Sci9j
zH*zKfji_`M2t6qc$iR<#kBI3M0<J6K7Q=NRqREwd{37kC^b$IK+wY0@cgGFKeFg~%
z_f_JjGM&aNjl6H#?HoQ$IxTB6BC=%ZR=jmo7ccw^^5t^E2*!3?Wtz4JOk8X&{Lk_?
zAQQx}x+v(pxP5DPDr)Y1kV-OK1Ab$nH(7{yaUiz1WLH(I(Nat}tpT4xZ#@Gw*d8}r
z@lGWIf3VYm^-mFbbRoHHk7SQ@!g9XZFF?qND-}LlBa}OX%O5zI4&c-Ztj(jf^8L3k
zqFV_y6MO3^+XgM=VEKA$G5gg0rm{GD?J+htjGG{l6&KW*DveRTg(K=kHyamqWs7y%
zc|cj|U<3c0GassRmQl>C-5^}3?cCvXt)+nSrJMUo3t}S=`s_o5JafOuDD+OBRxUwh
z6h?F7-Ll)m+EXY(VZ15fNpFg5n1}0p#~>|g9@x$^gul~kT5uVrqNM%3M=m^G37HhW
z?Fe<CLz&B_ZUh`%%)@5-nBXo&{FQz(X8Q&zMO){Xh!^z3sQ9&C!<Eo$*g>hr_G4DT
z!DFG$my0gGuNEoI<X-OEl>T7VF}uREkGj1k+%NB?$RX{3^B@Mn0YP5k<x{A7$tCqE
zk+C^NS@^{$xwNRZm$F)hg1g?rv;*whch~n??|{GJ=jgZo)QmFx(K(pJK>k=p?&v&V
z=KB%yjRVIKQ7MLeMUQ&@Z^_gEmsz3|jyhs<+HZ+<&HLjbf|V4|vZrIdP1=e`#pFb_
z{1-RdjV5+Nfa}HFEtHkGK((K-YHIObW(a8o<RiqQoQ1}|O4=U5t1EBhlcpP$sI((Y
zZ~Yj`z#4Vx*thyRqEaejx_y{Va-v<~DbhsGdCSnml43nj+wL<-^e!}_X{6V*ro?PU
z=T5rqwta8@*j_;Xqi+&wf9u;7%H51J*HgF)bdK~R%Vqr`GunZkR0~W2t`~U@8?Z9G
zC1xYw(nyE@yZ)1a%dCxGfcU2+!?duev0)L5z2xMyLF@zRP=#84DP$MD^+PC$Rrw**
znzh*3eNHiE1WBxlY#WPwg=43;wpe%9lKEw5g{jcWu{+v*R+wTj`H^DTc3uo5@U<M%
z)j3n$Z?2_4{q+LDr~P=9nQP4pZ{N0<ggw={l_Ayix<113bc=(cUL#oV+%|OM^aDE8
zaIVqWk`V<UkKqq|QhKnr-9wL??#awQS1A-0*uIg-Gtk&?u&vir-@m=UR*-XAQy($I
z{>EejH4~AxpMSrFT<x)^PILB3c2xJC<M!6=pq}gZz8^F**6pf$fG_EH0R}!CTpM6s
zn+vng6_O8%zjEXiHhhnlNEb6KmN63iu}T}j`CK0>h=?ubXnl!wh_;d*`(nI~!EV6#
z+`>!sPfADrGPcX@vQ!WnL~^+zG&{J?;;(Q63@1gqE--#0sC1Ifzbt|tTl6`+>-Qp^
zKJq5FC47i<kD$toHHR6>fqq+sZQ)8o*qo7^3A4%0I;dbo*BliX&m0&Q%5h>7qy6C$
zz7#3g*2Rl-2$#B8dmZf(p=EkMTAOC#hxGHAToJg;+nS$8xd<mt7J^*q*%fXu^WINn
zGL;t7MNf0yA*9H={#AN_)>tf^c|!}*wDrMJ`S0k+v#nO=Avc1~uF&Cp2#=hf=30Xr
z_M$%}_-6mZZC!|+K;s7guL!8Xa`(3jC^6=wiCDg#0Ei@K?R>~s$He`e8p?<V=5OYM
z@6-$MeAc7Bue*7rCihSO1_nx$YT~63?{`}#Ds4Vi-bM<4N`MsSJqqOo2S?IH`Fs7m
zDEzt`8o<ipwj5K|zVP1(O`WIK^RkVTm)I6WIdPP>TKrl3&7|63z`&O+(R5MbRWXed
z5tp3p2hBH)0Y$C%4d<}(A*wHS#83~<X2(SzUe8$pacZU*UwK7~>_jY}-WRO*?7ZBa
z>dBTsCbAU!=_nz+^;BknhD@*PvAEu-=gXvAgscp$R>OvnjK!Xz@8-kT=$krm_9koK
z?MmI?4siI)L|9V*-i;=7bN7HvtB`WZtvkr?B!2ul*R<if=Sh6S?pexA;&TEIe`&j}
z79?y_CHT_QFG$W~GgtiC8-){n7!*2%csMgw4~RSQV|N~(cQyg6G88|nLK}`c)Hzjv
z*~}?G>%1TAaMU<}HN-=b$a6N`<lK<68Vye+t`eNjr=HJ4kVgdqUZ$F^#{LOz;fT#+
zEN$qmJFHorlEhF)GDjCcz6dd|a)pxY2gxoooDUrb>jZ>MZIIjZ8)dd#&c^^|RA8b#
zwWTtYpRAKhswO;5ThCLzt5abpsJB(J*M%UK<}!yLzW}!ED1XTfJ``+pj>k!PBfKs<
zI*?;}2Q+MbwJy=Fw9yJ3UFQTlk7|t{nto`D8$B6+e`u!upBZN3^v-9qKwY@RwdbM-
zX~}8ZqrR*D_2MaHYL^iRa@omY1$}Itln=}uC}=uZQgHvkdUT)B+$*rb0e+*_S`2W~
zlY61!Y^iw>d}(Yez04;cAPZG^XVJ5+6F>z3f-dZ{WGYRZi+|seuxfYfwlUx93|91)
z3V0}Co$95q68-@qz3(3rs`;U6wnP~JAM;D27se-NcdD=|(_ch#8BH;rJaAITUlaH?
zeAfOMm7%#rKcU~i@eeLX%v{5%N3ibjnc+qW>WmzfVsD%I{J*A|A7h#AHbUN--Nk(K
zX;}bf&7?YG2d?2kb`uxZFX0vLGknx~97xxw3jWd6@kXcTzr2XZ)3Lcn_|lXb;X?db
zbS>o`^#Vq4C~bcfdWim;n3P=A1Z}_EWX6!<J&r%udUrlL=*~u9Y`le{A^C>A+qDX%
zh>R*wYhYhFV^R^(9%l=y9;8DJ0C^Z2a}Xn3?mQ9OPgwbuwzg~@{q#;9Bgd^tD53$(
zjmA+m_Xs}~^}fC0TOCERSk}Tn+0}ZeecI&q(*sZKFTnk?Np0pFi7$sq$gEz!;756U
zhduMo4@24P``<q8iCS_uJHphAT(Apox8egDbFXx68QOeHXNo#3slSJ^g#4FRyiw;(
zGq1A8au2`8n%5R6=5^fgk!?NXIc&3|=>g!c2D9Bbx4V(>9;9(f1Gw!s<AV!y8_sQd
zo}w^sUSD1sfy^rLpvV8YD5-ATKM~K>GW?8M$pSS5<T3elKg2qz#$3SoZ6?~Qmkj#K
zJkw2oGkx+Y<dw7p&7Vn+Q&ugpD&d?8hB31v@;Ia$-cK3*G#>ctX8V6mqlfmI45%*$
zbFba8tn?@gXf0$A0SHd>QovX0syK0LxdfalN9Z80)t8?nfS;k8su@>*HI@ku@*L|E
zY#V|AW^?`>Nv1mC?Zg=qeMt8fIX_gq8~jkb0hSk5mD04O#f1R);&U2F@c#c~iehCs
z?m9egODV-^8ElK`ymdCQn);&|or7A4CE9enFQz|WR=3^#vnZBR_s9Ck8z9GvkGLQi
zOh5p0nlI+cIdcW-zk9Wd`lCRZZ&;AM8<;h~dUmYhG+WaqC{TZJ?=$rgq#sY;aLuOs
zY;AcerdB5y=|Rw<I#Z|sIEn47;V}E@UavOo@lLn!fLf)eT6qb2l#_`PgRR#)=g*ql
z<UT!qq;qdA&UIGtkZ@E`Ii|Fwux?4Jkt@08Yc&?14@b2KV&iV`v<1TiPh-_8GpZ*~
z`vbnmM&>c#h4v_ssthrWhmO7>=pavXja(W!6fz!bEdn5Yl~G!2G{NrE;$BVEoSo^6
zSYL7?n`&%pN~~;~4bUEp#~lV-!NlC;$rcXF2=m`M<>*6cC1Jz>6A_-O>~sP5cSpY*
z#(WrEiMq`-RK%XH@bL#tjt|?_p0Bq`X+9qy_;27@FJ*mmmxs=76#B~1Xr14}(?y>B
z(ARI+E#xCzdciZ*l;5s&5H^$Ow6BsfHO>CwRS~6e61==Q%Xc=7Rax9QFcaq7B2C7S
zEIPefYm^#2lk#Q~EgWK%l#f&>_Vb9MpB~pMND^|P`_LgkT!~js^_-a77qg&oU>)O(
zy1KU6w%6^eL-qDHoY={6!K*J_RJj}hzTCFi#_6WnWiwx#e|0y18P<qM#?FsNb-eCr
z_H2+}kMc#2*)@@xeVsWH#}MeE-3zvVGL<_ZZ=qatlXb$nplqN6D>^Cs8rti?LBC}G
z8oJDBQp;|MnPR%Be3}noISLV9FUAM=CTZ)k!?qWsR>Y5TXE*Jm^>*FJ+%I=ER&5%_
zoOiam2)B<iJpz#X>wtV|KcDmJTne-MefW7n!1b-r7Mc9Ht<V~6p{?aoFUQ$l2XH0)
zc+w*8-eMtAYAe(Pj-~<wa1>Ig1F1ikarSULn|ppEV8J1p@=jy-A`1nX7a(cn7RAPT
zv>-n;Ss`H$kn=s>uFYdR`|B>hB6T7uq;cMnit>8!T6LDyVI&}3xMva_6krV-)IM1z
zdRI(k76g{TH(#$y4|+Kz36ESd%Tg!=M)<EetnZ9%NE2;j%q$C^?Rbrt5|ok6MH_c;
zSyzRK;U`Bz^^EkS;<4`L2=Py6u2{Kf*XPC7Bk?i5)6@lB5F&s&<T!APKU-R8>RDTO
zGg2#e+MRs|y<v&XwjQ$V$u3GralmDp4p|;pzUDP%KepwUtDZo%K86j-tle`MF-7J6
zeGAGbAX8$AeAvaJde#1m6CZ6_{@N(6+!{IETJ*gx=hOmS`QCMZ)QCxEV=v_)2BxF4
zG56qnDZ7k#_H%kve#JcREXs9Q^6a-j*5oet={s_~FLdepz4~--w%6OI*1@Ld7nYyE
zM*TquTn;Qqx~)h+)Q?7M_QG;*!O2bZ8)|kc=TymSCToYZbDP(h2Cn!casdU{>_5%o
z4L)BEr8adimySbzV2h6$c7F@O#{2gT$g6=~sUo;nO*z%!2OiEOQ)6;G1<^4Y_^Y={
zNq@v1&<S_RdoM-|Jw~QK_Z;0S!-7{;Hoc}W0cGt0RToIA`B_Ms@~wuv;apSVgP#0S
z=4vL}l1PKxy=eHlq?4u~DZxySPfr@Wt@SeJ@@Y+lRWnFoQ=sYE<d@txc6uJpZNcyK
z^DK+e5;{|vL4;F7a4fMHe}~AhN@Os>{2qyfr%tB3gbKKY7Qzj{lgbi3UgE6yQyCLX
z0rkE-0cLH9!39~K@F~rXUJj@<J8LFd8JCF)cS&hmCvF};DK{KR@^m|6iptVXANDG#
zFv<7ypKr0+8w$39`J)vFR0epKh1PWs&n^`AEq#Byq?yc}R#T-JHgg;#cIT%ru_TQN
zevCex`!3vW9h<xF$w9nveFmBwISDf63<r&7zIS_~3MPaHHa*-C#Q2VE#P_!a_eWYQ
z5fZ@6stW_npz5smL^jQ(!r<_vYSuf~N7zeWs#ZmB@a=LJgMPGs)0QX?^x5_NiIGTD
zqxMR>@a$kvNuUa>6?K0?<8E-T?}c8IxsMDL)d*`^-{#2)h79883mT811q}yUVm}i~
zj5%5gv{*^JErXxf<Y%Ex%u({DEP=E#Tl}~n#A`XEV7PsIc2wIls6R_U1W-(&2xcZb
zI7mfQZWb>4bbW7_US>RcJ}BjvJ=*6YBZ&3UP;w4^;b~P;uu!n@lBeLAQCW?0k!ant
zfC-uSay~gwULdp9w<WmLU)MSuwq-v#?)*aFEDQ*(iTQg58vC2A(LJ_vsVh?`=J=ZM
zynK<qKr~c=j|DqI6JcwvULV{s<;AJlWz2|FJThqk(RH1bz42m-3SPKYSSVBnU8fPl
zn@DT_X`=(*_r2RjBM7yn)#wFkFa?AmAcjwG;y{#Ye!LzcKpv?U?%@gb4)<_`#?qq3
zfexk1pB1YLSj0mGm;!SC8CkC*-XS$u8eN6=Z5`HUcv`Yp8>4_tjP&3adGcBe>>0U9
zC!r76bHn0)$bQ{^5HWlUNgFXN`Om+80X1h;&I5+ZA(z6nT%mX9g8P6^81X;;kLgw^
zifs6&`XyPY9xHWc_<!#7T6jDc%fSb0XkEOWppojL;C*4K!>$c2Xaq|@2305rL;^_y
zxb$#Ai;rX*+0=2y37PoXr)4RFoSVnY5>Jr&$S3s;9YDjdq`-c^jrXQvM@9QP!2DSd
zuZrt$vhVJ5*<E}x=x0yL^3?l*2zZ^h&{t+q#TQ@9f=1Tj;=yxNY}eD@`o6mfvb$^p
zZFL**!)+Slk&{RDZ4pC?q2F`i8uP7_XB#j;7KnPYeCDkI=H0(E!7DJK<(#=wYxBna
zku$`|_F;RO0#@gZJ2G>%#~}`ovIu@W!-(H-B>p(=@SVxYhY0xtCZnc4UVursvC|HU
zneoT-=n+06n<bwKo;Qx6U7!tg0$$K~TG%wOocVvo?oe^Qy^NCIZ<JsK`Yp79R<Z!r
zGv;x_FP)dgf$0yZ0ik?#XwAWaiv6h{A&RNc41!9_d8JP<Kzx9wl8^un+&lfIk3eHS
zoLe{<4P<7-|3tjz#yyXyyonT~w>Fba6F$g4n5?BOb_|SQge63-`Z$2+<F7JW(eg<f
z32)OlR9$e$&s0GFt(nhyK<iH5nd;dZSEzi~_9c!95z_-SSZ?xohCIAeA(+0A;c2el
z#QutQua?LEgCg32j?|1u;C2T@2#O;i^cs55JU-lP__ha?rhw`N8Zs)smL1R|N`Y^f
zRWyX@081x3@QTR(aPl8u(Ce;I`MAL~nFl3@vvSz4oL|)Iz+)HFydWcCF?Vuu+KQSP
zl4MrexxiPX*F;QzISc7wYZpcM^zcv>D#86^3^;jNSu_=}e%C1LI4oa4+)o+KM@K5j
zw>E_`(jjT+t)H(8$s?O+VZ*>J#vb4BZ1#$NUBfCxzbq!aqTM5H?o{P#TbeQ3H~b6*
z+$(^Fm!rgFrT-A-j@az?HD4ZH;XM~2pHCPb%H`~x!4bvWxMvaX_=epE2!Y~&at1Ra
zi=AF*w(~Wd2N&ij{MyEoIzI<Xqh;Nco$ukc&QLFAr(>WmqK8j?*t$=qC}@SCmbCHY
zVR0dCFaqeygl|Qxaj`7FnnqV&#@8dbxp9mUWl}ZDZ~XIN-D4Zy52oo+57(t-kqO~B
z4p20$8Ab-#KSx(bEC}-2n&J7hBgKVb32*a+X3|Oi66Rt>7wFBpqM9>*2FL$3a18o{
zQ07oSmik!HcnwFZZ=Q;A2{x6O#NRKThik=+B-J}^y>Mpo=s2bq_!mZ?ExE5{KVk6z
zD$0syMF`1R0JfOEwL9LywcW+>B0QC-^d7XktgXJ7l^b-6$@&rU$y1~h9SjM?T*DFQ
zQC3i9*2b^EMvAh29_If3<5O1+t%3T<^KSvfSNbLRsrk#@74bQM&D9570gMQD9d|l}
zI~rppi+WwE^?UA530MJzwR%jH*u>D}#KT`cjz21jnHLfSSZR&nC%)~vntlUi`k0X>
z0C`p<)AUSeUiyhU3b_Lw4@kybP68Yinbv~E8$#pb%mxz7Mft(fo4!)gj&Df?v5a^Q
zmhVX1yY3mwtWt0p#l2+SOyl{S<%DYg9uK%IbyKjH{in?IqjL%3Gx1f@vvqC6IjzSz
z?B{ID!|QH4xJecTPPZNL?UW7r(KZJ`9v_62j{Ciq&PgWvbNcQC&I+n4)+J>-6s<Qh
zllKEmUbJKn$ENOl_0i9>+q$$iVDc?~S7!Zz+F=Xovi#Ky!LaKeDBttyL|Tza7SYZa
zyS{bTLLGq^N}LL^C)`$qcjfc3KhnWAlpcSjjiHeRyvL0s(`8IZIj&zNMIk6R?F|KR
z90+b|*#s$fsA%L!4<Q2xQ1OeIQ!LF&Ue!nOt~f{#Z+I!vrA#XU&6s=0d?UMY;bk(H
z)|I(%@{F+%v0M?7)2PTCl@K@WvE}_0<9@TfAdo?lMsRC1y0eh;fragE5bIZ<dR2@P
zH1!Q@O@Ndowhf2WoayM}d9wK<r|F9l)Pg1=9{tj<tBP()#j6Y41Tb+Z1FLWQ{vfmD
zsT`OTKBo^UjGDp5gWq`GwtFE>Fg0ZKkjypz(a3`#Tm=#sqt;GE<wKdsx)#6|h6`kw
z?x}Rf;PR`%hDRPw5&m0n&AwHSey_e5f57pLU1Q$brJzVT)=eM)o-R^;P}})?$DMfJ
z$@;7NKJDqwgS<U6M?RJ*<7)>f`IU6PbDzFMJSFAtM2ixV)S!QkjG8VFg?`#2MO^=D
zSmq%gA|AGF4>hAD!4ZDv-HEIL1!LQuP%}DGKH@p2yH|vuqy>jeKn^2h5uq@6W5vcc
z;sJ)pIw86qm1E`}Rh<{_^?PKpN7Lc_FwX1?HD=g1`iz(k7@~e8h5LYeRl0NQck)UY
zoNr~KHP9--cy{&8=>5l)NQD<ui5Z`l(hj5!oNZ0#uWs>ZPmyHCN6m9XCC&t$Wo`2s
zP6aC<$OkE&Dt+wB@@Qj%bpPvK$Gx@(nAha%_e<b@1`fpq`_|*ULkSo^O_yHlO#n~p
z%U*u5==LXp*;0<Q?qYb}TefHczSf^dzR3)mPc{1KJXA*dFBuOve(ACo=3glRr#<Jl
zzIJN!XR&&dx1`<o^1KnGhEQ(hITQT|+x2GG{IO_K&IH6;lGK65DeyZ?&cr=Kl?j`S
z<_;adz3vJ|`soBqZmz!n3W$3)hy9t;+t2Ip2dQ{ROSDz6H)2~sdG)Y1^tpo>?zpJh
zJuc|RD?hC#Bx<(0DR9Ss0=s@XQ24Fndkcpj?l`}9$c}hV+)2NDZ};fT6%2|CEWsK%
z2*1;A<BH@tPFz;_9Vjyzmdr4e_?M0EB*cD)N%Mlf&EAulkPW`S#F1RA$FkmU_{f+i
zF57+0mvHH{yy9g~M(E(6KBnxtKBdBUGkW1%ZM8C`UCYhZ-*|9%a|}|z?06(hjN7O8
zvt7nLIcHZQCf3b)prL1i$-%Sf*EvcyH~(@zU-hDrqRV#O!4WcQAM&g1{98@PO{Zp-
zpU#?fd|4QUx-aKUD!g~th4_PuCPyVclL<BFLTKe|x#%D`?X-`rIqm`4!sx&|tv`C_
zQ=;#w8Nb&`ZB5@MQ$(fU!QjqgecRvjeFpwwD~8x_0?qC>h(2EsjaTliq)!w*lvdTb
z6r^Nvpbt2YJqpvBZENTdx+(OrJ5WfRdN#ltvywgUi*7G`0Fz*DWct{drOMEVDohV`
zeC|-J(^#|U;STL(o&BYT6V=gMBZs^!I{R})WN4$-SztNnQlyTb134bP60N<hI^N#4
zZjF_KJX0kq2J_?IA|P*|%XFkn;ALMSgBb9+z&Ne!5=}9o!ovyr<df%<2-|xW<63Sg
z-WKLaPlkvbN#qE<#uI1(Y?eOgA<IENsG2;5>()-7zudQbf7j>MO!9!@TVo35VezTP
zh92G~QE%6bDJ#XaO_{-$k7t6R(QwrDVt`Q&?~;gbR($BV$^>42R%E$C0{+BymDBlE
z8jQplbgh=jQkQ*7#r|OiPpwVI;?*pgcKYrqFGjFj_kr_|eBrQW|FP_M#0uEufCNOq
zRqB2eIf2s<SwPu%UW_RNQMl0}t!eqNM@w}Cx~(~F^GwRuTzy}v@tX#5&SriPd=&Ro
zA0qyVlJxum`I+12_}|ZP^u(a`tfV<3)!4_|KZ#Lk=&kF{ug3Z6CZz9wa~zos$BmaT
z&K)i!qXl%3&RO>EoLF<E0Dy%gwhZPyYTGAs#2)a7FmVj(b*UpWS(SxyiRZ0b-)Kj_
z_hdPcQ)oc*>h!4FKHhViKA48VNLJ3_3x2tK?i(tOzQo97(gorTZB1cmAMHM1G@~*V
z7{A=uj_?D_htXN!UKNrZ1#eP;7qCAja*xGbUHp*1s@wqd;;-Pt-fi_*G6R%TMyAI6
zwl>=DNuGWf`-%E2Y<!}tw8n7lfcX@$c2E4+C%h~@X3m$UkWzdVFH>Y`04N|oDogT>
z)q`|dL%N39PoKO|v6J5(5<}R@BD2G_yr8GFT|y58)4>MN64pj2(3tlrsy3RXv1JVX
z2Fgkcn+KvUQ+<!4)*)Y(D1W-RZNK4*+pa4rMV``t9IqIK9@kM`5`SfPzI3FGp7dA*
z#=-u@+T$i}G!$DD4gZ;%&OGzNoWrv1i3jrv!@ZIHHQ&r1=K4}R_4^SGYxB>s7wz;<
zvu#3)@~E$^9`kn8c1r06%=zW0nPyMqv~vBN8yj_#S6k61_u)XL3xq(UuVT8c2NBUh
zB1_xFEn$t8TsVwTF?{&mzJDEA;NGdOj>E9hh{Pvq>;R8pXeHOIjlaiC)p?KBm1zZ*
z7^31#ZqymSbsgjBr!%02|90K9n_YhBzlL)o2J*>V&B>Xr(stN>85)h!dRjw2hj#l)
zjq&z}tIlvccyKIJEUceyys3i|Z7mg8npte5Yu4s>2xj|WN!2`+P)zjVU?FH8=)sE@
z*%A2FHrcay&G*8#PT2M1dxh|wcWM_qQ%UJda1nLU(Y``~-QXCKr|VXf)b4Mu&v;pW
z@+>S<n<ERAF8B@y7loH^-mbNFDffnG<&Kd9KTpteV`m9qh}PTVk9`s&=heioy3z_0
z4C;{-y4;T*cd5Kx=F=j@hCT!Eo*tw^M)7O_cf~z_^UBEQNIQhNZcWf?$$rO~-JSi5
zsIS@`OO%4&?U#dOEeO5$XO}3V+728(@RlEJ2~=C<0Zoe}3->`e0Aj&#_Vq1erj>9a
zqQ&?}t}oj+E(#7(=fxNxuph5{;q-;@hNrfu=t{b2QmARtXv4Jgal_?*bGw!BaqbE%
z-wpU0KtD)%rnu3gW-k7$;JM~Np*wl|;py;g56dL>m}#S9(q|HC0E3}Eu8rBncf6sN
zfcZoXynv2+dzx&YlqON$W|LkXgR4q(gs98hz7->db?R<5_-n=lPVoJ1x>@gACL5Zl
z=5~~XFYp=?zYt53HH*>U>o3Vjy-3jMw*4ti{xg;MJEexA!DkOx5<;dXh=?*yRlZ)N
zVQ!CO-iVNQnE_`wo7%YOEJ+uo2B;`6y-XTpXAMXO(zHEcT>YeW{#9WR2wjOIa)4eJ
z9a)bzyk4}tral7pT96D6(zZ|+@?lfkQf0?->CfWjJYJSGDA?Co=5;xx!_sTHwuRSb
z>9^cXU=Qc}T3(zqD>YVeP=7~$F8h)D%wN+`=R$2JqJ#nD;dao>vs-w@nJ)r$3?l7c
zg>mnw+0=T;A>KMvN}tg?2GOxP;zvnti05Byl=j5nLzR|p%BMV&6F$Vh4tvU%zu=c=
znmx_qe4HO2qp(2_xhuG7vXID4D70tQ2OHt|$&8WM*+Xr~jNIXHsJE95X+a?R;IsT=
zaX*z!#m!FfH`Os`YwF!I`8h%r$Me5qWp<YI1%yHS<IS&cGvv^qt=0^dr6*GF@;BcT
z@u~6clDw>(aj^C0*h;JL=FaO|IxdC!66pnXu@&cG6aQYJOW~3ybmYMN3lWvke!ov<
zCAub$2xfIA@qo&^gQPa~DrS3vq>g~%&9R&I?#QG%$@FVDyNGxWDs)jPf*httz5Kr*
z1D`uHLRt>_Exg;-Qv8#RDHAwfq5m0~7Z(&6NO|>>t*7PfHf7L)Ij-UURH6lDH=&`!
z0)r*@Z@0n|i`lj1#L9m&NbVrQh2o0Y6)&~u+NSBY^)kNuqxHr+k@x67IqECj6z;+P
zo@8@V4xkFfoupFi!U|FtkYmnv+N~v9TCQ4dh@J2YHJHMrN~`$H>Eh<c=>;S+(f|O8
z#Ovag9v(u*AFaEk5$ybJR%S;7#2;X5s3fcHf<v;Y<f!v!A_}P`>kWV0$KE(X{MuMc
ziK0-MSB;eJ(@TG=IVln$Jl-W}hw#9<=F+_QK<n&x<%f-`DR3bcd+jtxaJHMUvq|Ch
z#)?96{%B4>mG9nlY-(3RIl0mQ3SK+Zm<9?ZEKqb`2frW{9ZYIXV^l1%c^2ESpQ5)}
zP-Xt@0y`f*YDWX!o*3w{KQCaPZ+foAeA};yOg=A&D~PLaV<B-uW}yu8UK0F<{s(g}
z?YBB-K2;w2-pa8l$<h*@>9hIHu3?}YK)N%mWzPb-?Y}r48Y*oK_zQ9ygc)4!g%z%+
z^c@NvZLKW|IxN2XEy}3>+xZJMIff`T0QSM5XU+l)I}B^^*|k&HeVq!beiXDfH+!U<
z3i^*GuY4mSC`!{ExcEvUySkk-0mMA1F$OTm6Y4)aYX*fp=ZVguQn|pxC+LM{kjj|X
zs@KQ@ENcj6(hO?K0M)H7qMa7O;)I<9oFMiz_J9q7`i_mJjnMq+eDc;cmuIL=;~PYB
zw`A;%jUDJHXY>9#+ZOd4-TDQI+<|IMsPhJh;u2=!UFu9-Az9tavD6}UpOifx6FZpc
zma7tfqDh)sZo+2{i2s6rB1OaVhX)<Z1aMmUS-P@6o8-V(&iSZ#LfEzh(vqGUym83V
zm;(%zMXLQ#T|#Z1|Jt{`P326Ha)8$SxHpJKs$;kP7CK!zNk!_4<G&3Y(udo+L62!+
zb3nJt0WgFje4ifmXx&pD`8}Mfey4r+^KFWY*jG+|_0b{kh1)(k`i~D8bd`NQSB0v)
z!LCqoT38Qoiy7a7aN@?fMtIyny3uDDK+{=6>o|q~D-y%eas>!6lD<$m3RL6C;})`=
zg_;KqE8uR8$dyBy(`n2CH7?_gBc#NTYV;@*Xeh6{0U|*W2@gkmK+|Y7I)M&!u)%*w
z)@KA8H;y}EL+wGkEK(+NB==c;F_1x^MV}Vd|1bQc3=uOT`Tfbd-nl6g`AL~SQH3u4
z(Yx%&M3r!Onb9B)74~*ExNq$*djD<jegb?waU)EUUcir++@;EXA|u90+DX6h^?y7p
z`$fU8!Jzs;6=lMX>fsLdV5dC_^lX>*Z%%FcDs#vtO#gN^ye>p2JJ|VDQ<ktJ#)YLc
zgL*SS-x;3m&aR=go8R|lfr7D%IWCLacXsP_<QV6;EJvRNK2%$Wbv;uZhQYhC<D;lx
zpoTTucO9_vA=E1&ovT*WGP*u)jK@?^FPMo^AL=JIUd|>c?M;OY`6w^jk8;x552|)6
z&eA|&XUB?zS&)Ro(P)B*-5bwK;DwCYm_RA*UfRY>{x^HlBjn(?e&Vstoh(9GoTN%&
z0L$II$F;dG>1Bb>F~#iAa>hxy6)I`&EmVolTD~s-I^Mqa-AQ0tKI+A~rz~<l9PI?1
zrWNp53V!=>U&_8yTf)9t>0O2ByO6Fit-F5ayy|hEbbaCxGd#GhySQaeZs#AR70OgT
zm>-XV{rS8h^5z`IWy0dggjme3seV)DSbj*Fjugg#MaKBDOge9i)a?qXC#=CW`6$zM
z?&Oob1_iLJbl#^WgO(1v!q5&UWu!p(unSc3Ev}toqc}=X26>krW+S@}ov@Waa?`^e
zKnnst@A9|kTt+L?!|p@3Spsr_0c^`Q2;9P-x$x~bow?#j0&QU)qk~r;!=aYdLpe;!
z#4gj@Uxy0Y>X^bq*YmX62LL~Ham@cLTs%DGbuQTsFD*NWv7u%$Nl7dqLk^kI5A>Td
zfQbU`j8x1DX&OFPC-;pbZdN`J?tTl=lLgIU3P?vB*|6Hxtw1dZ`rx|#cjsG9T-;mD
zKVUOJ17{yG8H0UW`%cfB`}S?z$JbkGa)5^X?m7sFqikC&dT~@cYYYrrf5@b)!xH;*
z|K1$`%CeL`fi9nvhbX>^Q;HbAhlJ5*J%lzt<sW^*U(MfQ89A~!#u7e9l&b{#W}Mi4
zJ+YJD2OF)=+k$pgG(*ikK!oXTtcKy)b#~?bz#HP#-GiDnf6RZT;O&~|?yv^ujyvCW
zU%!H`ad}%E07@Ni4~?Z*qH~_C%rcQ?tJLfL!YEWbuIOPgiYWk&Xyn0x=ut+{I##?E
z;<*ZPCp^bhwt-=$s;n)jckCU>dgiXO58-i=lxJhrobu7u&GavK+hersWj#W5<O5uO
zB0m>2&NN2s1?oY!o`q~N2UH_ob5$fY2893JmF&!GaAT(a_4P{{cjUWb@pLik6wgkG
z*%B2sCzq`(t40jp&hTh@SzQ1~PoC~ypJi<YIM2%S6nqIOT~Dz%`l{X48LnjyHJ~MB
zA-J#Ms_Ct5poXlCk-$V=_j({cH|^i9!5G*Ksw3dehY*s@SRD7sLmcfyQMV+1MYf@V
z<%|JYh?pJFoXt88d@smwP?f9E#pB40vk|@JXA-*57&xEa6!56`q5;?ybw26cz11@V
zHgQMmuon9VB_r0Zw!C}VzM-|DqUF54#@)ihLd7T@x1+Y%D{t6ut3KY=B4zjh0&Vjp
zT@z!7Q|9~>MeW#Y@rSa;@hz^TISr<O)Gx-X?vBFCO`FYs48UF*-=+eiULUn>TX>`%
zu_Mh}URT@6l0U0`8X39hBD@i(UjpVwnTxAW`o>;ri`ln+Jdc$@m9Po^YIxRVfA(6j
z<k-t0);Yapx-WYMbr-&I2VS62HMse1E|4B&x2ft?@YkR>(7-Q`S3|J~S#J0gIi8%@
z%hLE8ICJ;8jJ=nlpX(Ox!~3|%w&}4-X^YV9>Jf2^;Fhq+w$4B1iX|<TV>!U}H)r2W
zhXxNJ5-%etel8m0`L%}!auBMxT~@&}M0&}dwf0?i1n=!hy$$?oAs-m&C9?H}h-GER
z=;8NIh4`BH_H`LPl9M}oMT?w>CqUP27Wvi;A|I<<N_Lpm(?$m5#7ElT`%p(#JXU-C
zn}Q#KXH4rhvLI@wI$X*VYRKHFEr#Tb+TlQaQ_NU%;D{hUTjy3lE{9($vc8H@d5Ubm
zx_6KZbeVk#v3_feD`a4S(C}~y66LnFL8tWlrJAE+nmohDse<QcgMWC)bBL``?qM@X
z_t2sCt;5!Uk!G2^;-;s~8zKJVs%=Sf&C~gN3idirke^|3xUSJiyhY5;d^2M2)#I|9
zkhG{SO~;eWpI0Gw#RZ*lzC&g`ns<l<M{G+|inc%CFm;{gsqXn39s0o^f&_;jZt;hm
zFJ7BXFgV-=MzpcN{awU->iJZ7>XX8w#jgrbTRM$FU`w?4h?-GZweRyAIzuLrPc7+(
zRW<IuC7$DK-$89(rP=xYC=$7tY4Rxia^DqsPQ3^B_-HwkuOxp3D#=?;1Fdb@>g8WH
z+!TvYegm;+)QNmFc}XPlLYS<BJ0GWBUx%34-SW(vz)NBgM|Qg*gCR1WJ8glx@ZeD}
zVY0>OWMrO{T{k-Kw%vy3j|t@4x8tAmSyS~P|Ha})ssRx=Kb&(1{5jGOh`Ehp0%AGW
z+%f|;MrVWECSfGfz&E$XoALU{SYP<PztJrj>kr?Y`FOM7bT}zlDkiAC!)#-VI|6(J
z9yh<mE(s^uIl+Ccw}X{h(&6N+!MD*VqZ`Gf>;ttw_lG_`JdsLIi+($(L=xS*m{)G_
zv_s=(@J-LcMQpKJ=-4$s%-)?>Lq9U#(3@#_0seH&{+O#7vzpDced?>U5*6}XV8hqy
z1YQ4l2-tRPc<apbgRl}e@gQJ{^jXfOyX`wlO)ob$9t6vl%^@8xH0PcCqNdmDR?XU{
zJd+!7N;{+VJ)4NZ-^J^i#raNr%>>sjty~k`#olh{>2Hc?6US;)@?uj{7TG6&O)ui=
zd=gt0#?%Vt-mXt)frEgMY^I9j-5-g0xMxlSCXS6#CwJ1ZH=Be;yU*UYzR33X6E&P>
za(3Q3y!Zhwzgjx5+9zg~AVENX*>}4pkorN&OVZ_<-Jz;|;%Mvw>quzAX;O;Ajv>EN
z#C4Fn)>^<h?E|U2*`#xkPrm*q#@?*OrK?=CzrT&1ihItH;@k`>^OyJv>_%IrN(|*m
zWYS+g&1yZ(^d>@{1AOI(-+13fVD`gOu%UFgi}{qi#KF}ETE%^4P>Ev!f-mYLMyATc
zmG$RznTICe=$KmCBDf(gMoyjCVY6BF&BBqEMrd8Yd9Gcw<cZp5WcT$Ib$dC(rTNe|
z##moD*D#OGvlVq8@7;`uw1c1TwSIqPm1B?u8$;H<s%T@he?7D;I_>w_zByEv0XxHq
zfR?O8KryGM@j@AS#N6T2Txs*rba;tzteT)^QOh%U(4O}`Qb;(Rni+*wStw&uIn&l~
zxz-zfSGc_tvl?=3S%qU6#B9&?u}ml<^W<^Js=TxGaH4mH@Gk}D88iR2+tsP4dw!`t
zS()NZb5XtrJ1egP75Y7~k~*Z)w}+kv!cP(aW}4Y^qA4Q*=*k7B)q_)KfNFP_cyX;H
z_QY{DKVZ*zGlB9-GuyJtiDPT$aSc|cMX!r^XLGnTDmQb+zX5xxGHrHEy*zC8?i(qk
zXsCt58ZqmcU&?r+B*myE%G!|Z5kWy>ewsq&clyc~hs<Mg#6xjUC3o~uY1KALm^{jR
z;q)&XA5o4a4!+59lQl+>!}Q9Zpq3rQoXT9t{zzLI+||x1`T%}=g^xM9$h3};+qi7M
zlbunJow{P8GhE0oDDi66eTSl5`<Ykp$M=q#veO45_~7q9<>;KLhsfZ3$9g3~Od9)u
z)?91;cWg%74J{N7;)x1k=f#-^{GPlK){jb_e$35$f>Z^9I06)*q|1{Tu1{^@HnINw
z8$VO@g>xPt{eKKrM#J^|5ay06X0sP}x^{Of&$67dzI#pimmPTFZFX22Ns&^ewg+AV
z(s$rKr<{#Ja~gyGJr%7!IfnyAcK_Nn2%yrmyw-MmeWXOAqqxXdr|#N2&86#&LZ{O6
znbkLUd9ki+=#0Z>bAu{>`}wytPxWdAPVCNDqdyviHfL)!Ti{<0ITUAOom3`N%!L$I
zhe3g|Z0ZtN(JpOE(#>2m&9`{Y;X+$Eh$F#Uth~Bx<tw$ls8K%5R)8xnll63f&DUQh
z>pLAj;(n5TEim?vez)d#<5rV0r|%#7;s}Px`r^5aTekaO=kC`ESA>&49)|DI3f>Wt
z4@P~G!AT4+<;!<_t@<5&`7d4Ik#^oCoNMQE8s!LR^##%$d*@3eI(q;e-naAUo>Q~;
z7p;m-B_+7sq;|i~m_byJox^U(p38v%E7|+X2L}%O!jD={ks=-3oXY%2{YXJJ9HjGm
znu=9ObvD|QP?p+$8-!2QXj<YO2wK5GdP;80yf+yQR<fsoHm*O}T55ty+Zp)<fAnOv
zC($eim3Sw1Kj2a7n)4*7)vxkSm9pCvXQR`{ga0(&M%54Sk|gW~u{iGPOmgN)vuVzX
z)pA9*+maGsOX+4Yp!KfZ7PSIU_)48?MTWt3=HABZi4C_%UfCCimh0ZMp(M0phm+kT
zT`K;#p_d!>G*X2NEh{PdAv*;@6-KVQQD36}=)*@c4Gx-Tf-08spAmFZt|#j>j5h6@
z{P;Jvl)rQaN@J%Txqeghm!G9Wi2a@D+y86dw|m9ZrYza|6XkZRMRj`4e)lET1Zo=`
zU?-3;o!QGLyaS2jIHQ9)%?ry1wDu7nwVorxI=*o!vmhNJSs5BLpaJ~Bq1&TB<@UZG
zioYzmg>7=!NycViFC|(nCTKJYIn$B3t~9Ix42Ao_)m`jaZkB+r>i7Wf%2IQ5Z)<yL
zrr@k}F9ls~Ah_dSHd?BLk@>r5-eMaxQ^0<{+tGT;J}JC!V<L&#AoF81HWPar@&XoM
z>xZZhYkja*iH3O6X<!mnUS8XdA)+w4Fc8->bJvgO8Mz|4qa>dbrWS4ouGwOcjb!fM
zdz$)0Npf|^|0_R#ZS43KW^7e?fwg;Wta2!V2e;OOY#f>cIEMZ6An9XgEX`coOwDFP
z-Cjo>XHx|CMM8Eiyku~eV}s`aKhD<g7Vy5)CaXo;`m(#CJ-hi2(!Fu1zA>pw0XN2_
z7?k6nz49Ky7nQTuxoI>$Lq8<yMryIOAR?t`zQMez+ViIZjMgj{FRdNQ_jp<Lwwto1
z`AI<;?qeN<Ei~(T7N0s`0@-4Ahj051wMh8djGU|WUpGG%iN2nd0ZBk#np;8A0zsSs
zEYJw`872ddbi)+N-g#^0dIAGlv$N*{EFF4_%I2YPd%X$mD%*B&(MaEsV<YfB_IskM
zlC|Et7@M;282JIHU%D+{pJU)>c0V1q3v`9G>Y)~p7+r!YH=*wsL^Gaqxn+SM_+Zp@
z)aqzN2EouwT3dV;;&>M>4@>w!xV^VS`BSnasnGxLsCyjrDrl@?E@;d{&XeG`{|aw`
zwQ^up&717FT~0>ZJ=MWf6G;!x5QmZ5bS>8+r*C0YdgTp5CZG8wivQjX>6BOvS*G0m
zA%(rF!;Q4r^tuUEi|npj`9IS9+j5yPU4Zy_4m<8mr#9o$kXPrL2WRLd>zHa&A%&&(
zN<`>A_C|)8&%93k<@G8>yCQ*(uM;&{mL&Jns^4wqU<$9D#>{Fvh@iYgGZlm^B&({c
zeh^dzcD>c?H7-mNe^Iu|1J=qDBdUFB`h#JAuaZi!$y`Z;`Bt+wURQQ|w0s(ZXSi$u
znz25o-=&JZ`&TUmKGjI*OJg3_^e^T6OmErT51|Sw6zAb1pS}voQ=xACC6T5&&tK&F
zYpY`#w>54>mv3?hR1L~qIjHAQ{y>#+ZhulV9;X|z-GRIyPrYP+=<_JBcf#iL2UIOe
zWANADRZMQ;^6L;1CNUPpApAJ=gU#}vw=#aHe5#%j9RL5Pr>@>#qUtH8*Kz;TQ|t<0
z_PU_GS8v2g)klYF`wjKl-gdtKpEWr&`nh$xIkFj$K$PsczwCS$GJYmjuK8Z$@BD4p
z*{NTG?YXZzzCAhb$DHzi4OXKStD-LWN8hu&*FrD%7>C>mT$K<0RQJ%TCSbMIkh)Al
z0~NaMSICymlM9>AulGoQos%&O<;coEd~&+<`+Dfi`N!)Ro_(MSXZy<oEGPRvS=BAn
z*e<Xun>cejxHj<#$o1KK+{FDp?^BZuqx5Mz6(h|lHJv8E%#jXo`*)4%c#C(H(L+B6
zhj#q@*}&TLM39jEl1SaQth9UkF2hsJ+d>CQva^@p1+;NiMyppxuZ$iOAWwuFv{gBL
zw&^D>mRx{+hfaPRzJZhMfEXb&J0QA9^DuHYG&}d*OkL#pibC#No)+)(717m0n+BSp
zog!~Frez;?d$TZGL!?oM6Q?pO@;I{0?#pJ{Z+F*{?|c;a5@~Ip4Ed_x3YRGqHjv&C
zPxt)@*172<(`0Nf;E<hP`K*;!-OG7>!}+oRn>$sZd_2B`+OvkTR^M*Xy5AH9C5QRn
zVI%mu3^t=~Viwx`5|{6rZ`q|E_8El6eahn?eZHO;yq7t_*CAc#{KeO3-|8T?(3_(=
z^ULfIRR#M<t$LN5a<qyxQ;PuIJDSN-e2voEVY>mY=O;c=pK`w#|4MRm>}0JK!q_GO
zh;OZ?M~U9jd4FqUPR)FBXAjmKe<CyE<hJnplJ($)v3;V%!9wNDmNs3LSYlR8vrY>1
zU1me($RibU+)K@=xvG0Yob1ip4}D5&oPQ?kC+ovm))O%KA0APsUSdSCp?D{Iv@(gJ
z{ck8)|2R$mfRNOlxI5^2td-nud^obWO88Ne-fo-(xUU`MWZ215QWC;~p58<HvFq?(
zPl7<!{zDdS#C6m}2wrNuf^_M8xz)PWFapjN?#OV(4M%YRw4%J#K12WO&ySS4h<@L>
zc&Sm%esZEcClCA64Kd13dbxYip{Qo`P<XK2P`<U1*CN4~f#dvtvRKIh2lm+CI=Tn%
zm4=Pl&K)Z0gnMl`{L-{^`s;fWZkl^9UFLYe`8HhMtj(v6am;$Dyw%vcZpo&IK?8eS
zzLHpG^?7y47EczWJMGl|uF+ZIqg{ENaM8AMU%|J`L+c>^^FPfD-+Vs8zyFmwCohq@
zJ~cnk`$cXu>J``gGndS6N4H4+)6gROU;QlW2#)_|si9Bg)L}rl?se!>g5_^P{M~70
zMKiF@G{KiI*z-HrPVe%e{;^E38+lB-(SQhVH_|yLr5zo5?Lt(uiez>(Naz!c8yEg>
zG+i5ZOo9PyLOL1oi~6tOlk-okPwp{DRf>F_y6nw9+Ug@;eL>`S^1EJxEh4qZt%+$n
z_cMsT?l;aJoIgV1J0_rK@jJpF+hT%0pmHWgNm)<)mZ_Sem*GK^8nwO#CE#Ib6DRt^
zSmh0OcHk~oBOMgPNa|&=ZAI1jVcNVgZl&A(F0fRnNXHCk<1Hx0ER^)4{rql=OoS(+
zy?$8RL!@*^ZUF_aAFA+qM{!K+vBgSING8-)mvH;INrwoFS%1!ezS+rk3D0RC5k`(8
zk}eTpaqA-&(c6*nbd7OP-bqqfd)GLo=mAK2e(@pFyW>P4o;E=A7{o$^eVvE!_^EIN
zT!I>2*5E}7PGM@+cS#QjT4Td^-8rG3BI7S9ClUoBDAG4tnD2vb*{6g_-N0P|V(#Gd
zfS@bN^hnLlrQki4nA4=J_GAvH{~hn7bPr2&-bS|L^Dv}6eL|A)U`qSxA5ze~9Kbi@
z*yx;~!|V<&IP~ufy<IT;emkaK+M9S}-rMkM12ev3#<=Xp6XZ}&g0zSOU~yGB?c)u?
zlMTKqEdgq_q>+cSRqMZB|EUWRn4KwdKIr2PErD!cN54LB4LV=4CAZTYu2F{et9bGg
z72QJ#Rk`{@sBR_5Y&?qmHTN_;p11;v_x`LMs<-{-`eJuo#dAUe=(F<|Hy#t%hi|`o
zMmaIJt8Bvq;NJpJn>}u>fME5RHl+_W(J<}!2#oYm-J$*e)6$j4GvWV#DMyzhxpEan
zA(ET$k)uQkg<Nywh_O%ZV<S|OV@a7?5y~}l=A7of=H3=&IcCac?wMh~_5J)F&;MV4
zydJOj>-~B?->>)cwL)Tyw|T(B9-;CAG~Ci(m;e(R^SH@Eg*j|Plxt%Id)H2#x%%*o
zE8!Vpi2*lP0;I_&TpRgA#j9IRGTbHBRABpJ2}f(vWFJ?f-+CFg;ZVnKl<+!<+y1a3
zibY}0DHd^h|5&K1Tkr9t`m`9Ry_m#ZT6il;FOU$(R}XO)n2~(ZaD)x|Ryo)hN$G8U
zz--HnMo2N9Uf}JWrdWKMqZ?~W^;wEc;B!A$Qz3#876rlF_78|lF1KkSue~GUs(*9{
z@oSvJS)&Rm?5M!@gqat;pi9l`Qn^OB%d_!^g)#<f$Bn}-uPpNmsaI(cp2p0=wtk&C
zkumr<tH^PF2uekGFYB>(@R}6Pa0QR>3DrOI9#idYA+F>XHjKn-9^LboVTm^&^>~|i
zjIqKVJI@yC^9QnKaw1r2c9M_{NJO;w>*rNHr5=W1ypSSgc8~nzX6-P3JmS-0#D_&>
zpJQLp>WR9xkNZgtw+Mkd&GFc-n0{NcMZ0fnhHaie-7Nw@g@BWKerG+%@&O4W51I&@
zIpyz1^mgH;#5HJ(GW78t=A(zP)p+?jiMyK-f=l6+`R!(h>Nlk#*PaBf!brdAJROVx
zBWeQ=HKY6*%3lT+k{Y1!2Nbm4bF-PsH%rrd|I;xpKxHh2&Djp4b~q~4YxxrFrHHxG
zYOBpd^NcW%#DOE**N-pcVr>k254-g)Aa=9NM}$X)sQfxtN~63U&P;4b+VO{SRx6xj
z2vsX^>x$usFCpS0BabQ0e{8~vFir3_bCUhMJ;BaVKqK(T)=?J|Mcq_eI-Cgj+NJLc
z$UQvBul_o<bKZ^XMS!*&7kgA(wPA;(oFe)r9ULUGA}P~6-ytNx>dfL_N*q&!A+ILj
z@B2ed9eWnYK%uej?{&3j7$=tY*1jwPj`0$gPQ$Nb?Cc$vFlTmLih^1_^?;I1^=zw$
z4z%}(xs|sNncaA8F_U`8B5dP&jB(`tEms(y(CUH%cCo2GOol9WOW8wew8FuAXBp42
zt;f@=xsd(HEvUR?FitM0HDeL)yPHydav78EJl}7N@O*={w$xte|AiFi{rC~<&>irj
zCg@7=)tSc&t?p+bQ2ZqsoNTSc4Rp>VuYD!r8<<eN(P~Ibfw-Xa(_8KYP~WqZ4k(|~
zLQiKb8mZVyy~8hfRIAQD@Zj6bqKrJ(50V;_h&`J7eAHkXqezt@Veyj<wvMJaHha6S
zNEz=&*O|MsGMX_zOZX0nD}f|cu#iB*zi5?*R6X?7<ln&;kkyU{FROn!XHaUawsTYB
z{EIJj21dP^+D_qgMK6(46<9*d4KexUl+gCbTkTwf@g0Gy$S2z=sH68qi(8L>ULEp9
zSbhJ7qNuG&Wf;38P}4lxABS1AhrWUjy)q*-G{T+dV|FiY7cx*y@WQP`@cfYF-Nj$#
zHBFgD`bvv0`i0Rz*_6RY$%Eo3S@zQaEtnzlZtL=*mi(cUw06U%_|+kvD`Z1g?dCCK
z+QSk`XNM^t-rcoRRfOPiX@ye&f74awq$6w0(al_b^z5bP;!lHmfLZL~m#X{0=op8D
zY;|{JmaZID=aILkK39aqz9LYW@%>`wlP9h~F={-$MR<$|W;Sr;h2QGtroVHy=(K&m
z#qjYqD7QtVkbseGCOr+R%=))&PSMd`!!@wMkr9jN=;@ZdX{*)dX>14uXvACKq%T}f
z63yINaO*#^hT9ucseo~~^L|iHGP0KWG(F8JNdIdHQohLxV-q+`Dt1>Mo{Mw#^}GPB
z{;aln(2_^%s_B2jbmDmhe^kGhvL2Rg6jZN^K-TnsX^pEcsx?S+S56<{Leo6c)9#x_
z!4@o>HAE@SV5N$6gMa666|E|peig&;F{~Yb6#aFK2Dnk;6OC{QSU&WVahjDLX4ZGm
zd4j~J#!4lXm|VU}k@z%S_;B8}$`XXs3k1l708EW~6HblT4&Yzxh(_}}T3zRn-}mck
zdRTvWM~^a~uWa;sV|Kii+?088*j#nkyw<@(cADOLyXn-BbdQ(*@0vcxuC1#JsB&W4
zX+3+t3aM2YqWs-Z?h2C#7kRtbCkj2##<vteYl#y_z*=ATQY|5JJxIyhKQ$pR%AF|h
zlTRg{8{aTO2-{V$tIpMe{_MYk2rrN=S@r{ikeV}{dq5Smn4k>CLB%}{_JU~W+H|<B
z_`VbY9T<C_Y>(^w@L;S01Aa>Z>Q+20>ian}nEwEw8@fH`UX*DWSY(}lX#+yiSxdX~
z2u%3dgO_h;f-W-(m$OI(NwaG0Qj~)C{}@YVVAC39vwC#`r7XhOC-3R%(p4f_LcT9k
z7N*5~U`Ky^8V8g=<MY1*oEXP;M0Z9PE?~oSxoAm#2a4YF4*TVc(Fclc8xqm?Ja(xe
z`#kwEa_wWU#8T9;#k!y(Ka;$+IM|UOb{y~+X+)p<+*cn2hzyrHMI@-ST!Y-9t*(DK
zDfguSnzTvHoGKE^gZ`FYs2A}jMxKLfgDkqh0`M!2m-;_1-cTw-WMPWblss-I4Obzq
zHQBBV_U}oKfA(s+6}<D#ubudiM^}|tZNAg$F*482-QG8k-FR=*5z66=F39vZm`}>p
zEvQuYjzlXzBnec8=#NcAT$}}HZcbfG$n$-*FPU?=6?h=*Js0FuVOSL+=b{d_@ZHhv
z&r_|1Ci-5<Ir}>Qi80}>_W5BHVcYA1aJRXF%k+-x(oo-2o=t1rLb0=E%}CLo4mFJu
zvnXL!kn${p%fqP^_MJWG!CcU5_ZQ{8!dE$Q76`hRp6BXBE166ptRd)Cx*xZu$aQKw
z`K7zQxq$uex$NW%sH%Gn;L@B7@lh0-Sa&p`|Bp5xkJq;ze*JFU4<m{#?@e!`wQspH
z*|5KO#rrI4GwYd)@Bgs&Ca8Z_Gys$;n4xUB*;%B%etG=|5G9>&+q5<GbR;0Z{R7@2
zR25LZlG|Tl(O&-7g4l@p)c((3jdkxa)@3^$8MV3tVIlEw0O)7>SImbja4E5c+HBR%
zxR!8NmeYKPRL+o@%C_+m2Wp0mMHW4&N1xX%+$mtJB{4Pj#?6jGb_cc2Y?fs^KiM3y
zKm@(b3yQc9<*i@P-;Fk7-eYRmFipuNfvcE&M>|&65$O1%O+s6h`2&0;`SF~iP7gf`
zigrzp9WHFJVr>hWia3x@d92Imd^`ZkOWIJ3iuP-0y5HqeWD9`NpP^p?!a-F(1a~UB
zX!HZj0s}JyI+V#qlHAq+w=?(VEH>K@eU;u)yJ_uk?t-~cM^araGvG=@!PdM2W8Y=R
zZ%Ds6^^Tjt8*$DB#=*V|n2^27@C8e4Sa{Nq3y`piVa&L`r#IO-{}NEE+ID~#q?J#1
ziG+TYabBf^s!}7Wlp@ucus6@$9Um^44NsjRU-$N3sGo*ZvUbvX;N2xQG=>R%{2#ac
z_~D7zgV{2Edcj{#bHm}e<-v)5(*0re_7~H>ZC<<J0p(@z$HBylO<pXfGndx*@o@c%
zQStvkV`=F4YVgv-vio^K@iB#9p<&6(gh82K&@3^SxGWRhO7NrgmVGsB2kc9hc>`7&
zk0ihj$%A4dFjiIV;{CW+vif_2HwrwoFwF~&b?vS{{XYMfAB<I0Ycy-*Rpq_%`wdTJ
zY}B@<dYjEurTP)eIRLFu<=9%<2y=1!*e&s>NBeZ7xZ}GXJi=&V1OkwV;>(=i5DG3j
z8Fh7H*UeStO@K=Eyl65N!518#7$91m_VPNr#)s-Ff-A52oUiX#ML6xz-Os4mUQ>eh
zsP&k5cxEg{rF{HZf;NPieU4wd8#F_F=h0hIP@ciy);)y-qGXTd7~zav8~HSj;r=!<
z8Wlzff;8v%PfMAk6l1$@RgHfpbMO`(A-8&hCEZy1y_RiR;n(}Qvq6I@1g~>Q3l8Lv
zH1O1o4Tk|u<3;JFj6Z{MngPYnMR_e+S(APXUP>E1TI)T|FD1?bulAP|3u4$Q1AdZ#
zUm9#uvif$`&X^ud%uu&dl_lZwf&<s(xn0mCR(Rs8uvC49oz97hG;Q;rTyE#{ss2Nn
zq;mfbJA5Lgav7w<Npm)$QJ{?F19ngmLWjpcD7cLAo>u%2lK6p;7=CwaToK$!8vE|!
z63}<0QMjKh{HwG}MSmX7&`%*`yt@zQ7kYk9TS{)&eAwJBb{yu=t0T3vWNNuQc|m40
zCy41Q;kPSYSrAoLb#;rtGYX=<i%t^x``;>ZWYCEW1U=~um1}U?uL&Y&E_-@V^s`}Q
zy-Q`V)h~KUdJFn>pfkxHON@UKYTAdoe*gh)%KbXpyPtP3dX+viKvJYuPAs4+rYvEx
zCXqr*o5-b|-l^h}J+jdcHZbtD)jPLQum{gND2?P>FxUX32`B^Onp)M{e#+v}4Bmc&
z{{gxFZ1r?Zl}WH|un6${6q)w7%CA3^zOl=pV55hAgM}HCpb_{Z6xiTgYs-hd2$36k
z9-7PIj$76&)U4lu71SMkfhg52h!$)YZx?R`pi{SXD&Y;C`@RxxhcAd^Yh`|X)u(iW
z5)1<E=Q#=+`GI^1F+(jkXwq|+z-Ie#1!8G7>YB=7MWiS}>e$=nJG;)R8wCgEPO=))
z$jeXj_8uI_9VzT?G=)@bgLcB3ZEpeI9Zb;6&~_p1(^aJM_c8y0BF{u2m!3VyOm4Me
zlzgdd7v9%%%TK@~O1X8}aDgbE6TAP<_dj$s=N986tDs&VceKFyxDL#KN)R^%09o}j
z;y`a?&VygGo?$x7@M09bSHrkbGPr3r){!5-yk6_H;Cn3c5_quL#-M6W`xt3rOCtXH
z{H#a)^wZ{aCg|qc%*P9p0Mi+%v8Y@w@;Ovt&v#Z@1A}DSHT_^<!}l~Vn~MV7w1p@_
z!t%kbI<!i;(2VtN2s$8><Y@pUh1ma1VUhmct-Y#$A0x7>Ye;8o^`1`&gfaGxe&Hjj
zTtBG}tj84rxS+R++{>f&Zc7}|Ej{c0z6_98Qvf6Hojv}!o^hV|$9jqE6vi*L6exS2
z9WZ=JXCpfc<LBk3AP5gZ$G3cIx^V@28SCV)DXnDspS|{Lcx@OE2Kjmb8A^T49K|o$
zsEOhfQ7GPf?N(kF6l$XqHB$%H-|Y=3_7qEc5IglWQfga@lTp!A2##mGtGLfE^|%%9
zXlAcS4%9(JaNLECXMo|Hi&2Uv@UDf9oWRR&NiWV`0h)0%UovhyAKXM*Wb}Mr-{N4o
ze!{+ZpS+;3`b~SKVbyQKX=g`^I{3(-2@w(;*nX`12kj_jAbPoMzu!ymahT<nHGSVn
zUjgc+y~(S0HYWJ*;Ts-wr`W%4b<Ku(4ParrL%5N<fD9vmDdo=8qD{Lz7M6mBl|=ds
zz~(hR<btyP7-m=gh&H8<`_cx#3e{SdL@NFbqTvrQl?yZ1=~!K2!QO*S7U<*BvfuE3
zHq4I9j?`_AUMcr8mXf|}gZY{F?4?|({49cqmwQGM=l7DrO@_Xtu#q35LfIQKs`Fyo
zjB!;RE|-A9uFN|)xfg8~_Dcdz_i%ip&(XC%I<yL0mqeUQ{dw8(w^2Nt4R5N`I)wN%
zPT^!;n#?4e)V&-8^@)nADNP`Y=a8=kbTTCC5A~;Oq&CmHf}wq};m7Os_=9=*cb~Yb
zp3FIwyd}qpd;`}ZjkBUSBn7^oSvzY^h3w5Q|E8XAo}CxQ8pP%lD@0Rvx)P%O^_*){
zs;&e%+-do(Z}l&QJek$#2zy53+_#7csdFR_TOZUhP8XadznFwY@#*efb}z$&lvmn6
z{ENizyu5!r^thWGdrM-qzzaO*P)K*qZ^9g)Ein6KN*Y8qQ2TCZ2ZUfj<H@SMegpk^
zR}QDxl5RkXPo>&aB*}#RihAjv`25J6Gb*9F(y&)OZTG}3`xxW`&VM_=Dxp#{)jXz^
zdf@`Af!k=-RwUUy^tXU=A(sNh(1i%-fs=M~=}H#!-3Ci2uvLsuG=;R9eCZ+P($$N+
z%~}O3*4tFoMI&BF(2Y&NJe<MDPL(&fFZG>{pazDqtyw8Y@M+r?6wyRpMzMAc*$N1a
z)Z|vLSK6E5Bv+t*k-W!x7KQ2IN3dy0P95}^>Uoht64Wqb_JO|)%@RGbs9&hde)u^3
zr<?Hioar9=#eydZ;Q50q6aPY2zfsIOs$|IK9aUGz1+j+@I9Z`xyR<}5pWJ=4O;bR{
z6%>yQM_LzvQW0>h7VQ{e%V`De;3>CFW83IS3t#rtxrk9yejjjfW`Fgqi$qETY`iuX
z>fMxC-S%Q*UwO$vDWLF-A4+dMplRnclrZ-xN<+1zzRFxp>08h76hNh4?9s3CT=eQ&
z%ha8oB+<F+<wu9NdVV!-58XNDA(hZ)6D>FP3VNLC-BUyX7c$syU|=?}!!pe(9riqD
zI}X0Wcv76Kk%f%>w~XaY^Wlrl6NX`eZr*Gy@2f$_TH>m4y#PyG>Zfv86>d^bV=(0=
zrBrt{3$?b;jt?*}Bagbld^B^CXYw2F8#FS(N{0u4R1@*NOC4a|jaAvLk3dbYT)Rd=
ze}}eirHeBuKmS=7t(nI9I>Y-zJ!EH6o}lNHX6{oWGBrc;a7z2Fa}8oCK?8Cp@tsk|
zc@ZB0a(?(nN1!huHq!zg@Ie+wdKNadT%xM1nirAvv41(k(I!DcDQvE9-)U90)^zXG
zvs}lZ+>qCP4R#!Qm8!EnlL?hDUPBplgP-%vpEFqUR;Hs)r}~6w)f3SJfIFqHT`7(0
zs|yKF`D7<XF&bU-_(NJ{az^}75#|SsO{fS*6W&ygLy~eQRd#oh{nMmONa3)^%9AY~
z2BQ)G(EW#Xc&{=w%R)vj8=RtBeJ^me^csZTjy^BdEruwah<Nct6mwsP*JpT(jm|+l
zjO!X%@W$%t2y3pM7CYbj%{<_4z}~@%<pC&Q7#@Out#ZAy*>bmfbH?E*?}TRHlUDui
z;(Zk>fI)|uN>*wc2T%cZ+Y4*nepO$Y%5W^HFKSO~PaBMPI%BcKH$dE5xu+!fTZV<A
z+sw73Ox0l-RdfW#aoZ|4XaG8-SZbgpY+b3{5E|cR=251yb9jd2daCIMT?XaVb(ELV
z$04V$Uak(RTyB5zVB_0C;P4+kT6xN|uE-^Kh@jCI5oxSr>u#bRV$Wo8q1DR2QZZU3
zsjYT7ZLgb@ym>Cng%qp~sO`;b%|X99xck-m$g-5-Je{POji$l^W}ash?-k`I8Eife
zFwKR{ynZ}!=O_ft<*6g{(ZJf{fI|&^!MlLL&zSl{|JFT1Bze<S2ILI&Pi~rVBm4T&
zuwsyNs8D-&GsM%OsFx0^SDmlHijHc&*Z&Xq#0<OSziNzeN~QTmoc%+obp{!jb|<jZ
z*97g9S{li7ujcHWA}E_Ky9yNHI>2c3CCoq#AnO_OVaw51TD0M=!v7q{a^6q4?e`!h
zU^xHc<e%O0(64&`e*4Q;Y|VqBRNUTz9)XKi_hf#EO`)AU*08!l55UtusYrgraMXN`
zg3J94-?w%O?pEhxSW^fg>PLMc_i((I0skS;ueWLdR@1B5e85o0*zF8FzZ`R#?x+PY
z3Bc0&WCgrUV)|Xl%nGfH&0a)b%vY5oqs_)qdd8p)adPfmf{C_8lsC@=E=j?qFL~>p
z=@~0gbmMl)7w8x05{REvk0@O{%yE}_y!gY@SjP7jIiYozw+V4p|3nc%nVfl=TlWNu
zeS`?%I$3uN7q{;DU@+85RB?KD3BufV*$5~<4B2Qeh&xL)LFx078(&IrkR?&FY%Q;<
zpLJBpk)OI^`GlIhS9cV5*5KmQT_zhF8<QD+EcoLCAMud$y)pNvv(=vEXgr(#l7c@n
z12&c?N<VhDKk!^`#9yja?Ic$`liv(7!|>tOWPhf-afzI8<dy7B_vtp?kY;JPN*eR=
zKjPz!a|m32mo6RmhCmCAP6ujoM$@ls=_6@#U;?8p>l{~?=p|!n<?#M7va|i6eVWZA
z$M1eIdGa}f(9<doF%+rexjtNVHr%rT8>fbl{pKJsU$v6k#s0(r4vAOyo;@(BvPtH7
zE15}!RBOcFU*daF^XBQV6|QiCZ9-S=jexV!a}U}2nc8t_?n>V;(X{`yzjgN4s}?_y
z`{MD^#p8KssdLJxPzq)m{V$wP(`J;NIb&rQ#f|TbaQ>(ad6Pi?eKquyzwYN6X#=t#
zSO0nD!@zCky~>C$6hSkbzM_6vevsl+Z&eJT3UVjG=WJJK`BQTr(}&1C5njSRElUD;
zMk48RMhS<gxS-v-Pb)(2ZY-}`-rF>7j<G{~$h+PyMs>1<azR%Q+9JjM<~r%|R|#cO
z(cX{e&$&$gIOa-fp0w;r#ao|{?*M&oJU~^9@B%|>1uL{JzJ8&^OZmc-vwp8v4vs69
z{t$ijLOss35>ew+u2@|6Iu~1Tt3Qt4&?PZaynM_lJ3qRq23G+edH21z@A-siv_qBc
zY7$YyNJICkwN^d-=N+n>fQS7fP*C8T$~!`Hhe-z$H{AoR!~tC6%Oa)5l9<yqq7R=X
ziuXtxybks<*EUV)mdKnFY1z};o)z9X8=0+3n~5bV-v<Z%#Q9Yb*ON2jiU4Kl&aZuw
z{F{QNwZ!Mu4CM;j;*n4CQyd_WZ#6DY#v3)uhCj{_r?QL#x${-Bzo>TiO+`EzT6O9#
z$_FjhTt_JQi4n^JFtl2VP~35Nh8<?6deXfOgA=%sGn^Xgq|h85wWT{~^Em<;N!L=m
z+{JBc&4#tE8XWFd*Xn)mUo3|_*0oK~EO|Y)W%&WB{PrU(wHM}EA(LR3i?|VZFID)N
zqp7t5{84x&=vQfHImhD62M?^Kj+_JcWJJD(2RtL@>^qe!_xmU6u4&n>Z28~4a>m)m
z{VP{ue^Yx`UV5z(=e3fFS1)ggr>nIjcIC?aa_9QT&VV!Y(CJ=v6OK1T!Y%y4D-fG*
z%aFfQYt9;#8bCC;a!Ed1+SUEm#zefiVO9=!^!tYqWA>+#;fMIAl4hBSTFDU*AN%Al
z-h-oFAUpdoDjjKLd@jcJ)u;KpCpSu8{|Wjyc=QOel~CYTlAO$zoO!cEl(E0#50$?o
zG7RssF%R1eyKaW|as6kU4Puo3Zcc6D*tlHZ8;*Y)&g+!zNJ2xaw^h`DXD;+YLpr>5
z=k?HX^xB#b=G>0KC$_}N5;jeh9_D%IuQ9m38Dzu8{MZEh<b0(52g6UO{}ROi0bK8~
A>;M1&

diff --git a/SPI/db/SPI_test.tiscmp.slow_1200mv_85c.ddb b/SPI/db/SPI_test.tiscmp.slow_1200mv_85c.ddb
deleted file mode 100644
index 6d9c4251772fe2d7e5bb4bbfc6aefe282bc1053a..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 124973
zcmV*1KzP3t000233jqKC0001M0BZm=00011WpZ<AZ*CwlI4&_RFd#y8X>4R5HZnCJ
zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*J(w6aWAK000c+0RR91001ut0000000000
z001rM0RR91004La>|F`GP1W~5&oh=GDp4xQ(B$r`l(8N%G-#Ge0|}*perXQxk|{|8
zqLA`8WXxDnDUu{gel+Npq){Y_GQRs?>zupp-P76b_;}CWALpHO_u6aiwZCiF`|NY>
zRn&2ul5muU155WT$2ktp_OKXOAFw`PeV}0ZKo%Md%YqjjXG`(h>(yuk8Qlqo@t-#o
zqz=B&ampY;D8&2Fl5qI%Jb?rsh&t8CJLUFp_%C1H%U^MvvcCQHmAiC5Q@>Az-62SA
zi29?E`md3?%BXW2qt5jteY}sP)x$=~vpYDB0!9?)H;p*|W*kv_qXn-U2h8XWLLr^s
zF^;#BhBM|HcL);c14%xaDugppdl@CaDe%w-;+<~fU1g+JC1JvuB!6e*y;0zy55&9D
z$Xk?}3uodD2(|Qqs9zc-Pcc##k}%;+l7|_2%L+X7fq2iN3gJxDO-9L01RnZ8yxokv
zL#VlMCf;2}-sMJW3qc}%Ajy9idB+;5_mD8*Op@0bd9M?A=mYT%G4c+k=E9kH4;Xo;
z8L6X<)LKG+^nv>AP8GtLsDq7?ZxMLt1MxPa3gJxD^No^W3e^<iZLFciGU0fmWV2SM
z7$q+=N;doRokqz)qhxdTKGP`q2_w}UDZP!nmm77a+gecsS+Imy9r;AmrAEn>jJ*Ag
zRJ`F<3-vqG*l!J$5o(BcoRRl*BkxH@>XYqF>$*H+zvk7~n;lH6pmIfYYSDOGq3igr
zWTqP>Up3CeJJZN(p1XHybc)%?>~%LAwVGkns+Ezqm=4IO=V)P$QqBGDHjY<a;i4jB
z!LF|Xv#$j|Hm*ghwKw@>wsE{>`<iXkdYnn;X&p@dTviJ-p>dQ^=jKLlH{)!!pgGDK
z8v8A69MM}wG|WDEr4eWIc&8Zqy~Zecc}J548yV3sXR}+3-u~KcrhfN<)2Z%3o^yk7
zM8Im`HAl)4BN|%|m?WEVe%mP7Y~LKC70gk#(C8a5AOluc7;!fH^E_j}&5V-Gdj;)`
zyl)u$)khh5+W4`KbBtmN@;d!0mw0QyvfzM%CGnmEQWe3`dExFmbh(`*57uf$)Z%m@
zoT=ZNJA}jA^8^z2q1HIlc+u(dd`F~?1_e{UTY;DBNV+Tu%xWSf-Vh+E_DdqR!iCC9
zRP%jzUE_%K>l~7-@0WDmY#i@TX5WA=R5VHQd@WX_)$I_#s@D<qBf1dIBzY}JR<j{d
z^{WCBRNXkDc3R2AdxDYoTO;pzM&1B8hB_kZ_W~oYx!>coyd?QWqxD-;GvQ1)>-#0@
zJfq|iTCIrp5hEJre3fIgLNjB(?-_aZ{Sq{l=|VWuh&E~^6ZH!tRyDOcQ#TjVg>WXx
zi?#hyQ~ijD_XjO6QRz&w#B*P*&cxG2E14wRq~#^5ez%sW=3SSowSGlfH6$UznW#S*
zZKU^S5_Ae(2xpSqN{a?j^?Pn4=rk=>#M?tFnRv~9brUrc&LnxWRx(lbJFX<i+%Lea
znSV*LIgak8F0^O8w^LK|n(!{;cwg7*Op?vx9Y)QBGmW=`(PQs5_NyN+Nv^MzOiJv~
z&d$VJ#>i{#cZwEC>i1@&KR;&VHTQd=QRi01e(M|iHQTox4NW)`lKT8i)Q$p={NY2R
zjdmGzzQs7+UyQu9w7jGp5DoFWS}U2x`<|AUB%AGfw$Z*PYIUZ5|Etw1zJ7l+^8Tf@
z5lQ}DJ6_W252Iu=8tt`ckmRSe{gPHMYq26K49H;LOSC#uzdvYsNwR)KL_L-+gfmHg
z+&JEbN%TvSi)ba25@U>5okPupGfDoyh}D0R(3!gV)X2M(nh9spYK2iN^N9Y>*zZ$D
ztj1`0Nvp6{D;j{_bBMRDmY1l%k`V2=m{w=vovD>fg7jJuRlnvZ$@R2eN7QO0M0+;(
zTi%Fs7j3`P&HLJHMq1S}^5z(kyh@8CN!~#UXwUlb5-;wG`QKSzY1HZ><B0VAl8WZ1
z;oG!Yk<R+3mLz$b)(S+`zv)EO7IdLK_b~d#Zllgqv=XUOj}?t~xmGgquGfy2ct>h^
ziE6$R`B-ax>Q|qENpf?oZxB`g?3g5*(P(KL(Oo1&I8(px8nL=a;Gqx1+e_OowbHM0
ziFdD-m#C+c5aCQz^Aqj<S{o6s{*HjCrH#DrYIP<RZ`JY=b(J<!h-!WYv`*VE>HI1Q
z5za)tN82w^O9D07{b#*>Ns0e6d%Lz@(yGkZaCo1xW<)9;Eyjm)EAMukpO-34fV$}e
zC$z1^g5}`#fLghTI>g&%S~$1v?n~;8g7;<}XNM%<(>6|MWt6vKgqKeDE)Iux<n0TO
zbh&m)yn`TA%4HREy1LC}OvSge<hSkm2sYXyNfzILmG`Q*3A|f4UL5ll_nFA%@KCp2
z-u2HJhtEV#a2{}V-p}!3g*Y$pI-}&L1<AW3yo7V#TbxkyJ?-nkb8n!?BU;^z$C+&8
zDgl3f6GrrxBsu%MaBhXD1^GJ*?0ac#C$ypXYs>!$qxDOX$jEu-IDWikB<giSzY@{8
zSEwMZsImrKXwTxVi)_J<wLDZgLC{L(6|)L&edMo7LfkWNL9F;rkSyD%gUdsI<bK6>
zsAQ__XC%ctUm$#xb^c7yN|yYpK$S=IsUTVYAl}2u7L;X)+~<lPrBCaPT3sTw7C+u9
z@XA`j<4~^1HWJ@}J)Uccmmdg{Wt^`NTFavsS3$B?BLvBEzdZ!WGOy^da=$kVyt2;y
zgnosl@In7pC$)lQP9&3HjjZ!zfhzZ_e|MTB*P;vU`4OR3_UFDrzd}>^&`GF}d0Prp
z**B^hB~KQ3<qu7S3fV@l7$r9ocx5E32~_#R5<#+TBhjDb@rqt2V|9`sS=OqitcdVg
z{{}2Id6F*Nv)r#3DMC~DAg&5zYL1|@EV;DMT#hoawfM<#-Z4r(O^__}J|j@&58_>n
zjPoR+UzxYITp@fODe%fZslQ(!0s8v|qBeh@U)RZN23XW{d4twSxYocjX;nD);yqX3
zQVCjZjkF}{mUZFq2B?Fjh*Yq}=Lf>M8;Z|Z4wukCUXA?UZCG90@p>mJNgn=2IQKN@
z7Z^eQFOhz!lRK|=Lf;pk3$Ki$<YOY$#QWS>o_D*Xb7|vN!mQ1_&NMEP+;n$1x7wcT
zB53fqp$bpj#cNen(rWC-yjB~f5#3Oq=M}xoal|Kau;vsB+=y_6)+VI$uqk}Mze{NR
z_PIO?6h*w*+82_LibrqwLJsCTodm}@t%SD*hWiD8QC<UoyNLJ6e<iJkJniX>!X0O?
zL?x}rI?xIV>3sZI-e>*a4$XO-Daweqe}5h;$~i>6<VtV6qGX~{EQnP4bX8lv-zroc
zIg2A9dy?eQi+Qa!NoZ7p8ze~__wiyOQe@8&-!|m$aZtph(R{yj$46RqU86&Ty59GE
zIQPA1gvxiC=JBpA<AgBhKr0y8Z_?;${>4kCS%S2x2=<Nf%J;B;yu<TWh$u_Eb3fw8
zyG`o%j>fzNX+*?3d^XQ3N7)W|%tOei=ezIbCCk16>6zmtE07d%AD6<Fv|8~#uNCDS
zqJA$(CW&-z+l1GO-q#@B8Bg+(WuI&T6TkmTsDxla%HGs3KHrZOc|KYw;7s-<t^S2&
zeT-MWx~>C1BI2P|`W#EG7JjH3FYz`Oe1oElc*j1(^TM6GP`VA~wW=DS5$_Dx&xy^0
zWI+;eHSFia=D`!GI&yv!Uf(I5^-tLQ#-7}7RlqqL^0MbqGHz#n$meHy&G0MSY{WW~
zC6~(KcZ&YzS|X1a`||2beAiT71BV2Um3alnabzmZi=N)H<Z|xb=8mu@L)6i6RzXD!
zD_W}%0{;rUv_>N8UO{K7rL(A|_z7lMfmf#fB~axN-A-M&XPLLWK&6?ED#a&pG)ody
ze2-C<+?$$d&z%IF<t9MnyhR=n<sg~M`@BZrl}9A5pJkmXZ+epCekm3`s*HwyH;;5|
zL>KN^=7j*|imcU7#u42oP-Wh3oLqH(QoL)EwYpQ_l|P6(Co)!I7L~QSTM#DmzF-`Y
z{=4BMa0Ojx&*J{1eAjlXz$2pp!Oi)k>{sU9ytM+a&<%X(C|3xd#at(l;6oF+Lij97
zmPfR}s8usTl8n_Zfmhzacu%0pSY0hhmVHC?E16fkBa(R+3H{1`)lcYG{vhs}$|L$-
zkSwDizP%&!&XKzkK8tVQ$dYRbZRHPx<O<=l_>@-0%AB9|Z?lki@og3v=jznVJ<I*J
z6Oa^|!iQgk3fW`l3cPZ^;=YRPIn@No@(1x98`*-t82f!zpvwJj7rK(sIA7qEM>Is>
zm9-ix@XDSeZg0r_!iEi(&E$Tk82dFxsOWWqAow8i%3Adln#)u%_T}-4&wpjC?h+&u
zFU{yR8)b)f?7pEMtbqX)y0;=~cfg8AOAzJ!xO9K+F(sxfFXAp~<-3?u#m3SnaWx_l
z9sO<|X*IrKc4&K4XWHE&8Ml1IYX!Qwg?I-Fyfj|oZK~xZs<@7oZS*QNbI&qWw2|y}
zp9`yN+Jzv2pU{PSrp!PiYSK14G=I+}^(H9KyRpSrrS|7GDmHC-xkh-~0b03BcjC>3
zJ(=cvuCMp=QnWs3<=(0w6_3E@MV#m1e3Gz)Bi><i_J^+kF5uOa`v&p%W#XNOd<P`k
z=+u=(LTh37qe>&xir@Rfz8`zbuV17tI0>H)PhSo?yO_fEDiqTBp^I?5Z6f1^Z<>*C
zY~(bq=7eH9HWYKjyXDfX&{t8dWE-`=E-&{C=oiF5zwo^u_i-Zf;xVcx-|w$s=Q9{s
zw3PY@_hjCW=nQBGTN<~F!x;P{!T@(ILg)~7o-n|f)OIVxCt@&I+AeUZ9xuf=@Cr`r
z@xox-0=&C;orP|2|G8?Up?mrpG>+|x!rt|#$V*6e+*TyC4!jPkpc>u+aW_8+t83>R
z2zQ72pR|5;L;G;<yTxZN2j2kIAWzii`~Ao5m%c#=_o3^sUn&Bu=oqwh<~HyKPveCs
zI~l7<=U--^&TS$({~UH!@RHAHb0GKZsP#{P(4ju@ycwX3eTG@`C+?%;eFvZV1-}wr
zS@G^Bh#&S<+*h7St2er4b6SCc+-*0a&OI&GYwU`s4elbTF<R{E-qJWCdU65&d|r%3
z@k{)&$I@6l4xkF*UqWzM*kk-3kH%gBE70`}zTcx<UXl;aycv0EL<?7;jp*3~M9eq5
z<ksi%HagXDF5)F)jKw~~yg=J-Zl&i})GzpzAXx|-2RujEz$7kDI-x;25C1zm^x<C8
z88X8venfp|=7p<sdU-O4_hA7^(w?Xv@8?G(Q;!Q~Deulu8^;-N4$PqtWl#g^gUh*A
zAj#J+Mx3vW!~)>_HLv1?i+G&Df8EVM;yrI6A4d@T!q(Z^7QEL%zqLpw<UiUSFU{G&
zNSn;A2YA0`Kxk7OQNM#a@);PAAu(<Tec*Z7yU|$#`mKr8#G5^r*BQpUp10Ai`@>-&
zk+>3x_iMNX5|XY!eYo-gpE(ryUxTLr8^8+QTm~a^<A@~ByBcGktN<f&JvK_6>&=q-
zEIMhQ_l(cWqLAo>8NP}TuYjbm#M&-Is5>0)kGNL=Dgk?}n4@ejXi+MwL8Ljv(GNV%
zoeyM%zTSOe#Or>Hh^6tq^1AMu<3z2a)tRVw?9B4gYD`h?>ejRN=a!4c!qJW+++KL|
zAk8>154y7kvZM4$0+ImZMnVIrf4W+c$AUw;Vo0kem-Ai+Q>5@XrrY@#Dbpem3R=0#
ze&YT67v!ZG7Ul(7_M>9X@s;@~gE<s2bqn$r6`~BDJw?|lNX72}#ug~hG@AU7T1^-)
zeDL4_3R1=28zH|!3YH#UqRxK)JY;iTSe(C+hj`1hRo?`RQHM1v;#^2DBU`i}|Kn5k
z<!&lUNJ8w_AaYD+O0=MJ^d=XnTU<0K&q79WaVD)s?amH;xtG=qO3s0m`B|_s2Qi?V
z-0$xK&X7T(7DVZ0ob?e(T0L?AwIZCsH{8dIMBTjnK)47wDFG41dD@yR&q<>cydYlu
zb=j!<D=j*ly+5~X)MLS2&!i^E|A!C@{Av@a9?oP!z!{Qotn_;of0>rvCWbhIL3ssv
zd$-45BXMs7;1irlUH77f;IS}O2~_-6MMM;w<ZRac73`M+0Bqz&Hwjqwb&=4=yDyLA
zE6NZg?WryMbI*Y_KYWH^fmRU0UgyZaVp(?RpFK?@yfPX?zTTHxDvIO@juYMfLEdDM
zL|!k#2xrhL`k)7?)dj2|w4=BDNHt@1tdLbevb!n(HWzSsml$Axak>RueGOisRQLE4
z(P$SzgP*vOdXInxtW@i`LL$7LRx~28VD#1-dA%qjDy=7xAE~LJ;pKLegaveVXJ@=d
z$=<I;(bNdf7T1!SA=O*uVp}XU`630&DWC9YMAH#au*Ky98W4>%ow`)dHz=C{-qF<;
z@_J~%g<AlP=!*wP%^Vsp-iFpEG++y2HIGJlQrFc=w*E;171j(wxpo|`IOvHC;0zhc
zD>x!^q@>~-$z~PQ>J<SEKz${ThIscBT>t|g@*XFk0Tt1L)Jw)98kDaf17k$F1*yqe
z9|fc`Ud8&$`SIef3dBm(U7-qfK1HBn4Hdj@B8(TjAiBy;WxUAiWi#pvvRU*70I4qs
z7KCgT{T7SL%MSplRrrcnf{k>gj3|e6K;Bd=XdcmX!ieB5^#k5UCkQ%66FX>R9uZQ{
z7|r`6Ox$PlBl0F3%Fi(4L?7BC@5~N--hfCEa5m=+F~{<6ETH7Nf>tmIGSUjqX02ZD
zz-tBT_5`pJ-$sKB94)9-P|yne`8*;c)$5n0H|W>hNx&9JHQT77U_pMGN8Z|Qzu@I=
zffZ5op*>P3ci`u4u;4OM+uSb-6Muh_W;&!`sV9sVR>*?4d;QXQq2I-Pzh>*>FEDt0
z;9u~oXhEI5eFm!HXIQM;Dey)EA1KIc?w33n<*2{=CO%oF+>V<`v%n<R!x^tLb%1EC
zZ2WJ9yy9KRf3t7_3kUxfk;sbtt{u9TckTVnbKfxXuEUYHjXd`|_+MU*cMW$du5$Rd
zh}$DC-@`bbUJ}(O+wF_*-Rq0j!K?8k^O~rA@iqK4FHM!B%IACWuKB;bG?iCH$!qV`
z^VFj5*!SblFTn17%pZ>8d|($>U)rtT>DDBAUB>18TjAyF{jSS$`s`379OF{AyY>7v
zuVFm(<y|>{8s+mc`D<Q&1y{~CR}O#8%jd8C+8i4hHAVy<m7a#Y1oJhIj3+1T>gFL?
zF47BH->Wa;*5tWu;<@&?c**f!<H)FAmCLVpzDK?dU!RF<zAyedp1%Cr^Y#37JTl{H
zlL?ve^y}9q9)0*W{53DruRfkOe!TJ2`?c}wmv7Hs^X=ogj)#|T!(S&VKT+Or7}j)=
z9L4kU*V%5QA5gMNQMW$JIR_;0r7r&=#p`s+N>P=#*hybH$HjCA8^L}|<Xce-;p!?l
z*20cNr9NK;BF9WP&vcwn4*t?2mX+@gsU10Hz<CDJ@C|V+I@)m_DV(Ux0TDi(OzKZ3
z6Ms1ui;kA;7)TeLOp`8y446Iasj0=xB-5mesXvmb!;^GL=lDoZU4J|Cyus`GOLh!J
zBY5g$n(R*gqSKQw)5)aq>1d|@Oz~jqZ;Kax+W6~bppl-5j*oCjbbKZ|JZ8|dn8AJ~
zpD_7SpA7UzHqzml-*H5`=xk)t#e^rx)QyjPN!K6o>1d{SAV1UfXOd~s#nhiE{<<3c
z<#>aCn))-vgULTL(I3U1&PLA|#vV_MrBmip23<_KE?KOTl}UK&eAkrEO#Wi>Pm{l7
zqCbiUoo$oFjK-jonF*gSp5-}{&PMV0wT>^@SRudGjXe{1CM%QtTBqlIhS-e9chf2J
z7lSUQT#%O}U$VYL|7Ww#mrOor>Ms-HGvR5nttp?;JgpmhJTi6uLhAyZ%uL{7vV+Nf
zh28Gk3~^+#t;x?!_8Vl#<+R>S)F()0qJEYMyOS=uF(m8rrr0#Cy-jv7$u#L{@(Gj7
zRC7o=@l1B^7z@5T0q-HUu-cJh2Atjfv#wBmGsKck&rJB4DW4^4catwY4r4z6<HO%y
zx@OJy?1m9rSjP=tvfXEFg10(C?mGnt%?FotW7idXjZIk6i9I^}WtWEf?1p2{nx}&|
zE*la&yU~E)=hX)Wk#7&kD+7mGLOJ*b1%3yr-EU6^AN+PmaQ0OLg2y)S_=-S17M;u@
zpsV+uoh&t!lLb8Rl@2XmQQ)KB@)0>hIs5YP+hck@sMdUU0VRZT@O_UxK!4)tPgt#X
z*}<t#EM^y+yWhnH{g8k20Xe(^{Q=KTIH)Fe2CSi;-o}g7<CWJRVqIzY2=>XyWvuI{
zXW6-v7P<XFby$2mg1AHY6Y}BcYe7`)o<lkK3>3$(__!a~rX@v#TaW#kef~?HD-$4t
z7lrkDBF+bsx%ZmkY<u+&S>TSL?De+qxH7R@U=9D>;YIe%hL2f=Tc2a+Y<%CPp<Vy+
z>8~Ux1Ml%_iZ?!#sS=Z?q2KQCkqNK2gyr`ry}rQ*ljJL9;6wXi@%rHZdOpF|#IDU_
z$9K@m#Ts*0p&YdBcAx%Cy3l)*oUq7cC<igaqNAB~K|ViS5Np3NB+G}f=Vy0}6F<9~
z_|UfL*3*tp^(4%ZCOcUB>EqA(ryduZUlPZ~#$V!j$;MyeerD&t#Qn_9e|kT&@u$bd
z&VPyHV&}ia^OB9f#Qm%!+>7G=(?{>$&&nJa&qAl%$x1&u#@$ER1@*uC+-sX+I$7T>
z1AU3V{6#(x%GnK<etSB}+C2|8A#fjJ_ZdxsWj8hm<~&wEIOqOz-95)_7+~~E*QADf
z4&`J65AHdB)~s^y#Bz0mT~9kU*!tUZTt1YC^P_Ih5%)vzzJJ>n&FYlB?7BJ+-_x+p
z_A4%fZ&Ang0AKzw%x-(7RPeINyI9SWi@GwgTVU1hdF%xm%EdNffqPh}$9=hT`;B0o
z+bm@@pL&+<d+c4;w%8VBp0{%Z3uP~7(|110Zpr?@rQsOR4)08RI{4?<A;H|^2Ly}e
z4RCcqdH5}^XU-bPD!uv!>#?K{oAuJ`g3Lyx`?4EqPiM!?evIW@HqoW+2cDzhP)qXn
z+9rQNOz=00bz?wX{Puncv+DmDz@GnlE_>*hK5WON=}}zJwmO-(*Y3A3ydMC?{m<ru
z53o|_9ThCv<!|==G|{#tPcI)l_JKffZJVQmA3Rda#Tw%XV^b~O-kRU|@P%!^@tNZG
z0O;u#f3(l88+$x>vPA>fOY`TkyW90))t;E);)#9vjSu(dar|n5J%8XsJe!r@&%$3G
z9jv&22P>6RT!@v1XI2OXC)N%=b#>`rxw$o68m{$yWkN?7)4K7Q@I=foCf?{afX#V)
zE~{L&4_n*X^B2U$Z||PQFtunNn{j8UV83twW)pwQ7RJ!ML;2v{F9m|jo;^C)Z>;AN
znCtv7OV#IJ{%inS``SEK`|duh)?J>BpgZ?FXvZ`Rv!Nx*1P2%0#k$^6LeTS@qmBul
z)2ViFeXFB_6CU)iPS$tHM#WbSV7p(M$L8MIhmGkV>hjxn2UzpF%LK1}^KW*}5>c0P
zwv-PJSXw*y&vB)L)xYp`L7(@-%;eX^SM<w)tiq4;S=l@LvLRz;Mspq7eO|vXYxlv?
z!E;;hVy({<eeloIDg;lOUOPDG($c}XZ>Q#ihzsi4yyHODXUALY&wu-{ts`bdb-{R_
zSMC6NrcvqOE!+QM&yN#zIquF1!6l^v!QY=Q9UOgZYQBWHpnbdFH;|Rjdy~Chq%UhQ
z{S85vhC{<FyJwl;jo0sD7yMVW(dWa<1}oinc5vColEGQeS9g60W%}h-8v9G(0j$+$
zZ?bwX^<g*m7W->?g%dn>e3{_Zw*RmX{uTS1x4LZbhp}e`Tb@`d_~DAv-Tv?y13(&H
zddoo8{F?b}@?U+}uwy*in(`9*5k5QHHGV%^Ke2pp=fJ<%J!_73WyUja_6Rm*O?KSM
z>O9<lJ$uacQOr=67kX}Dm5OHvZ@TXr_QiAiTpHHn`1`!JiM9T#c<{65zF`jx$%@7w
z+P&uBHEhPlzgfdmR<PSn{aujx*TAyD-Sy51-nX`7ut%F3E+54huu8>y?u6r;edQo_
z?q>_wuj~7=6<udXWnzD=uL-k~Wy=J=K5-Wt-&Mr=(VNQ!*EKjN*lS#=;Dv3y{s`++
zCRYh|pI<MS*Rf)-=`F$N7|`}>c74OvJbQrscHtN7rhER5_J_24j|#K*M;#sf?8}|3
zRkr8X<b%=x;G=nNG|kia{1)ecm)i_vgLltkU;N&Ob$&<8J-<H|W<OnDCirF3U2N=3
zaqJ(}DjU4B@j1cDkCqJ1yU`mv&SQS-Gh9bvK37X@47znB<<{_v1K2;y=dzV``>=mT
zdUFoSLTq~FEMQeD?_?`B&SKA9AM**M9oyz*_G|yS?1Ngv*@zoFK8!ugFKUTBW7p||
z@-Qaqt^bG(np7lMcl&$n#&7lt_S4;4U_Z!v{M#?Fk*(&jT`vq{1Il|?Q@mpvv6yus
zTu!erklkH;KFglghrP8tW<Tuj=~i#D&wl=kIR|F4L4nPJo_SBd%vQcPpLM%+I9vK?
zBo_b=K4%y8z)zu^5=q*RFblrBl07i2Sa8nMOWCW993dXsp1hnjth<?=RpkR#Z{zoZ
zo<A&jnf>qDd944S;q1mL-uQ6rc&O#zzJz>RJC+DOcwE)s^SiTxjb~Jj>Von9=}#M2
z*)3bxEqm9oCQpd(ax`7@1^eGSf3cbOeZra-_wY2`li&@PpU=}=tb3OO--Ga5U(@|q
z!xo>g;cbcq>(^h*hUSH%nBhEq{h*iG_D%EI?>7x+_i%GKm@qu-=w)oxcU#%WQx~yI
zJN@YLnQ|oQsf!2l!7pxK!TxtqvEaRzE@A7}in?rj=Oxx<;~X}7#4t8*!L+EJsLS5B
z?qgZiUSsc`--%_-^4^Uhe}Q30#cW&RTb0+Jg>IkAW{nTA33bIh(V*ActoZ}G*y{3g
z*iWx+iRyy9KV1D1dw=#Ewly$}ZGPI*GZjC>JaJX=f$W&g^VsX-`mn*%#n}92$=hts
zN4wahHgj0sc2Sp0UVMptTzoEj=0C&OkncQQQq5VVfA7y0-!Ydh9U5Y*E)a2fwB$lo
zz4AY-=g2v%Qq!#hF4Mn#iLJVME<0=9Fm^Wc_)^JPh-tr7MT1T2of53SFOQx2;|bCD
zLpz+&XCN!}$b2^P_`YmiU2*;SQQ!xbRb(6c`JAuWs-8c&dLj?XR7=cXbTLN$a@~Oy
ztkD}qgKutF!YVHI-r+%67)Lc<dzr0nH;*m)&v3T)^qBq7cRM|^jJ@#bR#tD!BKFC(
zUK~+8B&rMf*}Jt$1mAu8)Zn7`vx3#zih1d{ZW~y*?iSW*&^q?m${(UOLS63pqdRLk
zY&?6eO&1ob;O%Fjzxd%oF|ES|-z%vM$NYJn>iquk<8^ZWZdd27diT5gHvfXmEpV)w
zP$JlH!>Pf~>lF#sek`UZV%m4$09N(qxolgFKFpaL^E1SA#p;dhg@@q<!8Kp8dyn>V
z>z`0B{Ma^p_DU$HEcCS!4yuWrV{@Z!420)@H<k#VTeNDh+kc7#UmYvzS-Q>uwq*QV
z_Q#qKyR?U>=YUo}u$z`|VN;ub&HlW}(=!!6LwyF{S~Pg$rjvt>tLL#X&&PZo=bWQ1
z{((Jt(^htKldsujKZ|ot%g63#Prfpqty$lNU3Ri(Ths;Z>o<1HJ-aS?w9eDT2GzN1
z{(W`sySlGyKd5%^18V+QJlMEO)!?tavV!yG#c)Ag2HgBT`?vX4cEi12vgf`Kb$R20
z``N6E$FpBv?!qcB8}0gFvVKN*2CJ6{Hom-Su<g59!T<i?>GCBIXASp$1oqtV_Xn;T
z(j#zEkvjt&KI<C5wa9Tf{(C-GboBNp=5%ewI=miYzm0FmzAE;Jt7~wa{~pEa4&FY?
zwx>d@+_15%<EIa^-YrJ5tX8?un}^$rr}t;2o6KcBU(IC$j`Ms5%;4^;Ui#`w?DH#D
zuv$+HWA!dr5{*wBXSe5iu`?$>&$ivugH8YM(*o_?Z6Q{)!z=8MA&;=8M~z||Tju7B
zzs<Yv(v5v=6aTeA$M^mBv|fP!_Z9!Q*-?W=1a9oRGSL2+X9H)qS?c0>!@W2{z~=24
zfxjwm2vl7*De!8&buMjAbtm-Ja(xVTGW6H^HSZnQmF1eUvo8*@uiG|Y{V#sl9eaI)
z9hOJzFtB`x?Ye6$yWppX*l{P1WXE!Lcz){uc1)A`Y{!5;teoS`5oCvJFS(dKzPt~6
z_0dKwFYuV#-vx&LRz&)1IVzX^dF)uW|F(zNL!Z9LYCwPZn~8oov?GiUegCgX1K2BD
z=dqKT_hCEV@aBkm(4XJ_Z$DTQ`n{WXOoJk!8^A^_8czsRKV@<tck0-{x7}WK?QmZS
zoOQ$DdB@HU>|Xe5pnKkwK<S4!1UBdP&MCgw-$qOK6bW4dGXL+R5$tzZgY5qJS@!tC
zNiHsniyp{*-N&|GZ+g4;0;ewLxs3ETlw%s-QwBba6MZqilZpEfSXACnPK7?<TpYX3
z=O5_hgpwJ%Nl(46pl0SV^f%zr)8H?r{w6L8=b|rFT>4Sqx6t0eKkMHMy#K`Zz?jc-
zb8czsKlaBAx|qgpvU~3Ro-R5&lzQIZcTGNc%3GdovqsGb%=`1Zz+ICj1uB$V7q|+>
z*QJ&J_)KHS#MlQL@H`s+4^s5cnHS9ngnE4!n0)o5!2PeS4a~SaH>cZm{$nutOO=8C
zKELVF<ghl`cK%+^wq<W_5*S;ga-hwW`hib=tPq(0Nbj6=#r^v;*<nD{EH`J>9sNEl
zao;<v7VH6k^2b~^XYKqh&o^h`x<_9^Ilq_ja_b#+M+LGje=YF&$u9(M{pw{mw?6mx
zfn2{iZjd4Vat*dMVYU)*!PxxZ&!K@KZ+;j!uKrVj2R6Oq>XLgNuEKOBl=CM1KT>`<
z%ajXDSeyK_k74Zk{WH`%lw<NwQ%pa6tT$J+?=dORY4Mc6?<>XyD*Zb?@Ny_Or}!5C
zxysa^DS!4c*w2KSX$(&rFawfXRO^Ovj=k1DM{b|tp9`KgjA7VU9-h7XjAxTie}#3+
z8pEz!J<^S%W9H*dZ8%)H>ZICtZwS=h^Z2;hOTMpF`z?su8+#-dw`V`&^_TU|#6XjG
zUI}ciJTCC%?V|#tAtzry+v`s^2Mjc<U#8sRpU<xUzvT4%;?`_8zwEg0A=YyH0Jdn%
zJuEaB?pMKgKd$1hXOI5L^*6e${}?(>@t4`IzNe?oUnYON-#2HO{8Rso){9${&kr8p
zKZdsFdfDK~PTvQ{)p#$k`@t^*i-*s5^V!L*{Pq0%1ONM#qjUV%-X=Z&G01cs9{%X?
ztZeA-7m$hHb-QlH{ejT>9)T65?+n~u`EGZ7xUYdlM>`f|`t9RxHORz!C(P$XFKW(u
zwC&4!cWTT=<UH>BC-#NoX!_1f_UNf!GH20b_RY{$Y}5SQoM97+gz$f7=~#4l;M9HB
z2WC|o8rXTy6|SD;79Pl*<FnSk4f@09fb~0$WtB(GWuq&OWM#TeXLp~Sn=@-H_n#_Y
z=g|_%*=88S?I07q;;L_Fut^WCW7)q>V*lN;lJ$SfyPrnf_Rg!p*6+KGoqpV@EcckU
z?)dQDS3i%3a!Ai!507P||D4UrpEZ&V{$eV73iPZAb1MGtBf9+Z=#5TjB8**UBYa-x
z_kGKw3_kIfVeDuhEV};CwusB@?sHkU>ff-7n@wjwJ-C_;o0FS!?9Oc0en6H=DCdu(
z_vcQBF`Rm44c6i3+t|iuPGMX2#-6ESObmqm$`d}F#ZG)+ID31+6!sP1^0MI`7WKeq
zfI2--F!=6IF!qDJJEN0{nCSCQY(G!O_wVrRko*61NT^`GUKsSx*OxVPLVi9!yK`0u
z_e0h_HH1|uK8r2*eE@rPpZ9K8+n4il{rrXe`lY+(vhJ<cvmTA6v!+A7VBy&@zkYDQ
z>Fn`OZe~08Rbk)eT^qMwFD*8Pbw7JHtF(LstAG4dHV^EFdl2}HT89hjf_dVv;47?m
zoljW#??$o~H5LnbV!$tRShvmJun~)<vFvldU?)t7>3PQkHCWx2x3LS>p2AM<DEiW#
zp`%&3Z)dYIZAY-x?@eWIfG%fz8P3HRd#wEIK$!)<1}@(}C6Lo&LtuW--Z_uM|IF!!
z*{u<uukg!Uw&9y^*k;%lu5kJnY!_hmcCDhW&xhO1WxeXY&N>ICv+|F>=8mD&*ZW+b
zue*0J8*u3>?AUwyvne~h^$WTJ`us(eMzflIX0r-E4QHV{r?L+~Puf4zVP^8d`ya`6
zeF9~RC6x2*p#8r2vx*^4{AS1#WWSYTo3eBIhS*u(H(<Tq_4dfHf7Es35A)c~Z-2x7
zZ{iI0zw9sAf?1wV@V_n}(>0WX`%)O2dmbOl{&U-G)*&#Gv6fTW^MDJ=!?nTNmo;O9
zmxkCm{Ts618+m)9)Zf~!@ZK7{lhOIy8En_W)$A0o5&Gc4`n#~`ST?ovY<9=HFS6<X
zPGPS>e{UM*j@tdad%SbcXvJ3Vs?F90bJ#;pEr#pQZ=T5WUE7@1%;T$bS98{FL0z_g
zNMp9XS8dl{y6^OmxS>}oHhM%$_I%$QcH)GKT-xzNyj4-Lzn2BFOaB{Kc3@GU$yt8{
z`aIb?=f4vXnQ&M)cMKcpzdkdqLriNh6QAiG;j$cW>>r-jhRq(^jD7y*<?O#}8@l6T
zd%PZXV;KH%a%1QP%3yYl`4?S<azZc&{hcb%fbUNqzS0keb8qySr~er0t)_JAm&?!d
zP&=z^X_kF_H5TqsoL%<PN$%K-?)K(@In|yIjCyi$pl_R}14Wj-?XG(su8o$}+qUaW
zPiDQd2M1n0zE9xR3H<`keDz3R0r=oh_ZI-d;mb~6T>JVro~%8z>)W-Ltm|3(CAb&u
zl;f>;2i`Y_opZ%xcHd>wSk{<VU0uen#5XAP{k>np2~C4Je0!0#?Bi?m*!F>+vOYC;
zvR(h_1sTM9=2)lg+iY~`OLoK7*{t%`Rcy>wkA^Y{{n$B_gL~<IHd@ue`>o~d>CdoE
zrKYpXvj(wuYfWSif7d&wF7TnR#bnV{C}$<`p>69uvxxPbu#Nru2fRm8>1THTx!&A?
zsEs?PCp&ih3+(2K53pyJ4q;!w`V)0TFZ}JNi`mYv`mn#tHfHl%dwcLG9j)7U>jL)r
zpWm?`2h3(A%B^GNt9vq$_q&zvu?{n~v8&F1lU;M#&+MsHxj7FsDiXr~$MnIQFJn!X
zG+<X>+>9O9=3E!+XO<qwoeFrqP_a3C;=3o=s~0t9w*-2*H0%$@u*P|t{oH5+>-6$$
zR{zFztOVEJU+_B+i_8AOrWSvTT{`SXwhj72J#Y-aG`yJ2p7A7GbWbBTdQDGPW<vvJ
z%K$U<iqp$1WW@$;V0%W-VXa?V$9_9KHokXHd7rhpAD$~d_7>}2Y!e&&S#A!!FIHhe
zGnPG~538}QAq%X0G&%;vZ2gn-*@hkK+38JZvhUd%Hjx{{^3OkFfA!wMg1@}Sihubl
zJMghL2DI(_|82%PJ_hdvm2AYSjTB{uu6~Q%S!n~iW#1dD#s%xxd7R8?*L}pszPE!N
z)%`sdtneGFvOFg9*RPwgi~96oQ_D1B4JLUq(U%Z2P*p0PFCi|kPhY_HUHct-@zU9B
z+@ot*4X(dp!xpp6`?s?%*1pZ^-1IB!ydpQJ(In6Ax?HgICeQ8_ziGk7%;?Wf{qsWh
zew$DfGqn4UA1+|q+keM?YCoGD(|;|i#`V{{?gy;#>)TkRehXN~DnGN!SH|qnXxGJT
zX0R_CcS>V+%)g%R)>t3T#s6<nms`KB;e^l!r)5uM$8Ua*ZRz?7>vHv*?4ePf-BFjL
zN}tKDf8q)jzN8vE@8TA0-l;L4xG4M#J8RJdR_7Xc2eH;G?ifa|%XWPpe!q#e@A3m{
zv*|O|vg6k-trPsep_oG|RBpzqv<tDm*EeJ@bobT_=NjzrS;Rk^KJpf8a4bCAtvZXH
z@Z>7CnZu>dMN3(iJO5@=JH5+xOx?^@bG~%o<YsK&TOro4T|>6&bZ^aY?=#sU#ARij
z;elzh#sn^HJ~VLVy)U|XclZPQb7z2_|J(m2+cD)UR({$W?CDaUv(;RGcYOFETTtn5
z_R+R?Sl9NO*#!|lLtkp_G-q2@_hanL#_YqaTzBk~c6s-=8;^P+Fzt@ffiC9_2|RJm
z2)Dm>M;&ncYu)!vwrAnD?5^|QV1I63!+LZ5J#qOmcKjuOu^qQ8VyBM$jkSaEH3H21
z^5^NDy*;@a*IXI+@I@AQ=$Mv)h0mQ4`1i@&oXPNi-J%`d{k1vkxuh?<uf&C{NVzAX
zK8SJK`rid?_|o<4qUUF`&PCU-cAPGC7JtN+581&2U%$t${P9<o$6@{4r|a2*&x-^r
zAH9a%bH+ZlV4&wOn0L#(`Yb!=e-l`nAD&`a%{_mCYIiMMwATW5+e7Qww<pbJ?G}8&
z?uGvPgD>Hl9e;-@yycDB6Hgjgd+4UuYrFSOpZM=5qu=UFDCa*zvfcgblS<8HC4ZjG
zmVZBut*Gs-*{e;$%o7gZd*ATDQA@`J2J{*lSkl|`iF;Q0@8K4C#DD*@)KmWZpC3M&
z<?7O=*dq2|;{~kWJ#Vs&-+AwfHyP;vtiYT3bt5$Y?n=!Oo}Rk3#<zF->zUWm;~Ud`
zW#G&?SuD_OX`p7&J%J&=<>vJ4?SvMAFD+O*oNZb24x6=cD0}&;d9E)#1^+9S>3eCq
z5uj~N&jT8M;Xj6t0{&xo@FV~C8Rv}if4`u02k+kSh86b*Iv05`F!78#1MlT{`)BB9
ze)})zNBF%T9p4DRMen2Dd)<Dx{8-l;dx^5M14HKi8aS|fN?>cZ4S^-F<{R{!zn-Rj
zqUS*uzwhgHGhn9IA2pA?yQUjE_NV6!<&<vajZZgMbp$`ddvu-5VFsD^!x%6}>iEz`
zSo~-Q+walwnZ|dw0Z-gF#QiLt%#nui?S^|2d>*I6te7G9==Ui-`*ktsxwetx?lbIt
zs72t~o2v!(K6_!{lmDF*7;$%Q&eFWF?=wDA&N9XOqpiGWpC7e*j8$vdiFLTWI~!B|
zX7(od{8J13b284uSa3vQ3FWk0>B;PK;o!iM7S9E?&hHnvD>T@hix;2gFVmEJzM1Xy
zr?bP(p8k2sgo|kmCi`tT-5cL6^(F-79yK}e$;7dNI=8$UxB{MKRsEm;_)NN(-hVMY
zr!>hlJ;QvgyZ<wr8cn<mQvZ?Zf!&Xd3Y`7?#K4#iF9co$AN>AG|M8jpEZO&hGV$Jp
zNzW$%&~l<fM?RU~)9DLK8^3qAOtu|0z<Vxw`j4{$wPwB*`26!Jfs3!7<-X_f(<A;^
z|6eEnyma$u|9CJx4>vv2_f~HDc;9iB7Y{Q|m>ZaX+N{9RKc)pvsW>Ij7xvF8uJa#*
z$#+dO6P|M#_&*oDYMKA@MpG^@=~DJ2&wh_zG&|swSrEvrH8pU}tXY9RkPEi|<p1u7
z3D2@x|HDf2`qRshdK>L)?jP@uJnsK2WJnYLXRTk335VfkK~q9GuU2#5zk}85g6job
zyXoFx9S!~YJr}*}eg9`m_};JIIt$<XMH~LounpU@;~6&Pz028y%?GjXPwegPk@>AL
z@qJTVGwi5xH7is9DfZnbt=Jz6pJX?d?45Jn)*|lx?MWpU2F~j~DNudnoWSN~;{v!x
zefa|i+-F3(@zK8i)r($W`+F>9Q@$U<t{fHnEdtc@v3)hzwgv6k>KjgF*~`3Vp9nJ2
zHhz~2`x&pWRj)n7x`#)y1Mp5TzEjHoAIR9&E1{gb0W*9)t<z=V;&3iLJJZdn?Jn}x
zgF3!SfVJNmliso3+x}v<_UAsV?X!*8O?P^GB~Sk2?Fn4gsta53b3fL&{H^TEGjrX2
z{a&TKJ%Mi)huF>)<JkKbJi=yld5JBGyz{Kj+1_*CJutorXFtgnmY%~lfBgi@{bQ<o
ze}plO_9HZ6=TOdTk!PQ}@l`a8@AAky<ioqa$Qpe65j*7`_`TH~@3CF5PlV5w@LoVI
zp&We9g?7-<unz5a{VUh9qo)jEFW0$@y-{)?YkN!YoJoe~D>oZtn#NZlj`3YF<SF(|
z!wKy3qx-RHQ^vBTXY|e)4Z7g}pQrOre5cv(H+W6)elTA`pTG0=>)5LmhqCXsUdATe
zIf(Ts+7m|L#l!7=u4R4i9L!c74|~8H`mq`RJmJQ)-@Qf0_x*E77r+yJ>FsCFX0P||
z#J0_;&Nj5WnN92)>#xbD=d*bo?_o3h2iVQKZ)aCN(JT5KR_99;@7JCAB0FyNCv1AH
z=UBh@V$Wf5d|$rw1j{*L1pCiZ|6xO`KI{4}jW4(;#42qc$KGO(ur`BVVhbT2x<PE>
zzRgP=X9Vt@_FbSFyc5@d)!M*lc>WNq>L0i9d^h6WA4al{{g<<|K6{>(4tc+WLb|+t
z?c;1pgAwfRtL|r`nu>a6eHCK6?|g;b*8UOpWBF0+N6^#sjy%0zpu^1MpPN7M-)Err
z?onT{gxuIf+v@tGcWSO|(3Jh&B*bR-ZotmX@%ByQ`CiDQWpdfCi^i~p-#p0HJoX|x
zzg2Edv+dsV@Nk*gfj+R8unYDQet^9MysuhR&I#fB8rR-FfHC-Ohvr#**thMy?*|}e
zetJIJ#D8vF6Pc@ihwr6KnD7bPSpRvp8urd{&45^-pUwQc8vC-yEv!uUDs1cd*9o*?
zKjyNbe~e)*CO^c+PI{45hcTdyt}0tJbUXN3hxd-JGrDZ8Is>Ygt8?F1r@G%6+5~uF
z(b0bNNLt!TR7hJwIcGsV>UuRCxKG+9a^N%O8xL{-Stuyy7NN$I-9f6$&yP4hzfuke
z_3j#$cQ2fuYWVoSc<!?OvU~CT#)Olm;(61U1+U<_XY*yv@mylTix1+t!}t%{;JMT4
zZg1oH<<n>M#Pg%@^-MfBxO?<`JkNc6?+JL`H}u1k@m%ue^H0I^;Z`a}6@q|pym=Gv
z$=&bHfagXEIye02I(W)tIB*j67AELAZd2HFh?GcE_=LoT;Gchlk%XV{pjG!VSP2E$
z@f+Gz;5Zu^#5#ovDi?xZo&pEBT8KZq7uC=K<L@A*DoKa)g>W?IKF2N*jWl#H9)Oqv
zM@%qXCEkG+qBCIWcCU8%mYxo>_P{$Wli@hNq!U^OkJ0hKYsa|&hT@quUL$L5@G~UB
zOJD?B{tf3g-0dBB?KvEZKDd$5QusSa`VK(*fpDN8vBVFNv4c(^b*U&h^63>Y{Vzht
zDCllDPKvg7y=*Fs6BGWwVN6l(pnK!rm4m!?+kmz&jA<)I+i-$MTLZM6VN7Kl4K_is
zcq7F07NupqwT`D@%8SuHy~~qX4NfK9G>Eh;SC?NRI(s+Qm>Q#ePWc>9CO$CP8^&}Q
zqcv*G%fu8KqdnKpqhW3-;-=LYEs*2UyfI*^jnO{CZzn_XXqbLuv@>v}9Hn7Oj?tWr
z9?k0y(=<nG&i99@8)@FyPd&@yI~i&)W%Yz36uHLFx&w!%tB<D+&Ca)j6@in5S^MJF
zmp8k<W!09gFTJ{U%hp$2UG<9YojMCs4BjIV>yXIxb8zkn2Yj{Hxg8GI=inSIh~p?Y
zh!Gnj6MpUhM?qjXKB6y;L3hS+Vf~mm+9Do^0p?w0{F&+uGg#s5EMH;Nc2*di+>QYP
z1fw{;*(p=uto6f8byj$D$Dtn?Kda&dLhgq%D$cUq;ZX86R-mu8L?Zs5Y!}~jaqFwD
zY;kGZ7FC;H(X#5poge7YwR^XQRjXgy`QfgS%c`w9Lrwiau<H5j+&b*sV4Yyq>n^?G
zimRHpXx*^t9bF&p+`dQG`|iVOKk(?iJ*w6T)NS9seN~Vy6nN?$Q)mK5YQPg-j}UOB
zgPP({#=xNrg&#kyDrka4#t!i^A#ljxyA;8jnJSS38{#$ggtwlGT9^*y#@GW&$K|oY
zfCI&a87{E!?$6kuv91BsIk+;7auT>jp^|i=E~fGg;o2=GrO_Z!$&vQ%*Rn`*PpHIe
z8fAMO1Fs4(tcthcsk(+Hoj=nt;2=;>WK;%JIzq$-@f;y&R}v0I5cN_?M??-@dQbR_
zjzO`DG!&qfijB+ai0FsB)N;B;C=w;$Ko#+PETJUQ$Cyi?ozn!4s5G71VV5ZJCcYtD
zy9HeziFizhBPt25-NHv6>VgeXH)K>ABMg)Xu%R}X<VKBklAz9MArq#8GQ7`WSEx%*
zI8ZljU_rfeE*yfgc&mrQodw4{I2OQxMM#iXf!$$g3Wp$p2=!&c2DmBYQPpC=H}D<5
zq6}({MHvp>eapi^!(oa<JK%vJAy~d3DI-CtyrOm_8V9j9Ik<Q6*>McGU}rdlV1mzX
zUL{}Eo%y_iboA|>aQOPP+-p^6tn?~3IxU_?opg;*^cXnW>WF+8J)>(t++B1;s1q|2
zrg<DYajlMA!$~ag7)cy6YKUBj<}{fIpQ9pAf>zj2DAeDHCSqkBQO5aOkgJI28&!b@
zC+iyVP$r*|lw^nQghk1%SSjaLUQtBUI<#U-tUze2Ljwrt%+{e5ACbeTD!_EmMyJKo
z=&;Dpzrgq?4C2qwxS&8To1rCVxClVOVl%XdL(IAIYss+<?g*?yOKyqA;MXLL;WL7y
z6lKX_9a_eSoGZT^dJ){=QlB<MbJoZQhsNHNkeCE7uJU~+zreSfC*H1>-3E%ewcXH)
zVL?|mPHdcjF9<6Z8z&SUv9pbnBRWpxtqQy2Cu9ta6Iw{ezvEZYZjk67k@8Dmdp~(v
z#JOV07_Q`iJS=wnhH_zG?<YBXS%;=a0Am1^g5%J3gT&`07VFT~p}G7<Yo8<Sskz<4
z=A6L2Bu}tg*nk9rAryJlcG$fJlC(o(I{X?AWyt(Yk^FYH^3d}v_^A!~wG`azrL_DU
zT+_RwzOVc>l@+G9*;>d1dfidj2Eo^msQ3~DQN)3MfDgDyTP-khqsG9Xz>EEByFiUe
zJa&aI_#h}f;qV1ScpyQ_0z-Tcow1=72YDp<FkBjHV(-P`2xPDF9TgG;4<f*DxCk@B
z?>k(+QDb1xT33r`QBFKcLF*=F)qGHrk4IFJV$xSpCCY(GYY#2#*jW1|1SB+pBML7W
z3JHmEU^eRqM+Uwsfyy+2L(#JES*q}j2#tm=t_^h}CKlodQkBSoqP0;&qVv1o!e>E#
zzC^eYdYn8kX<Y?JG%VrTEy+XATQ&r;w3a;%2xNZ0^I%9vNINQywz#s>eq}J8j_}Q=
zL^{%b#Z&(3k#1B$&{9E~Cc81*FhAEdL{5}C(L|i2BVt}BeJX+&MF+mY3AzR#z|nq<
zkbcnx#iKLfxhEXBC_@Lsshhf1x=ml4@n5jQ?#Z{QYpoMPJN=3d2~FXMD$Gx*aZTgM
ziF&l+rNFgYh<FKnigpp9xhL(Sh`6+FPDHJ167lqe`s3pmVf<Rh%{z`ZOY;pH>m)#3
zeCuz1y+vy+^fF)5Hs!nHEvUVtAds}9AfoRGv=-wLL}$=y$^)b|E#JJo^;?_I6E=#w
zYk}ZU99lF;g9R^A+$j`_7njiB8r&(x-5pACcXuhpi<aUL{LSZk&biL{3(haO_L*#E
zp52+9xo5K?WpCOUsQa}u5b=f0hcD$Qt6GY-3dmRR$_RY)$2tpaOJX$KiAX7Pz14)_
z7^p&l`v(f}=-P-^VB+*G5v2r_^*m-<?*~&ve|{l#=3q(RTijTPGj{-L)TW<)AbuT|
z?f?$ce5n1ET~Q{c&<7NHq5Vs=>=P7PWa8en4N?NZ3O*SqGmp$+5}F;b_vhUf_Re6c
zHVKW0>q0m)4x=u&#QRSBbP)R-8}_*<i@d1udayEm@?nx?3<}m+`eB5cS-48!+3~9?
zX*kAe3)++6LzMwu7H7gIyiD;v#zJR!WqXRIQ1*WVw=}7m_$IE^^1wW)9^Bg$86A8>
zOhD*%;i>UiDO!ZP*CH$@Kjjb6kJL2T!w+i5Ea+N-L4~vgcY63Xxo|P!@S})Mri4v<
zP!&le`}(FKr4FzbWd}_K>ibb;cQ{u%DiDr{0!v-q?*OqdXZDW|LW^Ja;6mAzNzu&x
zKQByz5W2CJM^PkC>u-k%bX<+)!u}Yq7+~hCmD)+fs6~||WC<X#id(-DX7Juv8WcwQ
z_HnS#HZN>a7G|@LH~I+6`<J%DcGP`i@34<|Wmm@XBfM`&_2SsbisRz--;R+vX=@E_
z1A^h0^1->%&2cIobKnKh<t3<q`YLX~E6<1hRKPCCg6cwYO=mLKCPe!j;tW~p8tQJ5
z-=$WoON3_zir?us`ZDIB17zOkk?2E3Nti8(WV;GM#Eq0;A${le5*!JG;nyaz$Q`2k
zC{9kJ*wqb_?5+jn=YsVxQ&L={Oz$`tx$sQM(_TRKdpm*Ge=ev4IuffQUcF2Ns$L!R
zYdGZJI&Z$vQE=UpZnacr_BrO?-sql0g0`zY<)(&sjJe#xRj-Ic9P-nQ(Lt|M58l%3
zWIL+^p*fJ@n8r4DSLPG>_%%f?Y;93Nr=Q#*FL1$kA{D*5*s=TUkEn7hoIPIXy9)LE
z$|JJrAf-}hu@hfi+`TiF{Z`)}tH>*91QF|-5Kh3yIm&>K%mZ1y1T~@q)C1J$bB#1v
zp7~yR&M(Wb^*$L>{N*dFwEjBw9xfUVVNGMSzY_)fSgU**jEA)`$ya|l*=YisdoW&2
z((5n{y#oGva&ODwb;w<Qa*x32WH-0Gont;jJglp?ANSwP2_zrTBbgwY{+!`Vh54{4
zxZNl`qcUSp`VNVon85s90K*D6jy>W}t>V=UKGhUE&z2==M|f!BphG?-^HL|H005~D
zw(bIV8ZAD=wBv8gZ-%W$nP(h)Y8ij+(~WZb=0yAy-htdD*Q$CYJhKxkDL-oK%<koN
z(R)`5|AU%w(4(DTfe>a+`pzd<^(x_8L>B*(JlEb>wzG{{#cPXCHn}Xi&!$oj4b|Tu
z=R!X1^nE#)bDoSMv!1LX%BCcx3Fm1ckdKYuFYp_8<aknUX(;|_nZ*u;scsQPYXR)@
z8UuDV(OOl&(F#3*6qg=YEeSO@G8>1dDA!VH<s_nwZ^mLsv?y4jYBZ+V<8xnOa{ZyC
zu2X~mL*-+fbBW1%pbm71PB_G+-C%!vK;)e*e#dJ`dat~l#rW2WxO9Gk11+y6tB#Me
zHx_S-(V^oKZ9~MjQ7ufVm<{l1&Ttuy(HU>0D7Z?VQh|_#Gm4N!!tyXwb6Pv?=v#n7
zTz~=N=yS6h8Wow2OzoLgPN1=6Wqh-G&dm5vF=A>9a;sX<$96+cy7iM}e_EzLL&+Yw
zQ`+L-GIIo$T2+(6B%=I&1n(zSWMQH_W!>Hmg+KIFv1spzyZ2<{T`ggiiA%~aQi7@>
z(zh<^OE(_+><K>gXSDK1`G3d?nj+WOU5){+3i4QGHcOu`UI`$9-)iJ4?{;H#H{tSr
zXcXDi;9KW;okDpbz}fg>Pu8pa=?f;kSf4eXYhH4g`n;moAgz99CpC02Xz*5-lv*cL
z_AtgNBt!rI_GXcTH1Ho{OoOjEo}#OfU3YNXO&%lIeVSmv3GmgEWaWpyuxZg7J~C=G
z1niMleYjxSz#8)72Plh3yjf-6p%GkE+HC8|`PiUF-o%{mn03Tp>ZMUajmmD975TEU
zKi%_ZC)WU*RWDQ)hO=H$H)DG)crl^O&UjZDves#U4O^{5yWW0yFZgLo-(_k@#DwuY
zcUUJ--mK3YJlRZ~qs1ABC5N-I`7KyT(L}}-Mi@rFVIp~2^0WT{8#6yzg<#EcHXr}`
zo>iR0u$ve?hC3>x*e~Q>3?&k)C$EWR{+PUbGj{<2b)&M^O5X}b+u<$E<eQL<{4e@w
zjBqCO>5(9e#>$1g^XE|0qWs6&7hPv|2I869uBys0xARHo;eVXcj2*`;YPmD^C-UH`
z4mcn`=8Zc(p2C$Co`NH#E3>1XYr!sSAhip~Y6qWoV^GB9tlLKD4~@-Gl;Pl?<>guq
zfDL<dJOR8%1ZJy+;%bAvi?*6aG~@NkFkv9K2I2AFq1DW&yzU{U#W8LhsHwYQ%YLFR
z;iZa^H!#3O-;lz^++cuUhh)^C+2t-E6FcH4kXfypZ+o^p4o5`tXM8M@q}9&(e_-_4
zo62q@5O*)IE(j~qh6ON^ANpQe@x$y1Hu(V}vrnSA6U&-KUvC~+EAwFVW{nL}hF%Ib
z4K{er;bHgk6LPio5Tb4yoc9*gQbcY$v~H;OghkpUI<rI~_CcUyiqTIJb!PX7%!--a
zvmlyWO<T)KZhj(_;vKs&v}i;hrK*o*;={!3o1Fks0*W!lonJP@4<YIte+mG*D+QOv
zhXaoOW`L#?b6EO^?)A6Q!{+v>4wI7UL1D;}7z8J)0THW0$i$@k{Vv#MxVewx8*}yp
z2)Cikkza>0G~{MD6M;k4gQXv+m|$WLuC4<aMgYm|&I~yW6PA^KdX2CJ@3by@ZAH;Z
z<2QEpi=25-6`%pUe1Z9jGd~mJ4_AWU7wKe0tOg<mn;IZ(6IpO`SMK$c!JFjs1x?0}
z=osu2$d;AUBv`(o!E83DbHj}8lTouIi6S2_GCPk-tf@69`oCH3cGTo+=&`yo_yPf1
zTiqWxia6%6&>S37u4ldO6XYI4`=iOfjn=U*4k#O7R&v2f660!jMTtcn^A+RTaP#Z<
z#-kD2(ug@$%TR0;8QNAC=mP?&81Jr`-$Zc)<#3)H5pMO!&@BO7PjqMLnJ5;E*kiSZ
z_1ohRGHj#Q;Bx1_%M0||@}W6wjn5JIDc6A}Peryt6Ks|<5$OIWsrT%O>eVA|7sSP?
zM^MxaB5nSiO-(AJT^+PsNYQMmN0?keIJ@GOJ+)=0AkW1aPY51!@~Sdkii#=<7JD*{
z=PtHk$?JS!Jed9);EbO#d*gs1J5FF+!Xv=UCrB|}&c656pQosK&k_xbu;hVE?+`9r
ztkPrBD<$qok2*~ZyyIkY0;Z^<Zbcv&$Ln{dRhG$L9_Fq$PB`-g+9QUE7<Qvao#AWt
zOKhh7tu3h(Z&p`c{URUaDUwY<A&|?lkz^%XwcsHO?H-CS$+0ojY(6HPa(gp2Y9BnW
z=f`ejV6uD-!xVig;?7rH0>78=77(O0!*#l_QfxHIiB|znDvLEgu+5GUqUl@IShCS;
zr;-w}5jA4t^5ny-Ome)P;4IIcafkxrmnAkj0=S-OiFsE2s7!Y)OINtSCjEnn_<N5T
znGIK^6PlpIug8+UiOvz}>tx*^GQOsbrX&i%#i40+3)Z?&+6x1ERHD6}I;5F^d?X9s
zan!J9LwZz}MuDt)@8SKL^CG7(l^m8UYH_{224;o>;W$Q|*&42|I_qW`Lr9nzL+$iF
zROtFwA*js&sEC~dT?6EO^s6(9TdyGR+ZoW;csA|hPmPtJ`KZ@|d0AY<=;C^xM~R7y
zjz2{NUDv%AMX|8=#rseTO65?Ha;QQux4nGpBUWi5MDn4{ki}v<lgfwDisW$~5(fU|
zWg<}$^{lf_ReRd1m_dc6WARI31T*CiT0b_LW3NmUyPy4rN8M?wM(IUTcnv1U1Nw~#
zWiKBof&ymi997Itx<z&Df})x!e9)Xg4<MaNm!I+UCApNoRYu;ZR{whsz9JqaTt!0I
zjXdnzXEkm0bE7s;-7)Efk>3SkrIB#sF)1N0I%cKXOWV(6+zWvZXMVXSX-=ER?8@bJ
z8{~<_ipDzTAL6&WN^HBv{svlhjaA~yAvnHavFO>H4+}EMS-w_cLB<kkHuN6~8*Ps^
z(9KRe@k+<%3z=&yWPqKGD$+%g_3=qImc{(Xb+i&;V4l9)FF`E#^Xx#)2a}EWT^11b
z-gCu6(z%>O!N2$Y)#}r4Yx{*x*c-|b_HeLe2Jr~=7{wJu{4CU%It_%yaoT~%=6oU&
zlTV&ujft|!aP;WY{N-wOB2G?pd#hkWuDp-`Ns;+CZtcB+hbYq-;}Ga)Jzrxh>-b3*
z?$PCJ80NvM*qm+mZN~K#qc_^&+d*ISRrBbI<Zy3d<ls4ywLw@o7K%VkxgE;wgWIgI
z?xsaUSLUjPUHK8jxi=RtZAXv()CJyHc7>Njj7;9xy%R|4h}yU_iUHHjZ)cEwwL;ev
zkD)fX&;zEqF*Shv5gk(@xOX*D9xeAQ0N#oR*>(Q-<~6^&kwfxNz2X|&9xmxL8&SgQ
zd^cvvFI!g7Y-i*t;uKU$m~|^ke;}@!Aj`iD`>F|%v!+JRZVBs){GCbcvhZhfo*qgI
z!(O<|dwh~+I+xdch7szZ)!A1$i?R%!d1NSr=NQ{hO>`*0GsdLW93w(x#excy^_9lq
zkHLZ@n$2cg@wuU7l(=Q;B2;8N<CX|un^xi-+pqiGn>1p~0gS0<w>qN#C3)GH&y8&Z
zU0H^;0!(101TE-KMjuf-;u7k~gr>$!WlDs9RLjo2me)2@>Bf4~J18<O6SkQY(iJJD
zW(}{j6z}{XXQT1|&DJua9X-H);Hd*@29bY$o-@RM5}+0K{a$$<@|}ScI-SxzDFKT4
z_;v?UOw9NT#9H+JZ;4d=3<O+b_F=96;DZM_!x1rTth3(r)o)`o?ZGS-UWSwmh|yIR
zJoHucS<fxYLw1K|iye_O9W;7VujJmG!{&K1TG6glXy*StCVJb@jpkys!A_B<>jMdQ
z-%cOo&cw!)_XZXaM{*wu=F&ALI5mC}Ik1gHTZj3UIPd;*ee<OX9daJyL6WN$$1-K$
z41OTM3{-e!-<|g=Fk<!YmtJAQbkJ#dJE>?RnszQ5z%Un9+C=YET*e?R{sS*6`}5IK
zsdmpMH^xpun?ie1fB5gthbO<7ro~J9%4^iFLBo+;i%h~^$bR(c7J<uIXUW1hr_Bnh
zwG95%HW0uX7ntv-KFXL^M&ENw^%XUH$0M1TqcdMqZzB>T8q#2kA9LvvxH2eRGBQtq
zJzAVEhXb=^`l!t+0?8$D2@i8ZgUkOQ$A@c<f3%rick1~{93>w}m$dvC41kG>Nite_
z4|3v+>c9Y&fD=P3g*<$u3T~N#&UaoRj8Q~3PpH^s`#mVk$bEqnNS$>m_>C14^Q;WJ
zcW-NOeLt3HZ+~r-sXB)u1AsAH12=h6+fgYbEN-z{mn4y-#mtrLvHrPO#L?tn4KVXo
zE+5_{2~N6A58vHD;N}As61n*T0;=3Jm7D~Jk96D&DF4(OEH>!{nf(T$JWIX;bfI9y
z7-9P{vA_LyoAbt?3mg!-eVld|m||h~uyLzgf$i#Y{IJ_}x0QA`6MpSmdw`g_1ans)
ze18r!d-DGxTi9jX3Z&kqoL<JkytTe#d0$2`HGRbZ&;O$&`VVvj=7N3?vGDOj)GF{8
za)oO7_|Hjett<$>>bIg0$SV2#2fSP#f>K&}B6}I7v5WzB7B}x)MTZ-Rk&(e>G8nbJ
zs-`~c`KoJBR7c%S8WuL>aB{C{tYfO(!o=byUVvK4@Rm5hE*Bk*Tr({A)v>FTR_Ra+
zr(u{vH5v*8e57B(i+rsW7M{|&Wnho|#zxajFMo5cHL;08ELEFqUq#))oUb?fy>vKQ
zPaH(%dwuMQ#CJ4^`z5NU`H`G>bdRLQEVw%`Ptg&BAz%0&_6=B)4+{L=KD;Yh#UBu`
z*xfnYuil*s6a8y`hy%o9yt4@H$de%>X*x|9lg^I8!W#LN7LQ!1X;xpviyU55nOHl^
zJ41}lzDr#8DXSH2e#j8_LqO22vi|qpKox>!efzui%-~4`*s{@sA;1i4#5VLMZ1l2i
zd82<LokUk)x10Tq<Ud;i+x_18DCRGekc$eUgQCuUtdR|vzFI9tV6G}Ac>XmhQ*k<}
z3HUr9RUcQ5F?TAS>8`4XNVn8P4w3ec<m#6hIgk@zM}EM<42?GqVfN7_%ipA6jwq{<
z)Jh95#F_hE(tInTDqi@gEKhTb==m@ik7TI<i2~gDVvRW4*M`#rS_+&V*ro9UhWufR
z>lF4<wiJNNO?@OxiYhZ^=`#+$j_<|rCSR;Ww5{&#ht3~jFFiGH8N-#k4cTGD8X0e$
zZQMABn_T3;W7uc3!dQX4<(Qj)!{m;s!+T>(-*4%omVj^u*geUsq%4f@?|l$U0q2)+
z%2cGPLJeMVl@{7k$nzi^rsMM-jg1=(r={LZ1d3i`1CX$2z_2xo=?o{qY$rYh-c(@|
z0;Zw43r!Kr>-JKrb4v+m(jZ|5L<%LJkdA{HZ1&li>>7B9n=%avYb$I5<eHUI@2P<v
z*vjpzI*lkW6S+^uvK-)wnE6u?&9s1c94@-bT#LHbESnB>7({<f1tH+?7N`T*=hmk{
zqC{Drp#=IH4P8}K&y|f=y&k@e%NyS#WQbda3A@JQKx7-uT|$hGh#eWto$8?6zw-}I
z$=^wISs1U)$~nK~UoxkyfOZwH&DuCjEiXGK9<m<*kYnHDhsE2f(+7Bf$tC!+j$!Wr
zeuPO}e;3^dVddZNn1A0A#-bCqu?NIQmH4G4(%&@t_S2h1mYsYbvhHl?R5#Vp-yXXM
z>Cf-^y4pWGoO%@4Os~=3Ug%<TCC2+;@O;b1Rq5pB-qC0uu7>dc*!y6bXs<Ur#*M?+
z(!75lG}pf&-IVze3q|@Nm6!OE)X1G+K<IBqPe7!vz$;&7%|sQ7;Yy&MIZ4X>LmWao
z@u4Xwx*AGzG>}rOl;OMyHH7JWkqu2I=h>q&`6d+V^FC}Qh_9<8R{MxVgE6Yf60fR*
zXE7iV!3`Bd<@*IuB*`|PtP_T{&#V|3*SlYAK*GS>2?Ox_q%(NlHQ$UA^hs|fAo7ck
z-VLg=&rm)$Q7yj!37LHY0Z!hVDm~(<KBs`P8ghiHhOq>2|9g|ap@zCJz$&0m<ZMDf
zIeuBE(Y-m~^G;r)zCDsm{C{TR90H%19-Y1kRKy*)UC9!gTQ)1J?RvleERl2iw-_F6
zA}3pG^%+0&Ud*^I)kKafes}3f_Q-y7o^`XGVLbj3{2@Y@ri?kI6)no6zr~xq=@Mt7
z`_>fc#Vvlz*@hLsq0hC`h_J(cuTZYtRy^|}HH29^>dknP#{{O|<J>sM=YoI!$9Gvr
z@Lrs(E%L_M`DHbQwwq|l2;+Zz1=DLSTpeHCX+=C5Qdx)ThM}bXAPo8KRaE`|vXXWK
zRt9P<NyD7mB6S(+Dr~O2*h6ia67E~MJ+QILYN>m0I4SI9P$nttkr=DRDbQ5UINEyU
z6rp-Q5&r%nX1kj%$Lyde{k3Fcy>IR(tbrw!ME|ex+^Z;Jy=i#`q5mb<2kXW*IM;^Q
zq3;{7$4cX-Lu<_TFm-$1VMu1MXGa|!N$3sxx1(`U6YU@q|5aKXYN(~&6`jPi5ac=w
zfkOvx0<qv0DXmes1{uw9<oMl}0W8_91nLBgVRy)%Il~<34`EyLve&h#3~*K^c~&hy
zrHgZihs_!1nw@MU0{a?eU$R9$6R*vNpQk=7lC{de^Fw82BK0rNvpK%feefkC_^(Ep
zI%enbXD(`{NcDch!uq@!XW-fI+y5r+V$*2uIvH{ZO|a6V=}jXm_(@XG8QeLJP|-+S
zRJ3rBh?|H=K8(TCL(0JfJR%#pL<n;>?EQdB&`yEFh)BB0nFuvpvGRu_oo!lRr32X!
zRGZ@gHF-bS7xj#OS<hk>{R@rv&QC{TMt<kY39u2$Bb%%?-e039*e`J_j<wv14_Gc3
zTiL~B&`7;fg$swGD2Fd-C-{)9?jCpI9cMs?0!DmMQD88);xB>+x{vR;42O+ou<*%H
z&}u>vdJPyMnAp#_ODHw*l<hFE+@_Nr)mQ7*rE%=crNLe`a@(CInZ`l<Rn&w+;@dPA
zi54cikyb+zo=J3T`kn8msyUvGNVA5+4(3)CXLfv#o^B;SQu`vctxWN&;SyExwQd@e
zA$DLR@$r&A;<$?#tu(x&fjbc2Y0-HHdB2;bT^!MMiN%9+!lwDHFaPfG1=V{Wg>svX
z!=AKw4)r~gz8mvc3c8A)@0LU=p=?kt>TxOk9ek$A+`g^pNk=H^$@>uzMKu)ntU4-U
zt4~T(G0t|?Nn)A^m%$w(5kjs#dv!H!p&Fp9uQkmmDpy&C870KXXii(9MrF_cYb!vA
zKqbOVlK|WHg5y{CO}0=l*VhfX_jaTN)co|USbi{HE!Y%anNYTG-4EZhH!Vx&=6^ES
zydk~3sOq)8XEr~VD7Yvwj`gsDV+fb1;F7{1V?i8es7+4Nb}ql1)XJwbLb5!<%GwZU
zc*w0uo|~CW7~Y?UXf=I;3qx!bwfRWpZQBvge-=Xp8T7S7`_ApUsj_disTn_fVR8AT
zf4f7}JHc%yxTd4x#%0LBiq%O^mHw4Pli)N>W^@aCRp-;u*Y+}%?sN8=LFKHD9aOZI
zGOPd%*6gML21KN!laU)kIn*kPV*)Xs4P(o1VjD6S{?kHqje<seukvw9giIkoZDrAi
z^_3MJ0GDYHMi{U7>6RsgLw!1oL-=eW#nu?FpADy}uGtYqH=K+FG=^(<N5WrN-BBd-
zv4GEfvnig+`zzL%vV#)q`M9&9dEC?%Ji&-yX`U(wY@oD~A|RLQMS&705=7)JAuY~Y
z()mx-1cgAcPDFP#MIfJuqmS7dy-b#fs@Gi#XM4p5agYxlmO5!~GMh~w84bKTlMpiw
z2ym}8#Wv+9jO{!1BY5&Uz=rEx{>AGmh?dj;U>?d!_C_%TYj=sRw>_Y^DAAj`(U-_`
zrr|U>&(bQI{dc+YHzSQN0}*FIe2mxEwnrpH?|Yn>4_ZqE2g59QuJG)g=p4@_y+&$I
zqt6gaO%<jU)nk?3i6Nj0>S!EXHx-q#oA0gpK28sB77i{;klBh5xlh}v51VAI=AM3A
zapY4ZiXkR$j^+sfH^8!`7W_;GjN6(>Uu(8Ouvo@EpJ29I(k2%C`&~Iw=z?TJRMvpa
zIDY-bjhbBJzILoZ76%ru@b^28$-D^Y0+p++m?Z7JXRKUWh{Y{y2j%<;HFaiBb)MFf
z5CD&ZG3OI{iePnKDCqBFBo_Vb-NI^cri9Orn+O?c${T~qsE~>OnITTp!OCDbZfFc)
zNY9{xAn!r%V0cu>|DG^I<*pod`a*jLF?&DmFjG^yyX+2zU%crD1#2>JIK++r&Bhz>
zy@D#b<@;h-<w&o16&2JSn4Hy|EL&>?z<e=uG_s{RaIrE?YS}}2f7AnAF>RK#v!mSn
zPrl=H1CtFha%4`vz9XJ$JiT7N^)c3ChkKbQXhONTaNE?2q%@axlRQ-is*2lH+KN#C
zf4_73uNP^5R=ff|Wcj^izf)TNr$xJc0G?wvjheGqGabulsNE1i$ZIRsWT>+*XTDwW
z_N$cC8q+P?Z|jrpO-Obl|N2WP<!81+_%3a4bs18;EMzFjCv0=Vc1b&eEfw*K>{lvm
z8_0V@Vm&uoa`?APUVQJ<KasYg_S4~XhA?yNb1v4~bGi%!@J@(_m}nt0c&h4Xte4cg
z(PPwVK=(mWDFLK@!1~(laK6WCjF&%b=IU{5{oyGm%=mr-ecAc%yg#JZL(C8R?!P>C
z+Sk?V%cYG#QHU<cPg&EyOUAB&yqC4cPuff2i!emK?Uv23oXJL(3i=*&YuhKa;w>ez
z&|x<{tsX><KO8^BFbKy9x}icQaiLdl^O6RSK?<2eei<LUCf}O&LEVr#R)GfZvT6rD
zpP!{j;X^&T8|@Sl2<%DbM^<_#l+SXqY+5+ZZK@Oz$hR5_W=_t|O!P5T;URG*6Xt@%
zX%aN?bdzl_!EA|B<Wcr&-a5ng_*m`-oBl+1do44d-CM3%$NmLDPcLT`*}1(ILahK)
zYiKseGa*XLJkX#TLLtK-onk45B#w<+iGc_E2WOYfJ`Bp5nOX#3gii`s#q};Ltl3s_
zIDMdl1@R%D{>Tpg1O%*-12?4F26z9<uUaWymI&y!$#Ud#9XQc941tOB@(Ha?2vAi(
zbU}syRWs}D$Aw{JoZ4rsg<q-=*=k}?2ZXuE#wl5~XwwK+A#s&mvsw2D(W0P^x*+Aq
zn>`bSgJ8D8Wz4cj>tEqf7;)97_qy;SoGEi`oQP2L#Gef*M2ftC1WB3N3$!gAqU_CM
z1dN-jN=0)w?;~+?b?2MF+Uf5hjAO|4_6$rW%8|VsF~kzj-lXxw(TvC?IEE*~HczwB
zth|G2_=Da-uB%c5%qZd*P3Yh1Qw(G2J_>Jr=%@6AR$`eCkwQ)6W=OKe_K=WzDETwW
zkZ2ucNY)5xVan9C?}0vKQ@rsc7tEMssb8tZ2}IW6?Wedf653(AOHe?3n6XtI7%jID
zc?>1JDdj-fo9r&(mYiqCQW&#v$cZO40*NdGRRXX!blrMD@{g_d<P0l#0-2a$+y4gz
zy(J69RC>F$^qp9g5f3pHchKo2uAbEwWp4}~0Liu+Ih|-v9wf+#DYk}i>f^du9%1&!
z|A8OUA?5Qm|Ix$C2%>k(1_O$xk!X)Hk-Vg|izJn1^5azEw6k!{_HrxfD^4pi?4hvh
zv2U{S)s<!_L<SPv*k4nwRf1}2`_n9Dkk91KQABXL3KW+caHHPgjseM{Rw0?1ab9XW
z6^@|;))$`ui+t~H#|SGO=`Du)*fxYJx6@2EtxNw((4kK6jlH5ssCbOv8{j*U;9c#x
zFN(l~*85>Ij0b;`M*EKUFJKRI@kd+)?I$+zxUc$fpC8xh-x|QxP~X>*we^=jtwSHX
zS8s^BPK~-6{W#t&Fznaek;K<deI2HSyKSF}lci=lTo&zPh7M#SjCzr;%1pU|ob_*?
zJzev|ktOA+!!4hj#HN<tu4M+_yX8w%`(NSP+nu5-_eIw#;&D;UzsZ@m3i{=Hoyv-M
zd-m$#ZU%lIi}dF~^pyCPOKD7WXCwEl0dEKi9-ziI_!}Mue(?8xS9!ZCUnP0(11A2f
za2O9S${Lar*Y6@buk||wHXwz_zKy%w08&0CvSCeOL4w{4U7#^eouT~-KYl>Ne#Vhd
z`{ix+_o2&Yv*WGs*^|F?sq_WO8#yCd0A|;PwTpA6><Qs!?2W$&n8@NKPt5e;8T8SQ
z$U#kk6#NqWwY?K5_P|~)c`iXCzE0+;%+e0PLO&H+MEJ)Kfax`Tnssif1!Tdx0ppcg
z+MOu;hlZVJ*rBE=J`PxZBdE#wQ)mCIA2im~zcE89HJfd=;u+w0gc^~4BW*uV`u?U#
z=!W)HXwu6SL&LmWeub~_K`OU@*g$hH@<Z`K*_#>dp-x<{$=~U!ptJ^jqRL@}&=ar4
zu)$k1PWn7jf7aGRP--LpjcUYitt@rmc%xC^Mg4l^>HD_&)g)mhPS}z@Pmg_UsY5D#
zr_)1(B;^X0#4O_MLH)Hs=f}61^!ns@HFbt`wkzC{(2W~nf8Kgx??SncJ+<D@w}0;;
zyK%K~BcxD~?+)}5@BcLoC<pf6r=Y;jxHC%(E4>BR?4uA*6Kyyw!l#_^%f|Uq7H%*E
zffYeWWB>nw5U$R<)y2vR=T#@#&SdKsA;|8#$pgwl&wGMj<-GGI_!W*TP6*p<laTI?
zWYgaedidh^alk$v5o$cpGk$@H0^!@tG5Y8E{8og&Fqw!p9?cP9$F_?o%VNFB&+2LP
zKIP@~*D4%Xzk|9|blRKnr;+yvSkp&Nr;qybG|lnUY~1kCh~P(_=hj)f@75c$q(9Nl
zMqmBo1puH{<I2$_@`v}cgw5Xxe)XBpj?7W{519dMi}EdEcG~f}c&3_1a5v~F{#c6Y
zNug~2vJ}nA#Wy1*AdI)G&otFMjdd$xVFP?Yc^O0E8*%}~uL36%7cEX>wQPS3|1pS9
zLu}6}IG9tPWdr;xYlO(y3aHNPk5boz+!mwmyA^kAP))E)x2;?DY79+zp06ENn-#{k
zUdUbqFcqQ(E|<E~@-Yl1<<pLy&Vfd`;!@ITl_RbM0HPMazS9UDWGnxTA9ue_zX8=9
zSZM3aZqt>fhrXtUfTaHH1*i!8EPBfB$Q!FDZ@|vR7yS~BMy|f!Fy@m}cFVmVO?$!4
z8=AnBwHnfwQTV}9G|+-2?ag@1Jds|imQ2E$Q9?W7Q#%3L#dNpU!DO*@X01%qAMV~?
z7v9Ii^eNJI8FM#+x#P&JZqOx_6S(s-+~X!X8J-}#oU=q}YEHwgzw@NdU=cI^N0^kw
zy5+?mYl@tJlycEW_!{2cWomXSUm5LTstW6p69ibR+r}}!#@tz{DEM$y5cff}h)nc_
z(^XBk^0L4pD=tOY>zSD;=g(t41ED$R@|cTMv_=vo-Rl^pv9i|#YQT1lZO(0nL_2_W
zm?kP|&a@t|U9o(`H4IfVf<5m$<rnI6=aAWkB~MnT`Q&YsUy-g7jP1M5Kf{t80sBU_
zh2;Q~3AoBYCt&}F)wW_oCf%&WKF~{f=H!l`#ynL(ky;M;SQ?}+;f7&1p|TibF>~me
z!Fep_zvw~>fY7F`=>fTKi&n>65|42oB$t=x7Cz6xOwHH{eT4w)_<=pQreJ|cLKevo
z57;8%2Tlq;=HHr4hq2<i&k21hUYX)7lid4Nnk&8V%U=4&CbQYHf_`!W^<;O~OfBC}
z(e60mODYNJe==E=CSBJ-%0Co-B)0(AG)8GlABOr|SgzCtXkG|4&0^gunJ`7c(E0BV
z%*=9;2o-$jp^h-U$Fi=uwN3`M6Wc(|AX_KIyp?j*Op|e%s=LgYr7=5?yh;S&FPPMt
zDmAyynlwW#w9dubO$G(d9l6d`$P}jg-1|0VgD##N#z3lb<E=BjQ7B|6Cx1hHGEMH?
zlTD$)WClY-+76JX{?GRj<#oUhh2%`ib!g&n%Ad*&_8%^p>8AVt%n0d42kQZ%1fT8`
zC+$>Ce{yMG6b!qLx{b-#)D(D*-B<EaCxtrJXR_i@dyokZa@suRgoN!h23@1or~@B?
zYw71gv6s<HEe}g`L{H&PN!-*~Oo<;TlzF$;bZ0B_sfVZvqur^vW#Q|LsLIE~+%fd|
z#@S;Z+V!%ubo_5nhPc^;Q4m_>9tx!tH&q#BnUc>>{8^v5ny^6kLl)Y*<&i*cUO6!u
z@uCs4^PaWtLv@{IHE#H65U}WTwt`T+1I{rI2b-m+V~Vg_iZCUoBL%19yiKd_2#uMN
z5M;|<${fHNBRB?8v`hMfmx35i>YR?dU!R=e$VICBt^n&2mLZqTJ+rfg_A@GCF6Mi>
z3lSCgM?eI#N9N+Pgl0VMbA^gh{f>L&^!aWRRY4<6k07q$OAhJ-(XUc*db<p7JKpbH
zOkonPW<#>6n_s6~zi95=el6mrCXmE>AeBf{t6xnsEclmO>gepaGs0$6>Ug0}?^HE#
zyd#<yA>XPy{*#bJS$Bv|U3I1ANdmcLrq9EEI5<v5<ffScLoVwpCAmTZ<rmf_j_t?l
zaaFFuh~TH!BZMEkKJfpwNhY?h&uop9EU|Z-o73ukI<|sg7Dn#^CsNkARBS@}a+K+^
z|8&o@+&vECT>QlN%fSQ>`}e%fIUU|C2W&@*AIfr-kZ99$csS;AvUIW=Zys}1O93`y
zZfh1}h2K6)e$_*9deDAa0%cE|6-S<pYmvxUWgjJ^Ykr2|6(x*jGJ@su6^rxn7!%6C
z>WMPS{7H;%$)yoTl`n?YCm#M^Yfd5SQ-_@o)%*XZ_B%G7sv7n>Zk|sdvPR}O+wX->
zwQ5q#3>m8~iLAlup%R}=^)l8)4S4McOTqv=IR(`DPDPdA^9;LBrL1b<&!ks}7hR}1
z1giAges4gq$%%SxtufoDu_T$o>RUa?_Y>>=VXY4Q{g@Wa10rUQ6B2c_wptOnD-6cU
zvf800{LRwF@8y!<yzk?Yzk>;3#o>u9+{tep&0kUO3$%3+xTOBmq0cnzd%^L<?^zrN
zZbE&g4F=0m=|4O6FJ>-OQeS9q23NV|-vua_Z_v?0c5UutYqbXlkgcPT7!xfF@7QJ_
zxl>blu^=?N5w^S`4}$|24@lN|)5-FSWo!ZJY&E*?8t-+%02F|qLeH|`7fZCKQnYcP
zv2*!wrt_&&&c|3Nc2Y4pGd&GGlOB0eAV7d?^N|PsW(E^A)&?cJivgZ~fQQ{?*tgtZ
zwXUI`0)(kyNRBa>bc?b`?RwM`?Tz(J-J-XBV+-<k_bZ-{hnRP_5IFxs%49_!YHD2F
ziv(Pb{$>a>zrfqR9#q--i;<ZS$ICUVeaUgc6xnefmY?TC<T}(MMsO*!^`O@1l3MxG
zj`GqBH3xhYW0>>p^vTD{&}m+Kg-SN{b!7dwjc?a3S#{3|e4Wm^zO<M_tKXWkYl?<^
zDz^&Sxz%09zsy3Z4^1V-b9if5{ZnU2f8(x8`TC{1WD;Jkc4L0+`nMmZ{8TwBlx{MG
zamZi)x+{hHdyWd{-oZYBQgB_q#h`G&ucCHsAL{(XG`hmE*`K8r%-4qt1t$Cxq^0iO
zB|j`?JzGFe7f(~=hH86t0#7>G7%RGk;<bY=ld#mesTP*-Wh4Cb_`rw)Np|bKA=W;A
z!&J~Wkkd3_9!v6ql=~MqS0Lui&vwBa4>nkV<Tn$shVL`=CSo^wvXO&d0}Jk3C&!(}
zoCpp@T3K{V$a$VtD^$=ApFZ21CV%7+df%8YIAiDQhY5^Ao^%WdZs_@Eol-)l(%7l7
zP@hdXnT==tx*LM$yoZsw(kr3Cl!<SugO)PuqMLpv&@)vg^4e7Mtv|ro;;VxuR9Pim
z4+|P$+3U?-CKADmnMoV=rk#5z74j8SWCHF=J}M4r%*ZkY_&huAH7X<?EzQEYPWUl9
zp~K4jM8}iOYcnFd0-8WVzD0Ghqs!TNVYk0AzwI(ow4N$<C5(aKmkg8Yyp<wqvHoW}
z+z%2B?(&DRsj0$HKnXs}j4$CrlUbT1+vN)DK7lXm_{MwDOt6T|gTV>=wUT@5d<ARa
z*j#vPvuuV+Ur|5fy>DsLx19LT#;_zC<?FJtsr%wJ=L4&xlr;-R>1SRey49Dk%T5$a
zBFs`&3L5J_PD!#3V4ZX7;gmNrMFrJDlQ#hkG@H;0KD9%g^h<)fg}gW!(@YB2_%L}j
zW9vQZK1%=9P1#1nZ{pUS?;Z>;`!42xJrXeb5OqfTlN8Qgf^U^}YQh}oltpGvL#$%v
z{9{yNg0;1jDK0bfo|W8HBGC4%WFGPOVtq`K_RufiGkW<*qSKG|=Fx%u1vvZaUwG8n
zx-^Gs7cRfQ9<UaFfM6-GgkM-;CG7~f-m^r0iZ=0+PUikpDJy`+<&dHoBS5p+4geid
zUYAFAgo`QP@GFB4`8d^G#2TgJ<=%+&KywlmUCY5LRJpfZe>Q&y#*LF&MKE!wrAbm9
zq2*5ngPic75qmg9D_O*y=rCUL%xr#x)On&n&KdInH~a2{8|YqT`*^tj2(@s$ctH8Y
zYE4C;9ndP}T(&Wr-AGpZL6~S@&hm%7!*ZMK*#mEIzpt~M0U=Hjb<mE7OLW9R@n#)(
z78wVMk%5^|&I^@xe#Bf-e(=sR%zy4Vmu31btZl^EHz=(Oh_hISq$(CQ=}xd!dljyK
zAEvhE)wiaw7L3@VWvdkMYGA5HNp@lR&^idwveDspLIQ4}Wm5ISgmNgsK2?{K8f?JO
zZ36(4C6o8{;G3Ztr!Tm73@p`O9B$+V?<;vNo5)?%Wz(7y87f>}qb#g`l<Pm7&MUW2
zJHr3W<HEWRjtlifnQ4=K_xh4SY5~2R4{0G)stFu0%!zW4vI*x*Md`nzYP@pp{J7_`
zhtsr?FH*glyue75?i4(1jOsjzo;i|+a%YN1)**=vV(~%B*Td&=YpMI?c#7GxQ2O)1
zT4)pC(%Mc3KCKC`v#Mp<cK2yhdapjlw*n(CC3%PI0{4sPGeceIlkxy+WZ`JvVy7I<
z!OujW&a{cX^0};zubgn67nE%|oU&T(?){DMB>Y4$ZvXj2o3BD?Tf#6rKgT63mP(~)
zs^#Yi%vtwC@qLB&mp+C2;P_xS6vPq83ce2i<eLzh8WL@rR2g?{F%fRox%?1|<B~}b
zLm2;=ch+T^H(&a3ZfYtR&UU>gufjE7zRFAW2RHunzo`@D4jp<{`jO7~;Q8LEgB<Wo
zwI>wHt65bCrLPukW4j1R`c+NBEfkN9<O1;h4suFj^&`;1nn{1=R1a=Um+coio-CfR
zX4(Hc86+xR79Ugu6fOmp*Bp<xyZgCe{iA1y)UGGRF0FR;Ld3}Lic1ko^>hSg@!%cg
zecf&dBGAw{Z|n2Ul=FYnRCa1!_qoy{|1vpK5kEUi(#H%{-V!7(A>rOAs7&Z~Iee|s
zu|@Py!2>>@k0hufS*<Yf^NNr)@IvOf1e!4%Gs=!Nj8!1#_+uD=MM7LTtu*d^ta!cd
zP`j-!?Au^pFhCC|IbDs@`w`R6hZpYV$v<UZ@ITdR5wOi*=Uyfs?Tj^RQj=Pl3clSt
zpCjZazHmRfEmKvGf}~5w>+>)^H@`bEf&}VsIS0cteq|r%<t;7#fz58XNK~*k;v2|B
zsLgGaWJX{9HE$1vP;N5k+;%JIoqhdIM*sV(*0%mwd5GY>pr@~LSn4SB^a8KvlcOiu
z8$MRr;6(NCZ85vOD4DXqBF!E-P|T8dp!y^3{;9$n%H$uUCfqA}vYptVc0A|!@)y&v
z?*f{trWBVgBp#y*N%Egbg9((bl%53GqSkkE*QayXhDu&ygI6Pkq4H|<E223knmiMp
zOSSl5mz46cD49nG-h|C|vJ%<zN2Yu#w!?klS=#VBL2{N6M%cPt!1@bkmT2rJHp6TG
z#Olh48~@(S`Gk)T#SHd-0qhD*-Br`KBhue=Fjdv8-q{30#$SvrQ;70Sq<u0>SiDdc
z>hsJP?(pij6<ynCxg4z)=!}T>XhA8yJI{<_6qOM`e!isR7)1Eh8#6>@SVFR1JAO-7
zN{7Ljd@Vx7R8wSzsa=7zA2Ii*qo*1sweT5F((j$l&OwfF{Mc9bo1Bz6pk1<!P;Dc=
zb$|NXe$GqOK(~Am^axwsuXLi!mKgCKIL@7Dt5d+>H+KdY=>?de(kV3L1zlt8`%MG@
zkYbIgAqr<dejBl1hP*J^ExPS)p!aq`Y-q+iUzqtO2jviPiD$$QO9_L@L8^7|DfMfE
zOWDWGpDu_(LooM~X>zuD<0p8h7vy?+_IR4c@M_`()pzSBU7PG><K`vc3?c|t$!H1%
zdm-fjL5q1_fgll#mrZK9e7Gv4$=9o~&<4-ofu(xm;D@QWUMld=Me5~BYUGw=NX>(T
z5$tswqO4X-cyY&l*7ICn{bz31Q>jborX-oQXlzWG+*bedL*dm2PF3BcO;{XhE`AFn
zR;=Xcf^qr+%B*pMr~L~h<)$mS;@3iDo}HyHpi~!~b7>lJr(W$<+(ndG{)p^-)zYjG
z+q=&fId{&&o0TVT_p5<0P=1Xl)xz%;$(YI23vIIS!u98W-*K!)P)?+->DUsi{S77}
z`O~ae9N!f$kG6~%h25tg(8P<oS*p;Kzhh9pzQTF>eSJ6`Ce<XS;Y(hcidjyGv_L1-
z<WuZ=_)}BOgNDV=+4uL7T&m0E+8veR1_$#`&=2vN;(Iy`S|sh!mSP!$2PE>zD>;K7
zD7B7_KgnO07W<4ZB$W&txnAhOnA`HZBgKPGgpZFZqo)SDtuYkhOSX*hp%i!ssC{jV
zX@I^e+}S+e!MJZ72x`VWgzaHwJ|J78Y`#YW80Qs&`8KMBx~qMq7ZDhFJ)nViGZPCa
zf-;#JK)R$sH8R$G>=NJfQ%V`6WOx4B;IY3Y0}S_=UMsu%m_2xDMT(zhLPMdPG1-Ml
z&%69BWo+Obk24)|W=eoMAW-QlWWJ+FBzpIc>>Gk9bl+GcUtSEri4uhWrJtIArYx30
zy-$=JeqdLc<`l}W%c+X!zF$4J?zmf(U0m7Q?l#BVCVBGL#PC5LY;6$EszwKH=?z->
zgcOCJx(G_SW3azNzbtDTdA+WxGg<yfJGFwcm6!FPZ7IkB4Sk_QI<>Ji@aS49wBB9t
z{S37MX~3w}Az^VtHd+mtn%tGi*<ibYEW$n(rJBe!ItWY8{?=**+L7n>>drArHK`T5
za($!Jwqg}!Nm!i1aQpSi$z(Ee5(_`&m00rlCu>Xt@K@9nS5N)|8G{_;@-8Hu<P;kb
zYcBPj_T<N+dttHT5t-P}Kz}T;owb}y2s-qlzL<_{$Bp%DWgUHF&e;zg2rLOW$+-(4
zjD1^;4|G`rCd9@;_<adbeCM^Y@%AqRF2DSz%1jhs7g8o6N-SOlA?uES>H6{GY=?F>
z9$T#BP9|T2UzHu`e&E&;dX};Mp~O2ZxUMchfU5W0rvUc0A42Bf&vw=$ylW9q&~Vda
zVul3xy`#0W>GdWRh=>YMHA)bHwiA(K+A+n`FNS-{nT<sWh36%I3=??Ql<&VlEdqmv
zd6{!=AwM5|>JwJAG`^&*1d>EWqg*xHHFa9byGk<d)P#nYd8lQ_`@s)}fBGInyG0ZD
zd5gyGX#>_sN*(y3a<3C@;YGP|nR$F&i<#sdVSl-+T1YHn+DMp6Hb%TPu@Aek@%g<d
z4MSZQTX&6=Sesm@iL8W7^^}{qK4d(uayh3S4AXt(09ng)`cuK-l(}1Op72@EyXwsx
z@Q~%<k<(aKOXO6lv>&O2dgCA9K=b39Uum2;O)}rExfkgYA4NDoX`O>_sI#1?PX+11
zpYDLEE`H+45(_JHDqE$NX=;t}<3~2G5y=ne$ED0-SI=UGXKSN$QF$-#@BgJ&xV^r2
zj1ZFuEgieJ;u3m)^+;rPd-T+;V8q0llBq;gx@(z+#2ER<#Is1svYNVm^l|Jjq?v0b
zDzITK3+Zif$xJf!s9FJ01x%1jIg>Ysm>~$YuGM%_TBldy1?lf)Czj=*USnz#Bw*N<
zsK;}L$}$T%)eqbGN>FH_`g}R_{(I8Vc>DHc-CqDs=CwOO{qv#Nf6(HQthCW%(<bZ^
z;!m3|V-TT5WM!a-Y<&mCXHC-i>71y2N}un-MTa_Ra|jU&%}N>(Ltyqm3{kyjPB#P3
z=8%+(39o6la7DeeC2h^R(1V51n!$73xX0<FNhq`yh1uBCIWkxo#9xfU`bQ(aXk#rg
z@*obW1(yLAnZ8Nodcl&;sLvD!%dbsH*zPdWRCaR0^N?@U08NS0MYE>MNQO-0f(p&z
zt)A<@i-s-n<wKM9HB$DM^e``Kb3&W-X-^rLtUKqX10iq&9dL4*dawW6NQOE~CtLaS
zhGhv@GsTratMFwiX|=eYqkL#Q+~AZ;6K6ncGKM=`P*;qF_y;Q;Ht2ofwLC{wm^_+U
zB;gOGSW-}V-s{Up8XQ@?*_($i#+igaNKJ7eb#B!^sIx>eHd<cMO9YnPnY{4hd-V6t
zB`+H6;|mIj(YJo<;LtAeVsjBldakx*aTiwNJr-rB>`O0U=BS9hV|MkbABh@@WCUId
zT&fWcVBOl-mNvfT5Ea;A4>BrK-;qEC|1Q>GHbKjdJ64X!rT`VWOLS?dDG-Qg690zR
z+{<(B)jYQSkm+pc;mx-$sj#}PNT5ift1w)F@53}y-n0?^rUByyoe&0`T%!4sJTWZC
zvK^F$yf#>AF{4RHq{er`&rH8f^E5eJ0PhEoSJ(v?Y+r^ugu11s-r)+-!`RDW?8|=*
z%xY3x8lmKXO9G1~6(H#*vO4EPVCs2UslJ&`S&k^1e@HzNDYP=Zb%n}TKO-kbfRbq*
zx*x*=+~0O2X4?dPOv`M8I)n*AlZ{|nXkm{Q_3PO6?wMeS4SyzZUEMwM$$0a?hsak4
zq8xf#E^JJb$rWtk%J~DJt$D=lHKoke972>u$x!A+ldrsFDP~5uiaqW`P{-Nqqx4SK
zHMJp&!KWnY`72DeQQ^RmwN<e&6*!)ggdIWcDmITKu6$nYQcbWP?@A41Yn9v_PM<?A
z8NvMX1;Xf$=F5au&`h7Bg3faQ0FpkjHm^`0x?$Fgn(B;4F#&ln&)Xwg0_+YMqVA6K
zx{~fIA08NXH$!`H_Hu^L{A|mT*W!c6{o{+sSP2C7Ha`TqNlNmOIp0G}H8_1S2JZNG
zH=jnu*rHOaF2ALq@bF#H394iCfI6X_<vzg>l&kY*EZ~H%xoTsnh(sCp=NL$+AQ0qM
zs?j$?8YLtItfTw1-ml^Fl0hzs;=8&D{|RQcf8(n=elG~ECcIx(8n6Cw2slZko$bc-
z^}ZN;^-jZcZ^1?LyYk@#79{x6VY=n;S_CKu-=((Ad@S{}=Ql{&+j*|DnQ6u#*|)a5
zRe$d2Y0(qpF8h{40E9jBctjz69eI5G;Ga?=eS-~+aQDy|X<?wsPn0179=|eFUGVqp
zZb^4Hp*gTUI?y`i{$2C&!SUmgFH%oJ5yTZ&)lsW$mqIbOcVW$NUB$N*pl*41)nayV
z(cK~&d{#%5s@ZyEdOSxE)I2Q@Qk^Kdn3vQHRN*Xo;RF7Miy(9llzi5W3J|KCWkWT0
z*=HYNAc0F83tprp^os5sx|o{euch$y(#iSx=a!SeVQZj^vEv+-wVOLyaX({k0&;(k
z&-%Nqbp|mSA4{<|?O&ZT|6t-e`CI)QCr2X8VV>8`CWurG6#c`flO}9ynf8}ZVO8b#
z@-C30v$7=VJ2aP9){Eb~x+Dj;oVSz31pJ>TUwH)IvF*u8PBmAtD&t#qNKG!j=J)V&
zI@H-Kkco*&=x&$$Vk!uA2bS;7S<zDRFoJJ;5B_oINh&rfZZfkHTp29KB$mjXqPHy0
z(v!(FBF`hGk%zsS<jmdbr|{h}3HfTf#FeKP<;x@~qULJ_db|fd{Yt#skKUx;ODY`8
z`(e+YY5%8YmWKAb{ocJABp-2y+IrKQpk}>z)?+D|)2aHJ<bn3OgMwCe?dyc=S`wc9
zVY7R6M&TjptHmZ|n{d#R|0SrdJ5Z#?^wP*UB$>#Zp)9PD{nH&Q%S;oaOnM37C)*lh
zP;tx9@D)FSw#+Vz7P~c}v}gvYvu9HKLZM$H)QHzRyCvgORnLm@;~MjrcD*LUn4NPf
zCa40H-tjhB6j&xi%t|m*BNOudfTTp+J=VNnN<f-oW+QM)@mT9V{vcvg$4FFXrybLo
z7cIiwxZ*zh4)x;$VzKzaE^*&scWGXgIft9ZzjZ9c+<C0e;?X2_&kbNal3~PoJ31t@
z3N?FU77M3b3&~ocTa?)O$5i}lpB!B6@f!5<pMDCu>9P>Byf+hnTd|#=X)K9VG3yaC
zY1hbX)#Ul>wx?<~vpLs#5Nb<`%>ve?q^(k7t45+K@<Hx&Cw%5V;0*L~a1Krt-5z21
zxnWC!wxNDgWlrC#BgVjn-B>(>WbN_bn4lUi9nA^r3I?v3uQK-4cO+azKHM`~fy$pN
zP{8t0s9;lMem*H81(fn~e==sn^G_qav(;d6Y0GtLiK+J1Mqc~!oyO705ewO+h!o(s
z2om{dD_Q9eRL*1}OpSpjP7+DR{BO5GH}f+@;WQZK;4Z9sOEA&)*~fFR<HP4X+II)~
z&m=_Qr`YwDCXNxZW7CE?d>G|^|A(Wij%(`e;xr195`uI$N{$*a8tHPR%3y>@gCGqO
z(k(eD>5!BLQQD10dPs~AK{jIKyWjioc0Zpz&)vEAob!$IT#2IYec|MZ_~48m&S7v(
zDWr)>slUP%5uQSwE4&YJKTYv{D3+7b@8-oQc<3ZTsHk78{R_cd5w2#<rWG=@Agd3z
znhjg1*!W(g6~6%p9e)inf5il&Crec&Q^|hs!}nF}1ObMg=AEQTUPRLSv$c48c&-&U
z$O+P;llrv+IA#G~NzmEh+~>)Fc*URfJ$O*%epST5zM_^SaQxzc8fuepZvDxMvC@;+
z856S#-i_wFS-q9#xgknzB|}~ldCn6SB;aWsd7eV1V-qCd78E%#3LW20qzaFSx=1ok
z#gHO%Xd?-5l-F6{6Gx}uHL33loYQkuUVx9aqTy&nINEbtVhEAPVVh?K8hyQBdqXKP
zlKfx&g6lGN2fLp)YPp%2wikr5IZ2Q=H`zWUOWm`<lc20G(kyrm&3^QEkdPc%26<Um
zuD+d<w%c%0CB_-wvTmmKQJPdwyJQm|8qgo_P}!7?Q&JwaTu-76bJl5Jl`i&d04A0?
zCuQ|r-}QB@D}FUWI!mh7O_rI7|Iqq3QFA~J*7q}SRGRu7X}g(-phjivCO_j<hU_)q
zv(%-z0;koPb)EJiCZQ{myAJk-+ndv|&oQ@8y2=tPu&KLl{m_Pq&GL&0yC<@6?m9yk
zL9|vRJ?pf1X#C9fV?3xs|J2btozs(xO4HfLwm`XK9jTR?@yFmx%PRCok<&Q7p9yEe
z7&msIko#Y}P1R~Mvs3SgwYFU(3J*Q5*2fmKLAqUAJfE*$T2$_5Xj}fv0ZcFgfBjN+
z^qeIf3pA-YGH2KSFf;2z>2fm8c_*Cw4rGvLk)6)M)g{Z2aErZOol+i*cQ{!+`v=Ze
zn|tgRB&eNRF`bj<MXR4=+j-gh{biB01z$29eAqEWRj_B&bRpIGAo#f&VF#$Uh?gGC
z{Um*pLCWl*W-1~{6oY+XA7bXA&ww@@70B*+*L&r{kvuaIElaJ8>Wp|T0ss0{mUh(4
zWP4ByLDwxLJWHTHuN%t*%0(~^Iogeo9rS|@M92UVFj~#y&skGDT9JqPI<AUgfVUv6
zbS0PG3Sd`KhNqZUnI^_E(2C+o0l=|{PyTsc2ia6vQ|C3OOVB)EI9l;XlgK$(O<hUS
zl2v&kjDf$pV8}t<$83!2Qh5@pHHk_P#Y~~&b>Z<0nQy}Gr_HU1V*X{_R-b<i`~8d^
ztvpl(6~TcP`Jxb8NYSc=$Y2Kmr)rrhZFHgtpmE3NzU%xu1QvwuksEq5rj5TkyDFDr
z&J>~<yIl7d=}`nXJm}hQk_2wOx3b9*FTs|V2vpJb$f<m6xF^Z||5vjH9lFHiE|YqZ
zX{X_&#pJk{x<`GP0B(i1$XW>}V7al9n}o2EQs*k(2Xx8BD<-){A~WT*dmawxYD?ah
z8kO&#bf1JJp>dsrfY*h7?kun)y;(adXl*!6Q<wc|UCx9<`}RM9oFeC3>WzsoLd1~(
zi*DCPb~1mO5XCKxl2VXMlM)nMXlM7Nfxhx1>H+npjmc<evR5lGz71bkeSlh7zRA{_
z#-{>CPZ~kr{#D|{tl>k!CrNY{VcACQ_iRRG0{CUVYvm|F57jUY)|OC*#rPPe&QU?9
zgUyOgbbP%=W}E^(Z~6+Xu|MesxMuLp@CPu(a(K&7ZS8dh^(}?zYD7zd^Oq4lcN8cQ
zWT}FXA`^Ttyj1w>*ahchamL6@<ywB#ibK5bLNfo4mqo0eMV>@~%y64<A6cXbCBTpX
z{um#n94<-ed5aH_gtFWWh=gz;e^I<7d;NN0RW#YjUw@>5!oY@j_}Z?2VD;AsDu7F`
zLms-rG)P9)fm5IF59{0Kh_7_y@7{z<K7!eP3&KO|SNY`60o7$yduTjnv;Q$nVlz~O
zN<uKEnHk%AiYljupVYwo1anik_wB8cSdbmUx}hiHU7_r~!_H9QVHy<6^YYS~d#>OB
zJowhRx#ZpH`w`UB<Dq=c{qBX&%+EV6#E;_vhi9IMN4lyd;vW*HO?F^}aE<Vp7{m-`
z-^U}0VA_rI5b6G@X<N4o?~8j~Hr#a&FGfbgR6*cDwld*XtQIVrF#r;WGAJP?fR_9q
zNH);|j@7m38Ca6y_zA#R`R7-?215s~z%N=u*U#hZI(Vhj0ca{b=o6sjxOcP_`>dQM
zYdwQQDBV)YQOjpN1nIsK*NR~n&#dTUHKjJG%mJq2;Nn`Jh<yc7NDobAHia=imQvyN
z4iCK(wPAx7%tqBQ!E!Y4V}|(S5z(Cy+un&uzasgp!#{tnQB&kYuPt?_N2R?+-kpg8
zJ4|Xid*_bb44x#L=&n@z8-FWtI>;zETou}(OzY`6d{%~aZn2&iUW;x~A%Jq(c31{I
ztD-0|tQii?<1C7bUz4GRiQ_7g?fL0pQkFo`^u^W$_0JWrtNO-fINU8{{tEHJXUZ-r
z!xZ(&NG)adSJZWWD2nyT0B7IPxE8b%CMQ36YqBR{m|4XP?8680>m=2g;X-0@<XR91
zyfnMr^~dh|grnV3LoGk0H)l$ibB7G{$AbBGg_hkLic52W=lBLT;<~;HyUnSRX%&GJ
zmQSTGztVqj1w166KOOr-s1L)P7HWEWz*$cQunwn(LJKt6Cki*FN#B(!L;{y||3R`#
zhFdg+ygsfzPNM(PH_=^zi);Yw(S=mSzVQCBE`sn-i8T?e+tWAh{dAkV_+QGIa5I72
zeq-4)thO_z<1q%ze2v!XXR0?ZJctspZ?02z4t**P^I}o!SuLb&QCuCd-*!oT6svwj
zS|Ax^JF9Sia4OLdLq#)$h&13boLO{h8$u-DB`>_DFsNPJqP(ho85JeHoISH^H7Og*
zrd4tjCp-J_FU8IwIv+$^NCfD@gMSG}tM+$1@C?lv)*+HcdB1Mwk(WWccpleBp5rCY
z$ClelWD@{}p)4qoO_`U8K^F){FYt{)`DqU_nY*jzo1))T5-pD~V+L^iJNB7g(=4C9
zQ`e3Ao%O23G<DKb{hQM-@DuIdA7wtL+_@v}ekcp0&Vo((ch@4Cg8i`W#VMM;k262h
zt08inr}|^Ht&eb=6FFndM;LqhKMG=*%WmNDUelVR`3Yyx0}NArhTI#%5KO*_?ooir
z$oo$SAtd|FP*$*25gozhcRvf;Q1%N{=p@wh4p;xPpW75N>US6^CQN({1qG3*f_4yX
zNOWu?Wf?mR(TeoZBL^<GBGC|X;6Y4Ks8ud$G1LC8yDa0Rlflc^y`QRXZga2%IHMrs
zbbtONz}EYpNP#c`<y7ZnawmhQ1tH7!rcOD(ymF#UyF$E{hr3Ckv>;3@j9gPynPH4^
zUbzWZV#&mx#hk{%(kZ*gi~~PQ)+=ATv$<O+&Y*ZEp%o05!E_ioatyfiJ+SwnV+u9*
zm;E%F?<ecdk*=My>xnPGaPR4wm>xpBprH2a68{usuCAays=*46QT`xarRYILQtf~t
zdl0rMX1so`JPUVM+Qr_WkWWkM3e=1C*-NC~-7SZaOl3(@N{zVuS6C@h0@m^3e47Yi
ztKo(=Ra|bm?{ys}H&dM%!wU++U=o1(GON7Z6eF!9szcBYZ=rh84lD%MzmWYSdj53U
zKkG8iR&`KaPjzuodRK%$fplaRUWn!ln18>rC#lK$8X7ud*6!xr(JZkAmjFYb@-4(B
zkai`i%ehUDKte07?Z>CrT?@W?V7C7m+gXr>a=YJ}F#LU)cADBzh%}qXQBimq+8@s^
z-ns$8h&8erLgg8TNN7-M{fi=)=ecPJ{P1S-<9`;qn@Q#UkN>p@EDB4B0Mutd%743&
zPpuz5-*G7c;h`9drAF^-gi9(QIbd=G@L6#CW?-80@FW9$X{0STzA=+UcF41@Q`8Ih
z*`?{Fd_$N$q~wp-FgkRW%+w)#NU7jdbY>LpBN|NFO%QeNdQ7V!WAwCW#bFFH#}r#Q
z8o!?@(J=pEKk#AMxb6i<A(p7WgUQp56fgpH>+c|SJ?;3!mcXArihVs8$=$y5ZK=oy
zBqoVq@CN&1@!@{|B_JqhRl;xn?5&F~=JZp_BevJ<_v6MIJKywh*uJr*D$1FWAWSw{
z5KT=BuY52gm(0x@B)rRVkexO;>C=%mw_A(ex$N5HSu*pLdFHXOSI?kNkxVyMjbqgA
zQ!5LTj@&g_E^T$eJl=cVGOEDdNBVNHfI9^eXWG`gY!A@ZlcYE3oJm8bwVth3AY&x(
zYkP?5F~X97XKGvYx>T5b{{qaV^+8K7zvs|>2^~LlN$ki}kbOn$r|5xCGZzN+Wr|gQ
zj2bbsn^*1;I!=4sfscBnfzYXf@RB4VN`1Hgj8@)0tc28sR^mf$nzhBN;?Dk4`oLpx
z%udNK5BsgQeyBCFnRgfcZW3jLM0+766^_%Qd}7kUU!uBmItH)RE8X)#;_N&|aup+7
z$2NGDG)R<i{remymEv|4Ym}U=336d3t!5{jvr>^3HgZA0GlCMC99L;BM$Tt-wp`5e
zi3)TMtwco&r$haD%?H)PB51mQa)dg0rN3rOYa>wts~_Tf{qnDH{|WU&`ta<QwwKJA
zbzRgb>cgn;VCLZ|^%Lh1vzl?YTt;unZvw)M)}W65%6xHk)&Z<c{9o_E?W(r($DAqr
zON&W`2F_*)5hAPfc9&14&;}AbZhynkCRd;Gr<Jx3*v)6THW-m8Sx6ykY~cO=Kn^Xv
zD4)J-@Vh~!c_a_Al>aQptSz}SM&L#p#9SCgT_)=wK>_!Du|R+vL`NW;!hHylFRE6a
zA}bk8XO!0;Ogn~>{t2S-WFRd7c;`DS^iPR9Fx`)L8Uv8EzFkv9jvSbUS3awem7$z|
zh;45gz|tdY3EN=z0YUX~OLe1=5bN9On}@?x@jx2S%+AluxWIc-=wO@U3~oHIR~>X(
zw-`iSg*VF`t19=&Y)buWc0-oZe*PTRqG5~L%YLy?7~Tv-vfKxd5>gH!(vh%pQs9aF
zH|mVt?Hf(!yKc56WqJF(QP=pMYn-xYU|EcT1c=6?ulIS&xZBKjOrzqw5W)s<=z0@`
zY!)+e=TDWeid$p!elpd4(s}}Md;;%V4pHHSg_?Qk6VoJ*DxxB{T$=pvUs4x*N_X~~
zG&+ALFFf8LI)DIh_eEwv_S6sXAa2O!`xk-6pRDe41fg6HzYvC}B*W#3!NvAIIJ7Qw
z;G|z`_?;5dT=j{SZcY&JLT<{szvja4>-|a$(Gx?V1neC_asdTBM|>wPi<X|46IGY*
zU5kFo!)sy~1&qNjz8_hZVDX^c$AUd+yE@JdYho;JEDMck<%d{ilo*oRc07FZ-G|3O
zaXgr86#OD&IOvucDan02z&bCC2VW1T3iatD2e6X>l?hm2Iyvm#p}5>@jR%VlZ>B+h
zm&;Lykra5~vrmvu%+N<5>?07m;Ze7X%$}2Cf2{X9SLBC)_ku&o0lgl2p(CO5G|hR4
zjeeARKiJMQGnP*{>#A=f@?7!j`b#(FiQ==fx8c>O%MGT93#A7RSlDP#Ra;DR%(K$W
z&T-qEVlzKyOToZ$kwtU4o<0Q_;mLK>x1{EUb1~s5SQDHwjmau}V(kfhElcQYx<Q$A
zJs*mj{}llYN@TJu?GqzV?ka%Fgs@S-DhTO~XJef+j7)|4_;L?#*E}t>>ky@{K5jD2
z+5DGjx`jc^Kok*0?$Kh|j)<^I`KIZZ1RoByl2fk65kOxPT(yfQQh`w#v+M7BGuQSC
ztNvVzieKx)TB#o2(_QC$8|CaChmy1Y?(=vL8fy{Lax^rDYiRJx4i>()-P{w<@9L{h
z^JoiW*PZ#N;j`e`cA+}aQ0Lea<s(sW{^scD?_8Zh0)QfB^ZGQT6+Ugy-6E#{R_jg9
z(XC|?$X9YJc}%Fsn2s0w!?#zf(uO}Ot{7heh&ERI@um5xE}S)w{p8^1Z!E2rzZwKc
zW)6%uplJH&7x4AAeiUMx;Z;x#ie<pzfGnIj@yU`5px{5Pv;0%poEGt+qRza@fhEyA
zCl)aWppY|p81==7C>+=S1#b}z<hp|6?HGT9on{GPp_eS8w^ecnRiV7m*nxg6Korn2
z0a!F!<1PECZ%h&dQ7R>*IPvaP&~?FX2kujsoI~3Fnu85lPYMOjgdnG{hFmV5;Q`MH
z*#-Y93EO0=C#bllh(3A+a=i_AkX@ZK8-~E^4NK0QLRhA6-|a{5e90hyR=tyqw4ORj
zxJyy_aqSr{bw$q%q;h15r51!OP1i|&KTJo%wh*-ZX(xi5-s^t-X~BrlHy5=J$Gl6z
z4pQX6v#Wm^PNcN)A8k{gS3eS~!lV??^OR4EKJ9Ws{|D1`JismLL8w!&>tJ4U?fXR$
zwM>0MrKvRK+Hy(dzz_<~JPn3U2yqkeT<=RQr}#$~r570rLV6i$%w(}VOZv=dm!GSO
zo6dDWjfIT|Uzfyk(Nw2GXvuGFEj^%L2A-pr1(`sB9(`fQY!-BNg!kXcHO`&f^S4Q3
zt&r&y8e!KFIfctEw~NdN&*Ua5v+=97l(!xk%ze(9o&?N6%N>j^jjS&ElD2Q2J%7k-
zEjZ!vaGn=Nc_XOuk&3)7K^<2+yFNeROh;0zJ(oQ%TR}I;O69PYTcr45$53v<v@VJZ
z1<-r$kL7H=JLAxv&--d=x!}x(vrSt8pO!$nHlnM5#k=hBipcUf?$wzWKTeQyZ^=S)
z`bZ9=t<~He(sd~kev2#T#l*(UC$AV%ivDUKfU?Hc%dM01ZY$byrDu#@=5M{ANE`DI
zV1mCFRg~GT+#%e1RI{n!&}xgL`dEfV6k1$IR7>bV5Q)v(a1W9_@12t42FIVpuXz8X
zsdk$++VX%t@4Gopu7op9ytY1n;z)3o{uERe>vG4G1&@=T<mkGrQKTplEs>D<7{=$6
zN!<bFMN;1YGfj6WK-m3BvJ(wq?2}EK$v*>#-+}Ri=|WTTs-3l3QP+9*#}<n5`KQ46
zv4Qsg)C3cD8#xkog~QPcFLK^W7(G2=tQ48i1IN$9I<nscn*SaV8~P+Ur8yuL4`oUf
zs-nGbOgvTDz&WuL(ojW7NnP@u==W&JcIZYab-CHSr5}IHGKTg7ey92P35B$8QD;gw
z1)nhxn=vkEEulGs9<H)*`1@Pw%x&LApUf4rBHjGdFM=fO*~W4Tu80r6WHkFH#UMb}
z-H*p|QnnScW*v%sAO3uFF;ZY12P&x`OfGB%FB$ln#erNJND6c9#aYMm_N!IqJ_kST
z<IfZa3*PrHqyk>^2ft*bs3RA#zdvT#Zit=E&~oeYEU+*N8buR0gf5BNU#Ve(6f-}o
zpA+9pt2aI^lTHurdqK3r^T@-CEX3-k%t|UBsd744A=>%MC_y)j+A~|6PNHj#jib%u
zc?5iMh)nn1R5K2GOG1bfL_0^`3RG?QC_7h{D&Y#cCt*r`(y62b2K%S1uGJ6mQT-2$
zDV_}u6F@r%d5jiWv_`@3+>cHdmHbj*$Pf#U)nX{ySb};={2>{pdpGB(!ja{NB;0Ku
zmR?Y8e~tV&H`Y-gRKC4XWFmB`pP@$E!!UNzKtM?YXX$AjE}kWIyG@*a_mRGto+GN3
zD%g`mx4?K$@#OOB(#~MV_PhPj+GE*}WTG-L{e=-aH3}C6kfn*V@!r$<(9a$%|NdP!
zUU!0+Z+N4f?czM$M`r=@)7w>}rmbcfUTuAcVeffPB-QDi%%Xnw+Hx~?GuxwPoZxSG
zsz~zLS#Hdtk|_2IKJ$;C%e}b$KZu<uWo~N#>#2RiPc14?*FejE`i4`O)Bm^M{k_T4
z_b+jh=G@JFW*v*9_iS2yYxAonh=l?_g?vd_c=NYkIk)v@c@Lm8*qSW|Q8b&{(3fUq
zx46E&8E@#u0~0&4r$Anmm_3)Y&V8oWM0`_^qr*mHonLQ#S9}#HZ^O;65DsIcjpH8=
za32tYOk?5QE;MGEeotCVC_URwqzcB-ELwvdKb^0+xoVOrZbIi$<%;`)nG?;v>WkT0
zq{rM!Or1yUM?c9kek2W92<Shf9e<ruOefEOCmFZV9U>aCFHWZKdkwz=zMTvcpL2iR
zQ)aY-UJn^RYG3!M5KbHX>GQhRe~-L_6fB@S@<~|3;(e#4A^033vs-6P<^|*XAZ*sv
z{kGD47$fKdmAa^yFzq;!PL!hgNoe2jOO~%wKDdV`9aQzgPD{6nKLI9L<~v92azPyT
zH^d^vrD>Yl(DGn%=gCmJZzcCqon|^2r#5^2oH2Pg5JvZIOgr_VL!_@$t^vhm_tK4N
zP$D)J2`X_MT;Q5oMPl85)bO%%v804PKJLK32!3#}E7X$LoT4gVR@%N!aTK&^sP89q
z3?{*J&|(%DOSUC*Qxv@y|7AU|H|(18TZd_4{|MThLZqiHkf7Bnt4-&Gz6o(TS!*j9
zTq!`3S^<rg`LNPUx5U7D>G<hYk)Y9OO;P}PE3EbtnY2uim7p7Ylt^wBg+C1|zGU1o
z5zCG^NnA(E*--Awm_bFW--&-7{LU?wqWNJB-)_T<URI3D=Yg#v+7f&s!!8$-uQ-6r
zfP@%)u9`doo-`5thTo~XZ^Hl3SH|5^rjFyE;2r<7edOAg#cJ#JJSa*av})Ul1?hul
zbi=Dc+XLKUL}&N&4=GMrmJ?g=XE-NJwx!Kr!wvJZx(XY!%hx|d$XrfPU4GYgZccyh
z8h<RhJDJu{=gz-G>D#M3tNa^=4BS~zxoK9fw5IKmoK^O=(wL0JvLaD9a0d*f_Jo|#
z?Ze#hQE_+!5+lKym0_RH9vpOV7RBGvD)P0@5#1s6KiMYpYDjRsEhVkg#h+JxP9~3e
zI_Ww8sd(aufbwtG_e+#Y<AF~2NKBkj#`XO_@&sOZv<`{)#uv6u(-uGDT2lplHB5z(
zSe!xRB~&r<oHWAlF6+`y$)CTrGtPd)|5A~}Pi+MuxeLc%{7Yx0pZ}q}=+C=iK)J2S
zT9j>-?Edr3U{NDpc|Mhou!pL=<|SVE->%bCvUVTib3yk=g>uCoQ1AQ-GQ`*-(F-bB
zkPzEC&gSG#bMj$!9Fg<Q>PmdFfZZCNACl~USv)U)PKxIX7JR^3cWeoXZ*y0iYwyj=
z>hrld39MR<dyIiHj5n5U!bUyH>D4VE+Mw1Ta_}0rTctm#P^Moba+Vp$jB#n#ds$S#
zn1peOLb%FVt%#M#ogxZEO0vg1*hJ&`oIC(!@pKx}LIulqj2FAVG?*Tr2nm)t0&z@?
zU?KL8>}A)obov#$7+c1gPoKtY=4mif>@?%rlX)xmb3|rv-qMnu?o|k&G$|#Aum;r^
zrXf<{?q6YZ*E3}x{p)r6l_rd#W_@z6u;9V86FdAxSAb@EbyAqGeJX$RO{JSd@72+z
zR6*M3>hT=c=V}n8mf(2Zk+L(v4qn&yEsH0?#ml_yYI@?IzNalSZME(MpY?Z@>B1eR
z=W6mq#4q2iJyG2Er`o*l&0zoLsgQWW1i2cI?>pI$9Jyb`Gazd-e{vATr*t<8Mg(;Z
zFU23OT;GCqL8GP^J&4iIirL0-e$p<I$7u#nxb&rDxZJU>#<GgSl5u~@jG<qQcd8tN
z?tjVq9F4rtENSB&WrMm}auo)e!Sg1jH3oyi)wTL>V~@tTR;*xcSC93Cm=F&_5b9mu
z9&Hwx{MHo`(VwvV0CbAVc@yT-rQMLts%t^wn9BDH$o5*9<h4EBYkQtVdD_$UWw6!2
z3jVS(e%sOHx3hJMj6(<Pn~j&-ua-v7CHCVC#6P5DMP`)Ky&ZTmQiun3{oMJ0c9l`{
z7{b7p!<PjO-pTIM-HX2^T%So$iyzE$*ZnInWc=H*+O{0#$S$~ryX9TtvCe@5AV-0m
zBLtx6XquMNJzb3bp2LpArBq$Q6p|fbA=)wF6TPP2C&@TO{&}Z^ue4Ke7VqZlO9TC@
zDD0q`y{1RW4CrR?x(ko9lZFEg0VV-A2~d<jiR^!EtHNZi_K)!Q<O$2urNM{i`zMCE
zQ4?;_ZArB<ba$CkT*5?6!*tbb2dPEl)E5w;VNNR^PXo-oEu?|UscB{DJbYRMlDyWA
z%fdDm`-N7|51Ls$?dUKate8c@%4=VqoF9sM*|p5iuXkTp^~xDkEJW6w#`Duqi&9)(
z=##>=EVLtm_V{-!ndMzT@1n?C!BH$vkPl7{-i)XKL_5EnL@gj;0(yVVM=vWC(L;->
z>?MWKDY(YObLaqKZ9p<$Duj8{%8dF!lj#>7XR+>VLQ($t@MiUG>uMm29n`=r_|3?g
zXIGqmZ16g>XA3(@W&At?uc?81WX7{>nXWQ5C7DL9*m#ud953zjKO>2PuU1jmx4XkV
z))&q^QoP%jjRd5IPdGbyO#yQ+t~@<iUo&?i#^uHt!-!7hp4J*Ra)Gb33T@Ox*mdun
zu`Z>2$PAYEwZYj>{5GxQxvzRaO7ll$j}&P(osm8yElIMJJ$35&q<1557UYa3tdRG+
zp76&o{NSbC=GToOlMg-5DBBF(fj&_{y%-Y?DChe*Uv<)03&#@v@RXt~qo6gbS!qaX
zcob?-f}PrPtHq{L=T#!_5<l{R|MaBizqFZOYAnC&bSC;Jv(IWCdamwey{LNgMd)TF
z1z{86>Uz-pXcxU_^qaPa-P2cJ14q~XC}!jS;NGW>B7xt$W@qFoa4tKgnF-SAYn<pC
z^%orBSSIoqSzI}>-lLE+Clcu)_w<}=G3(h#xVxX)tE3(F@a-*Cu>r>=xAt&ra!Lf|
zM)lHCrSpi?_-amBJwNIYci7Vz;x>Y+{t<LdxCJ7QQLI%dV(PW9d@rAYeW-f*0&1`k
z8e3I|u#P&M=dHS_#Tu(M@=DqW`)!+vq;3oU&HLr-!?%!JJml0X>X~iJL%p#K(sQap
z!b0D5;qIEqt|VdRR~&NwG&4aJ!Q9333)qT978WId^mbZRp^KITr`P%Y%HyEk;kku)
z-`Y=!o+aaItKSm+=;j^R@qrciFhhL!7Q%P%s+dIS@td^9>F^-80KMhqR!9j|d_95Q
z!o6(We$snWj|t~y=b^@vC^TmYMEM?ag89#@d7AF&8gEy_PwCbRgwPe8f$j(D7up9i
z<5F0}k|=6$;hLzC{EBiRy!@Ofg@%>-0(sv?{U8#9lSHV85yJ1tPGcrW2n_PGCxSR^
z4Ua^9nELG1*NK+D@XidKwSI_r?8e=?I?ge4mTr7w858X~V){yhV#>!*k;(iqOT}b`
zaeQTe#}ls2k=b>K*Y|&*sve-b@0+NZAu<-wJ2R<z2T+{==fryWMLhLR1%Y{=5;u$p
z$WL;wNP67^aqp}RP67<l^>)#~eGyAsJzKHRN0s^6y{zmW+HTq=J6~HOd8|1&VDv*7
z^^Rz`&>E#k%{?MhL20Hr(r_|IR<2*tbG<6%O^UfOS9=x(1rJJaQxH=H1L_ym!Pq^Q
zXP4SRYd!Ub=<64$`de@CQoOgh-PVv-tsnaJ3dHPLb!}qTs7sQuhmtbP(#-QTz0OFR
z==SSZX3ErHc-4j}hymU(wpx3<ep*GnNWnN?&D+T+lC(Kw`}I!KX>?$^DvT}HT0u~c
z-R<SxYHEc%pQ+E#Oe*GF-jH!LRR{Is&illw4&k0!@h_UG<SVLkT$w;`U4jg4c7p=`
zIZ2xq5#Wp}8k1$4-(P3&mOC{F5_NnkuD}-}Ag(!&_9BMQ79}KZI+$9+rle)_oKv0<
zDE?wf_9kbY{S{R}EEgR7dxd@K*NVNVa>~z}taWm?A6coPP~-y{Mr`46c{UA|Gy57t
z?~(e$o<$BrHxSzcGt#Z2x_x&*06yGv%scB@cDprQHT8~l8Q;IMRP~(b`Q$`sjFPYS
ziS=!-tOZ87t9gR8J4J3v<_Yar<I2UxIx+s!(WYV(=-qOWlgmqsv*u?Om?fDHj&18k
z9Jz;<k%#;VwbLKYP}$jL6aUUu)NxvP;r7N5%~A691(8$P_L^0({4c?>efrDT(5bJT
zhO0;FU4UwtU2I7XPO0;ee+kcuu|l;Fi^kJw@u{TBUC0DW8Zw{-+{Oy?KQzV6)Gs-V
zhYyn|IH2i95?rDTZ35R;SZe>h{NiPv%zj#{CLi)9XiY(#b?OB)btrVK<(rm=?erEf
z^C|_D5QoLR(2%?PHxfm;UbZVQpe<~SfN^)(l9z%ttKf#xBtsS$G+RU79M=#Cs49xn
zbPuo~_PAW%#klW*N(K<$SXYB(BHxDEo>h~4nWvq|!DXCT+V?zGR`xgytVz0>Iv*b)
z&~d91AvwiLoNIiD2YCB1y~ujk<-#!w`{UZtIgrx(bA4?E{d+x}^^#6c@7`3$ONzvm
zVG&jPO%|%)&!2&;mtKvpCYvMA$=1(Ru`2|@f1}pE|Hjuk^CDuA)0aX%s5b2Oc^~*b
zHR|Sa$7_gx$`6SO!7)p$s=knZofh`vKxn^<51)^62+79G-`A*`s2Gdu18*<W#)Mrc
z{<m&dav(X0P>DMMJz9HT5+B2~*ZQ*@mRoUabzlX<s{MTsG><VyqPil`cHvP_3&Rj#
ziNE+_&Xl2vd8*n+6omy(P!e6`bWIafeW^uy3%|II)7@aLS8;?ZsQiQm{cf~dKH%(Q
z<U~ykiQdCH3cC=}x2Jr?4Lu*+27g<XhOQ@A3c-r>nyK<v2gsxw#PIEIGOjIfEzp<7
z$XAV~!@0`LfPh`WUkaW_XupmZy}~V%$5%kVQ=Jy$<Bd-hRWLHqk^uw9JB8yw#g^VU
z268}rHXh6Cr<9)&CdFnF;*4DQdNw7ecqo1()aHs65tsc`3F*Up94{>38NgO#Wf*<=
z;9JG&-nk{I@MY^{=)*th;9|U&c6G!sEFt|=TST=jx%*elK+iOHk5gAYRmCmyi51LG
z?JvfynDt&@HA*it*mHP5I41>AmQyQQoMGWw#do#eBl@do7Y|!^HsnT_T+l)V_&RoD
zsNyH6VpkPB8<saw(<}6gbK;01du)$rQf<cXTPy6}gL;`SAFdp6R|JW%o>V`$dH;Ns
zpnJdc{og=2N8Us?-X+@WT4A&vA^@9%tNl~%nO7m40Y@$KsLxOIik$TuXA#UMZqgQA
z*IJF3@fUk35SHl-jjz3eRQhBui<<bm&WI>RJK02j+>EbN`TJpS@%AR;U+m2)54N>o
zf*Z{?8xzgBY`JaKIu)O)$ompLzubH|HY2HFQPq6sZkUkGD=}G0Y3)4dXLZyfKVSHw
z_FbcCT>LA9qY==n*4n>QRovyoVU@^XHEJ*FJi)>K(K{1$2ZvRQ6l>Pd*36kEz5#Dn
z=u8%1X6*Snl^TU0zpCm_e`uv;ycj|{A6Q#Ilcqz;4Dkr8Q~KN`>RKSk?{>yf7`r4>
z9pUm;V}AGmMafEFh9FwrcB;fdoV$u~z0$!JBtUTjc3YP!y1ZxX?gRVQgV5OP9qOHb
zcpa=4HYCA7ax*uG5K3`Z?gKv&e1;6RSx5V5jNHZCso}#w$6@<NTx}QfarY4WlXJrU
z@MR{T29Le4aCXb=2A(_HOd}-?$j4`A>aY3DKh>1`t?DDyzLy|&f;4!I3gAP?Zp&C<
zQz$w00%bSUaq9A(swiOJhiu<Q_{geZKmW?eVECs8<;zf+i(GK2LD&M$17b7Tho2?F
zQh!pjzEt#16(*bTAZu>-VL<0o+{B?$L|s4W!JuCx@aMDWaoyRSg6Y!87~Gm|i*KjE
zLZ@H&eg^F52ZhOYM785B3pRb2e`{{}*y-B@)Cb9}zm$43j%RTFd%0Z)XQnwIWY6ua
zdSz!(6a|!zX{g$WI;Bd+Yge+gz-e+8b<)<EM})Idf}Ul}-3LD0r*`_;Fny-Zcz%4S
zT$(=gVva9uIXgmEX=k*u<)*Yi8Vm`2TuIpsi}j4N;|^Oe^IFx|_lcEGe!G%|6%d~x
zU3hRUyc;Dc?M*c<oS9O&s^@g&YT_|#ccb2@bZSvEZx#wqR}&>|C#~i$;Mt^R&Gcff
z-i**a^7#j0#-*SyqIu3=UTb7ju-?}XapQ1DjMY?m{<+B-t2o<#$GM&o(>-~*Q6wVX
zU1V9geO0W2lctUW)Yr0|D{+2VF%@3x_iCaM=f^{>KHg3=TM9*o&0(p@6?C*46PvhA
zD$IL(vW=XVM#|P&nwa)j+O^s^HwCAlE#?EBJZP{CX?+Ru6C0sbnd{_OvYT`RcM;C<
zOB$GVk}4yr#g4u*@3W<ri`L``wRJjvC-l_JOTqc0x39WWkL)R#`SSEV6`AI~FliNM
zA9>wW|G@;LCxW*8)Iff~kxK=mL(-;Ku%}Z#YUb}kjRlU}4QXqzHndW&!?+fmH#d`8
zS-zjtmnxOR<J<gc1DWRt77>?G+wThab){6pCPpiR=buGrp5l$1C`hnqouS5H)hT?X
z-#U4VPIWQvBLTIr<;)qG(`(^0gl<Q74fJPCALaJAg<ItUYX#^EB^!%*Y}o(rS)cWA
zmHd`;A1KdwlEj;8*QZp)HKdI=WQTZ!73c6Yp9=<3n8}wGkDlsaYSl9bRvfN&7D)K(
z<qz6Z{^%fmh>i!QoNh{7Tp@l<^kld-hsyp1FB?wrN(YBhT^2YB5W`pgdp4jX_mZ_%
zDMIa-DuY`pHvVv{RYxyrVCaqqjD0;oGQqwOm31j5m@)x-p|N>Ej4Nk20E*>AcTil^
z-ZMiBck-YLoYS6_8;v*koEqv-P$qkH!;Q!aun`C<{Nd#dc<$nXc`Q#5`SHN7w}$iZ
zs@Iu@Kje6(68ljklPG<r5~^k;&4dX_GWP8y&!LP<qVh3QIY0c$M*BcXBX=URc4CUF
zB>roVu5R5%ezArx;m8smBm}Rrm&B}wU@lR`?~&0VzL_3gR?4zeU@?vPa(SszQSF}s
z{H7;;jX!t1evMesLTtDGBST-sJFMNW45c*t#a=*Pc}rw=8?wMIBJs4(Hz%8a#?v&=
zJjF$#+D~O3`Ul0y!kqWhrP7~J$kt_V6yna^&?+L@;TLMV%X1=Ctr6k+_>&c}H})d2
z^v{GCOT#;3+#e5Ny>Iq~w9pxt`hHVJI5MWQ6Ol1`qM$<W%KS>a|G<2hb9(9P*#-m0
zfw~4_6r%LNpOx5*^grPu*>cShQFVNzEec6h(ZJa>bNF69N8d<?SM~=H{KlYoQGC`)
z-AjglN>NhU>7(V*+uk>aMn`PWVF^7)9i)*&9Go{$A})K3*;`uW4e7nJ^{4B@_m?FM
z?>lwT?qMIdVp0go0HM+-<N;2Y;`F{v#TD_6wcKbBe2^(m8V^qc(YjU3+R~i{24`q~
z{HN2TKZ`r4T#s*y?spTdp!v^0kHzoSY9vYQb%T1q_~ht|c=tASwC;7Lnfkv8r5$sQ
zq90XR@SER@=e>dfr!jv*i9?<g-N(dl_B8PmU}(+;$VBYlPUGCpRF|kfOj+%`t&w1@
zFN$EXJIeje*!Ru9rP@*$3ziVL6F7vuwlWF(u`ZLTfra52&eSYi+nb|*@(3ZUIEniz
zgD35*gSv9`FMthERvZ7NMSohC15Q{JA8G2U?dx?`t@aZg6sh_%u&7__Y=Qu;4rVhp
z8C`LH&h754R}h+-6-mECF(^f`U7!8u>H9nwBb7frQ<@jVfDF^YWw}_Lagx@2Zl7o|
zA!5&^PXYfTv1)Gp#p0L*U4);$+k=FCjp<I?Y`RqKy?+}h_6y!Ebpb67pFt=qlib<d
zyA3Qg!!JK<vh=bkEyo_y{Yx2847lCHDOVp29(_uVk;~h-mybuI60Yq}9CuEz66@_?
zJd$8=#J4j2K@7A0aFx42k7dW6IIiBO!7HvC^?O=@)>Pl5YwJ3j<cW^QHQk?SVDH1c
zun)RYXw`xRob)_tUkn-58r`m#^~-n7=GG)1_nh88vD{<t!hVT;5{*vz_O|p=QhR+w
zC#JHOoA*bArn%H`lke+tXZ5Vh=j3%UFY>pvtDd_RWQoO3hxHV@ZMG>ZKmA=RO2P5N
z#ID+>MEl3}l^c$EJ)@Goj|PhNegmfCw!fN)lrGyu7TA`=)2g%{9&7~-R*|^VPtfYx
zaIM}LkAJfm1Aju$FTKp(x*XY)??(k!-Ri>ifl_Ah(unUgiY3Dwp{e_swFzh)UzcQP
z?1)QlNpr89`rp10z!>5;ww>dkG@|+UeDI~JFHuA0Tq1=~+h~w~fw4?#jgQh1^$sy_
zS!N0-Zk{|XclY82<5cTLf>XQp!ey|mI>FS&aaOM1yz`zUEsHe!kADz<TfR4SoF2kL
z3WIVgKq_hYb;3p06;!8+n$^kdm%)Y9^UGG2TwNElCGkpZH?jc)I7eL?xtmt2ldCEs
zvfPx1(+UB|!CyPj+gJSqp{kGn3rQX6*MG+?D#81Gvebu3^1dd3r5KEqV8Od)s?{?!
zCQj)PAloZDF5X{aZb^}rj_g{WxYAvL-=7zprsm5NQljA{v92g)+CqLnt8L$eg}|)H
zjpL+IZx$_%G}ti^V2pPn>yg<pb)+l#Y$cjwvrqwo5`(?nH0Kw*XhqqiAZtQl0l4WQ
zt&z4L8P%If3gfRaaLxJl;vW~qUP9&nifc2;?R&)5S#cvcj?2=NB&l+Ep&K#sLQ~Sn
zL9JpZYw?T86H{DG(NQC&9vQ4esE#PAMQ85n;liBk(L$%#1^-k5XOJ<w+<>4F&C3G}
zE4UNKPJlJ;GPnHwkY#R)lIjK>M0)KbiUm|t;t+yb%tsBq`!t2nO0T$Es@_$B1E<t&
zhOZcP#%O2GB@lC7xv!J^)9h6BuWk-UF9e(6vRP)VAKWaT1knC05!!*Y&JWxQA#~<K
z$y@t7?4^9AHfLgV1$BiBqLlc{4dYMyem{FW?IwJd&C$TQq%Fu9|2Mj+)+dZNxfV$P
zTwth|MI4ceQfmlewaF=Z1viUB*Q7>teV4!HrPOMXNkekN*Z}-iqO-re^YkZku^Cvm
za^*_;iJI75ddClt?B4snc2*pDvsORStd~HC{1vrv^m)t$FcONc;E+mQ+BzEVSm*VL
zLF`Dc@x^Bw;`K~r(v%IkC+foy@GesDeRw;k$&7i9CJaQV-i^PWIUXfa(oQ7g!mX-)
zNNVQZD_BDY)vtfq<uUdSq@NHVM02AUY})wwaMB;#q?glt4yyfasp+J-6K8i*{;Yo?
zbnV{B4OOwF#4p+R0->f5QT<~QtEHp-ld7WiLuFwFVvaCJ-|fT-osEnCK=b!xlX}K;
zY{GF8FhQz+!NYlFSc7T?amV*=*b~o~@w2>?q93-PCsx0gY`ZfGM;2<j!d$67mcO+u
zd{^@C7eXy*_2|LYBALWyZEF&LRPf4wQdxkjel&N#e5Z9#6jrfVoRigrLQgx#{;}tl
zMQ`|EBKMQ$Gne%g=PzS3oB3NK7tg(gX8&}u;%Ij#j~p*YyKGEWlPoSi-M0)|O)|j6
ztwA`GB|Bjk<-x{s*rB^ULs=ML+{7Rwy<XVvS^6f+VFSp_UGdH_RV*oTbSacxd!e_2
ze}-w3X`C_{fiO0+86wqc3){mbaRBL9`*H+HU{oLF2C~wDQwSaZZ^gqLGypKAsHl|~
z$}1EnlkW2T9=c^g^W@+%+G_A=NNtPr-$atTx4wBm)ZJHjgZi>dt5{WhB}^s%?(j+V
z4jl!(8r!I}PSnE%D`9=x1lmGsj(C4OAb(6Y|KMTCgcbp;D*}OQ-gn~F@|91W_{Z-V
z`sQ9}-NSXsm;4(xYH^flY^nUh6{I?+mLQpXJ!R^Wq==O3k5CuEDMO77+Uf0z$e8${
zp-1CQGoO_KGDE-KzJ?4x3OFVZFcij(ss}L$sl=eAGXE0rnjTUDQs|QIZ#G9^x|EoR
zP)s4U*8d)$E}nR&?<N{ujXu+_Y=zKgXp8HKO})gEGjY9TMoBPb*Kt19j;f6jNamk8
z&Uu1(8)8RO_BN)uC9z=E>_F`IQF8O7Ee*>0)5gY&z1!bOKG9jXl3-!yyxX$Y%s$Y=
z!zI0TL$gZ0A6buc&#dma7ev~o_LYocmev<Sod3bNF*|a=ZvVX$^_0<-xZi@aTZXHr
zzUS|!3e{uB7v4PsjkDUc|MJlPEj$>TSCJ>O4^I#Jw#NF~g947T;&Q_0L_L!c05-IS
zIsbz?NTC?OQRgL_`+<BP1+yKQ-<JO58jXr0W17ekWh%fUd-qTH;5E%Zvpx3xjklrC
zi}nLw^TIz;xp`pDU*aY+L~t7V4F?{g<nX=ACce9x*yS<CQ&O(Kbh5|HBSort(pl6(
zhUQ)snZR!T;=u1%sxrjTXM<wI4{GBaNXX+tuS_qCNz|iB6gd)h8ii5!A-*^D@DqXp
zpNrq#Nhsub$463(+-r`IHPTBPYJj9Y7x5bXlfAcLtLdh6T0TQQ{8L|#L!h@HXDU7`
z=uqdIv?UU6=tAS?Qc%$E7lH|aSdosjUhhh(u3FZubX`q4TzxW301NerStsv#xx*L*
zHzA3F>vs)FL*_k9>rzC7>NsxZi@($Cs@uoP4JyYh_Nmv9>KU_luv^FM>V?GZ;CWn2
zy9p?7HJ}JDD|p&#K(cC(%YFLc;x!$oRuP*Y9huK^G6Cr!V1q%_Tq|Wch6J6<S#<SN
z(~cNhf76#vHI)yl;t6s?%$&jIcoc|$XV#-y2D9V^Pluk6(vWhN6qT7U{N{j`%!Rw!
zg!5cNjyci8QRNcT41e}98zQVZxW=wsT=jIm446qD*3);WjNYeF+g6EE+{8(mM(l7f
zS(4Kqqj)_`@UEN0x+tc67$WNP*#v(mko=1ou6fw9nS_?$jLt1n{K@(f${Z|w6^Nlv
zDf6-Q;Vy5B`^K7k!iH3f_H%-~FaP9MnU#&DKYkDie6J@-IpzI?_V{Ilvq|6>{}jL4
z%|!47;D>-0P}I%t!OnD8PMBroUb78(h$k@ysZj13qBz7HVt063#qDb6vX@1@6I=ZG
z$MSd>SDKND&bVTWSZBA7GniMNw>CBX>K(7OE^rknD;&y`NzPTcj8oe>QOfhnlPoFE
z5bsIk@uq>%9gMGTw{ZT)ER}MNik;?waGoW`C1nH&*xSMS6IamF+1#eTexJ?|u;^yK
zITO9|l`<M#$3AJ+<?wQH2pc@sd35sj%jGA`P>uUfGZ%&CwPstj%J$Et(LD0}IL8TU
z0G$whkKfAX<Xgs$;1oY0`&DapYbY`iJC~ySl9&B^T9ID=mm>q6_tYg>1X%^2s5#VS
zNedt97*p~Fbg0a2uamNXr`SDdb;{{`?XSp_`O(#Xk7_v$u{fnth5kE-#xsOPdW9Gq
zQb={V+g(y|cB#m^&)`(YJ9fRlz_v_>88|}_*C#42A4uB9*?}eJ)L?{?Ss%>66Yl<O
z4G#`s`o%XsYLzg@if@3d>DdyK8Y<H(=-6R&ukt}nPzqKn;6o10+BQHp>Rqd&`qNpS
zoBauUm8#7CS_lp6V~*$S{#bswJ~O?a4U~HjtEy()4la<LFG>I>^B#@?k3+7n_cUSg
zs`69x_*@P6uSzdpX^Vpk!vX8$W;fS9y`BO+Q^f(qafvj<z?)vdcCus_+!0>7!gAx_
z9}y{grnr%Ea9q0bKg28W>e<ha*w-TV+4P}0USz0jDMYH2PG=k|GC{!qxw_#*)f#wE
z!Ei+qvv!>D_0#lx7oq;8t;%~8J_|n}k1;Rv1GsONH#!KFpN-|cq76qAC{do-zuR*o
z0n5lfmD_t4?rS@~S=ED~|Bq?n{DUglUbMTkRK?M-hVy94s6#7%8~hAlK@GqSe)}0A
zD0)!SXh&Fn9-H|^w`E!K-$A&rQpF!IO*wEPh)m-?C-Y2&%U+Vq9qvqXZ)){idy6IA
zIE|MS16cC9IX9N_8qNx$xB_ifU0Jjxgeg#mw^`3y4JX3^Q=F4fC_f#O!el7Uu3Nd`
zXofK<Mf~8e_nj{`QLn_<S-5onL|$|#A(5e~9qW7;(k~0*70~zd#LY!+HEeT`^++s)
zXEH;TjkeHjWaedz?9@RNrb<CP5lZeC*p37(KIPWT;(hbl?(5mmuWnDQMn;K^#(1Wk
zf(`2Z8G-J-P}autSirrsm(wp8e{-OwWT~#A!0%!(x6M{=!gBM|FG&JzVs(8aMiL|x
zj6gBy>tcyM2%VZdi8O@C>oo;fUWInAhcu54;d{mw3)-sH`qHz5hBZF4nm;7E8+qNd
zJL91A9sA(;mp1H|nY9=3+t+DG_7Zx+GP#b$8;~zaX5nJLLl|L-U^4%yA{vDJ^5u~m
zmygKM*sdd+$WV4V37E-G;vmlr@4Xd;XE^r*oTt}V4=`JaQ^=A`ttH{ArfdH8M?F&X
zWA~~|M#W+X0D?d(H+_S7#pF~u#s*NT41cP-+_TGP3b%sX506H3m-v6#@^x6de%v+7
zb(>i^uY5eyh%xLl#j|Gu&MMA9TGbU>)3DTn9j)b5F`<rq&F$i3>j5QE8k(b8Ttdi^
z`;$1sH(h0EFS%Lip~&ERc#H$^^?s5+O7-{oZ~f!BE2E3gPY!k?_!Cfr{|$ANd%8tQ
zQxETCtz@7UB4vg(^xsB?e47wKYv!tN+EvkFe<g$Be(TdnxYGSe_wn~xt@-n;^LBfb
z<H5G?u#rK0z0ZH3)VCLme|;9I{w#5zy@YA^;Gx9w(avj$rBqozuHQ6{2j~FIOQ=X#
zcDM=HbE8N`lDTXH0qi9q{bvf{u5TJ|o{!9g44hF&vgc&&8aFDn<z2Q8->z^OcBNJ*
zMA&|;NC>ypdH~5mWOtUUe>we8ixTQ^5G}#1SQwIk{XYE8#I7AB{)+upEeRfE($w94
zMme%A4N`7jXZrG$!R_e?t0-}K%tUjnpMvS-#FB~p)Z0nugtB9g7ngeEkoO*l{D^jX
zHkhonQ_MtP*dgd)v+OLe8B<u?Cio!?HzZ3k#k`3xBeeCp+-?Dq+G@4y^I9NEeRy!G
z<Hw7`mBP(u$tdp<W!;uX6Xok14XwZi|GP@r6^GKJ#Qnl1|N4ztpya!+nB05sNQ>@C
zTbIZ{-@3hPofuGm+%Nkk1qsSsjP>|C;r-fbG!{$x3E{tyzuaJ_M1sKvhEs3s9~cr-
zJX{shZUv&=pA<QFyl_ztVIYD(RAt9E1M<j|Rf^$<gc9Pmr3T{-m)tL1FPT0Q4A|*h
zNvDD6A4(zvVte?P?y7q>o{B4Pd5rzWmVSPFnYA}*oL+I+#dr1h`$M+NLVR%w1jhj&
z{F<!f$8v6x$eui=O!o47>nDF}5BG((ek?b~4bG9d!#*zg)3KzmUs;~l{IX*myB!dl
zuIKAr$+_+HkuB^B0qL=C>ezbSH<#MME8!OR+Nqi&-qYhm4i}OLyuh0HgBy>_?{gwC
zMtbY?n84Pn;Z<i~_wau$0I?5vp8df|a>;%7)CRq4K(Q(%aW#tNWgOy`x<>i_>BkAG
zm=Q>?-tK|o9Fv_PA1gH34le}m{a^5Xc=5hIJ3wl%W8OZta_M=o<s8mcc4xe+caO%(
z>!J1n*u}CRgAMPa+(T0|5_mLsu;H$H%J{t4LBUz$zD~=d7M5EIKfM)vP6x_}54)1Z
zO7q)3cBXoUTe54FB>U_g_-s-IjJ40{f~?<`eskP(1vjbTVO-vos_n5eH4}skT|4x)
z-BUKSpv`7;vtlS3PyfN0Q>P|SMGduD)DQG-vlTT<dD<sBORI7E(JL+ntx1_xJ6d+9
zc58xglNi|-#mF&_A#+}Sci0RY*8b{SF#1nBwV9&ajCrE`$`+LLUqEGUzrw73YAT9$
ztJ;|DopNm1^JyURK4e$wD~~pUJxpdKTVGMX3#a)Aixy{2`}?X!&i<a`@q<bJzvh41
z#Z3YXvI@2f3XXq3dpSn9tT>G}l4K<k7(Hok9@S1(muHGWf0AgIpjBY`sxT}8{S<ex
z;dez&<g?Ys5tmpLzv#7(!1JdzvUDMVpJ-BePqg%XjQb>5<>iANKPo@;&3<gwZ?ckK
z+T8B<x`pW<^-#}|K`DY=1y&v*ne6o4bTv+fv~W4`ZFkUh6gL~-TX_4yZhf}=|5*C!
zxTw1CYbilG1f)X{DUp()yOEX-c>rnYZje?&LP22Y?ht7K=@`1C85o9!8De07_xk<4
z|6KSG_s+d{pL6zEd#$y<b<;SiBybNmSWG{AzATz{%=lViRATdX?pWxnC0?Zo(;>^N
zk6VB(i&Rns<&E{Yvg`A57T{U@q8pF-WwL#d4<(1IiE1fDISF<>(ue$ms2oFIj)JzP
zp7@VcSqpd*ZyEKp$lgRfLh<i4b`E*uIrvd&n{VTtJG<h<eC-VaPijrX|B$`OePoP<
zY$d3=#?XeVM3r6|5DIMd(4Q!tOtP@H{k-!nuzKRq#fUFVREx#^XEI*xnDGheEl&pE
zwevoCjRieo#(4euAfxN6RyTsZjv>k}6aPoN*0*j_W`=l#rGhk8FgB@kw*vEsd8$95
z`xeUj4vo;s=i@z^#K4d%;=oJ?-A%OrB0}mou&1vykY9h7ikMe-#6hg#OdfJQTGBPc
z0AZ<EzVMujIYVK{WMEcAVJItaOVWUe!qk2)$8`J}|0kt_d~uR_?6AgADqV!MDqxEC
z0U>NzJO5ZoX*^3$Rxre+UkYY&v&l(+T9?JOo0r43Yf?curWJ97>$EvM%p+-}RPQ)c
zlK+st_kpJ9Rk7efl`#>j<MluA;|a)bAZNBS|IM+l@?>>BReEE^@0y-tyf*5?co;+w
z{Mcue$j9Nfv?&MmqE0>7Y)hq^{P5=Q>!k~xMQ<4Z0V&PXYRa)s4eO&1Ou0MfUQ>|{
zLNzDQ|7Utrx8A~eV;s=c<6~-Ndajct=KI3iH^a&#=Qo;YNy+qFwdqQ_q$E0Pv^hF3
zNr33W$-?Q^^2?c1wn;aqNw<(P*x-DGiE5qe$hCHsO^X9ZMw%hQWUIcp;ce5RDAbmh
z%TuOdrSy}=YWum(AK@U`63XCcyK3tKak+!0Q6_vwb)ld0^`B93J<`i2wWh?@P7I^8
zGJ{hGVpb#7oouwG2aA6y4$rY5+lMkgQXU-qdF_`<(kb<(Z!1|0pJK7kE18HO?~A~g
zpf*-}(6w^`)`4gya%R&jEv3t@;nk{dH07ZOEWxjEU@Eha{Hs0c9}aA8NznWfkz`k1
z4q%F<d?ON78-BV{9(w6}dUCOhxJlzLDmx@HkqNc~b<u>d@$BLwg{#QHU4r|RA5xeK
zABnJ%`aOL!YjKjQA2aIFYwgxo{<gN}pPwzT<~L9}$>aZ-W5#5rlmnDwxg$B$h&KK?
zc}fv^coWd)@M+`MntJr;B(o*>uY7aqUVeCS&_vbX#gV9|sRqk2-9xm=4Xd8{d!tGd
z+Xnv(vnxz6Vn85INLLo5&8`e5824fP!6Pdv4C{KU(zfL`7LP{0wQOaXY~gUEl+Ka2
z5znWlkKFxBE2aX+)&9m!5Crd+2e-iO+nu~Mz`K8KTIBq+jb|N}&TRNEL-yYO4^bM;
z`x8vSieM>YQ9jrFJLNNY{9VeHUW)9$NPneRdCR{uvzZX8aQmr*0}MCeSvzKdZO68h
z?vr0teVG@z&Ex_lTJSFbosdK#p^b*wKzgxYqT|hMW}FljcT;U7sy3zFFiS=2U4`TW
z;hv}LcTcMjst<pC@vVs&#8?1O-7Go%<(lWJ7MTA*sM-G81F=+g+f^8Ze*}rb1e9=1
z8PbeyvG<Js2~S;G6{jk=8i_s^*^K_~s)W;|VBEQBH!5y38Tc3SI5?L?+%o!P&8NJe
zLJY$-Lkr1UHh7H3%|zZQ?$-ql-6d%tH^;J6!uaI6LnhPAw1<$`Yg8c!rox;kBbg8h
zCNGPISp>E=lY+F~21AL37g6L+k64rZbtJ!Gu3F{|tIL-9!g6}(aTjK4uu-p=3P>}R
zv7iew7nh$4&#UxD*ffMA7V>`)XZUk&eCA+1CItPBKmzxK()1#|hRua!L}fhTqgJrC
z3r<@jT_9xVZB=N)?U8*fipl&d#+EO(jZXecntffM-#S)j7=JKND37nwkI>w3^fKL+
zT?sv%V~p_`o;JM9nIVSa&O5f^?W`n)L8PEGGtxV!j%Tm1z0^G00aTKN>g(WNMk8Lu
zX0$&lD2&P-!|&{Ci?;t;8*K(yzX(;l(TU-By2^%3O=$Z95aqjOjkuJ5gw99Xqc~-x
z=*}glZu2<2U7wMC)w?cI;wogHI@)%`oBA^;eRMw2z*SNrhI2Ek{x~=`Bc+VH9Lo4|
zsn<|0cK$ISfC$dT3?_aQOpFn{b<a@H5+P-MUyq&yxaN)OpVM1YZ&q-^p1&51d8Y0~
z_}Nc`+C%x65WgYzn5Z*kcR|j1V-@>(IV3j^@`0rQy7KxZ&I5LZOONzuWT@ALN+xxK
zG9}v6DOtD~p$_|_p0iV>SciFadW@q#C@bk>SeIjul3}-|o@6xT-e8+HX_~EDH|EF1
zm}AU?bjyOzfUKgQ>c(tI4%~?aQ@D+gf<#Cq;8aZ20&w<Y+WaiTk#8@?4XX#2zi7MQ
z<c88SE8EsgWR{KNrPslmk${S=t=7Qk;;ZB0iO{l(%*}Cx@gUb$6HR`=#WjriH2+n~
ztKgT`vjEeOQT#_Sr+Dp~2V{H^y0my&Q+@A_<$(rTr|l7!>+y*t`%UN19;LE3=|<k}
z);I5KU7AoFYv!6we19Mqx%u*Eh1?eO_N`T=p|hl`3n#;F%L=PAlbEQ~*PI9PtjK)>
zQg7><?<eDk!U<_oUJgvC9izm#5VvNG*#KDuK^PDeZ*eUb*YUx_iVPV${J~>)8J30P
z(sXj4QET{f%U)~j(7=LVIm_?H3;W;ddphW}?*ukTt7+Z7Zx4ly;R7yF-6Z^wDqmB)
zN(+G;SZY@*N2CPO?2o@#RfQTdG#}Pm#qnd#r<%oCJ$B{WQ#YF=tKU{@fX*kxr}L4|
zs<ehrC-LEQTXB=zJOThN%8}$T_W5DYTf`#F1+aB>*yGn{Hb-l5e%B;n!^aLD=iIK&
zHTe8a`9ak4>IwM$f+cge+r<SYX$*-Rz0?!;U+<GABz``wg|B|D*5OeHkd|zB!lKc3
zStQVSMq*0iH6~i}*=7*`=gk&lM23o1CbxI)@(mgT=`WS`O98|xSv>#pMZilHrderg
zr>CPP|2cp84^G6n@~%B<Ljt1E*Z9E$_=)ktFbV=FIOOl-XFp*3nOO93zS?JZs;p&y
z&L|AcmJrX=?(4a~<qazoT<Ggj9t2BfBBKQC#vP)D3_&U<zOJ{K6Kr}*svX2a6A(4$
z*<@Q;gCF8s(uW$+fDL7~Eh;Ga!Y8>|a`A$3BS=c-5_cltAe^I7AM*B{%66Zv%=`ji
zLZH;lEmK2j>>ZcTslqCO^1+xq<raK)pac$<bBggV<mv1=>na8If&SuSAZbvER_aM%
zk2Iq`tu?^71~m!4W>5Ub{u#RsQ?qlGq?riK|A1J(Z~zeoy^yQw;{KKsjgLapUU#&}
z2pA_dPr{gbOJ7+sQNx9yVjCT=_deSRAL8yfMUD-s>+R=|R?5U|JgFb+CNs9a@k5XO
z=-gqXBf1}6T262Ss=or?VqAOnF=7HaroRQnx=Gn`$BLnIYXGexUPIH##<1Fm=09QU
zMpZKXYx=HIy6`F&S*o>xSZ*B=tO>D!y<wy=xeYI2!-PAqcSz4AIv!>|%YIc5{K?b2
z>)7qzeqtqWv;I_am3rhhIx}g|u|Qdn31CSap~^Qt!?$w>8UE<4OEWUk(nW@7wX+1o
zu>}EIGnYjFbUXLGuXIC^zhP7xk=!vU5Qg7&<Cs96-`anL<lNL#KC#OW+Pv~2n?%Ki
zk1SYcYUy?DQgN#VF9-7tAUXfCJ<qXZ^fTyU1Vs2I^jp59Czkg>`}4mT4KM$o2Oq&2
zAN3$y+!hvtWSYr`XkA1U4tR3gm(f8ljn1;46YfM^Mva~;e!3Fb3`u??VgTS(8L}Pg
z`))%FIgyuy4fH`nmNDl}Sidug+`NQqIkpi`^4cg^{wb+@-^q7lR-9nX)sV)lV^uhX
zSG=Vs_JLhbSl>Lo)BD^vwF~BxZSt!$jT~U52xLD)zbt@YfU%hOn_Q1&wu3}uF1<dn
zz&$aryTw7h{*-_6*N8W&G;S^c53<U)t@eSXfc(oCq$F9A<ZthFV}}!)!HeP1V0WgC
z?!aOm#hmlSD1GZ7hF#`mu$+o=BTn{i{l{^ybG^sO>y^B98BtVLGh6!U;Clj{2k27B
zdW}Xjt;@YAM!^nqn8XtuU+j&&E;?mFb*)oC+6vYG-Q&;g+?a!>uZ)51>lpfEOMBtA
zRjmXfd@ojH^}~aI@omYX=Chf>6<2>?rHVWPDl_OkVr8ul{cZmO#~Dxm7HdKp16M11
zk+94Mdx+{cz?DQWrzNCx(Jj0acz7QSEOY<v1Xo$n&Ai9UswoC#1o86EG7so3<%rD2
zo=QN7ONmq*kr%5qu?_Wl<B0#t(@^~6%~$j7x8MLZmT^E$7DuC;Fv2ET{&&sUKMQ4J
zu5Tj)uOuMo|MGe*roEXDAdigc9K#~q=V2l}x~5mhekF)Q-fM{`L0$_%<E2L^fWSNe
zeA=1Par7-#9;7o5f<2V*K%nm<Ud{b}+TFqxcLVJk)<JF4iDR?bv4(4oOg_TJu*<If
zg8*ODFljkPtq2_f>v4O?Bho_=UTjchIM6AC-uQ}<S%CTpDgEHRh|%(k+y{D|BawBX
z&;-AG-qTh}VAJbUPv;b3u?p<jNnPv!nd*IsR^$rKP2Qjs9_@fwD&F74O02mbGV_dK
zk%(QtpNNxiNB1xuoQAs4@WOImYGQ$h4ieBoTx>2Ou5y_h^zzk)XCvU_bGC%*R)dR|
zNJ)<cl1eDWY@lQ&aPmHC1!d`28Q=46tYDE!y3D1XWzPJ&@|Z8WHy1OTpST+%d!0BL
zP~&rkA=ow!aY>!ML!Vxc5$G@0{-F|{P>{gOt{)7*8tU~Kg@;8RQRS$g+q6b@I-6hU
zY-b<*%SQ+>lnvjAWo>qh1K`1nN7(8s0EP7~hd?Hyc0m8X)Go=>CC0KhSlLW(Eg@kP
zol0&^IXf=ZyBe#H1vaDX1A9ORk(R7~bW}Ji%jap0X9(*4Sj{730QD&GId2)ue*s|<
zSfnm98TxT+EbSo@<%XFg>2JTYD}2P5a3Xo?m}|LbNjA7pDFRw_l9%$NPN@EZFw^yN
zf+x9doxGA%Eu6RNQ*WN<oLI2sMb|G|7xI~G(OitF%Qra_OcnTKq%fB@#4IwC7Yr+n
z22Cqfpgv<w+}u^Tzlok^d&!~|Qz<k~(-Cf$MixwqF1(o)YvFuLAnPzK+#(Eqb1zDZ
zp7~R(yz7Q4r8`gX|GB~6N<>tp9qictI)qJ#>o0{lce|ye!*IIf1`ul8qoHXx%A)_A
zGUc4vmghqlds$4_w_g_Pvd15QGlM(pzh?4CdatC)DrIRM$<LKWOOQKrOgBpkPtf&R
zXco{F7t|iL;a%h9jWDpD$cjjztx7y%4=?d)1!@Vin|V!~V!8R<4lzf+)p*ZBrzseo
zfdrYb*CyKo)Zre{GI@@_0HZJxEyF<Jso||YV6Xk7)?6GGnXODd4Q*8eJT(kjFn4W|
zP5X7V9*dH?Sv*fy|I)~}!8|vv>omtW0|_J&<(OrwJzmKq=xF;5x@w1Q0B^e0lXT+{
zD|w;onP(}T8b0EWwyxNx;`8vZnVptsGfkxaZ<aPX=CcEt&Qy-M0KT_Rj<cv={KjSZ
zRbtK+mv6&gTkCB@%re9j-RO)VFoZcto=a!a3*w59<b(nx){$o0-xEMNulo`Q3HU4k
zY!^am%AcQ379|HrE`Q`kuZaFqR96aQZ!QKf{Cm_Kv`m>GrN;3kLjTw^ytWS}cL#{k
zD?)fTpH;>khh>a;02<0K1UIBs>V9md0gc$Mu?twA_C`0Dcr1-L@O0iGuAJaG8QpdJ
z?&Es9f1@<kg*e%IG6fX^M<-=6#m9bJp8hZ?kf+9hkJXPqJRAaOPVL<6LqJU*9~YZ-
z^fa@ry)KtdR<TWNtTycs9p}^^UQY59A=T)YoT?7F$M2?NXaAbvbF%5V#w`S1x(0VS
z)iz#PfKOV3bB<kpT`h%X!z2#cfhJVfhqBP5H1WTwM|(%J4M?Oz`kY)1E4`&Su0-S3
z5Ocx>oGtOsMYhXV9C=fCm|ug(+2ab>ov#EDZgagn(Yab^&hPR^nT|fPAKBq!nZh>N
z&#7L%Zrrk9@OWe(5!xA0c=`R3q@21%Q1O~QGX_D{8qZ8k2BnQa%dzBY$lnW`t2)XC
z!JfYSvj3>pzxx%llc?4gh+!<`@jf^Mu{2ALI`PYjeyAin){aE81p-#S0a?#&Dl`H4
zH!Jtfq8r4wLFspWV?VupL&k++FfDX*S8wn;-hn6A9Qo%+J$h5AD+;K^2!opsV*Ju5
zHTL?G@mYnQr~<a-Une+cwPJQ_Zg+%RqL=N9^Q{w`_9UR~QPTFnjOKFg{Eb(GSDB*X
z8lBfXqJG%=Ac|H-%Y3q4W0Yn+$Vo-b{g}15#Q|0_0Ef0N&s4Ios>7&=w4sU|B1z5q
ztiHQTgo9J4cj?E&9&Szzv!R1CZp2e-?eato0_iihWxSxA0e3z&`jQ*kFHd(#IvH}T
z@=UyzHvA>opU*zoc90j&zqH~gopMG;>09&Bmm$}}lOqG7rFYJ$WWi~t=^g3!{mG-O
zmIOh_Ci#(>9sBI|r*kpurMjnCd0HH$Uqk;(YD)!V`HIKP69|e4I24SK3xTix3g;^R
z)sl9S^AyE^vST@i5I?QFB2$RcVoQL<8Qu*tqgOp^@rT_Ki)bBQ$WrN6h^;GT<7=P7
zZEnL-$uE7H_1m$5M}FpMntj$Zl4=q=#P0j^VnE2B+I=7~*JS=WuWBLLp}}QHwvxm#
zJI$i_%SR$OG+X;2cC6Npd>#}aV3#+Yby>@+DUw{{Nb>6k?2f2|klghZqZakP{(Ig^
zx~j$smOdrbGG<fyjAEQuZu4?WGSeIOU$V5+_KfC8k7F9849pkwCrfrx$xW;x+eIeL
zZ7Zl?fCUd^>m9C?z6y6RM4Q9wJbm)GsLA^`nSTY>%sI*~womgusq7=MKTAXImS2Q<
zmf>tmPn=9O&w~Ws%QCJPGzAe{dH&84P0XAOik%6<kw>ic-lYB^W%Y_(>ZHh1)12C3
zDwj;NE&ZKvO3oZwo}=g$-7~NLkD`1O`xYtdpDrs?8|9B<vnhDq!WGWZ)am%kESV(I
zDxC@Jvp!nUo*DZyav7|^`{ulO^{V)6V#lVRwg-_@mFAuYh%}gj*2^X5hdI-z1?8Pj
zpJ=jz<nwkKG0sGpk&pG3&AiLXn@WgsPoNQ#)*24&zgsD!IAB;KxCX45Fs-68(;T#?
z7z)?e#A)$~kIgJ;iY1v{&7daBgO_y*M2zK|UT^7?!8|OYO4HzpLD47wsjP}rB6&+W
z?nm+Rl)@=v))cijNwRJqE98lKOAG0NR+T2{fz&V?u05iOoT}PTCvM#v<*?X8jkU!+
z*+A-<7Xj2GufAcT4M)k1k3@Nkv|FR|9Nfo5gqaSoW|OTt(}wIMtfKQvrp4mVg>;Eh
z@9j^_WB;t-R5^BzkUDiZKD?eN%=evgeAeCO<6&QRpc~*?#;$Pfv>}|IdxFiY!mUny
zD|Gg}p<dKw<7HZHnr0yt5jVnA3N5@O;S&0Vq*n6*C`#X{6$AEqX~g)+4)R^0tIw*Q
zX<fJJBjC|hzc)kD+Dh}u&uY~-Y@6TGE0#RH9bgsHcoIZRNK2boKtv8Qt?>5wE=gq9
ziEmG8aV6Y7pLCbo-KAq$@a-Lp7}j4HO0;(6lfZjX=#u`v){4QpunGb_866B+-1m2r
z>r9z$UKV?1S-X^5T<da&2t@`@cDwiogWlHdca^BYIcLStyiJy3Br+fgNVM@?RwLeg
zMKh@-`QFW5wtRB`%_)9-nx6#TdQ$^^L@Da@y`$DUze!SYP7|s6>g*TKR{aDf>e<!c
z0b7klUz@U@Q`Qnrr5lcvX0SJW<64!wMkaa7*UCUYYv2&&c`AFBZo~1jXudCWi~lxN
z$rz2%L$A;mv`}=PlxXK9k0#0^11WSa1RqWlQT`JBQl`=f*ERkJd!minC2Lz^CTV5^
z#hc8~N|)-I1H1bK5yoJ_2NDT?(uPQBs?NW#i;&{x8{e|PnCcHp{Gaoh=k#)2e%!8O
zx5hGmBm2{&TleCYNl^dtIQhb%shL35;%zbq+%SKs$IgALQF0uMK28;q?U+DllbmoI
zc-G$KH@4=~>_>2SW_wHTf8Fxp=O*p(zGc^cH_?J2l7;=|f@94kLas-~_MK;cS4gm*
zS{!svU6$W0*7?D$u?|R=+XAdT$2V%{K-|+Hq-wr7X>0htWL2EE!GJP`?7X#mHw1U(
zWpI|c)7MZx=~=B|>5gtAorusNJ70&CoDmk*!3sui;*usV|J;SCvn8B$wst>;RdvBc
z^^?cG?^>p>n5BZzvv<FxLgGbuLL}Z-y$PxB-k%wv?h+QCWWHQ@fB7(o2cy={3Ms+%
zt|D$9L_ZONATahN*EBuv>m?~s;oMb_VWH2B5v&~rKU_@)MS|@<X1A~qov;!u#ZZG%
zjqUw#P^aJG@7_zH4ZjP|r3R|@S*AoE_Aw>qv0QrpyfKrGh$i?-(qcg5B*hsFST#be
ztsbjli_1E4ty;>vV1#O|s2dW@>gRNTno2qEL>JSigPNvathurZFa<A2S@Aett6c=~
zN){(oOyu$u_^_y-1-@lJH|3Zr)v2cRnh(|puSgviv?GmllsHlcSrT#UDrioZ)Th@J
zU_u4X(a})Y%n;;93bGVe`hY8oS+Y2IsIqpRB)dA=wd7fQqE}aP5n!N+j5!3`m#_Vx
zDMNl>-TEwRR|)Bdg4bhG0y&t7^f~W%+~z68)5H(KbaM3ZL84WeQz)T`veP&lGU2m|
zhiA~|ltavmoZG|9f<p*XP=pi_?Cp)^0l05gHxC0pThnLAl~@%X_J}O5vPxX^UUp#&
z$?f3;xdINZu^Y!zw9~@{`Putj{n|-!Rca}5x35g4>~JR9ZsW>=`10=oOpq2ao5iH2
zkE^qU(aDikk~U$GBWX+%#J5E0TUybZ<;eZHPd?@~O!uZ|)hls2GyZ}Grxdv%<GL2x
z@V&9-Jt1oC$q8!5g1rUDdZgomMaf6FC{?9@Jyb8=H{a*{+izv)@NC4XNnSP9J2k0^
zIi`kCFORl3JGAaslv_XMkbaCd1R*&Kw8zjnv)^hL?G1Nq_>Rc^<1hU+<n`v*GuazB
zTaM_7Vz%_#>26g}A+jFA3?D-=JC0M!JlX-eeWW^u-8IF`Z&j&*G`z8f#Xbp{(X-^H
zs={XEuAe68Og!`d7VSDp<Mdi0v&s-K@DELLwtSzsY_UMzW+#Zu5ML5(5*ILP)zOPv
zDNVN_Sb8(-ylk!CZbEN?6$-hF#yaUka0aux9YamF`nXA2NS@=Q@THh{<5i~*rT6HX
z^F39<XI;=^t9pAQk(afQ;d4F@G=`&nqqh9xW|KPAi;^<Pj~8W^RBzBW)Mdq!I{jEy
zJ_UyJS3I*OW8R>s$!}77fx+Q!X6vvv=so+_^c>I@sVtGPo_B-U&(ZP$hthW(?r#t4
z(sdjI`i!wl;XfND2z?x!?eFxqi@dN<sF6o-C=E+*^B00_?-uK_CEaBKnfLChyY=VC
zQY)>PG!t{!qDc}EyR5U9aCJv&qn;4AW1CX+ZgQFw9nkGSX$^EKtE_696xKjDv^95s
zHxVI5oI>Y<?DZroSXxtX<*1h)xTRtu5+^WG4-WpOoRizev=2+GdBUy<wS^LmUCn1-
z2-Rt05f)Y?%8ndOYznC@C)qG4+TyluKPgsKHl2NlgKZXhk?b)0%^Ey|h!TVo&d@x}
zHIPQ}+B~v%QwsmwTRN*W`5OtaHu5IEN10J=N-`uHn6gChk?}(3H~dptNE2(lr<fX~
ztbq~vJu+yt1Ft1=ncV>iw~2d1Cf5Cu{kXFhW6mRD5IM$9%jqotJWARYU*dDTvT7Ga
z(Z*|7a4f>>YskyZZ1D)Mu?Vp&hoNj`nnDKoX=PNF;K(zV=Z@p+<-w1%N)V3vSoA7|
zY=?>$LNs$h3Q<7Cym{$+w-%JseOG7trQIEaMqv>`^(L33@Q5x2Ik#JO<lQ+ntlC<O
zQ?|1Z*MHWUaoSmSjRE59lzaFY^ihY~F?I+S3n)a9O-%LpR2rtcC2KID|9T|eVW&i5
zF%`O&vw}5iM)ZFR8i&5_?Xhe`)*9P|5k{o52*W-Oi6s7_V=bn}<{~@f#4==an{UL#
zv^mv#u5N$qRPpncc>TZI59x^U>D8f2(DL+M1x@KGs-eRCmV<pP9LR3?u+_PzmgxGN
z4GVtb+C@GqfibU{7?VxM8AxMQ6d*V!6u=*DSB<GcUgP=r&t{7Qbv|RzMp4uIu-I%W
zuj3{O$zPN!xQkBMT$EBN@1usqC{B*~rCdFIa{i*69IFG2cSA-Aj#KMG8Sm`Cnh$QD
z*Ot5|_Gc%&c2+$*qrGSEtOp`t)ImlI-eQf&uAj$m9WKmL{dmQ`D7^~$39D;Va<??%
z=Kh&mm?IqDidhD|@$#^IW?C;5G%&Si_vNS9prF3px^dO7(2Dea>!#~38d`9D*Cu@k
zKB^dooS?k-ugZP*cb9lDX}yF<qKSHjt;T=bkI|s(P0=+<w142`StEWw#Mo0Jgqi$j
zDbkVQu9<O03!kNzj{{HQF=KxWq|ZrnK=iUow=L_Mr|@YtQia{aJg<SFxtT|jMptrP
zuCApmY7BQ?6d1DIHuNn8snWQ{+i=Pz!xl>ld(9@Z`|7SH(QEW0xXmCvBu}tneWzhN
z*(%WcRyh1L(O-k%8EYf(hd>gs2w&nYvZOHDhux=5v?XrUnkPkfwpB-q==v*rEr6(w
zNErSb|8Kms=_t(P3q!FW<$)l+rbDr;>ybxVfA+1nyLU)tD7qGP`YOwT>0<US1EI9c
z;LP&v7jtkHPnG7CP4ce_Gbkc{^1O9G6}fbd!N}}m(Nu_0z=2LR51eT!3dTDhmQDi+
z8h_pnibuw#PHT!OR9%o|W*J&)Sx62p?av6Ixn%1G3NJPd@gf+saz8`XP|b@MWXhAJ
z{uk`fIldH<RcYoo6XGd`G=r$8a581BN4ak;j;!Yd=Fgjw=C+7BT|D3P?{mY^xIu+i
zHal|CsA!W2_v4`>@t3^axw{$yHWqE|(7H9c$d#6Bc&C+O{?%jfMJcuTr?x1qoE`CC
z#>x9=L%!WPCNd_RPq}Lcf~pSfcb{-$VuMWGPk{-`LOuKLNA32|1{7rgrnq|tE@1&H
z<|(U@d_}-**7H2o{(hjB_)G#;4C7{W%DEVzKZlgtL=|8^bg+za-GI{N8C`rCi;?_5
zH*INe<mH)Ohn4RK|KE8+#ZBDl390n5V1up1=h*~L?6i7YV@If!VqHYddrO`^UeTE6
zZTmHtNe5M+Z8dv)z^=&(m-c>fBP3PDV(`7`2CY<Yis$6V?cj&$@Jqr;<%V8e%u)eV
zC3sA1FWljSo#V=yqs5vNswxInp|yAu5nU!|N_6r}w(qY>-}bvcV8_NcWna#c!;{gi
z@W`>XLvr}sl>&!j4XeQEi&wecDC&mUY0;mUZtrzov57&jk-Qg9L*gH&p}O1EW4tTw
zVx$8Xb>E3^rD!Q~BF_`0izR`8C_%xcx(BU{vKE^6ORqO1#7?@M?mY2OrAbT)ntzml
zC(u+TFEp2&mWWsU7vJGapT1t?Yda@OCu2e@t_&$4`od*hFCO1l7H@Y(Aw91TnGj!r
zuG1!V0Rpx6Da=8syR*mZW-?BP22|_v$VcPk-APE}oa>HnZgq%-)TIleW%00d7NDoG
z)mJH)^B@ghHFEtca>*f(?#bPFX>s}##b2Q}CJ#JY#)Vo5L|rtO`gheO(}7F9TxqRW
z9~O1cf-P&=?SGAaoUjIIE*Q{0VU(kiuAF)XeRvr%UwR$ao_hfeuX`}RTAKPMMM=4p
z=}Hyy;sRVdE!LO_OLJ@VCxY8FzV4bd#lZrneMk;<1M7?{V_)h2ypO9SQ~0nj-s=v&
zuFC<rI~SL}*x#$2Z%ti|5YdgV6!Ql2?GWF>ilN|d2e53D47_i(+nic_0R1;Elm<6f
zake}a>m7$OHkWzfGdHBOSg$|G)uK!Avz8(;`vY3d+khQ+c*Iab(B-l}DO6dWII~K5
zUb@e<<m0y8(}(v`6(##NOY~3ND*KbDuEHXPv4rQW5xd8OGr7i#hr=FA>!(6^niv;0
z$w{}B0L6i8&iPk3(0#_aq_&zQScE?BH+=7ni@8n-R&fTth;K`FePUbGHC^tD9F|*(
zxoXO<It;2H!6yyLy3Mp9FSehy`;dUd8lN%;m@v49P|0%Pa5Ps!_z+)rHnPWS->iR|
zLRYZlZ20Wbew{uj&kf~LlsokHJ^wnXPSz?qM0;d#Op;m^>G4@sojY<@@|Ga>*fx)U
znYZUvXE|HijOH!@?Y;xu2q5>bYozKFF+!ruHYDa6sp>6%r6+dQs3>N1{<Umm5UCDq
zh`rI@dk#15Ve!2Q0@~J#>;REKyOF;t`nhY@S<TY(*!RUvQ|xl;b+!!YZU>$FJ&!7k
zLM}ug0@<h{<~b0zG%-?jO{fa<e5#E4=>0|&7xtzgmvqE~gWHLuXn@SWyJA7^o}o;^
z?OrJ%@}@3IqjYMV`dEa1(Y5)HFD6X0hD9pKfN>XTV!ZGv<pYPEu8W|cQ3Y)J)3Omn
zjLzw3-)2FEy_e%_e=9-C$=A>~9PNJ2U4$r@{yC)h4-B4W$;bMDPDFdYLBX=$JE#q&
zh7v!2K%QBXS)Z*8Y8??Jf-_kJggZC`U=rBmJlzFVOU00vK~)T82lZ(;o_+h*qVfk~
zP|~l>=??;f2FY_}Nu8hOJ99tp^L%NeilF0{pdr>f`&Rf)6|C4Sg|rF!`C`H;8F_>U
zlf-Vl0qfW?rnua{UcUd(aChc8d)a2TccZcY++6d>(2R0sSd9i(^wSEBmit9)NL0SX
z$k^n5+@F~cFGkbty7@W^DVpm?NANiir%R34ENPB7k;_L8>QC+;)&AlTi7!QhT=6J8
z8$dP>Xob+);sbkbbzG|xu)k<X;;hQhW~$TjTsas8ibr{KhFlZOF0lj%KQ0d{FDogE
zMd#X_@yeZvXHAer;VsZS=CWKbIloBE%b$Ou-{tfC0UhCGVSaG>Gbl&%f`sdk3Rd@s
ztcNpEIC1FNCOR5mAJnPa+@3P96|2Vzg2_6)>R5}<ez&F)#R*Q7YXjqGpQ)<VrTUjb
zXf-f9=D5pzxJb&PbgTQ;SVBJaMK2tI`N>lN1#{p3lL1k)!I?+2fWD{H0P*ZRMq6OP
z{?PFa<aCYw@ZQC7T-nB_A5t4Dcnf=at|c<RT+A_)LaD{}5cFzj--hy>MDtB0F9UDL
zqBQkmcuoh8`T~;&x~z;jVRXT|PrB~OlO5LLC?USbwKQ7~e`nOphy;g-fSuWO?$$6f
zhu-)lBd|_xZYbr7;VOm{<l@o-!_?*rkY!t0=J`zM$7hLJDv_dhhl_6Mavz_aDBzq|
z0^p%v^D~27@vO~L>ayUW8n~J+(46=uh%DDT=<%?}u%G4!L$Kv8I|2fXR52~vEz_UM
zt{l?%ooQ+F#24T3x+0r`wyLZ-YKbm3>pZ(flp4QLIBUU$(k<i_PR)O%dq3^3(A#hb
zGLVV-p6Y+$3yj&;q~!=yx+gDpaW`iYt-zteO@H%?a(A&!Z)U#dQhSa50y!x9!0Xao
zGlPyt_!T4JG+(F1C?}nhV2cSItWZtxNi!H$5>#ugVdEb<K2^X$IYZd@K@8tbD1j*r
zQ|s|k>c0Ql;7GQ#YPPiE^c6cj5@?z6;tq2<Ml|XC&Phyl@P<h%RaH8nX#3WXK_}qS
zd-sst5W6y3BE0c<ajyHCVVIeFFO-+*xW9kZjWd`{J1&UZ-sl~fafX!8T!XW%89`5?
zUtg6ef{NoD{zCK9<6IPJ<+(&=u{xYPL|I_y0NI`8$pNcG(z1HUhZP|QWQsZ3ALo<?
z5To+LNrYU4ZB#g(If&&hg+%8Q-Deeth_TB&HdcNB-u;K$Bb(dh@R5(_m_Vk@$h)5I
zekxcij5%l`-_eq+d9V3%F#SDeCrB@R**WQ;OKdYI&Yh}Pv(V*2R8ZY_LQgVg<#u2K
z7cxJM*%SJqzgCWbyMQ5i<6Z7Iqj@7D2?D7Zn{C3LJ`nfz0D?0|J$nHkbfcD>8D2qg
zVvIrEpfFLYLvC<F5;83vjTqo6BRpUI9EpBZlqzj&oQG{A!7JOT#*TmA`h__mJp2Vr
z;_aGqSdXO}qfd!h6}!#Q5${xG$A1;6h3}ZC?J_<pul>qo{x@NXpau;g5$z~DI4AI(
z0`<fD<JD9Yhe_7-1r)}fjt!_!S^4EY;_LTq!H%F`oSsCi_T-LL{#qp={U*f|GEdhd
zEm63)N(5Z+(rxM{drX=GSKU^di)l={ex8;}IwnPA#iz`6%_tM?$&VV`9z0TmAIQSe
z=7%!GCYpMjm0T3nv~-Mx6|iAfKa=@;H`CY322CmmBBfZrzmvyg<e6(6iR76tRfy0#
zTm7{`Q!L1~EWGT$TX+qNf-;Sfx2!$um*%5g)VYQIRGyXIGiGUpl|DK4Ej!vm1D8Kx
zeRzMUjhOO};~BIiYM1q>41c2t*6c#W-oVmj!446|UCT97=*AbQ7)Tpazx2W5U@_EL
z-!4-piG9~k!b>};rj$uAN?WZ4$MFDs5A^fAElmOg2Wf=W{JnpH&)xy~!Z3cmH>qQH
z^wATBnO;-GX1lXk+sv0+XU@%0lkw8jZc-QrMdB+er!s|~#QFgXjax=U+mibaEL><}
zxXK&+Yo;ThMzZJk`noi=#YQFnyxpBa;7}@RrnimY=<j696AS<Tz*Jw<`uwHlPdxuD
zU39?oFm+$NeM&8k6z7pNmCIG<!$(84XAYP5XR%r4_o6Sa?p7eI?%r(^B~ts_cIW^5
z_2TXN0HlDWKy2yj$hURqagc(~lc1Z<htrB%JBdwh`6HO7pR0i55Urh&<od~-i1czc
zA;j-gWF2wN1@fEU74doE-_vZ*p{xtPXPATBtv!hGElLAl%CB*~AAeQ!>%Qu~RQlf`
z)IgroGDqX6Dw-)v9yhf5kBgQQIC0jM9w>mjx~Sig(TbZv*=~d?_{cxGzDCiz-{a_r
zf~O0tio-dE)EsEx9Z{iPQ|oWRzT{BXwqLEg&wKTWIj5g9-I1$_eAgJS6i+qWHVmZ6
zCY#+yvjn?%!bY)M$5fFHYu7f>Pur#F-E;$p{k?I|^KWtg44_(|bH1eM2;c<ZG|jC4
zzb8>sW2)?@V{PO%NJ3dxscwmJlbP!?I?@gF(5Jv*#ZLg3JT|>yIX;O@6KF<qF34{0
z6u09wdot`NC9B-b3&qm+ct|!(!+?|p5RKb;rjno(0**yD{sz$TN}n#oEp7~bw&b`F
zlI{<w8~QWx*6w4-S~M%dCw|OA9+Bzqe0;iTo;GUsV78H8pw*bOeTx5O?ah8j`6BNp
zr=d{zVLad-+^$Q1Nt?wqF6~)K(i#n2PC8(!Q4|&6D;eqt`7~sf>^M}-DG;h?Te>i*
z*4V#qJs0C$oj(1J#YD`QKd742-}3jA!T0%xjoHMk#-SrC&0nct><X)^+%f8|JNQb}
zfYqqK6obQjvZf#I4L5+kF%>g9qhDFKntEz-9=q;CDWFW*r`mcBCz3`L9I2_HYx4KT
zQX552yD>|V8s`w)$YRz9SGD`4babPjF+Ry$#(v`Hdj}@z>d>3(`q+9g!GWY9ZvcY>
zIq4)^)@Z*HLwV-jVzQJCIKXN{<cn(cIi@~m#^ZaB+Op&-zA5quFq!0FUn4n3YHMCd
zWc~w82bQOW4$&yV^5PE<E0v68g*B<;p2In}ca7{CW5Zi8k<A|^Lo@Or-skh5vE&lK
z7Z=_0G3|P2^c(0#-Av4@;%m|9ExV&UEj80*<QLA6S0q8Y%duN_Oy<t|rdCcQ@7bqq
zcAP$b0Y?r=j{-NS0azH)kj24jygX?7lRg;6{?nV=^WM}66H)_bmHOiefU?<oH^yay
zVxpf5z8!!apx~>6CTlurkZ*r#KM5ETn$HSg$~@o;jN<fKqZuC$l|0&``v}+6(CZM~
zpdV!yQ?3*k)58W(Fh|UEj;_RsI#lhP<nrVtLRR3xjQU(|ujoMYRsJ<izch^8F36*t
zm(m}!4U3+H%ywo&!QG!Xz)O_UNkk9O_ruL+7W?&7Tdsa43j9zQ<&c{X%MfA3(&UJr
zK!ph0iB5Wb9EJ1JP0U~Uw<??ogSCNfN5GLyk*)}^&t5+hqR%#lYDaE7{zo3ja`Us;
zDp#9czCdZbJKQqy64S}AaVq9hB7I<h4D%czMmucoGaFHJySLF<mcm8SgI$*cD_)7g
zE{36@vPWN9Z`bf^L#sbd<<BVy46z6`-ZAFzd$RSRegLRHv&76X?{jUA?T+W}$HhOK
z#;rXk5P@G|SA{{+-`zfZ_lWFH-4VY!&Gh8!oUpxwWFsWj2hSWvu3UAylFn_92>0Rq
zFpGeklSA+l9Mz_%4!`C46}>lH>_0)F%{>ZuFH5x>VP6p}1RY8gQ1!xM);+r~xU3{?
zijnEB`SJsU<RE%b)glP7m8KR%i~tdmgJvCj*yB;C6Dp>&KmISOe!fQYl0cvJN3xWA
ziT(x4gR`p7SqN#@+g(#AlZD=y&@{04fnAeBYgix5$brJ7$2sH@3YH4t`Hv^}_PV^2
zY+D%`pwYQw(>%Vc|LP~ct+H11usfcdHqq_JW|46X3;F`;i?`wxl&u*f3ydtiikC!<
z>zpomVolzw2)QM=O~CgZb%(RO{M`6LUgvRTC$=3d?vxh@2-c11+v0WG2Cverz`I6n
zAnMTwr;5R?ta!~g;~?gy>o_M8F_hmBgL$0nS!3PwLM}A`Z9(Mzz)VJVu2=P-I8UV)
zS#(F$99n_A8B7k_zP+aLBWjhX3OdrdZ_V1rUiqOHXrUEQbS*19zeP{a%nB;58=&zy
z=;3=AGJ%JBbv}OT&CK4#&s(E-0(Iz#PrDZf5Ms-%&xoqoWZ8!EsMO!4+^=scfdu>;
zkbv)~kK==Iabja%!AP?GPmV-}q(vTcD@Z9ANc^y|Vr-xDcRz=;VA`$Lt7p3!NR0#~
zk4c}=6$~X{+3}V>l`g!-MRYb#^lil)fCVEd1Jt;T-Lc%e1Z2d{?ye^vWdOU$aKIB%
zuzd?wzsZz9d9|65MJ@d2p`}z&pGXkzWMSLqRH9;O&6r<63cZRCOT!%ZG<L-r2C5*O
zmm&&Mx#cnr*<{jw@>yf}w{!Qj6X6Q|2U&@%*$H^P>(xPqwWj$Z8s+ahMA_I6Cjc%&
zQ0^FkN(S6Dl%uf;QoAhe`KEiBJ}76`kO;2TUE9eok`rS2cWymEJFqYnCC~Z&$NB1k
zWo4k@`9BJUr%+Pom&C91<8JrRS_*yO^Kj&eE!$*ED`f}5cLfoP{{sDkRL=RG_DGJW
zq`Y0q2~4+3@L#Bp9)d+-D4ninjPj_7_JLm=keZY9PNdkIl4JiSdzCU(-2j5%smbBi
zl2Q&2QQ40pwlp2T?V7}?KaheAIbBwJ_qk=a5_>g6Qj4(`_T{suKZ~$Mnkgn1^9v{I
zC2Sk)NhMaXOoj>O4)kS5#%hXUKgfXR@q?VONPtW(1He5us!F@|*0a=LWpRKp1Fokl
zZ~9O*fUmFH!sD|#-Cz4}heUWm!J!}MTY5p;L_k>bos$fbAc6U+XNB1h1at7Pob+GJ
zdHVgiBZ?=(9|X3#Z{^h{N&thCMcn2kg%ld>Gn3E=YT&;ha#kO4`6;V6P*kqmt2GV{
z1?2+ykh*J(cL(6+r`=I*=rKI~oQ)6I)OJ6&pWacO<3{kP+_%P{DV|$N9BJ6)UfoKn
zx9(j9{+vp9R>g7`0$HXrkaJRDl5Q4>4?P7p_kO2#anEI9&N(c*c;=S;dEeJ$VJ_Lq
z&OkcjDv(OOe!cN3=?VkQh}vR0ymG$tG(0up_^XW$`nm<vEePN_x#GFs6eLpOwEQJj
z3G`X`3IN(WmFp)Ct@gn~A>DRoO5N*?HG-vWmcQ0dj9DE4EUxb^vW;dKP^zyt0!h*T
z4$>`YwN|C{8isar!0zk>65OFlou$?J2St}_@K`xKTc3qy&Rs~|lV$Tz6=WnhxgYdJ
zw>g>J{soMxVN2nL%ZodhVNbY;upXTy9^@yybFTW-TAG1{cpGrr?xtZOb}a@;gm2X?
z)Xka%I0>fjwD!dWMc7h$k(66VW^ADf;+xZLYYam*F1R$~{ptcPUc|#vU*@)29Q`|I
z$9M6dYxN34ts)RKkQ4-*beYxnGigkV4n3om`=S%*Aix{QS%{y;#OSa&tAVbPwB`Tn
zjx01Dww>riwtcXE7!!q@DEZ$QkN8^TTu?x?gEi*`aurb%K&X3nHHPkH!`!p#?Fx1_
z>|U;;^uu*b3K}`r|2O0N{+sd9uhLE|^McktyIq^n9*3i2&vQhtrVoPb{+&4V>p9T-
z^5m9$;-#QEwf6rF5nwg=H$+Sp;b|v@W6*5iT1SS!0`h;SJIvzXzjNX)d5mJ7d(&Nd
zO;c%P3btX8<m?T9d?q5n5s7x){cQYfWA6)ztbtl>F^XSm6JbQlAP=NEHJ_RE`?|k`
zMb0(y+J*Mb*xboOvd?Ttv+=&@^UUp#6M@s-yi2wc1;@-Fl)p-uCc{oVE|3mB!A{r^
zLxohO-cH$ox7!W+`lni7Y;RU%b1j3hA>GjuN#1o6tDt{deE&N<{#s{DrbK_sgyZa(
zesmJ|*^9eFG6~2u_nW17QECK1GRzvFM^KbPQCP~S5~d@$(xTAB4B2=TH<!{bid*S4
zND-O*pVU0Luw_zTt=^cl12!boREy2?Bj9cMkO;E@Eu;<_kXX!lO5in==;psd-e}tp
z&9z1aC4%@W(rXWFZaQK>OgnfKVy?=dN{Wdr>`jCW6$kyAx;Mq<smT0EvQ!8aM(lXa
zkKs$}i<WumK^Vx3Y5%PlhO$;BfyEKj=)!88quA~f18L3*13H^rlj_`tb|Ax~yV|L4
zK08WNfAUsM1$?UlHcXYGCYwNiLJXa^#vGa$d6r%(shzOpD32#(kz9H-r)ZC0dklHN
zoJVS((Xo=)p*1*yee!fC2eryc#QlG5mnPP0P6EuZ?O`o`F8&fC6+JrNNCelJ_}Bi;
z>UcfGl;<g4L`6;i8AWlnmYSeS1|}_>btQGGTAhM(p;CeJsu`}jK_T=J$EKO~W@#cU
z!c$~Xc=uD-;ys@XKJoP|DcbU@jwta)38IIM0aPs&m7vF8<ftoPH(-T#^$Ll3xq+&W
zAM?tUDrU?wKzs=m6MZp6J1^g!UX(N~QWN{)V&G-r*Yb-=w`p44xGzH-#Uh+TbrM?2
zH0fhCU1xm5h}s&=A&*Qg%HK<k)f4y8r8=D3xc!?AV?p|}k>{dOHv<nt22UY_dvD?N
zF6EM$6M^vlcwVSdIx*vfvg?sMb};Q6KK5o8lip?(7aMG4_y`Eu5DWTKW^Q)mpkuID
zIDlu6Lq=v8P4dW>Ov{twkwoV|<aIIMC3vdsV!cGtXh8@=a^ECJt*2<fYL`Z_ZN8JN
z(rFf#>V2I!ez#CZ2gO;V7igOhe#h|x+nDo?^Y1ZGYlC58VLc9g|Ls_&)PETR^uV8O
zIOT8uD{2Hln~!U6gobeO8YE?<R7h8bwui6ls;)#Mq&QNW88#1Pl4pi$@Yy{XaUufC
zB{HSBaUcordEX{9rs>X!zHF$PZ{meM|ATsK)$zQyb0*R}S?Vn~N9AVZ6NMHfX=_Z`
zH5(J7;nuu4pm}Dmi8@t52=qI+NF(Ajw+*sO=j;;@D;xEi^JAdADCAjF>V0*&SnG@I
zDXs>mXU%bWKnouQgC`9N?*kJ&4~){9d!-3W_dGp@t~6ia1znpdrvwgP_IU<k5RE}#
zAB>4PEqqlt5^!9Lyo{b5a7O&x&2!_DVt?mNT>Vb%s@#h`D{mj#@!n8P%5Wq5V)ku6
z1|@mbr1|3Pw`b_@58sQ$^;%=?M&NPCOwW|42Y^HH&4vg=IM!(|S#yFT*i9{;W5&+P
zF^U@BC+<)_nH#SGUvU?gbG>Cutliwi6}v*Srljm|O2P3#xE9BQA{k>~Dd!ibE_siX
z5KW&bc`;Njaf?Z|IOo9c)_xR)dl$>8D%`jtp93Lr+4nQ~Ai|tg3jZbT7LS@%#>rm)
zrY~D$$ArZTW&q(N!p%Z*5qi?B{3Sy=fIw6I;g5A@uxvP$zvtb!4DqGRG)8}nI-b@&
zoR7J}mgc5#*>-Fnm;ro!DTu()S{%x$$hQ$-Juyy&9K4T60|ScssbMU!pN_(2pZ+7v
zHqF+HMVN;t<q+T-s{j=_cu{MoIP|Zq9occX8{ZDWgiS;v*gTR+gUT_?0JZ?fArUU}
z!_?L;-xTGeFA&MamG(U!JS1X&ru{|BZ??RNqslO3zM*6oAVEsmz;m3{**~spZ|N?J
z{z)1zdKt+|7elWBZ~DE2?@*mTR5>Jl<#5#m@I^_M#j9CZ!L0Bp{L7>^eZ5f*HERD8
z%Y>w4aV25qIq9$>Y~!%71sl-yDuo-eWTC$R3BLQ;tPd5+X<%YLU@DAvDPCJE=$<q1
z@{p;)ka<#Z_US}{SF>ZvV{yD=r6o={Oa(dyGx06R6il{2PHhm~FjJWjQ5N@X8gI$s
z7M*nr>0yGmy1$B-g*qB@=9g5n^i6ot5@fgcR0%uF0naaD@YKKt^+UeNmOdU!f^8dN
z6POvc=v29BDVXRvzxF;)O_lrW`)|I3_>^ukd&vR^M$8kxWGps-y6uET<u<O2LQu!&
z2O<xJnr3_^03dd=P!YR5@uMXkpx)r;ma5I`norM?+z1CebS+0=0<>>8{@N!rdXz08
zYha(Zvj=DFFzt?*be%3l=Sp|Rg2Pg)zhZA!AwG(B<3mX!-2QrT#(&iOa9aU|eY2Ov
zi4SZ}1#AzcWYecxjSYHwT7^`I$y(z;e6$iJ{8alIDTwm)rML1Gms%XSdhLxqVP!Zh
z17e~RkrvHD+{bzRDu=?wUSiFyl~K9!YPU&50F{Biwnpox^bZLi1(uPUs_gR9NC!Xp
zxyeTbSJjo~n)VG+$F-SirdIJNJ6pJnaK+sdAe*HsG+O<k)^lbI`+A~GHixzfN|}xs
zs$3@sdO>G7o02?@df>}g;cjB}i~(HMuqery19s)>{>$2HGN7>L43-$w%BQUn1n^$t
zlhTz<T{q>+#=xr7sL%b_;I!9|9lY0sHoOD`5j`X?I`K0IQAT;wK-0})F5DzuRed7@
zj9@dERWaSW4+)4CZLa2))WBWR_x|;Yd9-i3u3xFHOTZEj1f7H2y<e6tSB^ErBfnsR
z0pg@A(_4RjGdnlMhK}#D(|iSsM(cQ7T#S|*2Sps)=tpOpXZ??7LmwxJ`8ILQf7O+D
z4w@P{Ka09u3T-ZhZ@(TaFP!v5Imdq@lB*nio|u-1f7A~&mFFVM94RZJ_^kFm&eY0a
zljupt`C<>P?Z(pzkk4dh&1(-;cqH@-NR#G^pb~qt7lH)Idvk3Xj%Lmd%$$x2{Ve?3
zUv!sX?q_DD{BF)!e_hwj%WZ;>dQIm}=Lhw#yMEzd6qQ5U4R|Sl0>;!Y-N&8^GF<v5
z4AJL1>v;^yf5caD&O4r{TBKGzV-_%)+LYOQ?x9~ccV`$#JJFj)Eu69WC(zT8uoh-1
z7hLVc<P|hU#1obN5$@OP@WfAE0Djqhhf_q?K&<cukF4KPt~rYYv<IJS(7g#_AG294
z1`!Jd&z56EkOe1DbhC_Xm>p1+-jsdky~xD4iVlY0q{}0_hJZ!xWP+MLtu||9XPE+^
z-TttX%rwX4qVxkX-KMkfrgv{T1br9WehszI7~QoAS}Wbop(>d3oOCk=iY_{<4Cv>*
zq$TIVavuXTi``>u)OBe5<RhxmPAN++lWHmnNomI9W<dclw3|V;zZ?C!QoSj4^@_2%
z0R9|Y409yt5sr<7B)hLDz1^}56%bT8q3`)9NbiW{NUt7;JWAIXGZuwyy^Ha}lJfH$
z+7nyQ&#9t7r|Ozf79IuGxR@DmSYt^ZB^l$}&1SnaS;#v}ni9lW;_3!iZtyfHPfjlL
zDGMg$gTt_o!w3(EUSVLrZS0jLDVv|{0uv7*wYUki{~uFt9TjC4^?|B1N{7;^ND0z8
zv@}S=P%0qZjWkH7l*BO7Fmy?SGziSl-8IrNbl&IvzVEJk`QxzGtY_9!bI#d&|6+$+
z9bvd&O!Xbx3|%`odcC<iTZvOXi~z=HK@o4|R{;fXb$xh@xH!%~HH|XIaG-u?#IfB^
z6$^<Yd8NVY)SsUfJ$e`+<sJ`apY_;CWD8D9*+*0tyv@CF?0=o>#y1uqnr)SK>)7uu
z5rhmVbJW^6PTPA}aNeW47b3iI|4Cux-1kh4BIVbqv~f`b69(HYz9DL-jwUXvNpB|)
z{1MD4@^=w#cE0fbF5Eoz0Y(``WuAQAR=N`!?CcC`Rojk@<7U|Fz&`a4KE7FQAi>wN
zR~6!5_ZKIcODulK+=qRv=?7?DHI9=<j?W}ecW<*OEKO3+RXLXqiBM}%V1^m~zyUCL
z)o@^WDv(cW4Esd9$NO;h+pR^7txZb`RE~BbOo;9fAPS&R_@OAJu1+|<`iI`qzou*0
zfO}&+A(9Fw9Dxf8D}MKSAP0TNY}m*)8+pIv7c=!h@w!i~NdNwo+)@+z8Wnh@nCYdZ
zMN_x$dJ`cd)-Eot`Uieo=!7ElzOX0!(uZ1-U8J#hMZ2J({zh;z6d+Fu&sU?N<9Ngt
z;hi}~yS93Ku#FfP1J~9QmW^03Txzh_lI`%imKBWC5PrrR_7Y(RXsA(tijw*@ZqKjm
zUb@xXfms8vSrcCF6!n9V#4qC}aC8srh3KZ6wkUJiFBPT@^4D1Ic~z!a3eL5g+h2G|
z$t+j@7~g6ldw0{5!CWAtEpkPlUI0w95ki}hBPNKa7DP(R9HR0588n$3@YTTz;fTnQ
zRtt1<dA)<u1m?pIYFkVqp6*4Lw&~CfDYZi;`L6*nu`&bV^lW#J7TGu|Ti2>2V^`+J
zvXe8)C=t_@bzc#0PPrvh)GjtMY20nZ^P^D8K>SJGoA7_25o#1Z(KTl8E1?EJW}795
z=NopgFX=6KeRy3uC^1_PFw^yB3(wM2FvOxmh0LZ0D|zYqSxqaRoAcgfCdob1(qTx=
zv+(|pQfo|hs=SF_vnLm|bi-ZIu(KH}()<*kSSY?IZD$3b*%#orFe|PITnQI=YL(r1
z@;QZ<sR_WxX>vuk!(1xPiRR*jDk-n>>tatY&1ppgbxk-GuIodR{!J8ng)<>nqXAPL
zrIlUZ{bW6pDc>6iO}*g%4BL<tz2bs-%5Ns~b0=Fy@(f4i<h}FRFQ_ForEhim!v<DD
z`bACz;Me~~ID%>iKA;<nW9*QOYpsvHhpN{I)o+t!8ci(c{nEAU(_oUW40OII&QLGy
zz9eWP&9k=1DL*sU_Ob;$moi~7AuPooZpokQ8@OWj1;p|4`{9zgWuzQbOgLo`hrq*y
z(=_*lR<A#$Qqpyw6{7@^yy+ZBe28T)mRI+|kIuNScX8%IfJF-W;5%bIyzjJjEQZ_f
z^R!}FhW&vh$2Z7lQu(7|<O->*$*ZduhVGA(fqCNLFUI8Kre;FNCkJEE$AYA*+@}5*
zyz!tWb&SEt`doeD&?Ova{yALZ;QbOSp_83knN>*WqN4TQ-S&;!DN54f+fAUZlY>^4
ziqNsTQdL{n>|;3yF{Lw+%^@&N06>x&p}?Eba=8m6*51Hjfz}COX>eWM@;zTW_=Os~
z&(^*@kkO@9PH6i>&r-WS#kQ0r<?*KFVg@7Q4=d=dHR&ITHY+5>f-K8)^UFWNHp^Hc
ziIl&+B$L|QT-pm^C!dt!C!S*ZduFNqeqNxt+4S_s_=KwoDVx)Uxo#OO=ZQk+wZ^D$
z!Nz2T?oGw#p2YDs;0)emEnT*3hN@UuN~yrxKQj<e?kB*csALnA6loIpHta0xW%5OQ
z94V4}^A+CN6>fQbNNa2VvMF%4dMTveO&(M3YV5PXuo`B?{REC$t}TPDR^6w^Pq9hk
zH+%tCT?wKVIz@&V<4ZvZzRnwDDvClF>p7X)afP#rCGdi-{ROVCVZbw<76?h(Vy&$1
z_ap>9P7EAtX31+-b+#F(@{SY~4F;)pi$=nv2Zinmw#PrU49oz*xZIqh4t;o-ChKV@
zH&^1g3mYw|+7#Y;(|2l>@fC<`e~IRNXs&~Ix!#gMElrBZ+l1j#M?Bxc!LA!afTiB}
z3;^e`?079{x;3fc^4Tkcif@W_8L*M7<2^`TC7nGEmzXeZJl1pSUscA>Uyf89FCX~J
z|Fpms>&ts$n`|qAiu&a*ufGSS(`?J>tt<IvFQK7a@EtJLu#TG)a&?#*ZEa(+^JIU5
zW|~Lpc3S%JF+Wtz0`k&B8XNm5KiiR)i0tOI<fu0N5uYSRWQ%|%n0aIMDV))i_O4z6
z)8I|r5n1qqt&rz#Ksg);8>|{$%hGJ@+ok+j#mW;=C=kt-I-dRu?jt%ArcleTsi422
z4~nwQ57uhM-PaYN&yG41#-ez)#Low@-RTX8*&yt;gui&Yy{Wy(6Sv+ySp1<nciM>u
z#0ejI+b4j3PoC)Iq00h=7d#O$sN+Z!Ut1ncx+n?vcozHN{x%LpWPtU!ZGPfvQB3j|
z&iac2J^?X**2R+Q8=<tgo(}sL<2aZ7XU?PesiqV82sVCVr=D0V8Mea*D~9&z;#IE|
z1cTAcjwc`9&s4-YgQw;*B&B=@7gl^ho%84%T%xQ*^OUx1Z({H$5^-QaKARq}K5Wmj
z(gpM=KD<+QcVKXq$QZzzGyB5}|F#=YAJ%HBek&o>tycB2v2fh|>Z!arrj(Ir+B=tH
zY#|kX+#Y%RcgmOjI#Z-}NO2gW1d|^uI8*tlt8TyGautc!DjhSb_TDi-wfWtnb#a*Y
z3qQ^x^pptl%SiJCVWf=gSKHo>H|CkfkXA7-olr|Qn04m9jIW`ia9*0GytZHjl)Q)-
zv^p_pDu2vSX%OYB&2ydZT!?w%&sEGxJR4pOO76@yNV9B;d1cE0Vlfi3bsdw{W&zZ?
z1luyprrv8G4a(2h7F@Fr<+Rm;iVWWK56H9gY;64Q76@q#b*g&45ERxeT9*EIPXxJ$
zL+|CPp!8~N0}m%F9O8|?!=F+C*BmCVVP2!gP0aveC8|xq@O*SYhgOtM@N3j^HXW(9
zCBzB|4sgvF4nM^EMWJ@~VTYwLtQ#)oxr1TZ2)}AuwIjYu8b6=&m?=u4I(AemTzgx+
zW@!*OTFgDObh<YCXP8)X?AT-c*!|jbH3(HTyE^UKsj`(t_Z+#_BDgsI8ThBSRPnjG
z8hqi~DHR(lh_pL-q0qTD8^NOH=8{<bbCv0g#(q}#v9<7Cl&PmLv!*PUp}+5L?%6Lj
za;gLq!}*nzCyr#5pqlDV_h-77QZme?imxo?ZkuY>p~78D70Kk9)U6Dv<W#GReSP&o
z@dLT&*Smq12Qm&?k{En5MP>Gwq&F{YwX*&?YeuoVho^8|hG|90I?iS&-kF?5{w~@a
ze;!xa?*Y%7qSE)o#bwr?A9QtLX>qz};_6q#xu?536v~6l2VImFfS+)<)?A&WjtNKK
zrGD^W-#kp)m~49=PY3nRBvY%C-ppOaODt;gx#7cOmkgs;<o)W;n5+EKo6Rrr=n^CO
zifHE&gVfQj#w^0S)R0hBAwuTfrZV8^$*Vncsi@^%oUU&pSGV6fxPD#ObZ|+A0DV&Y
z@7n{3seqyRVaL(8xNU6Z3IIboPM-1?H%y|&+n)@}cY5TH2RN9abxaZNA<%-+Vd19*
zjoV_MW(O!Sc>dc5`F^GxjDB{>T9@em47a%370O$%_*uC2uf_(V3SIMk0vYyL9NZdo
zx*^+lXC5B5DB9fl+5FrQpCZ*Xeu`}0p80t3_FddB^=NY6$Yb*aV}SdMX;6o&7IJ)<
zd`U>Qp~3{h0_7!8AZ^dgessS$B?_1-lH$JIwC2g$QkaIT<*MhM)_=I_q>n*$$hyDY
z@qMwgcssW!@a_KY56yc4*zlmR5UzRht-g1`;r~Xb?ri6VspFakGi`ZG*0=cJ-ZoC>
zi=*s;r_s%ijMV#%rvU1G1@JS2jTP|u2EIIq1a+^J1SkrLl?I48w<ptwlZ2|%`6T|n
zDkr%7)$E{pI*Z?P{b}5rrOP1QsY-Vx=^9C!3m*KhbmRMOL?^Vnlp~G_t{=S>+IL<0
zUkEE^{;*?KcF{NaW#61Y*e^rxGQYSbkREyy@O`*=dIF)1pBY2o0j(D@{Ygx>0>LtQ
zvsbIN?n=3X^4^-5mdG4^Wo}bcQd!bGoh8~7;&HZL!EYGLbh<BDAgG~<*Yq7crnw|a
zZs8}H=7WZXLrbN;f?KY`@>Z3f?tWapW*whDF@Af?_E%l&@ogH7=IJ5;|G{SK%aXmg
zQrPBzED_V%OQYTke_ZRP1q^$9(TK*g|K`xpB~$aPQ%~+A0|nHt`z85p`Luz#g-xaa
zHsvns2PJ(icNfjyLl&_`;@FnShVxUSt57`+{~UW*LG&@_0awwiP|6oHe#w!dj)JB2
zPBU7^`hc^(-S*|5Z$mtIzwSZXm#eUAL~1Jqm14y!mqy1@qnS>#{ba*?F&}^+tS5tE
zl|BdtB?xC(CGyI<>Srj3UnN|P-?r@LgBQ%K`o2lpT8svDUjv&)`z31awYuE)If?P^
z)tGx#o&Uaj4&u!z^Y=hl58DGOv{)JE@=9ouUeHj1V3flLQPlq4rz)Gn=Qh*NMnWP>
z@YXKdmE!ud_n5pi<Ru8?{uon4!{0@ODlqaDPlgO09$^wNv$Jl9P?lfMQYc-uIc1A7
ze#V@_5sdrDB33Y;ZJBueB1#8ziL;lwCc~U}bFP1e8g6|#d(Tm0F(3trB|vdPhD8&P
zss7S{uFcc-EiXT_ATuXe#GvD@HG1M)nqb}-S5e_G^OM$_LsA^y^%J7xBNpP#s)Qm&
zUxBVe1Iq^G%^N}q$Q8wg!;F`Y_!N#jCJ53B**0{*Wp8s2Aeq8R`&!uy^4U(wRtOUe
zk1#5Gux40YlvZPeUt)q-+r&2G1|pM11+iLWt3+s&nn93NBapi8%o3#J3I9N0Tml2+
zSZxqCKVWfP9`yG@QF!$4Hw)Q9a3@g>#}Wo15bmd$r!{k59nW+kARNSMPL%3GL%A2e
zEL?8}aG39DIuWdX>0i!S=c3k;SZ?HPsjpAXqux6?IJYZh^#i2s-y=20+?9POJ&P*K
zj;%YvD0GQ{sq5JX&yQpSUoLo_?rdHR_dAC{w(1>!)sS3JTZu4X84hSZz|_0f6oe8^
zX;vP<%11d0SC$tH=z%D&n@Y(kJO|9mYd04@xvnr$oFYyCB?W(f8=*EOL{g5z6C}79
zo<M>WeM@{1Bqf~Q&t17JUBMI|tI0wSo>%zeudbfJ4~A4V0AVNJ8RQ4BoM=UdP7GFD
zr<m=@#Gy+l4j04|C~2wkgPHB56P<{+UcyzsQ#%R9J3|N&(nL>@V7f%0toqhd>LT%@
z7#9zhd{_LL6=n~^kCaA7ppk-i+P%@*akCHzE&Ln(G80=(wsIC-+K^o5Nm2`Ls3%(+
z<jObUsx`yu9m~L?-8VzWJE(3McgLgz0()6OF|+XFf|Z_I0#Imt;u7JH#uza+DRfd6
zpWF&Uujplfu6yzL33|f6?<!8d<Heu8s9XM&rDrA4nY82jx?H~Nh!YyZ6A7T)v$sBH
z5Pu1Yt(t|{qB)Sjv$$NK^D9V8^!{Ngqe!8*)UPZ<p2PD-zr5{apl_E^oyYii#sygf
zp7>qgvzrsXGI&bSU(!d?H^dM8us(>lp%sHB3q|X;CrYL2ce?l5E9luA)IBwJ0EtPQ
z_VVSlH_mFZ%MB&qPF}q4`{c=UIG4;0t;f1Z<;(MywygNWIRX?j>p@|x(q1<rbPSs9
zN=0uY_0P=nRNV36O<7}-F5Oy84OmynGAy+?39r6#YQ0PV(^Q|QvV!Dl;FCv1;qIoW
zfy`)-jTlXFfMFI25D&|bb>)>Ov4TDFX0M(|!9y8^)5+2_>&lco?o}E*0<nEUU`dS`
zdY&zo1{heEuNZ~RXAg*@!%i*sG{G=OtvcVt+%0wn0oiH%gwJCx)N(^Kq@2#a8RI*o
zoTVS@vObs`?4?R^pSdfWHt@Min>Hj<y3{pWyct3B@-wiR$F7FG>R>WZ4t;#$3=7^M
z{8T4|dD1Z*46yiXfl{tMb5mGT<e-FPbG`Nx?l_qj5Mkc@?QZP?9XEL1l@kx!h9f9z
zI11c7cvUBKuLyT%KDG<1<mD1>w1Q)Y4=b|>BYyEK?`b(6&rME<cFE{Lbusne+Q83M
zP+N|SkmmK+{#JibHi?t$_KZmuU`7Vey(j5!Nj6=AIX?L9w^^5k)400N5eY{XZ_hk1
zI3)l~ErJqb{**YWXV5`i*d&nDsoxq^jN-He{o)vUa4dM_(0{K=iw*1rUavw_3SHTs
zU&9l?mP^l*oS%Fb6xm_Qsj$CM0G93_UG7~?+ANF0(vXjItPcE7py>ii5T_G;Ll-#*
z`~t=NN)jdf-7AO-64B&vLMdsk2P1(CkcV`T;|y?pq^B_d&Yd|nh{GH`!*QTe-i;x9
z&JXMNLTu1#BRaAfpW0Uca;-kQm93|($9McSy|w^gE{3Dj3PuLW<9fyJjp1M{$oO-U
zHUZ#r4_^S6YXa~5@v_^!??^#+y<OeEUD%0yY=fk$Y)rcEOR&0<e)s5yXO#vS>44rz
zr#|s~j>Cz(ll26j4{~3AY*7D<0{?>Hca!h8>8j0^+a}4rKE^52E~S)Yr)KMsrld5Y
zP4ge^-f)_<6yi$t#+bg4y{~X?#NQd;AVifR^3GvGn&0TZq>^@n!g`Tc(@<pU7OT2&
zh{XIv{A2et$H6v0p~Mr%KM9eVq+k$8Wrppsa^W+yEEj8+7py$5jbj|L6~@3P&M&G<
z0U)xla_wt2#rQo%&H4CU==XmuMKJ38BlW~USOZX9>W;8!gewUtZ0mp`FW;R0L}F|L
zWDj9I7T@9IQa-nxC*sKppIWkuscq?Y#RTM@&EOaUT*Aa-<ET)L-O$n!H7}jif_Un1
zs#roCc`fD_GZaRNHwyola^gZMNW))RPf~=*4^cjd5J~uEr&LZqf%U$+B=8;?XYBFL
z%2#Kht{ci$nO?X9;<mL3|Mg2PB9mG^!G+~%e@`0(i5mO4uULxsYrNP|^-)aw|69Ud
zIGoxrymjW>^ri^LI%O{)Lz6vvWE_|~o2r){y!M9%gOw>P>xrC9q?yueOAauRx~Z4;
zi&TqD>kI3&JDKk-XEI*XfWKRPMyMZr9Zs`(9<ALv-w*Td*=+{t+B(L>5{B3E>Z<;3
z5WPeSVNBZm?xtpt5$Svpg2supvRX+YkBNGM&qsYU@ysS`3|hGdRRfiDJPkG%y2LPm
zd~&x^<=-#teU6smeAZD&&_~bN11NX0N~F|=C`IUKGLe*j7(6m#Tm=nE|I_hksv1~C
z967pxSU<ZN0jn&*UVJ$LdmkETDdE<_Uu8LvOwIa6TUBWq`LW9xSBx%-z%S;=SF^e3
z3*ana6++Gz!{n1_^HgmbiyZ=fG)iR%q(su3cL2FvwzDrXT5B+&%~6cx)%EO)DjB+{
z{w%EQKID=44nNrs1IiiZ=rRhYszQ#N^r6$H&2GPBM94Lvs})eBY4s}R&>P%`;cER(
z_9PU=$R_A572J~kN9n<%TaAfK_VV9|`J~6<jL=}2J^HwiJ-&cunb`J>j;Mk}U|3tO
zJ8I<5MY3;4cbQWz8Doo<Nh62C&*9RINkk8I*`B#J*MN@VnB_1L5AyS+qVdbtF+3$f
zFH#U<7zpjRb!K(6@KC!R26RZR{;av|abl*`z>1Xf_orb)&sqjs|F(H%2bg5FQrhl+
zuHLm*swN#uwS|bro=lsu=A@jMiyRR-eUwQv7h3o#nW)44KvL;aR-<Q3&aARMMZwgw
z3*MZnR<d@YB`;;caD0*JjdCP8#1kia@AR8TAK6=_PgCI50dn;)a9?gj%Adgg=bSzg
z-YI71PBmxoon}5hXlsk*0EDpvDB_M}$QO<XYBam_7=Rh;eKQd_fn#3p9n{9cxoHuK
z>V2x-jfPa41{D24xK^tcLK1`jhbvg7Z>W$IxlrFvhXuGko8qQx7CbIplXZO2(n)So
z&Pij81WIh~!2z1m?J_T!YyHC7R3v3TM8<wYqZ6kKq^G6=5qnm81TU1?K(yv1`JbZW
zP!{%7KLs-Df#9e)>1oESwm+IA$HN!ysQ(`$pg62IOiMV<-?g(tILJoduJM0QN?O?*
zw)87&eS{%z&qxa|8V|Dhy!yniI5o*yNXd=^`5$Nd2ov7jTCG^<(jszF9U`N7>QD2r
z(_7z8(#L+M%9YI|6E!Iuy^oWcLCsUP{6r^u<D2@E@1X84-6hnP`YqkBd)wBuyDzpI
z^`hZMj*Vx*yucb~;?%etk@rJQE!0LhmX>M=fFNUW?HkbWx|a1pOr&_{A--B@z!OQ`
z!&9i_oJz8JMwj@KWRsoQZ|M)q>yJ;%t^REoNwP%a6}e<3`v(TN`fxiw8F9)LlwB;9
zql?2!GjR&ri&=S&-~WMH&*OBT#e(Dw-#+5(9S9gM{#E@uKx~(rZ5)e5ZUeIQ#a8?g
zhJtoCfEG}qe6me=5cE$07WOY59NCb-D`Pw}h%rS<>msjCr@3>3Ku3N?w%y{SzH1|y
zZ2Kk61G*h=0N+jTPC$2z?-rpuOMM>#UP{tXU53^Fx=&v&R!t~V?F_KLla0VT4hlhs
zJ*YT(J);c*cxu#|^H0N{1n@~v6*gczZ2w<2qK2bj{rL$-4>4c`miVA@G*6|^v~*+n
z^;Oe9JfWvWS95LigDp77#?dswPD+?A`JsF%!<~gdL3s*p$-rsKFuvlTB^&klp#2=~
zN3h)|B<XU3lHF{J%$>?n7m_n4?Z^0-Qt(P*45~)N{kcL;Gk;~g3w2^z!Wq-CnOY%&
z76_L^&MbXqO#GPDT;6$$C^2Wjgl9f<8M3b2D!lb(bMbh~$|?><oIM~IKf|YRfO~xH
z?<QE`4=Pd4s_@Hoe)pxU_c|%g#&7^HNL>lhh1HZ*CUC*qrp#=oSl)fD6tt39=6)q{
zUzs{JxKXU;g)ikVzWOOZMFf$137i*@U+fsm4;_$d=m;T~<pK&lBkBHo4kG?Hyls24
z{}5*tZO+ZpobJ(uNH42@bqU_r%t+qi!?rlF?b6d#{<@=X2j64<innRgX<jrBBZI|W
z<@F<wS3l0FFFc6-DA7&FX{-q8q|j^<3IL?6%Uzldr@$yb^c<Bv91h&fHUX+{(YMeu
z^T>0YvK0b|fVX1>Nj90#y&ZIZ#ztM+DQb(7m}k)=`q!JXVo(c5G45UAz)@i#_4?T_
zPCx%wX-H*&q$5Nlqnsb4gpS@o(%wMkB-)@OiK(JmC={k=@9fp9divRrRfDfJg?lA$
zfUkWp{y8qaO#{$r0`Rkb1Z?Yq{wdd0SFa=kve(njW*~vbQW*qT;GhGm^>;Q}6*<Cf
z{S$gWJ-<?W;hiI{KC!3)0mg>AmJc40FnHmRoY=EI;!fw>+WGhRqBR3=KR8S6>$bb7
z92D>vxP8z;jm>p^N*ma)UV<yteHb{JLF1Y=`O?n-X_Z{7=UCE{b}d3M8(3l}yRW=0
zCjzTW{O<hAxECy$GQK6O{v7_Ap-W4IdAKszvb44Wkv}`jH$Z&-;nyyD1D!O_kKVqD
z8`A?k@q>gf8wyc)BjX82${PL$ib5-N(KR6D>J!eWSUN0FGw<8gh&zeDl?|G9VsfrU
zzuoF)|5)1r>)3?iv~d0!Eh*KWmK{I@Wi?i+4oWEw8UA}+&~O_|NM&07M!Xzre1<AD
zdOH><0sQ1~JUjnDLxs|9)0pA(!Hw<Mp8v23g6(+>@e}XL_NcR1qQd}mjGxN?iJH>$
zFv^l7_7d6+!>Q#m_ez#%ox&S%F~d+sz(=6*>|`#D+I{iP|76RJ)xWoo%x4yZg^(v_
z8KXdxl`J5DtSm?!JBo7#v8v8o<l0;K$I$UNfgoiG3rgWuw`%qz{~t8whw^*vT^}DR
z!nF-iI9}3;kYiVM#3g!H;}l08Kdjb{f0k8-sn4qQ)G8s##SwV=cX7MuXKH}=ZAVy!
ztoaxR4bX2)LdvN|eh3+ER^4N)Zh`buno2Fa1@y+s8jxcL7)czCugF{s5Rqy7l;<q3
z$i9BLSC$Y3MLxGMFbAp&tBYV~4ri0s$74%do0eHocBe~-+EO(y=Y*+2R_16!fbY5I
z#HtZHF4CF_4nH3-n~=eWFO;KwNVI2OlzyPtQidMcV{w&|2zdOa7{`H*XzEaz0ElqQ
zLRXM3i#9`QiLc=6;}=NSM;EyYh88|PX=@SD<VLmS3$AWw_=dIheZ#vD2=}JKk9L`3
zSEZw0`hK{fR&4HFe+`;tWFLf+UCZMKbbGFK0BX^R?f4t9!euLl4*JK{Zw{qvNf23R
z>d~bI+uf%GIkdQgM9*JaGbH!?T7#<`Tv(KFM@5;<Ptmpc9cssG3RhpItGv$105tLV
zOH`=NTjJjY+THMfSI@R)fCS#7=&qS{VT;nE#(6+0@w#~FDRMeWoe=pFC~O?a(QaHL
zO9##JWV=jx$k6qfImpao$#Hx=ZYpa7vQSl8svk;7)aYAG@;MCYTp-6EqC(REW9!{e
zdsgDcdui+7l-j7!0IirHSKD3>Qk+svW;-+~VS~t9itDETd;3@6v~CN1eFQRRQLWuT
zk?*8?Wm-a6$dU+}9@>p$=2A$!*2wk2k!pN%jeXK7yqP^5_58o0W_qT*<6bOsYmxO_
zy*`v-W*3|oI{yLdb%=IxAX<XVP0JF{!_BjWpiAyi|9U=ecb&>S;9$32=&*xW<9_MT
z{o2KG%^I|S<RJ+CDfmH{#elv1V{Nrw5qW8m9vbZgmgqa8q1V}I*y6r480OSgPhlr#
zsVZyQ5NcWRwxbC_)AC6f>-kirMNnvWo0NmPY56!n#Sl#*aO~)?e=ZVf@1XS3e5bb}
zeSS#JWuOX`mkkhyke6W>%9|vg`#1_DChV7vp65v<A~6+|P8OV57JO=pz+D%~x&>Ul
z61d9>JB_2?j?4uQY&(@2_zGC!cWl+Rr)ID~j757#{3#=?KzKb?)A2B~?&}k3wPt9I
zpJR0`3+GCET|*+J))x<?>88J|45IhJ>A71dz`kS~SzE&~iy0Be&I<N2*^4CQ)c^Km
z8!o>oPRbcS!C<i04Ux8s;2@{(&b6S5O4WG_{-npLyz&<OJI|^Upo|YA{Fc5vR9Wrp
zr4q0CEd>u8pDrt!HcT!{E@{p%vo4kLXR?$UK9snBHI|Rum#ROB&yoew>9i4GZQ&m9
zs;H(JAFOW@@QA<;5C+GeHN_RTr=PR>dWE45-`6fMNo_vcjX$8ZF-wG$oQsJB__-Re
zwx!zG`G%JRCU*0a#P^&E>ehb7?1oyAt=yY&5dtY_aJifRz{e(b1@)bntdQ?O-Ui5G
zfq4w(NB|Kl&5h|aqEwiD6`5=ZUy)Q-D@MGJor>AmDov8fV%FN>L`%n&(1$pQP2mI-
zjgNf$?l04(1*+bZ9KXg5rWPSrHsVNOTtNWj#P0TXd-=pFvMC%EOi)red$H}4HWtWk
zD6l4Zk!S;?zZFf$FB(MQ2c<#BH4g1=ciO6vtn*FWzmPc{7BRt1YZfub;qvanNs(h%
ztp+%}9_XzJx^kLTyhXX}ISI&hl{57H#Tzj3_y9CYFe7N9c83_{XVF;MYw6f;PVdIX
zC6t6MaqHxm!}N6NH)7nE+$rkLaX6V%-?XKP#bC*qq;|AR1*Rx1iUU@4m~9a9Q}-Va
z4~rgXh%2Ybdx9yP%8{D0#1d|V=@6yI<EajS(@r@-0M4*?%#7_xbFjn~wHv#LcLo6O
z<Xs9Tx|OvYqZ{~sov*r0^K>Tm%Lwyr2^C?Iog|h$C;1!AR0FUjvA@(`zy^v~i9rg9
z$A^*@o|sd<BG=T40iFY$$pSfGTYd<Ro6N9>j_}zl0sxxDJA45vC_2;0rK|vI9-f+Y
zgz?4e)#1FvUZ&*^eR!13?OqxEz*^FteXq6O^#TBd5@qBgLbskxRf#Yh{voD#O`KY!
z@RMt3T)u*2St+r~X_TeFtKCPb+Z|Jn8O3l}4^&OAxF4M$+>52|vhJt)F`*q=3un(`
zMWV^#$rttZ09nTWL?HO|1L-}MZ`Q=Z=}{VZe=NZ+5=pkizUNNcg=>`mg?L5^2~Bes
zBD(fiZ-*}_UG$?XbH>gTli9wNeSBK9J+aaOB&8hz!dNQrobT_4wh2lD4kyxnYd1Fl
zIqgG*6Drc%%paCG3)uUykK*KftbGsFFq>jk?Z&Iqi`2GJsN{W$0k2xXMBv@H1@AfR
z&W_TEKP_6s-OZ94?FRU|{_5q&xgsu7Y?SjY_xyNmy-+gzH=$qyUY%wXkE86u{S2e>
zCYngnhEv|@J$@kNrm6Qu$v@t0W(v#Mk)Jadj&8XV?y*2(a<lly0Q2?@P}pUxY<EGg
zZ0B};r>mXoVi&VMh14_OhT<lO|K|5j>DPVaeO+myY_g>v>#E9HeFnt@`S8+syT=l>
zHvhvm!N?TA@`l@xR?^A>hxqYKJ)z(pCAsD=!xR$rGMim$OheCd3E|W?WM1X#nAW`5
zeg5Hi7yPMYM<RNPf^F%a5gi74#A961&K=DuTW&%9I&YmxsYH0rW}HF*en@YM++-oC
z#L6giFJc$rSkk8P*NXMX_qTe0zcQ=yeUrNV-5C-zRXqm1)ox83H_KbDy6C=TzTBSC
zq&s}&Q`GFh(!eTN@4wViCvTh<t=8xmLSoE=T6}C8Gv$ST-YdI787j$&^B^1UEE5B-
zteJv5htCr~o@%ShzQ_xdUr_|<3r6IE89!6ONbnIIXo%g=A}vT@COI%Y&h?ygqX9DS
z5P(@tKvy)yxLj;5)CZ&G*Y8pp{gS8uC&<6%d@Vq`Je?!aQQ&2A^yRrN8*s*5(37L4
z!+hYg9^giz1#hNZ{{c5=7J_gCZnD}-s_u;Cy3PVQ=JDgd8;#USY`VTn6~UJy$6=C9
z6t^z(d@2R{PB0}rhwUuhd}+SC79xIIF4)ff`f<42w@SI~9AR0s32jP20IEv_U`ZG+
z2ZBzGaNFBa?^fOb1yuV}#9U}C$r?A59~;@ygG})r!SUzbNh5+EpnE?pPc=<9x0a*g
zFos^O0)*)|erUO19fpHqd%6DzNNYx4)G@uOP7Fe?u3&5*Daq928~~k4x^o;;JVe?4
zZwJ}<?r@EhKr~BI`&&hcFG{{Xe#4d9Q+TWbsSFZK;Q71tR}0BkVvE)DHeNy_W^@Gw
zHN8P}uQcT$<$!iUNRBo2*}JB_k7r+Ie1|MaE3@TH<mRlehbw-3!{50x{=u&_X#C^q
z6OCOd>h0^a>$97mFUvQxm8;*^ziLUix)a>GeOo>}X;#k}Qd_$DAO*pID;(=9HQ+yR
z)$&cCx5)BxZ`hI0Ga5BRfx2K_>*{&>b7Ht@ifbuCDoSf%dGN&#xaCWAmaL+rGTa3I
zG9F=>WL2}4fll<#)Z4=e8<7N;Wf%0+UfoIF{BVKj*8hPXg>X89Fq;zT(}cRv$&jZu
zvhGA0?=3;Rsr;=FA&GeUfHwxdH}`L|I%Kj8y2%vCkJ<xV$=+@2luda`ho~4sMYiRB
zsXvcoud`SE#ttdJqS*0`1JDt-_AvU&qV}7N+4+nljq;q|4U|6YF94yc@Sq|Oa{(DI
zng0`bRZD_ee#V8nBw{e%QI~T-02^xIjJ*X{L>Gq5FMsmA$mhBhB_@-p_;>(z<jBb~
z9B_?LFUr*aq<b>EJEtTzEMfT-792t~n@G(VY)p*l3QG&m&K{ru=oRkguBB_<p{^;}
zRan%_6PD$jj~7@8YM{jOC&Rv09rG)L^bHARrW)D0fy39fvh_rAL$!cD2ZDf!urKKN
zzC!ejYFQ0bbbPe#!ZlQXvu8K%<UM{|`yY&agR61>a_!EoED*4lJG&*J8%C>Zy5osb
zp^b!o3y4ukvZ7plOeTldEQUkw^bWT~WP4^70^!9K1p{|NJ9pryVP$M2>lF8bTj`Uz
z(trWoMz=&j1!L%_qIyHjR<_lBzI!<k-cm}&ucM@nO}iK7Wk(PNwPBL`tz8t6K`D9k
zJ8(=mJs>;X;;9uOCpyU>Jy({OCw1LqJ-k^|KicAXNdym4^nqf7<-$;NoXJe)z*F)o
z`e7k~mY>GZCw9LerB;n{sgrKeF8sob0M&KWk{yOWH=HZ?A0*?fyW~Z)U{o2_K=_{$
zdv#VHyIGsia#-+Z-Nu|lBn&*1nrg*%BgO|yRk#0+sz?5#>`P%|q`GT4kI!bI2BVVI
zN+`*a&gI!mD>P0Bt|mo_>Kv`4hQm4GwILw5=g)$`bdW~s=b0=DO_x#kcS2VLS^X?Z
znH$<<(tV0MIwA>X1_05WGP~Gtdw+?6DpBt-;d$5)8>I*|8C1Z}?9Y|()AW1PwGcD!
z0D+Z$oh$XW*}tnL=MS~_Z6f9)xix}!c!(b%`1}zaWLc;_Tsxku%X{I!UD(aj2C^D&
zPRV@jFyc^{%&*;Dk6rDgMApdjHMtkgZ7fUGC(_k(uJxv|io^1H*}e8BdXP`Lg5Ysq
zee1X}uwClUF>jG3xtfy4KcRt7y|>>xQgqTJtkZ=b`ze06?Q{mg4bUH~-D$hyg~^nI
zLMC@N5*!q6L6V4o7y_Bbl(ogrkaPm%>09m9iFtSy1rNVLw026S_eL9lT9guh6>@w_
zCKtD?6}c-&s_~N8+%VY6j5gO(_{X_KKEgF(x4?YHJ|~uB?uSqZ>@RKY8UNp=k?5kY
z27%Ay9gpyC^6N|ry9fXQxVIEkZPrX|<<#GX>m9uW-G|?FiV2lkT!*Ssbcy}eI_qIS
z4{UF3e@k!joxVaGaO7nf#zWL^IEr+|UcnhB=@yKl3rfu?Z>X|4^EctCo#Ctp<q5hs
zD&xmfn@CHYE*0`aH?*pOX8Im=C5g!~XZF46{cdS@nS|`|dGOS4?UZA?ou%ZETOEbM
zwX|oJZR|l#?<m>n^X8+Ao#JxMDDa`t=LS*L@oYM_y|peeWD8s#zi-fy$sR*BxN6k*
zk&DD<iaWNX?rE6RiCEG{ZnKN4daS!nsCstm-x4800l~fl;{i!~&@VG1oti{xheC{=
z;hMrakH>ce@xD`|pRD&E|8cUAx+^!ky5R4Qz|lKzOt3Nt)bR?&+f)7=ez`?hoA+-R
z9W@B)2s&pL$;g^)=AK)>_Xtew)Sc!<iyP3?mKP6qg8%y2A-eZMyY@_=2C`6SNoZLa
zuo&gwB=BaGI@dMDz$eJ)W&R%PjY0E9%r>+^lhq01IbHawwMlHkDTtp9X>>(8K%T$m
zJkRf`f@<v`V+*@_JMOG`b0dxM+*icl>L?L4+26Ig<bwuimaXA&-x+91&f}FUDKBHR
z_P?@)4M!354Jp)iHL1s&^K;A`b7l<va)Q-#Q+j>vy#vck3l#*rupzD0KavT@Y1w&3
z0P{(1y;$Nk9a2PR4b3NMEAQN3?I+)@t;fAZ^|VJKAc*;AFLXc9a4}oVWICGM8e!I!
zT(?fw^SRTTFV1(wg$qNcpEvEf!wTe?dNf_w@m=3!Uy4><Fz8t1M+(jD%EPm+t)XpP
zYQ+8*$16aZ0!Jy!B{Ddt#bU3B^qV#WNHTqIDegsbogOh#U);H}o2eoLw7<SG2Yih=
z=6YMIR%Ej}GH&}0p~(+|L#LYD_5*@xS2Vij_T$G6;|c1-DA9J~X6!<_1qC6k>nH0s
z$=ND7>%|N4`Fe%wVBF-lb+Y<;tAk>XcwHwXy+M{jYVX+r3L0}>bG(o%;x0avH|c6$
zQV1Xws7FKzb14gz<p^|jnmMuz-1mfc=*4xVGerSz`z#5d%2Mf0vG}ALqrt(`U``8z
z(V{pVntoO!`6*`CXGd<N`sTQ?l2n~|0bLTA14;JRmklW(mVF^s@d8G)6v!k}a-+8C
zKeq#&^CX75dx$e^?Ngyhf)FoM)atGt^Sa5@Q45gJ__xJ=PwBNG`y#ySkW<6kP|>iK
z@~I{9Ag}C?LE>Nfv<d$<RT}qupUiox|92P%>n!oQ6WQ#Ki-sWPMOx1yjx&p}@}8Nj
zO<as-$w!AY7!2;tEWAL)e;f9V5@hyjH+4i)uL__ZuWSekNGQvSn^VTvnOT@TA?erE
zwPef?%ROVKy(Z*k;l#hCF#E7dZ_q}0eYR&mEJ|DYuuVIW^jNxI?bTi-%`?D>?%mY9
z928bddD1leA04TI#QgJm?VA&C^K;=J?RzKfV~B0%sD4+LeoW<hc)q@P<*wQv3CLaY
zR|n^YUo5D#jzI~;-*Mo@+!BU%JV1@eSn|Lb`A5>eUW;ypO5c|pTCguf>k_6S5#u63
z*nSXL64wSl%fCF!J7E8Ib-(y2b2i!XN4P+*H~_q3iX+6hP*T7N=fbi4R<0Bn0;pK$
z{u8_{{wR0K!j*dDubk!%IgWUUv8fq!Vw2o<ePMm2_Lu9yCV*VyAme}yWYnNJmJgNp
zSR((T6RNijRj%nn;gVo;!yOq6iipX!8N?~3Qp_F>eLYy%Xg5sDWUFfQZ@z5-rt_~s
z9n(A73a&7<)EB>tLNg(TSrC^JH?~Cmb<L=6K?j)j$5K4Xg82htF??b<Hk&a~mKFqg
z)V|_#4}R-nQD>;P{{D8D+PY<u1-B$@r5C<R0k{@TRO{6wZ@bthYWqjAoG^x|Z2rme
zL{vJV&C;{0eG=-KF?&f3lmpz!n~Z?n8wgH0DrjCl3Bodfh>=waKmG9|K<lm0!uARc
zV~Alf7?w9_R({3;2r)-0Qds@TUuVUS-p#IuqOSgD8&}Wuakm+7(A_B~JB($tH9KW+
zvVkS%_U|vh6YRP3WvX9#0Dx+?`MGgoRXJ$*hpE$&a;NqSJ_%7fUCIlln`U3R_&L$L
zaP-OxCLgaZCfvsciz>RYO!d|ZDwLidxMW}c%>8Z?4*#R9JF#xsqZj$!p`>2Zao36H
zf*0(1EXe~;RF}vVJn}NX$^53WISbRM{pTlm-i^?Z5G`0+G_-(8ku$?u&0Qp|gesi?
z2h9N-^hn!$nhC<#U9@~&sMcQ(KPaE?d%zT8P11p?w4z4du^{FF9ZwmG--r<`$SnEO
z=6IrquGVc`=h3@Pa+d0abDJTAZrJSC7wT{!^>4whC#X%Ku-Fy(&Zi!0c6F|%{!<>;
zj~8~R=T&12>iOet{IOiq1N@OlIhMx?Qf`Dqy3M)%a{d0t*gBn6rgfBEZxkKT)DceC
zM)dhWMgN2X3U|G`6un<NQ2<{;HbEv5F;r{ZYsPMYO}kwUwS)!dP3iMikT!8TZMUd~
zm&+Q<Sk#H^eGMKZ|KqVrpAPz8h19r*eAdlU-eCb5p|32^0HnJ2Wh8s9+^6p>8{I-P
z#Fn&Uq6A{Gh*Q8)PN&K|%jOA$fx|Y%rm6OXqNoN{Q1*Fniz)aldAgwWrvX3T>f)d(
zY1<w;h!Q7-q7V^1C}GsD#*$STOE%qy^jg0I$^e)u-E*ac76UP#zAybcu=<cfS`<6Q
zt_UR?EEOb#$HNkM-~r<wtt<d@g0PK*AENBK6E%Mntz9#S)>Eb&a~CNrklQ9wmf~%w
zpxJ<Sj@v4$f1+25QOTeZLkuuovvC{~55$EV?jG%=+M?JyAm-7l|CAz>DGIT=ek8k~
zi!ppvmghYWu6{Q%0pgNPh7)ZmynQ%H@}kK#WtXnYcT4ukOF3@~WICmcYIY=q$0UT@
zEJ?YJiko|g2Nes@xV`{1??mt#;oQY2wXa{P!y_8co?RRNB*}&aw5y&BxA455b``BD
z_^-5a<!uFUTcU~MYJg5sLmGj;yva(5?kNQO?|ye=eI?xhe-X7u!xc)&j{dHvicgfj
z@+72VRQNS$Cc@K#u><Uvito!O@OFD<L<DW>&Xr{j-eP)9HM;i}d`iTXV*IX6|0asw
z5BAWst09E72N$fo5EUGu+s0c705d-A_&v7w&^=L1y{@gJljW>bV)5SwuHNBVJE@R&
zea#i!OTF*uz_=~uQ_oH`Px=7ER&OYHa;ue~RMz)ip~H1TY}22%KyQ`Q3%ta`&o;_r
zneeA*vr49M{{<D79;ET(j4)jyopzZD@^ZXv<C@gWQ={@$SeC4VCc3BTS>nbo1+_Qg
z7xuF4TmLDc#1)b0la-<gPH<+tG<tT2*VNdKLvf{Af8%71Ij+y4$WNPE9W4j^!>uP)
zp)W39@o|d82XFi)gK042ZN_Z?(+6Z!W8_0t411Dq=pDfQn59pY0sl#6UBZoqRvJ~7
z0+>h%ZqkB|Rc^MQ7A0kt`1(En2be}L6{oIJyvh!r{A^*>fuE!4{Uk++mi4$~!1v4J
zkplTPfnj_}juc&4gSE38H>HyoemPHW)b+I4gRYAu6V}ee>3SIvznk>XbMfXkR{ws#
zG;rS1Q98D<-N{Y2&xtZo-Kosa*$W1wO^t*a-EjW-P^T-}*m}0<zBx;RD2|Ie+|Aey
z5~wFpkEPIaBtLdIs*RH8>%z>N9ZN!}>fi-aNe=_Qc>00ZZ8^s4&0AgcIYj!ui7Pvq
z1iuIy@vf#wr^beE{y%=Qy|gWfPVX3Y76{=#(3^safAT^}1}J$p{h0R<%+Z!w=>#%g
zN=QHBU28wJ=aFtl%~47)P^ZEZ)^6(R(a)?I8k2IrR|(GIf&^pKB{s-IQEtgr2C=Qq
zL|gQ(+pVAQ99iIogyzXs6yH&f5xlnlic&kp*pD86HCrTGr8k4@nI)m*HEY;oUAkAV
ze$Rd{MwzE(D?F@;`3dEH=ijvQrri-!s*CBm7VSyTv%v8D1sVx=?Jwu^-F?$gl$I1w
zNX{8e3^g1q9Zp9D^WU*JZ}Ucfh)mTGf=brt%Y+U8)Li0m_nXf^zo{b}maZdoh@6f3
z<`VEC`7Op$f-6@OvLu3J@Gt&le}z_MFgvl6W>j0DgH!v+6NZh5V{kpJ`{&RO;DZ{&
z+ffTyZG9v46XAa5SF|Gk)uGnt@G@~~x%e5I{2LjE9DRu4W#S1gZadLFXG#c1u9xK;
zqc)}|3*E)-z^>5#e~142zrmmqyIyrk`4|*=4Fe(prT75`FR=O>>0Qt6_X-PirPXB@
zt^;M}=eGl4Tfe@QT~R!CmfnMSyn^pH68z~X?n2BhoQ6%<6guL|;-FV4o!h2Fs<ayS
zgWR;z1-g#+8yy=4PSiFDLL#)=Iz?}N*Y()CU%gvG+17Xvb}&gnd4>)N3%j^ptA5q%
z{JD4`9NoSADZ+LXeDaAFjch}x$9ulk%bj#5Z#~d+ZJ+pQdgf{A@1e&A%S_Y2So|GN
zwJFf%k*e8^D^)lh<3J9dKtR*`^1r=G6v5%WHXW{ijlNV<>M?`JJ=E@NcFBK|`O2gC
z8CK4}q8r!jNan4lSW9>S-VgWzOa5dhYvR4}Wgy#ecnyDDeqDieGH+iRT-ym3s1I_%
zqG%G-u3Dywg0hpY6FgHnC0~1T1s{9n8fvftZQKiw=v%)<=ot)uq%9YG_^(tFm5+#W
z`>N5Z%viw7OXigx;4nbz8nGNiCRB9h6N}Upsf?Oa;k0)?j?Fvo^K@fnb4uXoSpLdI
zk^uN*ee-1tRpEa@>=_#w<I;CEqbRdt{fvT~s&(RkFIPkqsE{;D7381HrS3Ni_P_>E
z5x9Hp)K<R!DhVBl$jcF-3UwA15fVRuKv$`eCBQ}gqCQoV)sls8NBP!vo9XoZd`nN!
zFFI)vlz*M$=<r2$-E#a8K2YZw`INQ*n!mo2-+kuua~lAqB4@IO%|KM9fTgbmOP{Y2
zS5XbgP};z5a!8CTO$wymsO=H4?EXhlm-ODGNqsefSe9szk@z*6)H+f8FvBlw#$0rg
z>j8>5YHb(71g}3t@mW%@GygpCVPSb|knn=X^xjlwX|mO)<<{e?hsW377nhk3!AHF%
zW8e=0YuTZqzQ_-O#8D8BS;mX)DHW+K2_wGGk6I5|s(dMv@Ygxf)$<f^$?<Tz_F0;u
zIlA`F+!+0q{-LG2YkRyY+<$T!V&z%p8}miUKFXuWhF2@fqhBd+xQ%=4aQ0@Z`~d!#
z?-|ruQ_!nbUVstYVRIB5fC>nOU3?7GAu1dN1wLp}-YyhYc%?vd<XZW}G>1P25X-z(
z&x`0AT+t~>RczzHTd(LVV}161=~d2H!`;%mf;=OgYt|%BI-6C6VHvP$g!cf9Zymj8
ze*P?(>S$P^T(fwK%k}nWHbroi(TX!|`@{Qnzu~*4n+A;pFncv~?1^n688yOF!4O9z
ze~L-W=|++*W$v|h$~G2{XO03}qro|=An^*KpuLbP@|KF((pi%~&}o6@GBMVP>1Gsa
zW6D@C3Wf3c;My4di?0WN4uH*Tmi%i{x2cXkLxKg-vP`)Ytb=RU{0S!enHs5&p$nC5
z#>GoCr$QPbqFV{uNaI`nj_2-;wjJ$uBG-%lZSOpE%nlX%n=aTpBnmW}#_CP=8YlJK
z4rX@Zwk7yBU;!L>9aygXf*T^K=KAchH=58e%At8L|GeQ*K@=)EX-)s{cRV?>wX7bW
zA8E6_75Ase)@$L<wL&H3-WBN4aPE@^$4^E1Tfzm8&47Wm=>)2->G?A$1%*5o2HJbG
z`!W6#wvo&4l8Lzsf)#~ElcyU;9r^=1h`S6vKi3_jEnORO55A5>qg9OXo7Rct8%>Fs
zDX9S6;cseT2|q`^75qrkA3LC8X>2xw#cl2}$@6w8nwgAE!T5Z|o;QBH-1bu2Q7w`H
zO-dLqqgvXc92|wEG{a*WTff3jwA|EwWp`m|;@86_=mLf`({J^;+;7n6Fb#uQr_yfH
z)dC*Ym7H{8sRKz$c`R)Z_GqQoT-Di|uYYGoH-T<RF0XU>wEO@5Lu{!9kW=v8YuFlX
zRmI#3zZ*)Ns{ZdSX-e6j0!FQtPs8FLd{=EcqbH3b*CTt|m*$F(MC0RXjf>~_h;P0Q
z9bLXjYmzr(nyK{}>b-NFiEe?{7rhk5cgC!Fcpq-+BJB6Kw-th!<A{H*7tXQ1eu8vd
zJhJlez$R0x;CSa|z2!*pOMLa+<TJG}t|s5M%`XqhGSY(j%tnXZ27(p*OIoRYHu>)L
zZIuRc1f_oYC|63Ik}~F;<7X$@Y(9@AjN&^uMWvz}1$WN7%Mz|2MH+Jz*JPOr&w&jl
zJ`=q2U$w+7jrH^Oups7gD&yFpcyX;8(q1F>>Tntfl*Y2H^~1W=va3b4gu77kYk12;
zmnWwtCuGD`w@p)cH1<vFR2S<BrD*>?0<%NKtD?}|G!Ch>1<p_}9@(j7?6xWPU1m=i
zQO>%1EGPO4-M;5!nIfSHH_;QMr#udp*sRk`XDOl*-@#;wy_=14@ERNxE@&|><~3r4
z9wa<peESVWE#an4j;G8M_8n-!H3QX3ln^rRZPXlWR7rDd_DRb#m{&Z<2P^^%u-AU}
zPZpG}zz3@|g@<=wh~Am3thS?y?5|o=%`K1$6QrAt=ZK!ny>Qy4lEpc3vrpK>)YMzr
z9@zULQjZk(q;r;i8y!I(%~_pNs?U1md{<4_8Vln|%~KW2%D?qc2oJOln*&&TrIHMh
zFB55h(B)Mr<6S1v#82GV_N^nE-6&6DO^)}Q`5DnXeCNnEm7TY-g<UH;_+&=}V_v@L
zy8Kesd}_9_r9Y?0LyvPLhwgg3mpBAd!&d6oF83v%d$waD5qta^GhCKWol-y98+>ed
zC%++HsPb~*pO|ae>*9{O<Zt6)xMPB+IwgzXA2N6Y<6bMy#om>LDH4&M+=xAs*>hyP
zZrJN0)@w+hgfkyOOtEy<M2L~v{a}_v1?G>MSI9ouZ=%A8t?4+rzr9)|pZF<0>A<`l
zLzA;dob^kxkRKE~2FLQxXuUb;bz_B_bas;A&YDY;<-ZX-UqG{iqeTx{SISnqZeIf4
z6-`a1yeUX?<)uCUi+qnPCz}7l-<hUo^;}Q&H%<;`4rdw8@<p8cZwq!^$i75)Gkk7%
zgnHyLi)>2VmVV32!};V6-n(Im)Xn)=Rie{!BbW}+%4gxUY0gk6)tr+WhmHG6HPc7s
zpGn5i&G#OGbCq5BSUK0W_u%e)6V0jrCN}X4(k-lg|9zdpr_3Q`tFAQ~hNgbZh|YFv
z7=8QWN1=(F+F*SMaB<T8wU;}mZBj>>Yn~L9nj_6tL%@3B2fYYe^!=)|rztkz$$u38
z5lN89KAv=HTb2@)?QdEz)}G2Jclm8u5*&W1L#eg@BN~y=V`EK@!F$@DvFiB`!RXIJ
zZ<e8`MydSs9sk6u&kDt={|`}L9oOU+{jVY*Euzv)Fz7})M5L7t>1H%aju;c^97sxy
zMkGcENDXPEMyG(}fPr*uWANMO`}%%gzkjy-Jonz`-Y4!o@Ao<PoSWOIGhLpnqLCH&
zk{&a?AGo=WTsh2o#W*reA?nW8oH@Ta)nA0VF?jA*6o;8rdBrCT=l7Ix{|$fhaLF$)
zIu&Rb8F#Pn%76za<$jcPNL<DrV(3(euBZTJm8N}3^!Pr2%AxGYTo)=)&S`Yooep;1
zHQXQspng|jiP_s8tdL(Ud`i8^SrTEPb&?0;ZvUqzXlIu9VPOrN(B{rv#NSW2Y*W)z
z8NVQEkher#;9$A&lDX5zQ0--bDr;1N-08xxkH8tnqMnL8;r&h3*rjPW#kohc?}ML~
z`Es|fUS<9BYWQnb-Tt#Dq6<%t?ROva!lob?n}^w#>jTTpX~awXExwuc$gdOkUwH$k
z;U8J@cASiSr0_*?0r8r6lPJEuHB5#Qo7aFJbwTyVYMKvqnnNq<Ek7w<cBHJ{m?N&<
z2`}`qtEanclf=PFgmZkmSAx)<6XR^YhLB_Mr_`(YQA{l`Ya!5R-DJx75@#+n4-v>U
zW~I8_ys*)4q%Fgw;5FX<%P=I*zkG5-QGg$boDfJokNz%<0~z@VTkWqM&GaRySy&Dc
z9)50fRq4O}CxE!;<>&6l?VB2#)cpnO8hRI)&%l?qy@1OCx!HNq8zMa)g>iYQEDrbi
z!6Uojg2VbxajNZ`N1r#>*XQ5AHY_<RF_-ljs?uCaQX6Lb8kTSBykXXtcdAYoFJ%yN
z_?Z?q+0LR+%9yJ2=F8+VorS4<9wwrcC!yD?fG16%Ig=`;Sc}S6z&BperKG@_T?`$$
zq6=cM90X`~qfR*m__gh%Wx5Lf^(bzz)I(MKm%!@X2hR*cDun66q@3IS7T4C0^-UbM
z=$4kcZBHCe9NKxqndS3l%e#0}_=H!aFEPSar57&GPDhURwm_B>>=!?E<hR<YOWjD*
zNj82s&GTf$xw9N6l6)O3P(XH~milw7eBb@{-gcJXxt#x%d26&6&hid_^{Umd+t~tY
zTdgdfOc)V@R4@vK(oy8w(o^FM3PKBdyV%+6#>&;Tqy2K|d`1N75FoHM!lI{QHrONE
z+q)-q+8zg8;>wS2oI+|>d3=JI+FS5cn)bNHAtO4V(@kOY(wIw;c4NZ`M9^gVOAFa`
z3obytAO*5uWn45$vm(ARH3s8FUymBQ&^mx?n(d;>jOc!8^NUQdTbcz6grJVE9gIKw
zOEVGr(xTJatP_%RoKLZ7ckZw%RqUK?t|``}H0Y%HH52|(IEeZLznmJPpYi|uViW~(
zKWYXoQr^tHsMMJFmLN5n&;<S@*^yBp=^9u5Z=&>4*YB&Sn&1atq0t2RAR*gWE^Nk-
z=c7lkp@g0^aynO10>K0O2`_H^_lINQvp8ErIcG2UxuLr{_d@P>vBD)AlMnA={sXb1
zi~p51ZEX-cQQP09@sumHaaFxNEv~$>o{e*h1u-e7e(tC!7%a9-C;4b>H}fzw)7kz%
zj7pWY(BY>zxM3mX8IF>a$SWBTiT7Twn27dXcSX<Yd%W?B$ObZ_o%);!uUq<DRJFeH
z<n}pleg99LCf)c3BM!nnc?aU8V$!4<LfCmGP0O(|ui(WI+7EfRbxP%&AsR!GJpLXh
zF&>Rlr)50TgkBo;AET|(G`4A;6@xqe>$U&jJOFa=%W%{&Bv)D1a8#M|x99GH;GV?n
zr$4*<|C0J+ek~0DSCPqB^1pWE2~TV0b84HQQx3D|rf7$e9(F5`3DswY0nwN(<r?qf
zFsu=~UyVw1S2UF)v46G*_w@+oOQ_Z~!61(c30f)J$(0L6HgtsmT(^;jQ!v)t#&{0*
z^8Ma&&wA64mJV+E?|LStuUCor^h&(SzWyYcND0~8BBv&9zN%#n>XSr>ieyYKce5U6
zD)m9fKn=!+${8&y>Z`zS9tBP=C85j@T$9r*b~%$Y<t9j3QFz`<ZU_OnFaC$z*^@Il
zxR=u7n)OWbHuSSwU~xFM%^&Go+RY%ng=BG_Pb83G<l`$lo{5yaG;^*5_Iy<V);Qtg
zN=?_o`GHO`k|dCTUjbrga44v3W%u>|Q_T0l52-fE`W=&-u6kO=`p5qBmrI5r{VcK%
zT%RjwNg9L%PLxQ6@TB?1kQ^M5QuC(ydtW`o#0Ik$p&&VMVU@~w*$sP8Y0BI*H3q><
zaWlNnF+Uk`+^}=ow%UjZ4jp(((E?ib7fmTcd6|~KP1P|h-$J@;?I4>odDEKBmk221
z?t9xJ3$iV=wMJQUOUlZ-`IPHzpJDsL*_M+g79{u5+Thf!2S`ElZ~NxWkcDSRsWV@o
zCxNUT7MLSWef*QWhGG5%ZB|VODQ{Z4ZS5FUTJ1_~9)6!~q1&fkG@5}CcpKq!-)R-6
zg8B6yL@iA)&{xas_9oEBTT7H&3m-F_*`GpbD`7JnA;eeg9N+e7@r!5CkG+qsx(R=y
zXEeNN7bU&$^XL$cr`*a;KplZC4Pwq(0(}SyL$|VxGZG$tOKc!DwtwO<NE7)%E^1uh
z;H)I14Bhmn>_6&KA75NOv8_(3FW^RipT=*#6XvVh3=3_^(|*$htj34SZD@zXj#^m-
zuo>GBSA>z8X2si4t;|M9FSASN-LE+DDW&VxkE`5z4W60K%@%XUs_goNeF=YU{wi>S
z0SM_s*_PH%=HjKeR8uC>N3Smz8$#CiptpPORIcwKjsEM*b$q>oMAF?;s3uUFvs;O)
z%;-36FnjbG6eIWWoRf~v)#8Vh;i6eJKI>IOxsfQ^Oc2B~OQh~>l8}xtK@I&$x#oHY
zoHNz=vE2TIKlJJGclX~g9fR_=%{`=67c`Qmg%fW+sO-Kww^$9yiF=fT|Ef*4o$Y-7
zLDZ3gutnc<dQfLUsrL*VG1Z||KD)&Man#k|m1KNvD{k;Vv`TKLOcJ-pzpt9;7@iV&
zF@JsplCtZYKF*nVss-8dcjo_lZ0XpJV~8Q$`53UNty^J0?TZbY{Ba6Za^E`zdOXkf
zES;a(L4HqEX_r6ztTgiPv<xxj{{eeqZ2Xy7im^-SSGw|lEj0&CfSWVM0l5cN8b$H=
z-{|1v>&uCwb5bDFHej$&@96r0422>=yf?J!IhWuu3fzh{y;#wS9ql}&I%cgPaMkI#
zYKiW<iumh|=i-^?oTpLKDK87&;-*=#^<@>rAL$#X!&igp{r;qQyj<0+rV+~VwTs^=
ztr?jz#JS+EO$Z}cZinx&(>V-B=BfrKyZ`iJOGQs^2ksHyXeYqdQXjwIfwv2J?7Law
z`YPWpE1PvB;HlW-lIE`7tD0d2<8^}dPt6t~nL(kjm@^So-E@_?4m6ovIRuZRElk?9
zSY;eyyuWvRm*pi8qY~%6e2DpDv)1u&1^!@fV`=aIrs8rmTeyoU=_aV!KweSvF9<Hi
zEypKfP#jps{J@%;=0*rWesBuaw{i@>HVXN$Z0&AZ7Q0d59AME~9s`0ZXvslRl(mmh
zx~P65Zm<L=aMxFcF>hUL^-k2@o{BoJltu3R&aPrkXnC_5x7GSpyC!#lRdNukf~#ct
z^1jn`ChtWmTa~7<@eqL<&5!PUzffK1`8|*Ro+n~F9ZuvWYs~XBr&@l^=cSc4ZyF$D
zZ2AED?J<q3=bT~sJ<X?k-_um)40#i3DvX%^BSzG|{Ogaeq;bf`O_f<wjHn&J_k8|>
z2eQ2uFjum<_^*Nc;R1`hzaG<b_a+WG=R9+Go!-0&0nrchNEtpWvD&;W2oNvq#PN)z
zNoA$hh?r@57Zf#f&We<t%DFJUtmm87Zqdn3)FI!8=AxV)r=*)o73Zb>FR0H$Ryoh`
z0@~j(1bYd*HhXRMo-Wwkbo7jerh@0^HWHZ=dGl-hYHFIIqrMx))ZQ8g6qOM8B>31x
zy`hw4+il3A8AJ$;clY*`jvRLf2W(=+8}r?O+P`sgoaLQ{sPy6*^40QOZjV<$wO^SS
zJT5?#QwfG`T@%$AnXPZmDJ?GNeW!um)Poz#mq|e*YWNLVHMvbWs-tVf(*~zU8!y{q
zi!+<WXK_NZDQKzUQ$wv6IAr=hF+EZ?ajW>xPnnX27?Or!Q~lD6m&D40OQ?!-9i>N0
zs36+SLUDe(XFU^zC>_lc<&3xkDGDi55DB-YU0n*v)~i#fbG*>Asiu-PF?u<DPfy)&
ztlF2rM;Hx(vqBk(p#hXrfBo!T4W2UoQaaA&%U{lMvn|gJ9-fX&WNzDDN6_A%jOTFK
zXwABC$ZgyJ4#)nhkb9*E@2gLGa{1acZLnI)?D6k$9sUms$Be4pNt2H)Zj*AOhGuiG
z{#a{ReGrCk{7}}1Z)xhoIqId{3;JYd^)ix?)YWaflj+=AW?SA;CB<H*620sWKODHr
z_ybn*INZ7Q{k1+WtR3W5A{*le(~LD7e)Jyvo1%jn(gjY$a{!fN-3ShqQ|L79dN)lc
z3VNnRDtC%cF%iRQ#z2=L8gqfQQ6sZ|-I!4$Ph%yC*AjDBx$e)I3krMc7<sdjLebDC
zn>r7;aJJzj^Uc?Nli~TfJpd=3M<Ti}#gs2v{-uqdC?w8P-g$OrwXs$%SCplMk^Ae~
zoC%iACQE2MkmFWJFTb#yMdgYe%f}Kbg#13*EBOc{bH3DZZ6TBj{Qk<2$x`BaO;3VW
zGaz6IG@-tZH<y*$Nn?2pWX}55ocd1n{lsyZ{bd{U!%^kG`v9cyYyGso!e*UKk5}TM
z;lSY!97b2{R%zt#c2O>aob^pt&=W+lQm~fbK(D@m{+92Nb)@2rBtHQ8Cz8E1=7jFO
zBOf8iSEq(j1KA260J@#?A??NJe);HPPqSQ2l1q?&tas2)l8^4#?D~_B9@>UxYi&Ol
zTI%a2(<KE*XG)#?-IkhDl~eFh4KXKc22b3A*4rf0Ni~bi7IPcyH}3^(=6qlAdc^Jh
zVCavBI|YJ+Pmus~_iX}da$48_utEN5Y-=~9sdE#W)F>+ZTU?3DA#D6SpYU`#eoSIE
zx1PY?RSaS>9j#R9Q0MP)ldP!o;JzL0IhacV1&%0Tc0P>*N60a7f9;AKiS`cq{zaQ`
zty%&+4XPBA_mX3roKI%c&1toLE*?B;;XKo9Q=rhT5ApNI?X`7m4-tf;C#DUiq_L!-
zuOjc@)D~>{(gPqH2_92t!%4ar%Ng1Bj$C_l?S;CKjA#j*VUHiR*tlzgMa+?sogcUl
zwlvDpT57W<TZnScv7&EPWU`8uF2SZ5@ZSRb2di6n^!4`I{GVRYxRZ0K;qe^8!Q%L^
z6ZPf#gh@}t!YI4oPf%kK6$81KY?Dk(0HiS>*W;~^b2(DM7L_SCBCN%9<9GDe%@Ds1
z@|%L4j;Qsf?L4DL+6hd>3mlZS{-E|g`t#RG+)GSVGK54hPb%I7=*;kH!@GEBhfILp
zOa=qWD|^{}OG{IZnKqNQDD(4G|8JCq$XFIjl=N$eRzklJ*HO-<m}tie&vVG^+}~dB
z&t{>fOPgz68Iy7>BeK*-vIj2YY0n++#01M8Q_=5=%;Js<Wa~tw@(RKI06n;YfRO6m
z?HzSw>txb3nHR<`j}TKn|A1Dezvv!9stP&w!9X`r6~di>uL^V$rj9V@T2PZ^Qnixh
zr9$8|E8rlbuT@O?o=5SJ+MD1JxxyRJ_lJYtU#Gxa(BSO1p9*v0x>;U-PcAx&7QV$X
zZTQj@yOjy>J4(WyGS|nHt{mTS_jDK^1j(aaF0Nk$Y}LHAvbAcOJ@ezNO|yvG!ne@)
zib+nI-DJXW=ED%*EUB6K;a-A@bPH5X7(QCSRBjW`lKNk7H42X27LE|be*6}7#t9ZZ
zF4UD#N%StqS#~leIy!R#08n*vy_*c)%^?d{%Sfd^$pr)eq#!B9e5AH(S@brUeB?|^
zK1AZ*g1~`1+a~qO@T#B%h0Oesd1SX-4kg%>4bx<kjKP$#+x9d$jkr|3)#FHTY_d`d
z^<Ld?Yvk^Dn3d;)*qLKDC|UECUPw!9l$i!4eZT%Fi=|i@hN{X&<ttWRu7i%N;&n9(
zen*#Gum2cSI~%3dCpsHkrS?&e)cZJWe+#S32W4?FObACX9`Q4MZ80Byp#x`W{Nl)-
z!hl*+jM}*9C@bgG`jo2lm4|B}X!Oel@<x3}TT5QSt6X8<=@>Vlx3K8b^A%=n{!J>!
zgJo-iXOg@>I<L3SE0MN6Ez%iL{J1B2d9chxlt{P`uJKakl#gQHVp}k{K4F(tvE!~-
zqwg#zHb{htzQ3|vFu%Q|M6LqiBsRnI?tk9s2F{ebH#)Cm$}Av&F>s^FLq1qvsYiHa
zJ@AREbXM-jt$3yO^}u(6EugCb|5#?{WuQTp-TBF_`k$7Q>H<|oEDBB1S%T8eV|Oj9
zS8!SDKsnBY2#as+tjC=6E|o(#T>&f776SJX3waFY%(&=`0~V$fSee22{pIa7ekSPN
z{7f|-Cyb(KRDkm=-8oPdv|-4p1SwqN%4h*tv22Rju+i_jY8{8sBLzJE*(&x!J7RYF
z6CJ;#Kv5X&(y)ONyTY9Y;+W~%=?^q3hh<+emh-%`0^cg>^oMLt8_PPFo@{H@{4f~}
z5hyV;CcR$W>wER1I^NMwA;GhXTKKhnLg3)<fRC!{+L=-V5yxe6K_h>bd-wD&qMccK
z7RL14s{v=54}|sCzfw5dIdkdH^O3uM@W{I<E`Xoz<q%<U*rR~~Ie{ssSws-iLH5Vm
zI7ZYxZlau-l4?)yrfjsOM%b7{RLh@U@4r!)%y&=78XDOWrC#Pan_HbiUNDrMeL>$`
zdJDpR@GW@Os6}Z;Ea>f8*U65`?@U<idNXB|tCSROIUgo*4!*vM(c{MV{05q&P;CV$
zXZQo4WX}R_zN2^C(W6Ox-K%t3k@Eb|9-g!%&qoyomF$&BOEcTF!9h-}nh}$wnXE`h
z==*-Zj{^{k>@__3)shlMlwbdtur3|{?e|&f>eSwbQ}D3;mbaLu>yOhB;UFeh%Y~cR
zxSA|mz~ZZ%89^2WhqgF;;BzhNsR602-vo^xv%0GvJ@i7t!^ji?xeRyBQ21~)#i_JH
zdf9~LB#<E^-}cnZvdud-tZ@ORya^&F?ZVow&mW)sFk&JkN@)K}T>X*Ib4>sFR<?F)
zu_<S0U~IC7q4;BYpKMiH0iYRFbuvJ|v^V)XG8*UWaK)hQgCK?fT*h_$k=(oxsG?Z9
zvH{D`wL|fUL|9BBEO)800hR#k8!5#GEcluu{`oj3c!{AmgRAt9i>mg`WeIb;k-x}Q
z5%UtLBTn#VZ~&$fWe=)fM@e#ai?qs=jnx>5cA3%#4jfxMhqIYFQ|7TH`d?ptPrKZz
zyBGd^EL*J5qVc#KZh;VMnoRdwmRywiJ-<A+@A2Gf#p435Wn8sVxyl~EYdC51FlesQ
zg3R*RQ#$uT=HqLW`_5F^NxfvQ)zo}CE2~JksqP!Ptq*cY3JbWG6+Ln(aqE_9eZ*vV
zXB_J3Y#8|Wk$3&j&Y1xH^&S)Q<YFnSYc|IKKbQp%yLO{eK)j#p4NhUEzm&S_&D|gs
z%!|v>j?hL+j1mE9GT<Gp$Hzx*2NPMdz4f1!+F;Xsqv&-f`oV9JQ>nFD$01Ag!{skV
zV#|ivzG>!e-~%^hpC2P)Qrcz%L?1qm!5A9DPgGOY+D%&fvL0zO{+2+?eod0)y?22&
z<qlX$VMO^f49hM;b7u=-CdXxdf6#lY&>Ta^T<_H<inFO`o=Lv$u-tct@{c-vj@<OR
z0LSijVp3JQ(>=W}F57~#js&clWJ20{JU)u4O2;%D=cE{Fs_iLr){Y6Att4Qhufr2f
zFsb9UL7LkI87Ci9wj{m+Wg6p@f@vU<xKVKT2$%|Xl4U8RBr}sfAY)8VFr8GHZ#}F$
zS13cjH~t4~eN?;iC-cZI>Jr>f(`?ykS?yVmKrdc`;>W+toJjSb2>z*5W2EN%X}OtF
zZS4H^b1?#86YaaMN!>j8J4##*HN!~n7jSWu8U6f>%!3k*<l^mKg<8ngw_@%>mUluU
z*+*M<HpruJKilBzuc!Ux7e^CONSVB$58KE9W_jQw6{@Xc^G9#cy<h^znrGu=(lV4X
z&H&4X(3Aq^M>e#g+owyeIWvsFz_<jzBlCsgAH&JD9Iz4#(+)R1Q|4As-bbTT0abk%
zC7x}%cj+qlGPb6sng5;@EpI~S$s;n@b6(V8Zao3fyB+-9vAN_k`F(QoD*4c)?m-a&
zk=OG)ohGD3+%~CLm%U#Er@NVC#*ptYM<KtYL1BQ!0h3#h&#|zlE+wOcQ~aHTvJ>|R
z*G%}o1eHRQc^|qmEl+f{-hQYe?Muh>$W8#{%cQx&YX7juISf^l{vn76Et7F+*VN)u
zVz&OX|7hLw!71tiVBIS)I}T_gf!+o?;G26|CG%#zj*n#FJ5Dd87zH*ZPcOb*kMw%S
ztd}9`bK8R(KZ=|NQO^2`oaRk?Hj6C%I${YNARap7Jss1qJ3Ji=3hQTFH#!dU@4Ngn
zX-LJ04pu|F{9-kve*F}P!sk_zR`fnU;SZ8#mY>0tuxy(CAb``yiCaGX$iKZO1Oqtb
z6RF%aua>f*UItD8@?^<zBG&hMNZ_DV@|lNN%~>IWnab$nwc0h?-Gf@^SWPjT02Jyk
z&X+>!-OrvgNHETFtGLp!SAR<-%-KQ<vVHvWH^HKaqi+W$#{mPPWcO{)%SZuRufJ+=
zZ#Gn<v~`5~_ygSusE<Ea%*eMHv>Y&qIm);wIg&*Zbn?8mY%ylCKVQws2mO}NL@6B`
zjgGV^I0yhG5`E6l2C64?s`beq5%%wX_D388r*KP#QId-jgQB}M+_m-V+I=5BXw7;}
z{9N2znq=93=9fXS`P{Fy2veRi_gr9$)Z!bj1umquzA&yO*kXSTqevDbxBKj|bYGzZ
zUf4F>NYZC`uq-~23YGm-OLWO&=9}}MOEiQaXiA53AMQh3`*;No&@F8%1O@sJ^d=Vb
zLE)c#ze&f--2Jno%$^cI*beA=qcy;)NQ9+&ZH-ZWGvu3Vhoj%RV270>t3HD{MKCjJ
zR{xvLaKPtjf3v}5k6>Zge-g~<<B{9$+L3D(uB9#8<FnJ&g?alSKD{bu6bE{%_uxb?
z5eU(L%SfH!b9DLfAK!}rfz30PwV`&r=kKFU`QT$jDjK-F=d$^tI`wAPDY*HjZye;_
zJT`tAbic28%FZHFxyx5*CZbBr)?!ZM=@nh2nDn>;`%Hv8S>v%*%6ob?p5Of~HEF?>
z6lTt;&6sUl3Bg@|y40Amw3!!#Qr!P#s{EJP^M8rqZiTuVS!sdw5xu?zF;@%F?8UKn
zo@1TK*6CH76K*ni<t=sH#yed##Fd=uHCyeG;MS&SQ+D!s!IhltS;=xG$TT-DFPv-(
zpx;r(3FZj0Obj7nhJi1hy*;qh6dg_*6q`R;*=C%ZoX4g9wTer+M`RTW<-K~Vumism
z)zb;gJKd^jUlqSreQO%bL4(@77klsJ-?EL$QcU*OWAeRsU0*f=M)_{IkJ_ZlTDiwR
zjOw-IWR3}eD6ajAgh1L>J6OJu*p%&4yy_c*3xx+q*I`um<el62kC)kM9-t;fcvP^}
z@2(4e?=f(cJm5lK++pWe_idBl;aUE!S?MnNWvCG5A6^cXOjx5K@7>m<+2-nS<Yj_S
zT~of2S%ZaR9*&pCThcKi`8*XH-}h1UBOUsWv>%)PxHSETroBCQC@meWvJM;Ba9~=E
zq5e74^+Z1B;@vZ6Y3yIJIp$Ng$OvS;4W*=zhWI4+l|a`keX5~gC5iX0dM$Tjbfphh
zSa}0J0SdNkR0hS4M^Kp`7f2thM0*=!oe&}FHYA){T67_$Sueb9=Shx)wy*H7XLjd2
z{b<@eGZ?ocO<_0x>xCk;fNo51!zP38VAI~8EW-I&@PR|Otc{=mDDVqn`UOHe;=8zZ
zEytos_?d$?d)Sa#Q!*Pr`@89V?JxgmWaZMW4jMC0BzQ|Az7u<YF00HM_dI-M?E0>O
z6jX2)X*mw)M<U(I0-H9|XXL#D8^rT4EioJ<G<#HibKg&yuWlP##`M0m+{^8EKh86d
z$2a^YYQm*^;)VmhHCzk}dL)r{bnqnYXQ?#4*WK1^j4tB3_J4LA#T2`;Rgw%$S3=-F
zYeBLHi2~-9Hv*O_<0UDzL`z^~6~%vVA%8a18oj8rD;tw(Q#HG{2tBuU7K#5g2hZug
ziMlmM{1-T;Fa>KDk=8mf$0qo<#_b&j^9jctuzsUnBSXfGb(qRC^vg_lE}Ul!v7M0x
zYEa9Yw0~wfWcJdLNd%U3*Oy{yhh)l{T^`db05`gxDtNa%<$hgE6hw@9vgEKGc4;$|
z3dDXr3PYsZCOtn1ZQ|pUWrxcu{W{)j?--NujBfe{xXp6)ML#)Zaz30FfEx=Mt9`c?
z+zBLEB`u@rYH5({g<joc$*WNz4?*Gb{K~}Tb9<+E4gwD#AA(VO@~<u)fbTyRx$(K(
z_|5tc1Sp-O98)t$(N%5miJxyyVW*uCxjqpX9%1<e!I}T8YpBkIbqAodOnc5m=jYyO
z`O1f*BDm$=B)JK{poorT-Bn{u<r9%PzHQNqcP238v+Ka_F+liR+C~{%SdPRtsr@JU
z{H-Q60booewuqP9Y^v<(xT7z-J}~eOz%M7LYMXj_-MxNgHnC`abOQ%fV+QjLkm8lL
z!utrqEs;2`Z*hg`$Hg!ZI`>${Q>RD9ulK%l2Y6&M!fN31RkBQ;;Xl>!`o{gRBR20x
zH6CgyH$VB?^s(Hs^qmY^Y$U8g8`KyyVEtzRB43;}^~iT3vgn|~9drY>(h7RFN_z5O
z5XtIm13tMVIf`y8^rT=ridWcvapBI`8Wo}>A2ves&-D(?HU<4jH)f|5ZejK~T5ttM
z>?Z+E;v63T7LV)4rlb5G(yuMcufv?1p>6?nNWPT?gy`ZJQb(4Hw9&z^HTE*27<wEp
z`{`fP3xH%hqYo(R<`R*1y@@7Tm?T!;0zt3u*;BhU#@`eitbBs6q}*~-pgPV}18f9{
zfs^#DVb5(hFdqh?gIlzNq}w4{fL<Y^TdX&=ZdyqDZoeADN0O-AH?(0WTzxHR#{M#g
z)iB!G<SH`n7xCr$xAyKReAY?cNh3MK1J++DgJ`9%MZe}$_UY`22@Z^+hLJrXFO<?5
z`{x(uwr;<HX;Q2<Cd)e<oTTx^1=Nj!6ZEsRNtaBZ@&m1-G`A}}+j@@!I43znO22JG
zG4kp@t2hqt0h0Bn4{3!;c;jrG$!u1{ZC0bKXd-3900RXk>yoAuL%Rz;4>@gBnH*{h
z1Dp;cp}U-Gyj?<qcXM#YIn@(i7Jy!T2P!p%K0|%R;%>ASwCURP;<5@`?r9cQ=^GUI
zdb>eSHT;3=FvXJDtFB`y8grnJe4QZWPC`E9@}KKo;syP9*|bj*9`t*W;xxYHmRqs)
z+3?d;R%ZG%)sT1^%dOF)sN+kwjC9gn&GF1SR$&?72a+_>U5MQKR;0v+d*-!D2(JD@
z!__?~Id|`I<u|bFMcYd~m4t9sp62`n#RWf)3MWJb-;CR$f%sCL&&U>>ut@`oqCkIp
zy(s<|e~qYhE<LwXjFNvb7)TBd+@@Ny(AoO|j#_pEAAsDN8J>UkxlgLs<6W^Op1k!&
zq<WjqS~e4wU5l3TzMn9$N`|})t0aj^bf|s$yx4AcW)$Xy<<!J-WprjDWn<TruY<2-
z5zVpWBqD5crZQ0$U%Pzu-fvI2oQCp|hy#bPnXtu(kc*B{WL+T4DLLfe`qoI9QsBiH
zDaJjRIvs;vIry|ApD&gyzh!@NW`c@l?{J);QVHpJ*QM09s44&Jr1!L(REgoLK$b)t
zGK@7AL$)RN_<Q#O7E}GX*c+V9lJUxcAZQcFV>Bqy^+k$+@;iw6wRfyVXq!*oeP<;S
zqU@+G;R7qtYuDKhGvO8UAAOc_wJdC-_M^yDWh@#uKZ;H-0C0`G`Jzxv6@b)2CdqBp
z=spHTc2I2fuhJ7qTXWJzdZLNE*=~Q=T%x5xmyI4tARhlZvQVs3Hj@;B0jOmNF*yl0
z{jl7iRNn}iU4Q*cdJ%^unXNGHeDjXg&V)@JOKBqBO-kNKaZ<npTWG{?U4HHGOBAGY
zela~$UGii}#8r|DdKS&F`H{21)s*dhlp;&8o+z3IKfLYtLax`WO#v!j<Jsc)`ErWX
zk427`H7_ZWiUOwC6MC2~09eU#9|df=B{%si3nbkRdFqMB{8=@P(;|<PT`hG;)vcdt
zv96%?lylWTNFF}1VK#xkHu-CZl4_9M&vBHoQI?&JQ_P5)sc^vo|3KVckbpnKh!Kmv
zga{4JFe?tWg4!g*WcZGbO&mD{%5ZJuc8mGB%|3QD-9T7Jg{W7@D!sVoTZK0{1k?xc
zF+>%8DhH4Is)SDmE)UjlS)CKB?+^#hh#=v}(CV)Y8*$=dyLZs0a%cRzuTRk9r1msA
z#zWZmG>?`%dY<m`?Da8rdCSd7J(xKs-gP%_==gL<Yc=rX^w&lG__Crvt=1x&cw@?}
zvyJDfXT<fht!W=bTFA_)gyJmLSI;B6=A0M|phkx$iT3$&L5-?s*_Z0j;Une}N-ZOa
z2d(x`*gN*svl}4Z!uV=>+>2f!JQ17;;3l-jydC&p4HV4KOUk%PbL@hbW=G2L@uQOt
zH+z{L_7#ler0nn`>RiKf6==H997r7-yJxX=TOG@J^VJ>dtie;fm0>&5?%*I>NyG>@
zTThdH-z__<f|aq((EVD*oXczOGq(M&3(45yAukKA6#vTl!k@0Q(B`YZ*)(8K6vA-%
zk_tRl`#f*_K-YXn<-xMEjVc*3VCB^YE!bd#3VErtETmn);FgKdXZ&#z$i6V{cpTLR
zPzP*(sUE-0+Y0B_CcVyTW}D>_95HuwOU>{|q8Pl0vXzMJ4t%jk6ilO|fL)-QHnaNn
zt>a}dR`n0cZA+SV_pj+xbb+i7p7j0KcA&D)7%Ff!F?NkNt|6#p3^l()B-QZ>@FU?D
zlSw~MYgF|~KXJjuLdW3jkl$?3VU)N2n08P7IhihvPLtho5&VSr{lSd}KFmqbgarC3
zqQ|eA%WH=sY;;GKaf{U8W|vuzW)y^&KcO1Q2K>E}R~?MP%IH9p2SOei9&3?PWT#%#
z-c1K&r1d@t3+#V;uNAN(Il=VJ6QvVD48II(XWBjT+y>09jCJ(w!p{w{#}Q%GomP*q
zD;m<p85b)==?9$Yy-84!^`-&OeU@)neQ`4ZT?8L$4CUn;C^@qN*L%KGOqd0~C5H%a
zC0o-=muN>{iBCY=Z5a|2o>Z(FAzCRFJIK7)Vy{h$7qde22bv#wIo>22s?9NAtaE<k
z4*!0qI(olF`$jG>)Sr0`Ah${hXVt|--$Bydn%oo0gOz-^oRJwQwQmev8Bvv7<`;1O
z%V+*0Jo^0LwA18P8SqHNkM`x4UzdEh`c4Tx)X`eqJm-;}r+Lgj>NQAjsEZ>Ik+z<+
zkz#>RsKXBm<?yk4G)r$lJz&-nvb||OkG|33m0y0(DYZc)$&pPv-5qxiXv+r8FdIIS
zvNMEm6I)~}684)tz<?*RLIV#zt`WeQ;ucyB3-YLJlSIgHd;H;?O*~>hh?-PAXsti)
z`uY&mVfx}-`YisGd9H;+P!{s}&ZFP0*N5hbTxP}TC5k)S_5EL)7Q<HzDLZH!3iM1D
zd(N1<rhqt2Mt6<iK7szQ2AkrTkC~;-2I;CH3hJkq4k^@IiuXxyVGW2JRT~{Egx&5!
zOYyf~fvp|;bYG$*-u-FO)&WEF(GMNAAQ7I$q?FhQ>~TToGQD&<<0QLPzCJ`CoL`gF
zG<?&i*-ie*{o@{{1KB#nwWkKXFXbHeG}O)G5#msm2;Y5i`&2g|VW`VMxVYq^Yd?Mb
zV_;b$pIrJZBZtyf0c7~!J6uWllE6BwJMZaxh=Vb&E47(HUsXP@MiFhLn|$m97dgez
zYr&!P<OxMmWC;Y^<oYLX^x0YS#kr@o55B22IQ=g~UH1p#^9uLrxad9l2Ns{*f3>2v
zi_V<cQv~<xA2!^K4AIXbv5SzIkAoWGP4VrbGmXiYuK)B_Ta~5W4Up=EQqEPUbvC!D
zII^R6j6X0T<aVi0=S4Rm_l8!f*C;S8(2WAG_eHn583U52c4H^-UvF8XQ~v!WL#A-C
zF#~E|?hp+x|Gv0|j}$M+YcRVZ-3*chyWn`+f455HY>q>2!iN-R@BJ(DF+@}VwN1ry
zMzK9C8zgM(X4CzlyQ(IL<u5)yNV-9YVJF+LPpL`p*^B;yuI4N3!NGL;iqbP?e!Bg2
z<DuAfmgji5!%jpZ?e!CegXF)mcJg)RCf)!im6)Q75ZZoR-FQKmXvn-ul{(U?sIdTB
zX$Q0W_A7K>okSabIeN+*(DK@i<n++K^ZWtjfnX{9rDfXX>-TYB-g?GiXuE!Gyp{sj
zQE1~0;$;TS^NjiDXu4qHh$xE%6;PzddKgF%%6S!;aHkY(35M1Xg!wNnwRDo>a_Jh5
z_A!yRCqbP7*IMbMA^(_xZ0YQnr*i+wM9*pQB;s9%vGQ`DalilO#|Z{aE_ed;dFBP5
zS8VP{ycJ(uogHOE&;Whc>pTYL(1ZG0WamU(NwdMtw<f(5=L&^M)&!J{#T*rL@Fj6a
zq)t!5GPa5GLKWekEU2P|WRc2%U>di#T}QdkkML1lu1w0;i}mTn`U(fYcfm!^_eMy}
z-q#?GBd=V7@#6&wWQ<oM#RUi;l#%k}d46_|p-6h?W0CXL8S4{@8NL>iX9(4IOe+uf
z^uqWBGe-`%R=?uJ%(vq7*rcn54bM*;Nx~fzvVRClYe$Q~%8EUF2vB&t<E=wt3xXLu
zC4TnO4-U+!KJOXreX@VOci-uAH7N1>yqDq*%^Mm6D{E4L0?6f7<5%~)hE>Be>{{-;
zYSSW>(>{1)rzjE+-3jm@&}rJ^63o}vNHCKB17z*~gD;7lIw46E_PTzFH;Zp5$zIRo
zKFZs~L3$wdTk%Pd9%791%Ki5UPYM(8tDDN<!%A$q*WJ=h=i1Ja^}2A+%yiya`o2yY
zNm5T!Xp$9c_iC^&tLJp}?;6XccR%h?NKZF(me^UUGRYBlWRVW&!5C53M|T55uWUw1
zFrino`B2-xtnW{@+}_Kp5gnV144^_fK~<4E1pf`zp>a5^@Nzbba6fso7u$Qsib+pv
zX+R|K%2yw8qjX0RAyig`om`M&ouRABXor^wFIjLv#+=)GWGM#i-NRl&tA)X~%aYUz
zq*K{i=aKc4SeU3SzWtRO5t^{PqHx&qm8A>wWz4@^2?lKLy)wL(tn1tgvy`B!q}vD`
ziP@KsFw4a|vgjz`(G{wm@CA=HW1NwJYA2GE@FUUpe$3Ds^YLX(o!xSsk94|wf35IF
zb8>3AT>V|tWxn~I6fY#TC8R3#QP;A{ort~JB7dHnl()^4`#Dc8z%OXSxcqHpDo#Ko
z2bYKppF2{o*iN<VE30^oel%V`X*X=o9vuq_T~p1u2M33Z-b0=_D+_7otXFhk?_%1u
z>%tPP*$muo{*HzboJPdg>lnS0SXNMxy1`r$r%SpXetAD`Fjg~h{_0W&7u@7nJI1_M
zXMn)^sO>waC^76UT;7z7b!L>IG}R)xbae`MyEAANbFd&zeh#>5_(JV4vDfU{6C+Z}
zlQl1K)ptpmnY%8aX)H!>Db~NF<&!WxE%AjWx2UELIm8$kS@)@WUTVeTA9OfxJ~DOp
zD}~^fxbq6^7l7O7oi74clG9sL*pGEolcKM(6r;Ry^R8Ee^3kIAyxGCh+<d2uA+~?#
z337vq$j6ke$}-61T>8yZY^B$ZC;q86DcA^rcHHJ(<1M=2nq4hM*35#ddiPWgY%~`_
zt2=xPM9VD;tDIl5?kS4mo?yfucEr`FV5O8ES@D8Al$l1-txWQ=b3Jr}mEW6O4^BKP
z(G5>afah)x9#6zxHF6}>VfYJ`(03N+wf2Yq9(JKyfZ2_AzzKFcuxd2hYj27q;=U@7
zKlyd?rYfWDsMaC_RoMZ_gMSQksDkEGEun+HtqHUO3sR9yv5kZ2w5i7SGccBCh~~1N
z*}8?AT)LMeo7yWlfuusM9)4y%*8W<yDLoODD_;7Boqyn7@GJ4Zc0Vgux)r*%mUf|o
z-Mi3U0|;Mfpkr;|&i(#J4;cb0U2}iU<ZH9JZb^$wyf1%}pw@&zpS_=q2x%CFg`)i#
z707378mlhoprAXAf#(JlDHSnzm*=d8OM5iON?4cHUqm$pXa3eEXB`q@J4P=;k^z+$
z6*;c6xNPlNvg1h%E=o<DhyIe`(?zJQct_QV{$H%o%z+Jfklu$Lr)2<(JI~!yJ!n|!
z`vaTs(85zu!CgyoY4dPTMD4Liq`Bo)y!gW}ipvZrtWeYs=r5nDRJD3>QM!UTzH}X4
zF!vnI9arq%?$M>kBh49S0bH78-j`M931dFEA<r8h^BGtfiRu}P!#C-%N>r6PL~In`
zNZx&RCb>JX%6YEsEGI3cDOb{dFYNJ}+_|>R(nYqx!9$tPAQHb@y#;e=Z%DD%=O(Pm
zZ?abYMmacM6f{7C=dRrZ8%sX|0Eq`)F>v3YzmiKk>Jq}G(LSWEaQ4MVl@{I9lnPc{
zGxg7vJLXlxYp=ofnbh9T#&p9YEPCG;=XQH3M7Y0S$4Q$&BD1yAu-?{|%0nzCElK-~
zr@DKR-wl494=s47KiXwH-E4jE_yAc#^WjpT{ccSg?BOZ7q`Y{-(L<8C*_|SrBLh#S
zL93=Ms=7MgNAlUf_VR3UGL~JWi<@XlC@>xBE2imgDGS}6RQq>N$yff;5cXfoyB*B6
z9eefjiNc{R{%`E|!cs@oqbn-I<}`I<cY$5i^>xYl48F4})^#O$^wJ5mhJJ!{C3g)P
zc`T<nSY(2*bwE9DiO@m`4vxZqWNBTzJw?&Ad>;1x@r7F4NnL|}|FCSQXg|9;)aB;Z
z0nG!s{UJ`8qk>$w*-Jun(cLh~+Z_4gY(9Y`VGSut?<B?#CA33XjjvOw8$aB}`h0nJ
z7k;*IR8v;j${XWxwXm&e5(GF;-Ad|xym;a|IEPww)K0d#vZ<^!JMUR9@;l}AXz=`C
z0^2xb|MwOE+}GT`_M9RPj<luD=US?}dKAI>9h2aAB4U7v_F1eDcoUE+NF%nMJC-N0
zys{nA;Wnp#3AK}@?f}Z(Rs!F0L`C@yuejW74@J{#n_W)N4Cb3%Mbji>@^R(yz9G(M
zd)yf-?1jwa5bdeXx}EA5UIF*kQqIZ(@dC;JB)H|LB&Hw<(EP^3Ng_xG*|i=Rs8Z|h
z3B)L({;I}HAy!ewL*Cw#6L+PKp#ct;qP96k8&Tg+KKNZX)9nn7%8;<`pCz~0pxg~<
zOxrygY7QriTWV&ZaqVn#QZ8;4jroT)WbLpfaiNwGZh;@}MC%dw?EU7#)q^2dmHnNA
zq0MQJ>wd`-;uiXl)-Y5PMUbe$^|*ADJ$_sML6GR@K}+0(=Y*(R7OSd%VaFbAD(R^{
z_89p_K5DH^X8Sfs30zdtQeHP3kRW<*_SmUZVOM91-g({bWah2HT*0tes)L5Z;DbQ8
zoxf|;LNAQo?khkKJat4R*0|IsAw0^(224%Ul!2<|yYBCKk}|h8UZ$UJs*<*d0B2ri
zQ=gZ7y$&L%jNF2|`2p?o7H6bxq#b>Zuo#mWOJ53$xg{+A*jl3NU#Zv}@D7bcYupu^
zCf;H{1)Z6a|6cp)eEzbc3aG0-<YBkJ4BWUu2I6!vl;>KwuUavi(o%wF{T}SpeAYls
zB*#^6Iq4=n1s%1zS$$a=*Xt-@Cr|68m_1gB`Rxa#@oOIr2^piB4z6vKBg$2X*o)gr
z8ycOZQl8V|f;x_38iVjo;*eY&gzm~!z>B2cI`aw|(r5^TiRScft^v$y2}MEaZxV_$
znqY4a<Fc3w*UF=(!W>BoDj;t)r+zi2#zb8CROf$10}3F$fyAw5Dx>TjeVeL_AL^t!
z8LVcoHK|9)&}*t*Nf)k3R;?4#B=7nYnLnq*B5uANtj^TEAodA&_>ozvs@-PjM^73<
z%V5t>5QCH>UkYEQLpka0z&i0mW(GA{a$IEn2rW6%(;hi#j|A#VT5H;S>PzP#I@xQe
zxWy2#bpM;emG>n?kwMaj2^)va$?{l_+ksuJz4cT2UG2{YQKxSxEfKj#eQoRV0PCCQ
zZ+9LBEn15{9vk7C%Z}7KuzR1l>>ON1D>$M-G(P$cuz}lr`{EBOTfatv@?5{u8uyE$
zEAQzXR3!d_t4%w(pz=PjPh9SiLj5px`Z1g0n>l8Zg^v#e7gR?iddw4|cG!lyip3P|
zBTo@LK3v|LCy%>m{V2jZ74FS#kp#W25p-Zc&l$&j1N%S&y0EBzcYVM@GUp7^K~0Nm
z9X>AMc$p8NQDcN_Mep{5KfBx3FyB>rU&70L?nu^JM~36=G<rD~_BmlxsG8INLFpM#
zI&kL4biemA_CU_N=Sxo@>D0jGJ9aX^1Ns8we$_nweze1IS;FUD+I_{jEu{M0XKwQL
z-pjC&7YxBQicuG4lcdg;PBoh9e}6TmW64_Hm9O6QBc&pLx!3Y}=^4YIvk~^w^1_oG
zGnzgAZi;^nq>*xsgQ6R^<MSztw(0C|1=%7YpWK@mHi|HY&9}_L<)aVa4+u1ixV7J!
znOs~~DEuqOoHB_%u2G>~pE17ZB7X&G-B0EhZLM~3w>D#c0I(7K@QCzlh$cuSZ?pu$
zb>i3%r@Rk*y6;xMZU#G!4fbvw0aoX{_Pym*0I$sX*l&L=@OC)m$)BT3RXp7Q!A{S4
z`dDa-`jmA+tEc=7*R#hutGb;&$kIpyUr<*WNtN1DKEVqAfk&_^w{24tBo^{n+kGl;
zCnmuVDa{wWVMk@`05m9&pfZprlB?s|$!+fV)coK6V!v&3A(Be!ac<O!&I{&JGmGti
zLUUQ+isF2LQk*FM*8x@WlA_d-z+B5`VYxCHVO%FZ^XOZ?fu9qz4n#))#Fka7a$2Pm
z^}{OC>xw3gIu;#*%}+g|B*g!Xv%G~%v#wy;Q|xqupkH#R#NVqYPLo^vn&f=T&P;>h
zwE1Ow)!o)X>&1J15!Ox>s0Wotjalx$J7T07c)tm{h&~oV1_6=g2lOSt?L$Ws1Vd}0
z2s!1t(FQ3-F7xg^H-#O@Z5hiNFmYJ0Uop*cr{`OT+IDNB$DHJm;Ex&?qMad67}lCU
zbM#*DOeJy){+y(oJCE$pbP-A??mOh6Xz2f2`xS4$J^lPNw6f_jB6KzEhnv-dO{#cz
z(M9%whYSl3WZ7A;6~Ej7k2{)WcS60OSMWFaTL&z+K8G2>I<kK!?4XNmSOLlo@z3(h
zq#L)lYJX*?Y7fw^lkw9pX~*Iu9(G@n`^-V$4A@&-ybtE5M3m==>K$MJv;4gipYNhc
z?d=pcim}Xd6sL+U`DSK9@%)c))z+N%(#y%@)>}>16WZ1K@2`-4Apw0=p8!u=@5Z?X
zSgLN1A$XBbiCv9?Mb(1!-!cu6o&W5dq2DtK+kZm%3bT!CF35$ln+lIVC48!^7PbLC
ztYqAzm>1+dn!g(5oKtw)qHz)3Y4lKf*xNb4DFhbwIkuYr`T5@qlEt?*Xi9OFnhGj$
zxb;5MiVtzXBv{WsJJ@NtT6nJU!MRr$*hI(-Q6HCcL!2D@*4J`kFeHA=g5PH>cb3?-
z4D0GX>eFTQ^lY|IqP<5#+5Q%*ryoAoWCHZNI26IL;f_{C$o|qCXNwNp_nxxy7-Fgw
z9I5R1)>ol}S6-|-G5j_9bZMXo#nozLH->z<6Xw}Ua{4n~f45VssI9<iA;kNm?8?h8
zps*b7I1@a}aDd3^eq!1+)l7#EizMf2Qgd$5b-8sr9P-hM37GnXZ!K(Jm1&6ya2!Jj
zDkgsfqQHOPCz34)xln-iude}U`H!O;)WdscC9(DlPdW{ZlM`(*gcF$eK2^B&b>W@R
zRN$2DMy|u;g(wt;E!AaQHv<!k7q^tI&tPW)oAb1aB|CZ7i26;KK2y2v|HQ8=$vHs-
zjMJy}CQ8901J}2c@w+6g+CsT>Zx~<J88O?CLf)~o%(MlV&CtiQ>llwiXIrrUJJ%iM
z9Oy(iWQ)J|9!Wa*d`i$Lp549)-C>#;BngVQC(7ESIxn^!VxT;+&hKu^9Hc*DPf%cR
zbH-Bb`IDF#3H4OiB(rTNA#63rJd1Ce3%hWLUW)-PXU>ZRrB9LY(wh7RyCao87g)+A
z6C=9skMYT%66!~(4x^Hl&en-KBfi^O1K59#X%?J<nsM=+q64rh!N26S0oJn&ZXDc?
zYP!{>3KGU@`FCLF?(YQ|tv)Stzq^3<et=z?JfOBezTdE#^f1quZqG8TihYiVM85?`
z9F}vD8Y0s7IhuxHYmF9efew|s6L}OhQ9wgR*;251C?xkNEsbBo)ojY~33%UF1zVM@
zu=|(JAd-tFPa73T#u6wb>FPny8I@cX$h;@j2fLK{)?5XOY|vh%U2wbkV{-YiO|9b{
zFp5TaXU#IH+|r0Oko^2<ST4`phZvguTN}2h{oON0<)zo=-OuIcW+l}9lMVuBdVSsU
z&l&gOgF@JKAIFEki5`TSw>a=)Pl^S<0sL}*&byW`&<EXKqr*I^YOfIN*@^V<ScTK#
zCol7d;xvN=C!5Y`NTi!??C2ibxedTs*NLo<_aGzh<5Yqdqpu>Bg1@0~Ly~>FR_%z}
z(VoQv@MO%r;cREQ*oNRN3A(T84T8I_5ywsuc-J>pvBC7a2{h-Px04NJ7I+V5-v%+A
z!~NR5^MlgHV8aK*cl^k9?)RO~tQ7M3HHMdzbGSg}t!{l>Rq|jlv9c$ZLnD}`+}o63
z4>wI54=6!@pnKw7?712x;7KW_uMecGJs!xSuV!`UW%6XCeso@?s+0a+dcMzkr6=^|
zmJu9IKDY|vxi#f_T07==o44MNMtbfWL8op>5LPd3t@bY=KQV<Y&yLX{H{{i3Bd45-
zxhA2zlx_py)2cIfDlAo{FRtcip5SX=1(41B$@P!(FBE&z8Q<cq;gMk-l*fy1H~BJL
zS_22JdMinNM4ziXYH)Ya_AvUnj5eBkHPc+MfeZYpZni!N!Bj^@k74g%Xnop|yLvM5
z6F$Q9WreI%z>RyZfn-smrh?L_6_7H!g?h%`Wvdt|I6*qmBYJwjg_QBc^0(vO?F6wZ
zH}-Yn;&)Lwwbj&=Xp&1-c@3hKgD9zQhq@Zh1mERtQ;0WcPh03G?!+f?coakJlc+n(
zqJtPQ>$VR1ai2`X{V(;yj)hlecqNNAZLBXn(95B9Zd=~7a%92#Q+09pr~Nn1o#%yD
z)K9{0y}igGl2NPyJAGE&sV=Ai86y!#%1>`}uO~<uEV0P_{ihSl)WfLc?okb2YCEqv
z-TjIps;H4MU&vMD(w)d=wGyRvlE`z*+H2(NzdrEd56J>Uo;4fsb?o{%4MnT|zw!D5
z_L3N|?Vkj{vy#2+Mf{=Q9@|Zq-#KK;@2>AZKCBaSsIZ(+{Lv$3RSj~=>qoH`FXkFQ
zugYELBU|-Z@`=v9J4tr6$*z4WT`-G=mti+0$9g@Y=cP~Z!mnp}u46%D7{deNnKO}8
zcs2jb`prF<eyVd>cmtOHx~>8BvA~MF^)^_iH5APG>t2?Nw>8a}V8lV_4EPirI*;%i
zH_=gk|I~(H-cD6vq!b%t9LP^@ra~)B$2WgjeZ2B_nCj??;*@bSD0y2n@2o8>6npbz
z@59N3+eQ6^iUvk}O+h*nXA;)YH#(E8IOtD4E%)AaZg2B$1@NX56=f3Kk~mh0r_|Kx
zzvcHqvM1{Lgd^4JlvZ99uus$eTKcXVb=azeyqH1uLdXAO>8rz<e82w<LZn2LnuMZ+
z2qT9u1_Bb2B1%Y&7Afi6=#*4SX^>EANJ$Nb(!xM$#Mql0F_?6W!Eb)Pzw6$vXWMgK
z&mZ@FcH(tj=bSF*zHclzS*+P*OK+gz-wz)Ks1Gl}UiD2ME^HjoX#@}LOC%p-9Hz{8
zgr=rSf{U3o_EhgPn{f5n=k(btMcl^Lj3y}FIrFY3yB_jn$GZOq`=a+S*&#oM3-(wZ
zY<6mWkV6E(9wV6_p1#`}YwCV2zsj*Wt@^5dYD3{IV9zUK?L9R}TC($)`g?C++cvSw
zJ-GfNNdivrDuzU_%^C1AOFDNe%6{>>#At5KjQ_%%7rH)^nx{|AutF`L_GveErz-kY
zCHAM~!+>V=vMnRZ#_BmCGdyn=qNT(a6_bS1=bhM@cuL$v`4%8vXG$wtyys<tFCiW<
z$1teS6w;ao#UI`rf)nU%@=L2U*-~hOVNhP{Ah7*~A!xWDN$j{cNBWTZGGHw)Zef*n
zgmA``VRd=Hlj&<s?RL(eiu=st27UJB+d2TZO+u}hWe4x2)4XIuvRd{FbY5z+lq)d}
z(Wc?{NJjll>WIJ=C9kLDp9Jwtp*{lIB=a4a+9cm!?vx)r33?hJMzs9ym*pM{bHg^(
zFmGX+_roAKY(gen4D;kDiVtZRV-UQ?yf&AOrH!Z+v<s^(A0B1wR{SW$+-SY^9Vk2y
zG049`o4fKP<Fc=xtgRm{X+C_O@T&db>dw}^#%jaEz%YE^QJHn#BKH7rVCXcV=j_hO
z?KpEcf$6oJq&!u3Jbs3pkhcKhm7d!L>{%V4Lr~}4$dpr<(CCHP$Fs{L@XjMOeDW$K
zA4Zs8%D-;p-P^cr{Umru0pE^YQk}($1)0uR7!9og?a+IHss=znD#WF6JE9gg8F)0o
zhg@hxf8~VTp9LntM6m7dCDSVa60`_?y%nr}nlx3Qe4``ImAZ8fIy6@n0e`x0I^EHp
zrx?iZDr=YA!2ukXRlGj!=a7GUCEBa33k?Y-|C~}f1Q!q^r1(dfW+YrHTYt6Cd)so4
zCO%GnKm7WBz#0ZBkg5$nHtlWECfl0q$3!RNBuKHHyo^iv4#%&Q;TuchcNXiG)cXvk
zKEd@op8&YvFc=B@#47&m^koc9AkxSEbgF76>U`%%>-_0t_PNCakcY@0{c)#}^c|5c
z-HR3(<VXi<w8O2zxP2lya1U@g_2Zmu?1FuQexzn<<IXcsVA%B<M%PggMp7>Py?H=?
z9k$TI1-DxnKBR}y!A6mOpZ3~RtyC!Mo7Z#I1*N$2SKuc1(GY8ZgkZWML=m)Qi5yyG
zJ}tuAF~yv?EF1SOMtri;<zMx4KB~qOon2l9cyFHBx$n}Gm%K<&;MN_#)bp)zYcB<%
zJ-zJA>v;i*C1$+=21o;>JSb|YTZ4GF!b-OE*^dyPz|;Q#o0OjS`T{|TQm0|An&@Nr
zXGMS6IQWuF2(;LXc$@=)(<%W0+iI+CX>F>($L1QmiC)%$DnGg@1@dkM$w;%<JVNX2
zP%HH^R^aCL4+1x?(EC1QX*;gzoy6rT5a0YK&Ct$sZH_N=E|AmzNX$2?q3$0jE&gpz
z5PTPpU^fmQXkGU1qzR;j^w4n~K8<5`^c9Lem;Q5Hi1JQA`bF;qge(bp4B?$U)wcwN
z_=dr%$4d|L9%Y9^(%SXc15;_|t28~rdGKmN%zn=>qKv*(Pyh$P2sJ5<flzyYGp6Tg
zd8X2of;tz#*l_m9)10XAw&=Udg*blPUfC=2DXiPHZ^9>UF0_29;5B4a;qE%WHr~1D
z*vP)Y&lptf$hv{;$zmOoKW6KG?_U6jhY4{U+6WysLUTZ6%4Q?q5mFrT5JB3l*yyOQ
zPr3LRqrD<Rt;tN@{`1j_{~ZNKSg7}0#ei(IpZG;VaWa^b@U1rppIExc_Ee4uX%HJh
zEF$qHfhNNB9ws*&6}?Wzn-ovBt)Jbqqi2BkOO4S6&+B*yHA-|}O_&ucB{7g`4*gV{
z5=O|~znXrGK<p6>RIRg6z%}3ZD0O<@I;bX54)xBhY+%I%6~9e?N1K@Db|*^t4lM_P
z1H}Zw7=+)Bg7l3zVPI4dXL|f*QPL`KVaAv6lv#uQu=(QTqLmDyW2l?@SE->Qv*g96
zZFBff0(*u*x%-@;2$TK+Z!Pto#8tuHNH9y7@U3q@P5xYNEB?>)hwblHRZpTGh<NzX
z@9j^Q$L)Y;xZD>vwy!>8CSO`n8yoY>;hBAumOSv9^ejTu8B=t)#=rYm3no4i6OJrA
z`wx9Z5lwTS<21i>ctG5(L-C$m#av5WNdNBRsL1fPn#_r3+jW)7yM0IfEw+`?3a55K
zAGhD;Gi<SGaoD$Urc3;$ow42ua@Fhz)4i{=zUwO0<@U%si}X_dcicS!BhBnf#3p0o
zr+<!k0`#-k@i;u;H0N@*_FDX@Ae-6KHJY-JF3^I^z@z&y3O_UWj{Frz6o}VDza-X7
z_pSb<LF?h<#d4o?QGt5u!;I-0b5U^NtS#+reagcX$B*A|30`aLK=sfyHlg%xxl}?a
zK-St-MWEzL5#|BSPm7F#);~})dWS)tE$|u~x&{}(<k5iD5LgCZbw=*N1Ldxl^KZOf
z&7U`ud)e-ve+_y(Vp)6^s@!Y9_VSUT1jZ6N<44Oqz|C=b?F1oTc13>t?#Q&XIP!9|
zM0zwgFe|S(>F5XZm6aG@*8ho}CMh_=XAy(9SmZrfcUM}>Q8@w@MKL^Aw7N~8i>MO7
z9MH^KBFq>XqoBr|432AI?BEcM=g|$D7}}$^4lUNZg?P7iM+D~s-aVDVr*OkB*np{#
zAwF+%Kj)sOCcBp>7mfo}4Z>)m=A})*JF@M6kJ=XW@hazT2(_qfG>w@uLW42-#J+Du
zFT#~CYoeou-snpRJ`1sTEw_pi>z}lx*@vu@9xNGsq;X;NZ#=s_!3-&znh%oExE!KJ
zii;^a?^1sxa;7VJGO-IoLGw2w+`bo@>8-&X5$Nk6>S)W2$>8yKPf9PuF@M6z!~ZA#
zAa<8<RO7ARdF%D*&?QDJo|@kB#dpt>FMTV?>PG*I@R1KOKWP9DFu62iQjIQLYK|^2
z!!ApQu7|!~QEcq;X6W}DsgttK`i?B*Ane-xN$G+RBVxxrObsKpCwy9pWw()Tr%k|n
zl&RE?1SnP$YvTOmX300Ef6ZaA>h>1Y^gJ-KL(heF%M_UZA-L`BK(Whg-G|7n8u*)_
zfW&7@A8$5xoSJ2@yOw2-CMWu)^wQhUE*JS0n$0Zxy3vjfpFN#X-lVPlvhTWy8aRH=
zhdrMH`R86ff0%{m%lLlia~)#K#HM?47CG&g+o%{;xG*Z27aX@69^ebjDvL?5yh}wk
zgL++yhKJeI(UtD;6jY!yBgaMpH2xMjQ2{B#=L<9Fy%$waWkq4Kwz@ZbuJKODDJ;mY
z=7t?0LBG6gQfU3dJ6O6FH~s$?%<rD7`(LE4!O9||6pYf&8uOEHP2sZRH2Oft+D=eK
zhb^Ge_~49;mL=f$j<CSny93;0y>Yp<x8NF&yTHG|EBPWLwECTSw_1z+IIfa%p@ZR%
zG@5cyc|rxM`InuZvkN+-Z{R9T9|^a+BBrT-!WbSZMXKShC<r$yP_*0+RHqU}jA-P)
zyq83=4y;H9CgZl`EkBtZ*LjV}6FK*0$mrJIHV{sYfjc>1YbM}H?nR!?cY~mm{HbWy
zmedVCLJw8#gH8}y<;%WGbZss!BeIg>)*z%)f+{<_X8m3Yq&iSO<R5}=N-mQ`-rjl+
z_qU9?{xBJ)D?SIV@pirit)M>)9T`Q}$Lz<9HffkZO^(#L{#w6caGvT!fU2`j2N{!;
z#5X62!ZO_X8|Je9uP#3QCsV@t{Qe7HqNbb&d3pC~{uO&#C90~rTp{D-_4jG)YeFRR
zXlB|0Zu{BT3;EMuvBe+H^uir?>5AennLW-50_d?~G3gt*Gg(s9q*_#(n|?&@BX1JG
zB?qb&Gz_;{lSUE&40|dghS}S~;nX40Wo~v8qPssi*zD)0=DF%==LFw~a{D_wc7Xnt
zor_WO8yi4`QKd!<j$=r|02a9&jWZz)D>;$Zyh94ZP66Xf?B(#fvaYmPQ3ItC2Q&~A
zp;S-*UYpy+j!~D?9jkxzj;#vDcJK=RW@dQ?wyywk?wP^ATVZZI5I+5{m76@#Nf|5|
zg0g}I8O@r)*R;P{!9x!9x4vw}4Bczlk?$@(e2M=dz*%V-qW4$!#UI3aW6_22B(KET
z14FJ#*wqE#Gkou-z%OKW?2&D+M(+Ft;4SG-(jhZhrlD-~Li_9NDdn=%d=ZF#8F%hv
zyZbY6e4!qGUFl9`@<ubC2{U!+rkmkfvn<X!XtGc7Ci_|RbI@dse@H-?b9HZcXj^7y
zM4oC9k<cs{XnDORbB9xj%gtJgHh2RJ>7ep>2<$U1=~{n^eDVD|R@T_EH(OFp+}Kj%
zv!BND{Pa88ez53AeEFk55uoDF=&2{n8Sf4<jKQn)_gW)%qudE}oddwUO|0Ohc6jPT
z_EYMs;E&Pv3Fo$g6y6*y3p&M=pPXORTwQd~YV_NO8rY^`IA!Bl{9Ww?q$(EcXcbpu
zc(#P{YF8v9XzN})P=+k*W&X&+83{)F3p|6-TODujMQNNgk}P8mVl~F&%ru!N)Ln!y
zPa_i92o4d9+nk03^wC2)mMS5;=G#6g>Ba|mddu}-J41o&XL1&{2nm^$>1NL;vPFvb
zrIt`K!^mZ*{tL@6QB~eGaReq=;uz0ot?2Fc0kUC7=q-m_6jmx}6MEOS$s8NNKe%AO
zW7{uZHbn`*uC4JxJM(&Sh}q1=d&%sduyF3a!_ISao0-<h{E)sq26jV!s*WzvIQ@Fn
z|2DZ$jWU>(@GU6fJ=N?q)b{IL)nHgIeVlX>YfJl^YLzj_GXz;O!M+(>!t%XxKq#cg
zq3^?i=>7-SLC%*=1*{vzN%+I6r8#1Ip8uD(^S$#5rL(%Hzq4c~6{SwSu4xO{J_Oxz
z%r3e}@}c>A^K4?^QqXrkc1xwj?{|P4!})){yEvYNg?uhW_b|S}bxI*H*|NchtA%Y7
zQ&;2*_T;v{UA1C`MG+tQtC=AfVV$It7sSwpG1I|Xe3eYlyu9x48>`XkA|@O6yhct8
zD{bYP!UJu;^br^~k{gIIqG`=}NVPbdk<dQle{YZg2XA*om?OkMH=1+ocPl&%IQjD2
z2Hn?`J;-ZzkkGs$V)CKLq3&UbSi-R3?GtL*pgiU!IgDN!`p^dKE`ebSPd_kYI-jWs
z&aQQ5Y>|jrQ?46q;ygoD7g%)r5u7)WFkK<z2Hh<aWI1mO@!JIH^Fa00q_k6(vx%l8
zu3ZsJA~RWAcw0*AE0)aQo!e$X{B-U7a~-iBd!$PfB5aWqC(h}$k^9u1DEwCA<StnI
zPm#c?9AR#CejI-#T1qCxYGgf7@KAj{=fQkYGU)>f!AoT_-O5a}`J3@?f?ReVK&v7U
zCy=)@RlO1|(GPg(_FqkhL~GL47N#KGQJE@4n9MbBALL;x+=Sd#S3TLty1zUH+f{9M
z#kUeWt-$Dhst|(ebE4&0Vb0h_MqS_x`BCA2HU4@c`!%(4Rc@(P$@@QThRc0*r9$+9
zGuJKZ6l3xlcmZCoCCaF=92>1wvmV3OJV#rbW>Y(|#^O)pbMsxwnl+CDpv22W{aCMp
zH()DJ?^G4uw_!={IU*73kG#Fxz<ct-)(zmpqu*&Mz&(h*SZaF!odh<F4Hv7-E0*f;
z@eVz9r`@R%@I;-k#B!Q6<?)8KK{!qlcOO93qW7LdY4^`_9@oSk>9}c0qRda<$z8oB
zKO&5OV$C2IE*~nyTY1?A$A|dTCvY_=T~VH0YGhBz#_CBQv%K_6lH%uD;3Xer7~s^5
zpZ5*<4O>W^wgrs+)vFD6FqSfVI+vm?;Y(X$N(XmqkxErRk{_J)0L*MR*-o6uu`-P&
zwyGgZ=u&QT<(VE-OU=za_T<5{ZmQGClg~qS8IMcY89+_Ys^TT7iE~XAln$WhfrlbD
zR#`bJTFRj3bKTlva7)^vPJ^C;q3E!#%RFbA!c-E7s!?*-ry^ZhHfJ;Xumy|G1t<GQ
zGHpME(XQPauD^U}8<8uJl}EnT@{xKLH<HL&xd#83&mo^!v!*9Qu{1ZW{${>t>SsQ%
zEx>Cl%QoPGZm+ZMBW8!ODtRizfGQqep?&0}yYd0jaQGEHY!#^(tgn(k?GVGAe13aF
zMeyiiW4)u3W|;(h+gp2HM!NaA{hWPssa7%R)W{d6Fgdkhrj&TlzX*xPem`elnZ>RE
zHd_<7E+;_J68qhGK98w@u}MLM5hYhVBoxI<8gtWj9vUeO%QK4(_}p-xpzTnNv^X3K
zQ_9heZlezAw#D;)_9T7nyN++M{yn|D`_sS04DIIZH%%L2uB4YHZzv6%FvecT+Mf*F
z-Ti3_ee31G>Vg;!X25dGW7YCE)gC(6_mhWAH|A-;P15fpVYywZRyXqJBS8I7E0&hW
ze&Qu5R$B_I&xiWG2b&E%s$cKq7^S(}1}Un*t5U6UNRyZxv)`s5fsU-YFJQ=Kj@Ns+
z!K}J*56^^L!+1Gu#lh=K>xD>d{?%7p*~U(OC*3*rzfIrHO63s5_IZLl4V0GVTGKM_
z%01yq(U#45A07NlE(9Bt#NVTnnQrdIu_pIyL695%XD#RmF9>N`v&xZrm7iaKY8rjE
z@e%jDDj<s2&(`Y{drzsV!-L6)GKlq1av1du65{N)!3zy`I%?j2nAPrOj4o;NHm0LM
z6*8Sa%7l=bO(tRo1Dq>bYH245%8l|d;gU}+5cteBIYAFMtt#`y;P<EKrY8{IwPs=1
z7Pz|C&D%5BAS7TOV1F%W!WCap5Ij4L95)Op4iW$BmA6srJe1;v$wUBW1n<P3TR{#>
zKF;n1ZUk7JB%T5enk+VIaz#27_0|LqJ2wKiF6{M%VM0sK$D=Z%stvpD=^v|F?LUyp
zOM>+Z7c$(<L>}^d(~CSaF{+wt<vd87jsK*kF99rUtebDIFGN2Yb4%yl&}k=~6(iMP
zhNPS12v2fExx2Zeiq*inc6~=;Lx%yb2<Zg4z0{OOLpsYWcmMbORWG)x(%rZH(OJUh
zO-HKMN#S#ISXJ5h$K(aJ{3?xu(~=2(yk?UOocgD3{@`kp;bi&qCV5v2QU6s)6}H8V
zYXz|K-h+9+AOL=8%+5m>=#f;cW?w0yU*yxyqeZu#L-r^McZVIBH?H;)C(9h$%)%9~
z#mH3NU)aZvMgE6X()q!7KL<%F3utoN=EivquO|+)lbYz7jJCN+Z0~-^coHpT7WTRQ
ze`y?1@mpnUJ<j2M{`H-C@|e`Ts2=f22rKNR5&iN!R;%L`Pd>W6b*zo#vW;zD#o{T5
z%!he8O5)<`<4*%L3Q!p1{JpHf+&IO~aSbYrNBHfkbfWrQ9|c(S$n7mB40^<Z-#eu_
zy4_4XE!C!v-=EVJ5f@(Ngb1Sg372CG%7g;A2yCe54qARS(2UFux<(iE-j!$>=ZfBs
zYq=R_Ymf6&M=j-tS9~3Y&kN@2_0*cxn!k!Y&HnJh)>TX2p+dIo^q{u>0lgha(jM=9
z^n5eCk;-rCXK69I0Ca4Dg4*8xc|t7sDd!hoh^3B}g525^QLMMuiUBcMJIZnl=hD)&
zR(roW3jK<^?;o9i6T!J&?Cnk$ePY;c$<A=}LquHz;$S^W`<y^F4~`9TJ`G(p52Tfc
zg6Vz3P)L4CEKZ`9h(q9xtz-!H;aheHdMZ*2s`{pjS%ifc#*YCMFQ25j6gZHw+w>JG
z3vj82`f=&}oVP_ZoW>H>Rhw!@ux<z+>Q-&>wTRQSbvgge{e)i^ED(PhP<Ypq#*d7R
zq0o;EjRnvtfQuo@5`<9+Cr?5LuN{6tWv~+@BC7Z>#WVm>%n;42CL;S^_&vqtqK%^D
zh5r{$Pf@pOiT5orqK0JyWs=^tfnyb4uWF12L1Q=wny5orOl>&#8$>ZR_{XJLWAU`n
zuYZ7v-*vuz9K5;V!;$`gaF_64<~0;i+}YP=*W!Ik_}1wkf4}mPO>hE0W-hGRuk5p5
z&>;B5(pQhSk5`m&(~p@4qQIlS<UmKmrA^6gV~2~HtlP13t+qq|upE~_5`I3{q01?7
zW?tFhvy!epj@y0S>3kpiY&EyEjQBWYPLKClTc~tTv^UzcwDnOY2jI^qs~4H0?i;^P
zk~sjr4$V6pOZWe@Cilyu6x%g<>v{Z#TcpO(#oNv^X<Rp;Q;u6<P)>WT!G)+1$X(xU
zdBa{=))q6y#xN)=Lt`^#^If=60$h}105LLx=SLRY{1Cp`lr(*1EXC1iiW{+T5>F7m
zf%^yVh-W8@QnebbjnHPRiO<qfI60Y&*fQ#+lNZ~zA<76x2JF3X@&wfCCPAOZOb1cM
z*cc5x;&RbNZA)MR!@r)TFsJ8dJP;QhG}FN_K6sP~*c=o4qB(M}?_I#@8(t1CY7Y9L
z)ODuh2}{9y(ENKk>jHg`-_rk4>#n|C`G&zr8a_K8*>2J`<MAnJ9qG7sSR8-J^01UC
zxiqK<O5!5;qk6a~5gY}DGeI3nVxEO>*&<k}X8$o<8atqAQTtM-PfAUkuZDC!FALjp
zLr~JOF{u5@=yu5jipF_bwl^h#Pq`T<Uk4kxobC=E4vT+~o8Mm2Oq&W^?qG%85L><b
z;+rFn3jX{2eNL4_glwHwKpO?Yq0VL>0D2pK848>lNxUTwz`2BzyGKNUm@iidED=?Y
zypuTz&f!>lL?YFaoboX3<Z=Q36laS>I3$AoL$X?lZnu204fr~Chi29aVN0!gi-UcU
z6dV|X@aOp!a4q2?<ve7)_$15JAKnX<x^~!ts!w2(ULobA)l5XJKX`OxYclLbcNiV#
z&W4KLFEMSY{qSX{{FxsF<p#nygquQ+TPO&W{E%56uq1H#=vnG|N1_g%g^>S`fq`de
zOo4LLeA|ZqJHN&sP<>7v*SH3j&1+chXuYX4cX3Qp<o3-7m<EELq47O*gG3EJkwaA?
z%jaiX4x9=}$#F!(@b<R|IVzEFydN2{A5^B^&Nioi2`XMtvk$DIU`6r=qM?<I?1Y!9
z#%Z`WK`$PT9X=_YK|+#-Q|s*kFC4GCc0P>|6*Q?^JJ(+f8u(Hh3DpM>2E!rN2;}7L
zM<F85Fflq5Ocm?&Pc2}fEz!^GC!tff8#55bpAr8^IVhws2FTc$3(e$okwCeSU85B{
zq8HP5Cwv2W#`KhcTl<62dRt!`SmI3qt%&i#egVSw@M$>aTljQKM=rFn>Uq$+);N8V
zLnFE&eE-#W2dz8+_BD-bUHf(eL>^fmSg=(hifbr&M3h=7JuK-^Y&a~b23eP-AG^$A
zwqcZ6#<**#R{JF{zQcqYLJ|`w!<38#0Wh%*FHwGbJ5rH0-Jv4GXAI%fuSwJFa@f~%
zm$Df{u--}a7mt<&;OPtD32+jxrq#F9^H=-XF9wdXM_}O>bTj8onl(O&k0<A*%QzkG
zPtF<60LK{ff_mk}mctw17!&U($D0i2jPcx*y*ulSF>A?Uj>@T0QYM|Y*YQwMTHNxl
zL@TyWQvXgbegwc-jl_Ab`ZTfF35kQR$o)N&QF2uEujQ|0LL=K#Mj6#ZK)1=Cpoo8e
zaPdBt%}qpa%Q*u<B9NI-v5zu?GP6Uqn!*bW34=7Gsz{4rsQ$Ia1PXHE5dnGN4MO7R
z8tV%Uf0rs_(;o58^ziYHjnM`a3ujeUU(Qw*-$uWKJF@D)Se`VcLyfgf_EmQnre%Ye
z`g_W3%iYY9BhFUYPHqo@O*q#~Lhjz;N$2a@2%HiLr5f{iRT)-t%Q?2exnG`W-@95%
zqmDFrLA%waTN&2P#o<EW7-ei_dyZ>kJi;aw_Pii@&)}bsI2GNOi`8=(j@22f&;Zh}
zIjyz=bDpB4n=?iVHCnDfB=4h6xG2YGJjCw&)@cNy)0_Fysa5<}AnT!#@L}oN>>ZlT
zNmxM2Am9To<@P3WLwP=5+^+lJnl0Bj3K<MkHCnNc^1z<#hy898m|mpY#l|TvlnqF7
zl;=NOZqn@ouAi@@@NSU>f0p<-BaWP7&TAPfj4z<{3rDnaUCA$I?T%kunWd0Gt{q;D
zSU-QC&q0tboSpdpq!2NTA`RF8anF(<&wADt;h3S^*W5xAhdglpqCdh2F2gWbDFJZr
za?26}dd~5jW$a{;&v>Sj17JrM<)_z}9Zbb@tj0U;{;M#lzLJ2w`aAj6gWY*yX|HP?
z3(9#VIJ-qw%$CtDZS}&Fz~J&DtD^iG1)}g-u8X)ue!~fOB^+b-(M`6Gc}Wthf5g^r
z)NB8@{VC3feCJ6S(p$~8v}ng{l;d|2&RgM4ui1tbd)v!iE}<BuX8}1tdny;9Lk@lm
zEP%7^OFgjvs!wU|a(E*EZx>ePF#Br(!OXZjhSU;Ftcnj7vmqM$B#hzYsJ8BRU`Ve-
zWj9s7>{-_yiIj}>>p6A&NQeFBcF37n>*U;1x;36$?x?@2F3HrO=+ctke*3`laUZa!
zl;HJec*!n7!p7zEhdkE#q$SiLXZCKuH0clKRuF7m1W?SQbK3&_M=>f`(T7+3xCe}7
zt|Z3Zr$4%5+0Qx0f#=IXg1N$0a`UXJh?K&IeFY~1|4^CVx4%W_9>FxS#1%P{-Ov+>
zxw}{Mfxj)nXrZz6@cz$02){XQdaupZ{MytM=S*Vm$D!cu*L)%A=>r^l?g0U7;B?!*
zd>=h>3Q4J~&1bjCv8rO*so9iU{)u;C!nta`#C&MAa}I3%f<^tp1Jqgt$msa#MLhk$
zzxdJuqSf{F%r$rF@j-5t``-t&!2OAeB-w8lppb_ZDN09<dVHv2jzX_M8aD#Arn<)a
z)RemRLh%q+JR2>w`W&5E^16C6KDQiDp{-agdot4g*F!8si#5T#@rJ2|GlEeCK5gMt
zPA|*RT+S4Awl?R<pQ-!Qs#+Q|86I`^g3En5e2>4tIO2iQ{(+J%FUAXNMo*Afylj$#
zPkoVtH`sIrM|)qDcf$8<&f+XKWlieY+{plMGH7^Dvp-LR1->XO<0<&$IF7xhyElNx
zBd-^F92cTD!|Xi!GruX2az|Bm61S!eUmLT0aM~mb+idH87Fn!c*NUPf;CcS#Y}%<*
zv)&86Adql50--Ft;_Z&EA`yw~Ev^g{2Bz9kC2VlS;+{8{i=cu+IwSU|L|TGe3mkv(
z@dh5v<T(Hj`=y^efzt+N0%|i}mfj88y#PrL;hwR7#|PdD%zuH&N!w_U%NqQVpooA3
z8qp&}Hh<k<{xs`y_8ytq$lJoi>G*2LV&h@v<BD%{*vyfNlvjP%0}GIJb*Q$Kl`DrW
zm^;TZLZxu+wfDjqN>>@l*M3(;teTHGVofPV@{k)PCV>G8c|?kC<Zs)Z<_6;3N4dLZ
zxmpGvC<a*}^0vI%*O}Mlr2qN8``{&WzL{c2JFZ=A;r(RqdmHYrja`0O*vO7dsp1lT
z>vfsFd@DF1TS>6QKdy)$&Pw;a{QZ#^lFcqzQMWG-pFx;EMk&rR*nXr8ey$~Ty_VC*
zCI)S*zzahVsx6t-9bonBE#na_a<<ONQ{0u4t!OXXlw`T`t)63v$@LfERuvgF^H}VZ
zJnM4lUL;<-LpXa(@D7f4ly+y~L@0IdE)Dnvg3Rb!38m%IIV#~c5AC*;nX#I@>q!A#
zDo6s6j!-G>PaU=hPO2a*bm+0{0wZhw>FWc((&$n%{R{gC{k_EkSoJgiF6M6%O64h5
zeas-ofUlV!b=qJ4&I529$d({NgohrS-rUmAO3X34wF{Wv1-QZc@>yDU+{cE0^JA3$
zaXitSE_YD~T7zDDs~ZH)2vISNA<bChKX0Gk+mFMA73D_pQ>a>hK?5yUscC+;T<P&`
zSq=4-+0A|-n^`j~+s$d);Fti5n_PU(t|E4qeGLVy6&La<nT>P9?EO)-dND9a?Og60
zUpZgYNWFWN4e!6#tTR$UN#)EOqh5QtmhD|~{}QZM)$s@2JPn<3|Be^i;7Y+teZvdq
zLA%}pW(YDdDOOrUPC2yDiji~&sk#s#?0vT*h1bPp9G6yUG5d|~kmzlQTTMA`nLcOC
zI4j7nUFC92S79Egd|%u#8RuNx0&<=TS>lP^;G|K5aXIU&!V{~eBhOUeh}@RL=?$5h
zkq*jII+V#Z>Y-P2bZn?Vn}8>93%<;TmCC+HT+8o7ta5=#4c#VYzkVo9vKh8?Ro1`_
zCmB@5u4ZaiHaC*UFN1N{hw($Wqr#)zwOo)1AQ~1+ihfJ1ul4J`^OU5|rvVu(uL*js
z7bU)OUh=~|cDP?^cddt%w}{N1X|WyzZv%=T3F;RIZl%oKtB3X)T&=`7;x~Cp<BplP
zIabMn-XT22^<mv72e5oAW>d($@9}#V6^eE#gD(4FOobs~f>1L$-v$&V9X`b8X~5f-
z!n;Y&*dYm~GAoyll)6`F{P)%KO3M=`1@Vq4?ch#O2{YnHhtM`KoQGkOEHTpX<01}i
z5}D&JR31TzUZ_Vyw_is@oo!_DPF}|Go2ryf_Sz!0S<CS`5$RD%t+;<~w_0#9;}gYk
zjh1Y5Kix^m%jNu+%U-SrrZ7<z_+2GTi>)>2m)jaw)<h=id&o!K7LVaqT88DU$-6>k
zA*}}RI&Cwf<p>*}7i7i7^Tyqyrl_;G#4D#QYp<tC#Q!}3|G25#H+Wn#L~<DR?No7U
zjF*{GjUhfy%<!sPoGbb(9HrPRrz`9;)BG~4qc=z9R5NHycJ)?hH!MrIJ2zq3P-h^N
zl)otUC+$%DfPdSr@<LA?llg-dRKnW#><R@=JnHNME=isiFnspmU2VR_K9l@ITe!p`
zG4ZkC@-Br$N?|P#U#J9F4=XMImgIOOR}BA^`%0Ls<6V{RXB3s>g##SL7vwQ#B*o;Y
z4$BY!Joz=!K-K|FIBn@*Tqf~|>6Psg!c$VrNdrpWY`i)Z^j+*0+%2)n`JeEW<F7)p
zeSVDNn!hH8BehcV4O;Vj#$q9fK8rmB<v!ptZP1M9Cc#6=Y7R{cv$UK+J=?ET{4YK#
z-|V=#FnPadG|{a#WWn(kTpdBVj0eEgg=Dd1w;T_;O<`|}7j;^~@}I>7-a0DoCciQH
z`P}Met9r=8g_DD}3sxPpgEHoaY&C2<Q8nNxXUVM=fDf0?0z<8_8r&<_W^+W3c{0#-
zuAAL&r!X<O^?1!(<U_=8n492Ukq5hz*2hHijN8qa=7m?(0G$(`#lWgO0~WuERNng0
zyXo4a(`u6!PuBk(VZDKetcl}E#Z$8DZ!5lK_T8TteKIn-j{LrL!ls?g=kBDlZ97{a
zB79Em;4z5MH=Qm*cbI}wr}HgCP2MK>MkKNjUPrhJV<u>3Un4{q8xx@(#ztCqGt*S8
z)^t1#m1tUdtn)&2zed!GnYLa?PF}GUy>Y-ldDLnvDn5A>5aJ20Ar`Mk=rasF+(yL*
zAqr>*M`^h(V+*PW3g(w0r5AZ>e`qiS{soUV7;W(O;D3xUIfHy5?uhNwa{SyfhSw^W
zQUcTs5ihTJT%m#g;~k<#(K^)<p3??|nSWuWxJU=`>{X?XPa<$zA}&bhIW4bDU_;(o
z`hoKQxbzltwjW-IpdDjRXE6|Q52RKfbK~%7O5^wQ=<}#^#>VsRlPe1~H_smivwM_b
zZNmfVPRjEW1I%)qD)t&5e?;;ySSx10gL0gC9Sw@N)|aI|6ryu|tG)i-!KeL9svtM|
z+&EMW(Z7m79L=388?_pcN_NK}6H4MHIqdW8_^6mIhS{$^9e{2BP{kN4yJIyKX1y}z
znI=6s`upBZWb#E(LQz6}I|Jz^d<sE3<NlCK!)JH;rS)bPr8nN57k{pKSvG`svd{c8
zGgueo3;w*CCms#Z$o1RDU8Gjk{B31i#@>uv!g}mKTMl489c}g#%<|*fcIvvZO?+Ch
z0Q&Im)a`pfll3;%YZZIi>{pZJSJB^^c^`62a1P8K0RIg`Gwv%xRCz!W#D}+sr+BaR
zwsx;`uho?4Cocyq%z+il-v1QM3hG5VoVlIPwVJIp?aI1uV_jFV3eA3gS$<yld93|B
zOyFockHC#T8QW!o&wj@DQbj1FI3C-*&0`;G2dBbCh>jyR=9Yf@xf@T`m^LYI^yW8s
z<Te8GN1nr1kdQQ)_@?f~TX=T&(tAkxkP1MS8}?sRxW^Rf&$g~MdWQDmiTE*(@L?hJ
z&E77CfhNdoP4NN8pV@H5*o!+9K%o;UhzX#fly0zdy3nG;WH8jf9xu)whD;obvAbwQ
zkW~=>^RRHBtUnasuHoxgth8G}V#dIpKseTp0$)&~@6GGJO(<z39nGxynwt~_*1=jM
zd^~pw%^ixEmyf^qQDbt#u}}B^<HV@nVqVLW!nB3MTo6uFt)ox?{oyy%>W%;7VTsUC
z;3Ia%5HA+q|4M`i_gQ@}(~$~M4(tR9*r1K*;B>J@iGeVO;Sgs8@Vajy%J&ulNMrW$
z|2(X77sLb=z!6a!j&(;&P=hC-BzoU`)FcbxT?F?-3^&b~S1gkh##+S@E$~_&p~{F|
z2*)}g4yXa{h@!ptRo0e~jx?2v7jJXVbyfLaaFu;HPb_eM`$v-GD?WX|^F4r48$IpO
zRt!9~4g4=2MZ^8<LVWltoI}k9$IW;pz)y1<Tf2%?ZuaZV_WOt6xy)~g5YL_cr}p)3
z&kF~cB0I~FVDqkZ@F1n0+Ajkg;YCc6^5Dq|@(T|r3mDnglO!GvMuEHAW(AM7rT5(2
z1Ioy8!hLytfyx6?1IjpV`!1*X1MXE9>6}%4+_dM&**Z8ordG~UZ)nku`;VlGm8Q?N
zE#c#_^HG+kHS*qRze<Qn5@%oG>tK<P6$_l{i3ss@c)(<(hWN5)EkE~3+pjsQ(;pHw
zxA$HLC(*f3Z#+!^(%J@3e>~Vcx}SYin;_Cu<jWxNSN?n6o6N5PdfB727{<tT4o`|}
z_3xBL^QWIPkseP=pS8`8xIXl5;&8c&+Lpx3go`>Z2HAw}h&p#E3R}BNI=S3;3Z5Pz
z>#bGGcyrK4EUQPjKEnJFAP^rs8h&D6n#j^p<ee#LeKDeexi^h_`^UuUk5IgOTj8wM
z+H>SUeg^SobY@v(rU)Z;^5$elNpySHh%3MM`)9$&r(!U1vHXvVYAv0Xg(01*;uz=f
zAqRxBJ)uKxy)-(P50gRDsxH2U_>;));v8WiY%;3wOO_E^qH-h}VQ~+`MAND%4vz6C
zg!;NsdiME(tkJg#r0`L6WUcIS#0igRaTf8}Iy<%G#K|Y$T;gaz!-bY<5m3G^jR>Zp
zpcp~8XCi1gFo->C#a(eB;6uO0D7+&o>K|)L3xNZ;n4<E;G1tSV>m-m5Icn^8gQr)+
zb;YfFuTdm(-<;91ZW&>6qzbFsak|*_Wo6rJE@<tCsyTWJ0o8UaoV(nFcdXml4(_zn
zMnIPsd_$qV96CgsrlUHvs5g<TB{f`>;paUkN|esHyThw0TQLH&L#$H;byLVlhZ!h`
zTP}(pd%QmM3`V=@71qh`3sZowtY-*xHl;Vy4te(15oe*Z9~OrBdv<%_g!@)#8tshI
zSsQxfprovg4Y>E~rd6umOc0hWU78S}o}Yp!RQ2vHN^PIP1|*zAfTxZ=eu}A9A_S|}
zjgffjQwol=l&I6hPOyo9nIWt=Tm&hAI_8KPJPU2T?pul)=P_w5J>1Y$E!%Q8&BpX$
zMbsL9Ot(19j+Cg0ebj~D4jO>YoFm-{Bbv1=YOYnTv<rKYP*&Ywijqh{O|n&{Hu`>8
zAkuf`H#on)cF3+;*0}xl=letI__cGpF1XqvcqkHUxa;wqqA`9qntd}Kt<mn^u-o6H
znXjpH{`7wIsp89hr$>RB`PLq&JW0&WaPlZr`}!emgeX7eBV{CTI?e2>SL<rDueq-D
zC8*qd`XoAq<(f6ZE;=PLC6cNlwf`ZivE|Mi`|`JH&uLtLogrMR*zV~{Mc%kV)s;{i
z#SobiW>BhQB&F7Qzkuq?Q48K|HORvYHxzV)_1kXEpVaO0o1Dkzz$Pt&wi>3MU~WL^
zuK}(nY*ya6=At;tcoh_bPz@d8fAqHgx4@&fvI;5~gEu5`YmKWxu?TZmCSAc&;3I=#
z`|Axuxry8F8}0MQ<X|~dZ6hnDOx=onWrlU<?4<Zt_cwjRD}(Y7Sz{vCHtAdDzsOca
z&DSTa`O;#wx(=@zRm?$QYwzk;U@!YfUA^@q>H1eJ+#mz%<74Y5MM^!MB!wnJlDR#E
zbO&l|5F#<Ga5&RZh1Sj)YYzZ&`bMj-&9@oPGM*|gh+s2nj6U#;vU|H+y~gb%HyWk)
zvqej+4F8M4%IU&^O+KVbzQMn|%H?eo*=)G<fc8UotBspaPw4^EK@;QY;KYyWbbaRr
zslS1oq_&d%N44m|(JFqqdb#C^D{sNKh_$f@RHuo6TSVj0O^ZJHd1ju7M&_H?+RhoX
zke{WUyWY|>dd26Spb4obM}|dX=R-QaC-?FO%9Mi?yaoKrjau6d-5nBVJ8qwcZUYE#
z%V&39dA~bhV_Qm%E|KKf6@7Gk|7`E|&nCp*9XVM#xs&4`SDqhJqSM~WJViyf*ChL!
zZEmNW8$WY;rfUU15IhLbn9!lCpd5tUt2L$vEzO)QC!I`uEe|?rSP$(=x0X7iZ(y%L
zX(??IM)MJ6Ph>+8-JPCCLkF_4Gh)9L246#w)!oOsm1h-yN4<WNX(%%`s(aJ0z9+r=
z2|K@M7e0A4=Dj{r0vd$;actGgADR|Ex(6n{N9=tcYW}Q0HoK4Qvdo<=^K;N2R=BV9
zu?zjj{?{X}pp#EuIJEzY&C;w?*ck~}l^7um2hhKC-~tXxcTXOHO9*MzxT&|BimdWJ
zGT@TUOYMJn<9mgA3KDiWs$T~o#V?Gq%kqhz?rd&6PBCh&NhV_U_nQ9>O3y0}C&8#O
zAuMbXIs;xdMxyAr<osD7>C$eFaelvqp8Bjz7GjBnsACepmC{)b_T<1hB{zx8fSIDp
zrXplVT3jWlbeU&5rJtKmnWfKTamJ12btO)Bx-4_{?CF%osh|4Y=mE0#cAoPubOeAK
z7?sebk*_H2phF6a_jrsXBKo&uiFeK1wmet}ICBATPOzTrJU$J5_taWUy34(}QsKT?
z^|VqL^Rc<8&6WA$2Ej$p2F&gA9<7De5u??MZidgo4&oDgz7KeiG+1tW(e`12=jV#M
zvddmGn)zd0#8Oimn$32&bQdxD$bcVVIj<VbD?u~#!`KU~(KoiY<7UiS>eW#VK4P^>
z$2ET8aFm+w5bl|-{G1O>vidwa|4Z>ryoa8MokM=*<ACmdlH9W@kKHP$`@o5wNRQmg
zm!k-2jOeYU%DG}OS?{DbAg0X?ZbgW=(lC|Lkl#qJ{-J=>O1b1L&Dn=Ruhg+#FA1eP
zz*~;ox%~zW<I|jHZAy7HI&$AU<s=8viU(kRHfNu^X&zV(&R+i<HKm}3S=zhj7qORb
z3twK&BBiPi@%3_>MKogtFB=TvMVCV~7tC*82v?(SH3TnmP3<;6?iLNo_oynDd8wc}
zzG<3!y07Wbabe_7gRZ!NUfCk!vnp~dmxS}2e{$&u6Z_O|Y{kD;SIY}8@4XdpJ);c1
z1a|}cC3QC>R)y8yYe>YuN6z=w`Bi5aNT}njbs+2-3E4=;S@+2e+}P$jY9)<aL{@~k
z;G<Pda}qOQJy=X#6p@&lZ>}M>&FzJFop-C6mi_zPRcHgTAAM)H2S3|8AyffUGOf0V
z4q)@j%!e%NnTsszNho!UQtuh!>VlAEgA=$C;`*3PU{u-5rv3(6UiRdz9gk*_L~SUg
z{i55)=^<$`z@+Q$&ihk~VPgrntvKB8CWtF*BkWUL%`amyFNcvcj<}OTc`pZxSB`qS
zTFpZ?i7(uwq*g#?A$s$l<{lF7Pj3aUIPy-o%nz;E_U|~y$4HU9U)RC5jNIxxPtNLq
zIg1Oo45w4Q&c5$H7daO6aUj1^$T6*UV5z}Q*r2U;s2NYS$FmI>AyVr;4frcn=~E7m
zE5fFFo@9R3Gg-@OJ}+g#KCa)24SBKluHtJ))hI71`{khiEN8&P#}lnusg>khrykgw
zirsYJQ1Tb0xn6r6`Tu;U*D?Eczr9@^S&xM#3c5T*&_(KA_LaAmy#$UxY=-5kVeFvz
z8@{3lFS=GfZX{{XB8_Qi>682A+<E?Pff1ASpe&!Xnw#2WQGCfRG#Y4bjc4v)!qJoN
z?AKnmN*j{IWJCs7&}B-^-kjt<Q73bzg^cM0Fis_-a_dVIdgP@d5UHKpf`rFVX4X=P
ziL933X1c)s>3V_QKyNN<*$A;RX4t2-@S1_XG9=9p=8lf0UH8wfE=U6_?evhkO;2|O
zR?tPc>O+X3ukcR#U?yT>aNe|9%3&qlIop_W5R~=pFX?T@>-4I(8h(mc9*}4*>657L
z5(^%2(C0Tg5PUnJ_pz~8WuhD|4FY4$tE?UV2o!7$BX;9_ubJ#;4o-i3Z|~lt;4l#2
z<_my%tw<Qo2WQgq*Q&3a*<l2od4GAu$NaV|38<&<sUavb*&oQH-}yjU551+(a!W0o
zME1rj8H36X<m^Bn?P@2#-*Zp(FVE7bi<SL0p~K4jY3E$iQ>a@?8F!oc(*ZvT<%wZ_
z3_MOUDgLGEKy3LNWl_@VU#_!b)+}@K^6y~P{e1K#Ux>Bi<!l{HW*9jh;T1*>N7P&)
z$yo=o)y@6*#bb2vnairiiMY(Wc2Cu|rq)l<|K3Sr&#4EWzpbM6&(NW}gd5PkYXBw}
zkhR8@ph(2_06-LJJnYs)dO2wI0UR`tYv#dV5ApO>A{yS1Hy_`#R5r0%V{3l~w4`tM
z=N#Q)YDtgWqD|P$?SZh}uo!1>Y~5OTGbm9`LD+QUT5F1qV!fU<I;Be-zG}-rPm5Yg
zk)(FK8sz&MdQ9I>{c^6RbUi4wY7?;BTyJYkTdsRsnWc7zzPB-l0h>ye9lpG}V_HZA
zy+_;l^*5AA8!a{r@4P<d9!MUoG`hH}=w|?#yx+k0^Ox%4-6^lq55d(9JQe<LmS7|I
zW0v)|_Nt^5-z?tUN%1d#d||PDHB2g|FYa4Ir34c3LG}L@cB@xNZrq5eKUsoUBdFAK
z_M<PkmJ}Snj4rA53#l&rE0M-qJT0~C*sRpE;?#9|H7Fi&HB|Jji#S4%4sgZgvUQd8
z;rUFyw(Wm1c*AnN2lyu2?GN}Y{FUU_^GV=F8b@l_%^MA?I2GQ0Sj}S0P(vl~8Fx_Y
z52NvlZToqv@!v_##<myRQ$<Wi8{>}SpK%Wt=8an{0w;@@FNmFOC(L8KJLU!=v+HCo
z9C#zSw;|tX+hYI3Rl#f7!ZL5BhkVx7M`WLh_f;cr5eu-SxKe(Z&JZ2+4KyAm7tCn!
zzBbPJ<HM<V$H&a*lyj{M5pfv05m!0UQTDclG$*v$Qq!cEZ!1=%dBJqT;svR$HE&H=
zZPD)#c>|B0i}Q_|6JA}EZGpl!f_!mDIcXIAI90gLzIzxKzbpfOY>oVU8VF*>yz5$h
zSqM&BTXk4ly$TLp%eVy4!F>GGn0ytW;!PjQt!dJx5z>`+1$(KB?dl*_b_&P$wpD!Z
zk#pEdTf$DZ$%*l|!VlHdheB(?gsblzCo$PhgxO)`o%T<5Nv0b1NrNX!f}fVOVSRUd
zd%on``l*2AKwKDDBsVjmGrs!yxNpF{D&F&azZ=;8g6wCQxK5sXgf~#tuN~BYY21ys
zr28|&1wjeFAT%u4dn3C1@-;_`_x)Hv*D+7twsN?Z-NgEjtZairXT-k#0m&X7{ekFp
zLOjV>E$^~$u_G91tZuxHt$k1Xuktps*^kwVqUnFT3Cu$n+gf>dz8(+g?EZUI&a`~<
z8L4cYQf})0fgS5Mp0>?(PL%mCSdaXn^3X5n0Xev1g9zB61+p{>u4UXbN)E=|8N9Wl
z+cXC0SwhxbtH{_(Bmoi|a}3X@pi<=>5}l>2Z0HZ0?p&jZs%A-Lima6WTz(RWK{}GO
z_|7ZxUf-VIdv{@^R>1Gri$<!8S_KS~3BOI&gPK3{X~>x6bW_N#cr3*zRgaB>J2a$r
zZ*MB{^UV_Pev-OwBDG;WH4>DQeDvcpVk_)f$F~mn#6a-Dv%jyzKmkD692?#P8_#>5
zaxW`}4?sI^bNt4wj*O!RJ#|8vHPctPGR^ZEtT`$qmv^h!vLEq0G;SYm{$;#)w{A!x
z`F_lA`42<`h5J07;LV;H{cHoKc8%{+o<F|1S<klIA@k`2tRvW&KeazoXpWea>@WPq
z{4M|U21=7jm}v5up2gkFH;6I;RI<AXzx3la?)+$J@enn=&YbLg=OZD?4ead__?=%K
zl!0*S>|q7n#!z*YUIOPL0HL|cn1jyYdoGd)uWPMrnC3`}lq;HNCt_Nt_?_QPp?XB?
zQlhmHGg9l3{lzD^q98J3pA|3D3#TD$M(SQU)a^P;=$p0F%p$Fnci1pU!yOjchmyY!
zTy0{l*7`n}uaCzZDD^sAh@8-Uc4f4zIQab<9`2A_*39X69s@o5W&FWdtS(dD`F>&p
zKOV9uB6TvDEzHDEM9U|x8aXbC`$qqUC!W5?FyvYdu=lPU)1T8WA1lVvwk95zd{)Zz
z*QD&&!$SmY&OaugUUK>)%v|2kIovk$R5@udxl6~{bj#=CFICq)FK5v)&L2c$FMI=w
zh$yU2$NAGV44GpKQDWI7>U2?z#jK4v2HtU7oTB>re4y1Vf3OEyUzi&vVwTYdM{sT4
zGTxl)&AuX4mmM#)<{|1rbp;56op3cwb0=IGV;n}#LCndIS)gy8e?$j;u&7KVJx~(P
z$A9C79^ObCl;FsurOUoVaOl#z3W`F+b-LZvIna7p^UK~)Wa9VVquV$?UL28L?$;@d
zlPu)vq2HWyl|W*V2x^91pXFF$aDBDdZL;UvB~MncRt>G<s{|tE?EseavwtOvX1TpZ
z=w_KsG#1}6YnV}b+?}lQ6b0o_wY)xR$<YuywWY8)F&fKua}nsY;mf|aA=)|G@lzkH
z?j*kVAJS=aVB5+0;4B;h9!ma?vA?0w(YDsDUDdXMaoNr4b+Qo@@`vj!D6{7q=hVpY
zYGuc-mWNh}Z8bErg8WMF_=l(EjK|#mwl-%U1HJ3g-OR(PN`Px79q|f&gK=)a5#5q=
z0qC~=T^Ad5*)h)bp8VAKn3<XMZi?|~!fG<f945T<)b#9|2pvA=j*p?(q{2lVLwTRs
zV7J8mqtyR70^e%xeCS9%g9Td|RS;9pU@b&~1)P}@U6F2`P%qoQ@s$qtXc_1ov0&8K
zJo;OVDqP1qG9^J$hp*iMW9~xtLKy{h8iv7^n{&M<1_@t#=3T^hCO&dxUZtbYyYm#I
zGQxP*MHHb+mz{OKb*2EZpodRl$^w}=L=s_n7bfSByoCnpPS4<({9~f<2qRx5xM$wy
zJz%Wvn5UJX=x2|fI(v*PN&dQP_bCb;cdQlg-5fbClAlV^a0dfJ>bBUMRx7ZX7Lnms
zo6y-d_{5pSEBadVwn1daPd+P*h8OMe%i((#ME{WqE+wgmnMr<JEJdi7PhUBDPR9Ok
zN4l6kyr<qu09yF1TMzxn5LQ(4EU)wYC-^s+okTacVV{;$mY<7yYMy89_Et{tj=|Wr
z!`h!6Tp4<a(?1}a_gH4FMv``p&z_S9^Ij{}XS!w}iSB>U7jOvqY_^qmSYUk+yb6~+
zKl&C4+c!`q%GD1jTa7Csfw_16T^IV-n{yn=+{0Q*z14yQ2B_=}UkjUeW!bT>yOC~$
z4ETJnq#vISS#FXUUKDcQ1q^jEIafbo?ws$0v~4A=<H<vOEvW^AltTET*<0Q<h9Tg>
zj@DSWTNG{H2u3hpn`OX|BFVPVV)Os6sOyYsYU$b_Dj)(PN)ZUsl`1tLO{HDBiXhU9
z)C3ShPY5U|C{3D3w@?%$gx(VbB-GF$5(7#vfk+J@1isw+{`l5&{+zYWnswI9o;`a%
zyUgaf7LrpFiGAWAxXw`@cgLlI1<!~heMPsA&P8(*vhOs$Mc(b06$g8{3_pyfenR)@
zhw-cyUY8BhMj7JdKR{m{;W=rJM!{aO{yMJAXP{X~#tyh1EsBxmXb{XBvjylhWUx20
zO<EJ1m`htFnS5%!<VTVuin|;qbDRkk-Vf6{YR#O1^9DC^hh9KpST*@@?GtmXt0byE
zS(|<af@P5rada{$<4BW>=6EkSJ7!C*vxi<YixcTUnc_-1wj_x9F3PNlr0;V#mW}*R
zObp)}|NDqLzF2-B)5j9Msar)|6(grvuJ_Hs<{gVd2SYe&oz_lIyq;GY2P348p`tTq
zrxC`HugA;K($nwCqK#UI#gnQW*ON!LsB5LLP3e}<?eo)cvuXKXzh@?cH#G$uT1$f}
z6TB#Dh)Rp$#WcAS3;U8HUA@a+*Jz1h`==a(c~oUgtJ5o;F(i%98p)v;z&m~D2n3V$
zB9~3oRx{>Qdqj?oS?d!6!-a=yL<yR+B83tNN5jqeC-c{IO<@^Lq333r<u@B9*+YD%
zwS_kFIh=@p{EZiWHzw}?q}-ZXi63uwuL?8kEbzbP>i*mS9N#F!M6~!Mz&^Nnb99cy
zC02%Hr!{a-Yrsz*$nn3)ZDrMD#WBSR*~dc%Kb#nTLQ`2aIdIn_Qw^+V7vz04FZeM(
z#7lT<zFd`z@t1a8cuu&B>+84&+#zwbj4}qNmX-e!Bg;{(@MXZ7%@gm9==S$BEwGAd
z_#ky}4z$Kgt+p)Ne)eq=dv?9RGIRGiF+q_q$$x=53w(>&<ByLCv9{QH8Jzk({9PHV
z00WpVE{yj2wsi%jwpj8r(BH!XecqGUaaMsuDAGB25fhcUnsT0C*7>RD>Pj9lmKp@e
zhj#=uOGAQ93n<&#2fb}Zhe7Cj!O+;Ph|W)Hn~x%w!y5$&wG#&he|wz2`YqmgdfG@A
z;8&}W?%#Aw+or5FAW)^$suI$uz+CnUhKq0I;4t{?Dxf$ZSoYR(-<Ldy?q~tS?Ls4s
zlf<@{T8$QW@vUFb-UGXGkE=Ap&5yIeSt{_!b-;vuPlM7a?donajVp{ncV%iVAF(4J
z)hIX8U<3Dz^7g75>cera0`g+FIO8_$O8j)whX+J3kexlDb$SD#5y)`*xU<uz6}&L1
zRka=O+h&g_Wob-yn9^vG`+_$AE|9o}VhWrK5w8U@6VA}vTDGLDs@Q+jO(|fZ4BD<r
ze5=Thqt>(up9Aw~J0CIzVmIhq=*c`Fc_hknWcP>iF0?y0-5x4wg|FQPOml^DAVI8D
z9wdlGQy4cH7j>C$*m~1(-74(!RC86kDc<(a2nkQ0c8zHKxX?y>SOmw;i3aeIn{YiR
z77n+xomh{2hos$YjH7!L+UB;=E|y$8UO6z724Aq{Wx{`~EeBDtGllLlI%jqPJ~UuH
z%DD%Is%5RZIoLgU6?Gv92DDpnX69U4WZU2Ym9O$>6nvb$eH&vx)wP^9elDC)6{5g@
zWN1Vbs1_dld1D3-gtN}=7}Z%gpCw-Cyr+P$79L)kO-2U%ZA*%}6E=Cf0!YG^kOIX~
zT+_uD^6a6YCx4%&Ob4~$3!}uqFEpZR#I%0OHeB4c>%VEF#ebZ=@Udpct`;X}8!F#*
ztv@tNy~@^j&K=mx($EKHOWKw6JNIo0_Xrh$3!g2e_UI^h4fhem8pHb5c)(EaV#151
z!|O?t@lP8M&k`@Dj_}37JgJcUVJ)*@qmO9v7qr}{@Bp=!vd>UoIr)xDjloIg-dyib
zIh@*Ma_^9H!%h8Yjh0`Oi$y=;<nkdGC!ndy7u|2a?olA}=!(dOXM41QuQ+;rcCq*A
zMg9V~os0U6data}x}fw4RJ>WV;#*NrRhUHjzH=R!e*(-xJ-|5!1;bF%0xzG)sa*hC
zHx=~Iy3%_q4gng=_92)>(Bs2?hukUr)Iq(>@i3sPWfk>ot6~)<>a1RCHKyKVqQ(U2
z#dwI{qIBk%e+<lt3Cyv;@wxnATTxo&eLW2mj4vrN6~`>wG+~LiIsr`YJ_}d`*DCw1
zFbJ5?eI)ii7g%b<2Z1}miO+@faWI!y7JJ*5{?|w4&NyyS=1~Bt5UWp+lMI0GR07R&
zE8ijV3$`D|Q3E(S16F{xUhjJH#04xsdP!-O8eM{&HZT>0YyDuMymd3{vPs{idVA<r
zcvdH`fFrdH{@rD&iR}(>xF^9wA-kw3=lHlTERgUZ(s47=Q3gNKC6zt=V?E;ha$C55
z4SGU`XOv1cIjT2__RrW3J17DxrGv1{^;?f6rUzS05R|q@#FC$OxRQ4z+bjYmV#8?r
z2us<#q{HE*`-dj=ylAcyi*X-BsN}(8wVceymqLRNq#hDIb|@Vb6j-UI&ztlx|LcI{
z=k~<mmnA36Sb<iVfTZJVpj}WfP1;Ri?qK*8sX=>+MrOf_LJm%p4vc=O!P+5S1{(Zn
zi9QvoQu@k0E{j+Y#LGm+n@Dvd=8XdL7678NP|0@tZgpf4q}!&Bm-#A9b>87iJIzRY
zvtLI+@74CNu{L7Md)6US<ss8rJ9kMtO545W3xlFPo;;NvX^jah54vAAW|QTozT3Ie
z!nGeFUObJDk?-GqNdG8T5#II$d^)eXB>fCxBrjP+n~E)hXn-JEnRsrQ$9KsTC;4Jk
z_@#sSeruN==t1(NGcHU7yOmkdAqyl!YqXPwc^29pD7p<@72}CuwFR7ghqttph`2`m
zA}EAOc&TkhxiaKCREFzs=<-#2li%J>q+HQ-HzM6sdt)pPEMMZkJl9yc0Ep24LH6_e
zHRP5@4M!>X7Dk*j_nI$IM|Ezp>My!Rutk8<Bfh0mUDCNf*vwG+Qv2|gJ*xCGbJnnR
z?{Nts2pty+{S6P?WTtZudWj&1xy>`b6cF3B`SGB&s(#wxlgN3^<IEo7-1?r7)~N;T
zvnh}dgfI;oJwN^CHuRhVjSW$Po}qCpLE}ZIv0ZC_qHbhHV~7WPLWHU=E6MzHxQ={3
z+TAAAE?B2@_w}?}e%6L@+j5)Y`Caq2ci@-e@fMv;UwS;pjC>p{x|@Q-lFj?--P#4?
zNgq`wWV7QDfS{p9!G2e-Qo+!#g<(Vu2Zryw8ppStLRjcV(g20Kc2f$L23e`CUp5=2
zoLh%|YQ{>n+~$m<ZWy9&FCnce@6Wl{MmwdP&JRLc5><QavE3D~QjII&Qq2(01H}iG
zPiq-%y_Uy;4>=5K{{q`%dknV6-eezy+zRqsT*uyYvfCa*snraBUhhy*D>a7lZaTs^
zmSGj)q;IxeJ=lT#fLCrY_*8}a7m+z`yF)GgQ)Ll9r-sp!?z>T&kFbwxYsb{X3uiV0
z;cE*)=aB*|t@1y23jE!I?yzZQj~`i58J1unI$tJHYQWL+ob`6vz4`3T6+tQ9PQG;T
z=oEYOdzD6f{SVDdSP6(%leUJ9ElRzQGd1{k_3v1pI0LQ9pp~4yLPFF=3DL7Ak6w=s
zk*rmsApRY}UtPg^!>fI6Olhp-(FR7=D=d?=9_frOtdV+9c~hBUF%UL4ZAjTF6BNzi
zlbtLIm=pdwm9He`+S**Sm%LRTQi|hRi{5HgII$<0N>Qe#6c$)|D-MYL>Cv8V3ap6v
z1LHW&U5c4}sKrLr)ZCUsv{<NBa|md-vZ8v-wz=~6M-%K|^sOQ^rnviEN+5iBK?$es
zV)fN;!u5)Cy%5^heX{@L+2oG>iksFzA^o}~e|O~cm&s`ln_S2r-q{S$GXrgz?I;~|
z{UJ(Y*ZwqNnPl*u`uLJ03YgIr(4Lz(58ZAj$#j-APVVK~9L!Zpk{~)Tk7<%Mx`(*1
z5q{+$w%7GKYIuV@PhFlM;TNb0Uec|nS4pjUKzjpfW&tuRq7W(4k$W?HI4yiRepUNZ
zN^s>0y7~5UbIa-`1+AaNjej9(>5K4mvD5%@S50n#B%DA38$6NStt!!CMvt&n26X;%
z7gAxk7|m1lnoer|(AXXqF9NDfA3FHTL=6#3GMxu0(%Ia1gXk{mlo}~Onfk|veeznl
z>Lbvq&KK>O!Xdqr0?(Z;ds5MjB7<5?FL~$2q5E==M0_7wnM9tUR7?B5CFP;w*MIVE
z*cV+W;#t^H>ye;Y|J;zRA~Gg|pyGoVSp==)>RB0tWBL>;Dpb|6)g=~`u{smc^zNkm
zS8CCcoR;ZKs5K(1iU+p6HRLort9zO{&iwZo(RFR*Gzjc}Eeyk9s!NUvAu769@Y;^B
zOMyd6Hw9hvUi&j5Mfj6Qik!hr3I2-6Se86a@FiEaiV=447Q6M&J57IgfAD#Kd*}d2
z_DM?Y>6gWjugTOV7sSeExUshYhy1ejQVQO}QFU5oI69}rrN)B`?(AV`%|MQJY>9x+
zBEM&;erqCiifhtz1H@W<L)xv&W{DivDl!yHm02~p-mg#Oy`D}_`ao$oyX$vhd*JNf
zfk&M^Ox#r^Fg+FdbkJ9nZ1{rwwd@BS-5@(X$6tfjXZcuXn~IPNG3=s0>%P2^A1QBJ
z-ahBg^w)JBA~EUV2c}^hi1Tmu%taCP0rP&RhV70yhV6%6>e~iIT#^T$^y{#6{e_X-
zP#VsD?5K4!Z~*kARB9e2vxbU#Hka@Go1kJN_0ccIBE)$Ib){S(ZAX)f)5j_IWx%1?
zHQu3t{pQ63@f@1cai)5Y)NzRJhi$xI&6;_qsD<dtLNR?eubF<BGF_|2GHW!aWoXp*
zu<R}VLXWu*If>AkE7spAo%*HjZ))4ki5ePglssu)@sJN~C|j*Acr7;_`BN>_Y`3O5
zRCvgA(tW2Sd!x8LMtgp?F5qI4`y$kpeQ95bm$}w4t6jXRubQ)xJTsouFl2*>uVp<n
zI4+A+m(Rn&g*ApE(DzA^F7vuedgR^DTjfTgC)}qYQm0=5afg=2j~Bby@?7Kt%q<&?
z8+T6hb}avc0&FI-s|ci1a8-y=zyNwc<@x}9I|E=#iu&IFC{=lWe<}4V1l)+eH79&_
z)=~dhi|;+QS^$z#`JV!Na`<_`(hfeR!<)OEWVul?Wx7Jh7h01Wt7@R%zL1Noj9DT+
zth!8)|B#_ux@Wsmuk<Zjp%Wb!W!$;kz)Q(rgc(Q1773(TsR9+kN>-puq|qc$DzVjb
zUYC;HWCpH_HtxN>UG(qo6Bi(n-=^jc7S?jNVRv^dS1N8hPf~m<3ad;`jQ>M@`7zsR
z`ihpT(vIO~MtZ}M(<(VG0uYKRl%TgpGiA|az>UZ4Gap=Wjg65L|9`^2>-o(9bWTsd
z8O&qK7lO>9`RlxdWD{187xtxBgzdQr*G86tnEmet=XDMTeN<qx<?U?zro6wfUcmi~
zM|*CYj~A<LQ(<1JOgZiOgA)3BmnKn1<7&qkbRe$F`X(ikhPug~^UzwGK3?&N05yQp
z1DFDe9OaE2){9Je0<D(wn1+P@&{CjAXl=ND%&QcQ@{?-A?8dP(HoQRAtX#Cw4xHo;
zqaMP46Cz2>KS_3U?ZjPm)l0Fg`{+-<1#ZbTBgb&V2nX1@{_P1?rT*2_mQ`kjX<99W
zU<rFWkfXu_Q!QBS26>K64|du}JAv|;>80kbCJ18$rG}8u#J8OrZr)~dSQ@<SP-A`Y
zafhs^$#J5``POojuGm@GbZ<}$b9}xH(hV?m$u7NlnOeT=$fPVza)JtW>z&d?sx@Z0
zRQsEIJl6pqQjqZMQ(l3OCNu8-Cwm6)Kj#;qgG>a5Pxcpy*E^S)1oUy8(!orx{kc|y
zhB4&iz&|T&X6_!P)h7Ete>|SDhK%@41529MkJL#6k93=>LxO`)j4~bMljMH*a`{mo
zJp>zOvHOs6<e(#y>Z!5Asm&Z6JY-78z`Zb8J*QiA<8Nuh6zWOzs&jhh-kvm*T8=4$
zS)-iPZae3$f4u4Z3V%Nc<x$S;({P!}zhqiLQ25;bK1*rRJ?%K-c*BA+EsG+gM{8T1
zygn7DBU3@nE#0mXP_$UI=p5FM{wqO=fpGy}D$F~xZkz)Px#lra-y%0-+Kq7>9Vh?b
z4mxJ9gN^<rhgfkHahqp|A)TS;52E9uF5p0M20Gsj;@Hm$t!N1_B<->qrhNY~ad2m3
zNQ~&>vdgN;f^+D6#R$zudTY`%dX&fs4P<d>WG|MhFJ)e>jr0Id`YcBkNoDRKCkQ4q
zKEzwy1?D03o|}t+&)mWm@g0kzeDh2#=~AqSTKB*PRUxRWpUubTZU#5c^G-`W&nuRJ
zZ&r54!~NJDYuOPJ5W5nBc+rifQ26>Fz7ksp6^JTDOK-k|)?U%wJn39v!t3rY0lHJP
zW8eFd@oX&$lD`7N*j%}v6HIVlJN%`HS6srFcI)R0_5w&%P8#N&J-s^I&4S|i1r(_m
z8bA2+Jm1QFDmVCDL0{3X>k{=!WZ)u)gAs0-Zw37nNjLs42Rm^4aJndP6TF@i%t<^U
zxXAgYz3)^+u_B8sZ&hAb5d7R`@><{TYzP0YuPO&=MKVTYS?yh6r4t?PmthM~6)Nye
z?lW*#;^E=+S{^2BL4Dfe5aqD&%;@ikiEpa_GIG);Niaf$<hXV4Lwj9<QxvnFxCfvG
zrj{w;E_BX6d3T8ib#;IRFGLG8Cq3^7KNb}pK7EK6yMxh)JkRJ(IcDumH~QkYINL{w
z_@5Vh@H7;6j_$e#>hyX|i8!lA_v!yy;PHiCL*}tkMUi<dR4<p)jPHl2ZCMRU7*oAA
zH;tBy{Owh_;_29281SaLYFeFqW^UJEH3?B8b_C4~U+~s~KtXp_RjNj24mI|s(?UYj
zXPk_OgA@ywf;tb*_8d9));s*t=MU~AZ2N1_USa|iM%v%<kK65EPBtyyzO(SSLVko9
zmSw+tyGAk|wQce$Y@p=?4sic#AGz!vQ=O`}coUtv+KG8iR{lH^$}<|p$XAuk9Wx}}
z!u`Bja6OUoph{IG$e5P91(n>&8&FWqS;d|wgv1pv5$!rr=ZM!j-Grl6T{UA6PM{w>
zTh&keGktUkp#?XI@>}@LgN$yDtF8JKKcD_Os53umrmg(l+l|)X3H%+;u&dpjOc;Dz
zLwFzPMDVQh*}u{n0|t81kgwiNqHHQqlKA8t`nv|S6%4Fjah<i*uC|`qjl}z_U~d+Q
zPXT7d)(0z&K{b1&JJDim=0285?ech~PBjOcygY2%VDPesjbxs^MHz>t!&Y0`G-S=(
zGx_h;F?HaI`o_g+@UZko9rl@km2iWT&e>#jJi@whk{|JNMX@pX6{u9%p;qY-9k<ns
zl22p);<Q4jL?YB?;y+AcCWfa^ukK8^%Zs8uRk+I^qqyu#s5}~9-H99gcv0Oq4cqej
zW2~C)x+4*HD1Jk?Id7Z-%R`36buUmJG!?m6cn+lAgI^KG2vnDiN5nkg-;*q0R#}ON
zIexJNzdP@>U0bDnn-{pjE^r0&)ztqV|HI=|v*Q4Zm29U*xY@vm={|rB+&+=$dSob{
zI9=TINLO-wlUe<y<al*`N5gg5r=jhOiSxTVD743z(0=k>vIAhz%|$f+zC6arDCn!V
z_E==`{ukS5^4-Cl<uv{kt&N)-5Aq@Xse`hO@MrSHb`bF91!zXkBlmSGKlb~Tpx9lb
zxS<4^ra&5-1<cn5G;22$Rg0LnqAcZ3Lbn=$rCb|niTl<U)7%nZ1UG`zLH9gwa^kKb
zw58_ohwK41*Jz%%NV(tim(B2+H_9_rGeWn3jgof1{<y$`+*-#Qpi(fWKxhbhS916n
zk*K>9xRs)=(8yj<ntHsE&)FYrC>>qgbI9hMuZq<niyc(_+_g$98W<klanH1T0lU1I
zaS5K5CRQbNBp$bkbPA#N7k|c30-#=<9#zU80*d$l*+`obt2FWvORzmRDQQO%8*_4s
zjiiF7@u?5CKSnr1e`F18$+d=6Z^<CqLL(HYSqE%03f|~TEj7f)o-+y)DEI^veVv;1
z?<jVub$~v2{X_x8wJVXY%(KzC{@&(u8Cvb1R>zCc_yJZc*ynkHsAS!1ZKJa}pg~$B
zX8yG${)h$?ZHTahL4H)+bTZ%eHIRKXpE}e3F{MYCl6(1psgL(RWan?;9#9@092#av
z1Mi{BAE$^>?0e@rDbhi$2(dh~{HrvH*y_{TdE0(rjOgjdo<q*QxqB=2@|Iav&?o@U
z(yh%L4KsHIM7W4DR)$|u-$Q+F4EXPY|8v3<=p+EWP0uz{d#DO<FjV=}(}n5<>Ee_9
z{)+IO+;zp|{~HSch!OA8^L!H<QZI+{tsVlplx*uZ`>7{Bj@$k+$06IOqUY?StDQQW
z#Kt%}Q+~J8kcn>C{~Ht}2Gip!Jk4Gk`?C96Rf430Qw9cx)6;Oq;4DjT!H_GMX!Ds|
z6`wpcpPAxh!ih1svEsF;wVUm+$8sY<q*l3$_{~Rp2Vy*R3AZKrGdaO2a@?L1@FT<f
zhyJ!`I2R{C{}R`uOPnw4g8shE5Pgv_M-GK&fPv@X6p39OG<g;_M{Uo!%-qc{qED(E
zI$|U`+R|*(tR~K_^$AE5FYAV~DcVJE3Nz(<GuK(M{PN$vFo^Cm4G{2EDZN+Xx72@5
z=%tB|r2)b!iQ^66Jt+#Q7HhtEDW)dj>F-tM@Hw0K?%G@a?C{z941K2>FVfuPn?%SO
z&uq2Wf4^)61-LCRl`Sw8V1+L$bcSwD&0wEZT>@H-oH@lmxC><=bVWF3DMTg26W4Bp
zUhvcWSR<-Syvp2n<@A2g-l<7tMDgZTW1NnxPI)0jb`pb#o<l?##e1`NhgLi_^)|eZ
zKIG$^J0j-@fiDUretcJYj$iQV<G<c*)!P3&=X5Q2lcsTQlx7?C7Sl~dCYdP|b@yP+
zlHHX4u2_E&Qds$X_&qR?*<js19{^;v=uRO#JS*K1(A0R``E8gJ98!DroBH)vG4h<7
z#ivpa)3qyLFG@r+;Ww_;;|wdSYaA+MOX^<bPZw$Rz2Lg*^g2?oV$>nG5Z+va`&Kpl
zwyC5yaGW1*hp^d5TvF3h)4cIey`J*Zh~&!cZu=+z5|mJB#4}4vJRVna3wj{v%sjTt
zSEdh`Vyof5@AF!)M^yJ!u&1epVf+uF_p^MhhuV9;c=y>Ob2Z8M=SvFaFvw3F2(k1x
z>HUk(&gB`7uY42znjtglf`3%*N_}dNML#J_wu_4TTI2L&tPxl~l!afK%+U{GDOAiY
zR{qgD8S!Lr!=dkUVZcI-T(t~HV5!`Hid?(N^Ma}B-Qy`da>A{B3dembZzv_yL8c`f
zx~n;0^)Uh+Nl}*-?`E-i$T0m7F)-Aps*XwbE0IDocJEQ%m%bX^wa83Wc$1Z#g2{II
zCKi7;zxq~?NeZuzz2QTdsz>4P1AdovRh(PEXSz=VZ%f&+Ohgo_xmUf5W`C<FVcs`h
zcT?SFb=U77iHnZjZeN&R_cf!s3o>fu&)+N^e;KDGn4!}8x;tO&w;S`F$GYc3X$~gH
zW*ldTkZZW*%K!$=)<Hjqw!AG8$$!Z}LPR=T)WuC}dpy?kZcZL-q$zV)|IAZBIF0ZW
zVEq2IdQwD`w{23f*T9Hpz+>Am5(TZNe>vLb<%d82ux^*VT8Cr}oIHx!jW2R7O-f=&
zdaqQ<Pd(cAOO-a_8>;HIG7Z}alQYJ8yWAOLh|<e=JF7CzI40GbaPAqs;YRsBAT<=Z
zr>FwHcny;p(&44~M;j|esjUe)o!!^{!0>valmV#Nb9yE9_h^-lan!bzDdYH=i9eA#
MnRh>Q{!c;tAJN2y%m4rY

diff --git a/SPI/db/SPI_test.tmw_info b/SPI/db/SPI_test.tmw_info
deleted file mode 100644
index 0d68568..0000000
--- a/SPI/db/SPI_test.tmw_info
+++ /dev/null
@@ -1,7 +0,0 @@
-start_full_compilation:s:00:00:28
-start_analysis_synthesis:s:00:00:12-start_full_compilation
-start_analysis_elaboration:s-start_full_compilation
-start_fitter:s:00:00:08-start_full_compilation
-start_assembler:s:00:00:02-start_full_compilation
-start_timing_analyzer:s:00:00:04-start_full_compilation
-start_eda_netlist_writer:s:00:00:02-start_full_compilation
diff --git a/SPI/db/SPI_test.vpr.ammdb b/SPI/db/SPI_test.vpr.ammdb
deleted file mode 100644
index 8f7b228f96809069924730202d289353597627c8..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 636
zcmV-?0)zb&000233jqKC0001M0BZm=00011WpZ<AZ*CwlI4&_RFd#y8X>4R5HZnCJ
zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*Hs-00000001@u00000002z@0000000000
z004Xf00000004La>{vTe!!QtCJSiw$!3C(e1=Q3~Z~~wM4nWUPr%aP7aEP3M8&FWg
z6^K~9HQLNZMpCdd6mk(q*4wAmeq~RH=y;Flj_*XbL=FDX0yiEs^5g*HmE%$C|2~I#
z3~9P@e0}+OT&40ykbiVU6Udk9^9uQ=ApgdDBD!b&ML)~$wRLWvF|r;b`MS#9zg^Gr
zVR>+F$NvFBW@$vh3yjsiOK|f~=Z}i_1{%UXEdr+6b0~XCy~wxcuI=9<L2G_>1!eSl
zV*Q)4zs1{nDD_?IVJW^eK2vzB&oKByxJUc1&8P9^#XtT0f1MY9_VdT*&tQ)!_j~e9
zoMygUx$Dp`OZj$Z&-i}q`u05a@N=N($<K;MK=hu6s(q?`oYV8P#)H&r&98X>P`ubN
zg+E6<^5;?EKf&0A_al{$_lK1H4E10h_}{^Q$?+f8KgFl|Y$tyMeek}B^HgfR@$h2r
z@%Y96$}jydf4`-8=@*t?`$da4Zk^B5Z%y9#Ya_n#AcarOuf-SmY(D&7`HlKesK3a+
zK+5Mw-2Y3=^H0~`KatPAURk^Vf5AuN!QxL%J<{(r5>NJfPbohC`%rv6EAMyx4FCZD
z|9AmnVqjzlU|?W4kY(_J5lAxuu>cS|`ufH@hWPu&`}(`YyErj1Z~z5i0Hjb5h)eT|
WGt=`@QxxLkGxJK!OaK4?0RR8jLoy-&

diff --git a/SPI/db/SPI_test_partition_pins.json b/SPI/db/SPI_test_partition_pins.json
deleted file mode 100644
index 23b547a..0000000
--- a/SPI/db/SPI_test_partition_pins.json
+++ /dev/null
@@ -1,29 +0,0 @@
-{
-	"partitions" : [
-		{
-			"name" : "Top",
-			"pins" : [
-				{
-					"name" : "GPIO_0_PI[8]",
-					"strict" : false
-				},
-				{
-					"name" : "GPIO_0_PI[9]",
-					"strict" : false
-				},
-				{
-					"name" : "GPIO_0_PI[10]",
-					"strict" : false
-				},
-				{
-					"name" : "GPIO_0_PI[11]",
-					"strict" : false
-				},
-				{
-					"name" : "GPIO_0_PI[31]",
-					"strict" : false
-				}
-			]
-		}
-	]
-}
\ No newline at end of file
diff --git a/SPI/db/prev_cmp_SPI_test.qmsg b/SPI/db/prev_cmp_SPI_test.qmsg
deleted file mode 100644
index 8e06d85..0000000
--- a/SPI/db/prev_cmp_SPI_test.qmsg
+++ /dev/null
@@ -1,140 +0,0 @@
-{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1668613487597 ""}
-{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition" {  } {  } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668613487604 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Nov 16 16:44:47 2022 " "Processing started: Wed Nov 16 16:44:47 2022" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668613487604 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668613487604 ""}
-{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off SPI_test -c SPI_test " "Command: quartus_map --read_settings_files=on --write_settings_files=off SPI_test -c SPI_test" {  } {  } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668613487604 ""}
-{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." {  } {  } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1668613488282 ""}
-{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" {  } {  } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1668613488283 ""}
-{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "mytestbench.sv 1 1 " "Found 1 design units, including 1 entities, in source file mytestbench.sv" { { "Info" "ISGN_ENTITY_NAME" "1 MyTestbench " "Found entity 1: MyTestbench" {  } { { "MyTestbench.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyTestbench.sv" 1 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1668613498431 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668613498431 ""}
-{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "myspi.sv 1 1 " "Found 1 design units, including 1 entities, in source file myspi.sv" { { "Info" "ISGN_ENTITY_NAME" "1 spi_slave " "Found entity 1: spi_slave" {  } { { "MySPI.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MySPI.sv" 1 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1668613498436 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668613498436 ""}
-{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "myde0_nano.sv 1 1 " "Found 1 design units, including 1 entities, in source file myde0_nano.sv" { { "Info" "ISGN_ENTITY_NAME" "1 MyDE0_Nano " "Found entity 1: MyDE0_Nano" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 1 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1668613498441 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668613498441 ""}
-{ "Info" "ISGN_START_ELABORATION_TOP" "MyDE0_Nano " "Elaborating entity \"MyDE0_Nano\" for the top level hierarchy" {  } {  } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1668613498494 ""}
-{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "ENC_1B MyDE0_Nano.sv(47) " "Verilog HDL or VHDL warning at MyDE0_Nano.sv(47): object \"ENC_1B\" assigned a value but never read" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 47 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1668613498500 "|MyDE0_Nano"}
-{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "ENC_2A MyDE0_Nano.sv(47) " "Verilog HDL or VHDL warning at MyDE0_Nano.sv(47): object \"ENC_2A\" assigned a value but never read" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 47 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1668613498500 "|MyDE0_Nano"}
-{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "ENC_2B MyDE0_Nano.sv(47) " "Verilog HDL or VHDL warning at MyDE0_Nano.sv(47): object \"ENC_2B\" assigned a value but never read" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 47 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1668613498500 "|MyDE0_Nano"}
-{ "Warning" "WVRFX_L2_VDB_DRIVERLESS_OUTPUT_PORT" "LED MyDE0_Nano.sv(7) " "Output port \"LED\" at MyDE0_Nano.sv(7) has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 7 0 0 } }  } 0 10034 "Output port \"%1!s!\" at %2!s! has no driver" 0 0 "Analysis & Synthesis" 0 -1 1668613498502 "|MyDE0_Nano"}
-{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "spi_slave spi_slave:spi_slave_instance " "Elaborating entity \"spi_slave\" for hierarchy \"spi_slave:spi_slave_instance\"" {  } { { "MyDE0_Nano.sv" "spi_slave_instance" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 39 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1668613498529 ""}
-{ "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI_HDR" "" "The following bidirectional pins have no drivers" { { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[8\] " "bidirectional pin \"GPIO_0_PI\[8\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[9\] " "bidirectional pin \"GPIO_0_PI\[9\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[10\] " "bidirectional pin \"GPIO_0_PI\[10\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[31\] " "bidirectional pin \"GPIO_0_PI\[31\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[0\] " "bidirectional pin \"GPIO_2\[0\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[1\] " "bidirectional pin \"GPIO_2\[1\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[2\] " "bidirectional pin \"GPIO_2\[2\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[3\] " "bidirectional pin \"GPIO_2\[3\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[4\] " "bidirectional pin \"GPIO_2\[4\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[5\] " "bidirectional pin \"GPIO_2\[5\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[6\] " "bidirectional pin \"GPIO_2\[6\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[7\] " "bidirectional pin \"GPIO_2\[7\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[8\] " "bidirectional pin \"GPIO_2\[8\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[9\] " "bidirectional pin \"GPIO_2\[9\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[10\] " "bidirectional pin \"GPIO_2\[10\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[11\] " "bidirectional pin \"GPIO_2\[11\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_2\[12\] " "bidirectional pin \"GPIO_2\[12\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[0\] " "bidirectional pin \"GPIO_0_PI\[0\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[1\] " "bidirectional pin \"GPIO_0_PI\[1\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[2\] " "bidirectional pin \"GPIO_0_PI\[2\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[3\] " "bidirectional pin \"GPIO_0_PI\[3\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[4\] " "bidirectional pin \"GPIO_0_PI\[4\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[5\] " "bidirectional pin \"GPIO_0_PI\[5\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[6\] " "bidirectional pin \"GPIO_0_PI\[6\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[7\] " "bidirectional pin \"GPIO_0_PI\[7\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[12\] " "bidirectional pin \"GPIO_0_PI\[12\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[13\] " "bidirectional pin \"GPIO_0_PI\[13\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[14\] " "bidirectional pin \"GPIO_0_PI\[14\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[15\] " "bidirectional pin \"GPIO_0_PI\[15\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[16\] " "bidirectional pin \"GPIO_0_PI\[16\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[17\] " "bidirectional pin \"GPIO_0_PI\[17\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[18\] " "bidirectional pin \"GPIO_0_PI\[18\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[19\] " "bidirectional pin \"GPIO_0_PI\[19\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[20\] " "bidirectional pin \"GPIO_0_PI\[20\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[21\] " "bidirectional pin \"GPIO_0_PI\[21\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[22\] " "bidirectional pin \"GPIO_0_PI\[22\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[23\] " "bidirectional pin \"GPIO_0_PI\[23\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[24\] " "bidirectional pin \"GPIO_0_PI\[24\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[25\] " "bidirectional pin \"GPIO_0_PI\[25\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[26\] " "bidirectional pin \"GPIO_0_PI\[26\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[27\] " "bidirectional pin \"GPIO_0_PI\[27\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[28\] " "bidirectional pin \"GPIO_0_PI\[28\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[29\] " "bidirectional pin \"GPIO_0_PI\[29\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[30\] " "bidirectional pin \"GPIO_0_PI\[30\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[32\] " "bidirectional pin \"GPIO_0_PI\[32\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_0_PI\[33\] " "bidirectional pin \"GPIO_0_PI\[33\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[0\] " "bidirectional pin \"GPIO_1\[0\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[1\] " "bidirectional pin \"GPIO_1\[1\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[2\] " "bidirectional pin \"GPIO_1\[2\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[3\] " "bidirectional pin \"GPIO_1\[3\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[4\] " "bidirectional pin \"GPIO_1\[4\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[5\] " "bidirectional pin \"GPIO_1\[5\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[6\] " "bidirectional pin \"GPIO_1\[6\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[7\] " "bidirectional pin \"GPIO_1\[7\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[8\] " "bidirectional pin \"GPIO_1\[8\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[9\] " "bidirectional pin \"GPIO_1\[9\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[10\] " "bidirectional pin \"GPIO_1\[10\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[11\] " "bidirectional pin \"GPIO_1\[11\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[12\] " "bidirectional pin \"GPIO_1\[12\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[13\] " "bidirectional pin \"GPIO_1\[13\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[14\] " "bidirectional pin \"GPIO_1\[14\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[15\] " "bidirectional pin \"GPIO_1\[15\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[16\] " "bidirectional pin \"GPIO_1\[16\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[17\] " "bidirectional pin \"GPIO_1\[17\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[18\] " "bidirectional pin \"GPIO_1\[18\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[19\] " "bidirectional pin \"GPIO_1\[19\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[20\] " "bidirectional pin \"GPIO_1\[20\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[21\] " "bidirectional pin \"GPIO_1\[21\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[22\] " "bidirectional pin \"GPIO_1\[22\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[23\] " "bidirectional pin \"GPIO_1\[23\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[24\] " "bidirectional pin \"GPIO_1\[24\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[25\] " "bidirectional pin \"GPIO_1\[25\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[26\] " "bidirectional pin \"GPIO_1\[26\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[27\] " "bidirectional pin \"GPIO_1\[27\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[28\] " "bidirectional pin \"GPIO_1\[28\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[29\] " "bidirectional pin \"GPIO_1\[29\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[30\] " "bidirectional pin \"GPIO_1\[30\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[31\] " "bidirectional pin \"GPIO_1\[31\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[32\] " "bidirectional pin \"GPIO_1\[32\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "GPIO_1\[33\] " "bidirectional pin \"GPIO_1\[33\]\" has no driver" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 -1 0 } }  } 0 13040 "bidirectional pin \"%1!s!\" has no driver" 0 0 "Design Software" 0 -1 1668613499032 ""}  } {  } 0 13039 "The following bidirectional pins have no drivers" 0 0 "Analysis & Synthesis" 0 -1 1668613499032 ""}
-{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "LED\[0\] GND " "Pin \"LED\[0\]\" is stuck at GND" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 7 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1668613499052 "|MyDE0_Nano|LED[0]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LED\[1\] GND " "Pin \"LED\[1\]\" is stuck at GND" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 7 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1668613499052 "|MyDE0_Nano|LED[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LED\[2\] GND " "Pin \"LED\[2\]\" is stuck at GND" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 7 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1668613499052 "|MyDE0_Nano|LED[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LED\[3\] GND " "Pin \"LED\[3\]\" is stuck at GND" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 7 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1668613499052 "|MyDE0_Nano|LED[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LED\[4\] GND " "Pin \"LED\[4\]\" is stuck at GND" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 7 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1668613499052 "|MyDE0_Nano|LED[4]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LED\[5\] GND " "Pin \"LED\[5\]\" is stuck at GND" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 7 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1668613499052 "|MyDE0_Nano|LED[5]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LED\[6\] GND " "Pin \"LED\[6\]\" is stuck at GND" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 7 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1668613499052 "|MyDE0_Nano|LED[6]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LED\[7\] GND " "Pin \"LED\[7\]\" is stuck at GND" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 7 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1668613499052 "|MyDE0_Nano|LED[7]"}  } {  } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1668613499052 ""}
-{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" {  } {  } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1668613499127 ""}
-{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" {  } {  } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1668613499717 ""}  } {  } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1668613499717 ""}
-{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "10 " "Design contains 10 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "CLOCK_50 " "No output dependent on input pin \"CLOCK_50\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 4 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613499878 "|MyDE0_Nano|CLOCK_50"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "KEY\[0\] " "No output dependent on input pin \"KEY\[0\]\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 10 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613499878 "|MyDE0_Nano|KEY[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "KEY\[1\] " "No output dependent on input pin \"KEY\[1\]\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 10 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613499878 "|MyDE0_Nano|KEY[1]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "GPIO_2_IN\[0\] " "No output dependent on input pin \"GPIO_2_IN\[0\]\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 14 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613499878 "|MyDE0_Nano|GPIO_2_IN[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "GPIO_2_IN\[1\] " "No output dependent on input pin \"GPIO_2_IN\[1\]\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 14 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613499878 "|MyDE0_Nano|GPIO_2_IN[1]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "GPIO_2_IN\[2\] " "No output dependent on input pin \"GPIO_2_IN\[2\]\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 14 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613499878 "|MyDE0_Nano|GPIO_2_IN[2]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "GPIO_0_PI_IN\[0\] " "No output dependent on input pin \"GPIO_0_PI_IN\[0\]\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 18 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613499878 "|MyDE0_Nano|GPIO_0_PI_IN[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "GPIO_0_PI_IN\[1\] " "No output dependent on input pin \"GPIO_0_PI_IN\[1\]\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 18 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613499878 "|MyDE0_Nano|GPIO_0_PI_IN[1]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "GPIO_1_IN\[0\] " "No output dependent on input pin \"GPIO_1_IN\[0\]\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 23 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613499878 "|MyDE0_Nano|GPIO_1_IN[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "GPIO_1_IN\[1\] " "No output dependent on input pin \"GPIO_1_IN\[1\]\"" {  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 23 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1668613499878 "|MyDE0_Nano|GPIO_1_IN[1]"}  } {  } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Analysis & Synthesis" 0 -1 1668613499878 ""}
-{ "Info" "ICUT_CUT_TM_SUMMARY" "140 " "Implemented 140 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "10 " "Implemented 10 input pins" {  } {  } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1668613499879 ""} { "Info" "ICUT_CUT_TM_OPINS" "8 " "Implemented 8 output pins" {  } {  } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1668613499879 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "81 " "Implemented 81 bidirectional pins" {  } {  } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1668613499879 ""} { "Info" "ICUT_CUT_TM_LCELLS" "41 " "Implemented 41 logic cells" {  } {  } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1668613499879 ""}  } {  } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1668613499879 ""}
-{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 106 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 106 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4760 " "Peak virtual memory: 4760 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668613499898 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 16 16:44:59 2022 " "Processing ended: Wed Nov 16 16:44:59 2022" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668613499898 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:12 " "Elapsed time: 00:00:12" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668613499898 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:25 " "Total CPU time (on all processors): 00:00:25" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668613499898 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1668613499898 ""}
-{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1668613501597 ""}
-{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition" {  } {  } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668613501603 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Nov 16 16:45:00 2022 " "Processing started: Wed Nov 16 16:45:00 2022" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668613501603 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1668613501603 ""}
-{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off SPI_test -c SPI_test " "Command: quartus_fit --read_settings_files=off --write_settings_files=off SPI_test -c SPI_test" {  } {  } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1668613501603 ""}
-{ "Info" "0" "" "qfit2_default_script.tcl version: #1" {  } {  } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1668613502685 ""}
-{ "Info" "0" "" "Project  = SPI_test" {  } {  } 0 0 "Project  = SPI_test" 0 0 "Fitter" 0 0 1668613502686 ""}
-{ "Info" "0" "" "Revision = SPI_test" {  } {  } 0 0 "Revision = SPI_test" 0 0 "Fitter" 0 0 1668613502687 ""}
-{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." {  } {  } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1668613502761 ""}
-{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" {  } {  } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1668613502762 ""}
-{ "Info" "IMPP_MPP_USER_DEVICE" "SPI_test EP4CE22F17C6 " "Selected device EP4CE22F17C6 for design \"SPI_test\"" {  } {  } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1668613502775 ""}
-{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" {  } {  } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1668613502830 ""}
-{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" {  } {  } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1668613502830 ""}
-{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" {  } {  } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1668613503077 ""}
-{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." {  } {  } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1668613503119 ""}
-{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE10F17C6 " "Device EP4CE10F17C6 is compatible" {  } {  } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1668613503699 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE6F17C6 " "Device EP4CE6F17C6 is compatible" {  } {  } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1668613503699 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE15F17C6 " "Device EP4CE15F17C6 is compatible" {  } {  } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1668613503699 ""}  } {  } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1668613503699 ""}
-{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ C1 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location C1" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 381 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668613503718 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ D2 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location D2" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 383 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668613503718 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ H1 " "Pin ~ALTERA_DCLK~ is reserved at location H1" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 385 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668613503718 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ H2 " "Pin ~ALTERA_DATA0~ is reserved at location H2" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 387 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668613503718 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ F16 " "Pin ~ALTERA_nCEO~ is reserved at location F16" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 389 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668613503718 ""}  } {  } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1668613503718 ""}
-{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" {  } {  } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1668613503728 ""}
-{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "87 99 " "No exact pin location assignment(s) for 87 pins of 99 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." {  } {  } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1668613504120 ""}
-{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "SPI_test.sdc " "Synopsys Design Constraints File file not found: 'SPI_test.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." {  } {  } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1668613504396 ""}
-{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" {  } {  } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1668613504396 ""}
-{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" {  } {  } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1668613504398 ""}
-{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." {  } {  } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1668613504398 ""}
-{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." {  } {  } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1668613504399 ""}
-{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "GPIO_0_PI\[8\]~input (placed in PIN G2 (DIFFIO_L6p, DQS0L/CQ1L,DPCLK0)) " "Automatically promoted node GPIO_0_PI\[8\]~input (placed in PIN G2 (DIFFIO_L6p, DQS0L/CQ1L,DPCLK0))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G0 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G0" {  } {  } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1668613504418 ""}  } { { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 372 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1668613504418 ""}
-{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" {  } {  } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1668613504654 ""}
-{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" {  } {  } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1668613504655 ""}
-{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" {  } {  } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1668613504655 ""}
-{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" {  } {  } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1668613504655 ""}
-{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" {  } {  } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1668613504656 ""}
-{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" {  } {  } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1668613504657 ""}
-{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" {  } {  } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1668613504657 ""}
-{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" {  } {  } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1668613504657 ""}
-{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" {  } {  } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1668613504668 ""}
-{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" {  } {  } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1668613504668 ""}  } {  } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1668613504668 ""}
-{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "86 unused 2.5V 7 0 79 " "Number of I/O pins in group: 86 (unused VREF, 2.5V VCCIO, 7 input, 0 output, 79 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." {  } {  } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1668613504673 ""}  } {  } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1668613504673 ""}  } {  } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1668613504673 ""}
-{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use 2.5V 9 5 " "I/O bank number 1 does not use VREF pins and has 2.5V VCCIO pins. 9 total pin(s) used --  5 pins available" {  } {  } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "Design Software" 0 -1 1668613504674 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use 2.5V 1 15 " "I/O bank number 2 does not use VREF pins and has 2.5V VCCIO pins. 1 total pin(s) used --  15 pins available" {  } {  } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "Design Software" 0 -1 1668613504674 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 1 24 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used --  24 pins available" {  } {  } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "Design Software" 0 -1 1668613504674 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 20 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  20 pins available" {  } {  } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "Design Software" 0 -1 1668613504674 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 1 17 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used --  17 pins available" {  } {  } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "Design Software" 0 -1 1668613504674 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 1 12 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used --  12 pins available" {  } {  } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "Design Software" 0 -1 1668613504674 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use 2.5V 4 20 " "I/O bank number 7 does not use VREF pins and has 2.5V VCCIO pins. 4 total pin(s) used --  20 pins available" {  } {  } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "Design Software" 0 -1 1668613504674 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use 2.5V 1 23 " "I/O bank number 8 does not use VREF pins and has 2.5V VCCIO pins. 1 total pin(s) used --  23 pins available" {  } {  } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "Design Software" 0 -1 1668613504674 ""}  } {  } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1668613504674 ""}  } {  } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1668613504674 ""}
-{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:02 " "Fitter preparation operations ending: elapsed time is 00:00:02" {  } {  } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668613505385 ""}
-{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." {  } {  } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1668613505399 ""}
-{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" {  } {  } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1668613506079 ""}
-{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" {  } {  } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668613506152 ""}
-{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" {  } {  } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1668613506181 ""}
-{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" {  } {  } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1668613508111 ""}
-{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:02 " "Fitter placement operations ending: elapsed time is 00:00:02" {  } {  } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668613508111 ""}
-{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" {  } {  } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1668613508345 ""}
-{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X0_Y23 X9_Y34 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y23 to location X9_Y34" {  } { { "loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y23 to location X9_Y34"} { { 12 { 0 ""} 0 23 10 12 }  }  }  }  } }  } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1668613508994 ""}  } {  } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1668613508994 ""}
-{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" {  } {  } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1668613509430 ""}  } {  } 0 170199 "The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1668613509430 ""}
-{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" {  } {  } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668613509433 ""}
-{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.12 " "Total time spent on timing analysis during the Fitter is 0.12 seconds." {  } {  } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1668613509550 ""}
-{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" {  } {  } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1668613509559 ""}
-{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" {  } {  } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1668613509725 ""}
-{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" {  } {  } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1668613509725 ""}
-{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" {  } {  } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1668613509849 ""}
-{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" {  } {  } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668613510262 ""}
-{ "Warning" "WFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE" "80 " "Following 80 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results" { { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[0\] a permanently disabled " "Pin GPIO_2\[0\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[0] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 23 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[1\] a permanently disabled " "Pin GPIO_2\[1\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[1] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 24 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[2\] a permanently disabled " "Pin GPIO_2\[2\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[2] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 25 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[3\] a permanently disabled " "Pin GPIO_2\[3\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[3] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 26 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[4\] a permanently disabled " "Pin GPIO_2\[4\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[4] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 27 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[5\] a permanently disabled " "Pin GPIO_2\[5\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[5] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 28 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[6\] a permanently disabled " "Pin GPIO_2\[6\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[6] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 29 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[7\] a permanently disabled " "Pin GPIO_2\[7\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[7] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 30 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[8\] a permanently disabled " "Pin GPIO_2\[8\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[8] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 31 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[9\] a permanently disabled " "Pin GPIO_2\[9\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[9] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 32 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[10\] a permanently disabled " "Pin GPIO_2\[10\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[10] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 33 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[11\] a permanently disabled " "Pin GPIO_2\[11\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[11] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 34 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_2\[12\] a permanently disabled " "Pin GPIO_2\[12\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_2[12] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 13 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 35 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[0\] a permanently disabled " "Pin GPIO_0_PI\[0\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[0] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 39 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[1\] a permanently disabled " "Pin GPIO_0_PI\[1\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[1] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 40 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[2\] a permanently disabled " "Pin GPIO_0_PI\[2\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[2] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 41 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[3\] a permanently disabled " "Pin GPIO_0_PI\[3\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[3] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 42 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[4\] a permanently disabled " "Pin GPIO_0_PI\[4\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[4] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 43 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[5\] a permanently disabled " "Pin GPIO_0_PI\[5\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[5] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 44 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[6\] a permanently disabled " "Pin GPIO_0_PI\[6\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[6] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 45 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[7\] a permanently disabled " "Pin GPIO_0_PI\[7\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[7] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 46 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[12\] a permanently disabled " "Pin GPIO_0_PI\[12\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[12] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 50 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[13\] a permanently disabled " "Pin GPIO_0_PI\[13\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[13] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 51 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[14\] a permanently disabled " "Pin GPIO_0_PI\[14\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[14] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 52 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[15\] a permanently disabled " "Pin GPIO_0_PI\[15\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[15] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 53 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[16\] a permanently disabled " "Pin GPIO_0_PI\[16\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[16] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 54 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[17\] a permanently disabled " "Pin GPIO_0_PI\[17\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[17] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 55 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[18\] a permanently disabled " "Pin GPIO_0_PI\[18\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[18] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 56 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[19\] a permanently disabled " "Pin GPIO_0_PI\[19\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[19] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 57 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[20\] a permanently disabled " "Pin GPIO_0_PI\[20\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[20] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 58 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[21\] a permanently disabled " "Pin GPIO_0_PI\[21\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[21] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 59 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[22\] a permanently disabled " "Pin GPIO_0_PI\[22\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[22] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 60 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[23\] a permanently disabled " "Pin GPIO_0_PI\[23\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[23] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 61 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[24\] a permanently disabled " "Pin GPIO_0_PI\[24\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[24] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 62 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[25\] a permanently disabled " "Pin GPIO_0_PI\[25\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[25] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 63 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[26\] a permanently disabled " "Pin GPIO_0_PI\[26\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[26] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 64 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[27\] a permanently disabled " "Pin GPIO_0_PI\[27\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[27] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 65 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[28\] a permanently disabled " "Pin GPIO_0_PI\[28\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[28] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 66 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[29\] a permanently disabled " "Pin GPIO_0_PI\[29\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[29] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 67 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[30\] a permanently disabled " "Pin GPIO_0_PI\[30\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[30] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 68 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[32\] a permanently disabled " "Pin GPIO_0_PI\[32\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[32] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 70 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[33\] a permanently disabled " "Pin GPIO_0_PI\[33\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[33] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 71 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[0\] a permanently disabled " "Pin GPIO_1\[0\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[0] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 74 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[1\] a permanently disabled " "Pin GPIO_1\[1\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[1] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 75 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[2\] a permanently disabled " "Pin GPIO_1\[2\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[2] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 76 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[3\] a permanently disabled " "Pin GPIO_1\[3\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[3] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 77 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[4\] a permanently disabled " "Pin GPIO_1\[4\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[4] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 78 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[5\] a permanently disabled " "Pin GPIO_1\[5\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[5] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 79 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[6\] a permanently disabled " "Pin GPIO_1\[6\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[6] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 80 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[7\] a permanently disabled " "Pin GPIO_1\[7\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[7] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 81 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[8\] a permanently disabled " "Pin GPIO_1\[8\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[8] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 82 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[9\] a permanently disabled " "Pin GPIO_1\[9\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[9] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 83 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[10\] a permanently disabled " "Pin GPIO_1\[10\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[10] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 84 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[11\] a permanently disabled " "Pin GPIO_1\[11\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[11] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 85 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[12\] a permanently disabled " "Pin GPIO_1\[12\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[12] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 86 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[13\] a permanently disabled " "Pin GPIO_1\[13\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[13] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 87 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[14\] a permanently disabled " "Pin GPIO_1\[14\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[14] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 88 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[15\] a permanently disabled " "Pin GPIO_1\[15\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[15] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 89 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[16\] a permanently disabled " "Pin GPIO_1\[16\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[16] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 90 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[17\] a permanently disabled " "Pin GPIO_1\[17\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[17] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 91 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[18\] a permanently disabled " "Pin GPIO_1\[18\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[18] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 92 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[19\] a permanently disabled " "Pin GPIO_1\[19\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[19] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 93 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[20\] a permanently disabled " "Pin GPIO_1\[20\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[20] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 94 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[21\] a permanently disabled " "Pin GPIO_1\[21\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[21] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 95 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[22\] a permanently disabled " "Pin GPIO_1\[22\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[22] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 96 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[23\] a permanently disabled " "Pin GPIO_1\[23\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[23] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 97 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[24\] a permanently disabled " "Pin GPIO_1\[24\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[24] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 98 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[25\] a permanently disabled " "Pin GPIO_1\[25\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[25] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 99 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[26\] a permanently disabled " "Pin GPIO_1\[26\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[26] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 100 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[27\] a permanently disabled " "Pin GPIO_1\[27\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[27] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 101 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[28\] a permanently disabled " "Pin GPIO_1\[28\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[28] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 102 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[29\] a permanently disabled " "Pin GPIO_1\[29\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[29] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 103 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[30\] a permanently disabled " "Pin GPIO_1\[30\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[30] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 104 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[31\] a permanently disabled " "Pin GPIO_1\[31\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[31] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 105 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[32\] a permanently disabled " "Pin GPIO_1\[32\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[32] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 106 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_1\[33\] a permanently disabled " "Pin GPIO_1\[33\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_1[33] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 21 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 107 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[8\] a permanently disabled " "Pin GPIO_0_PI\[8\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[8] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 47 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[9\] a permanently disabled " "Pin GPIO_0_PI\[9\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[9] } } } { "c:/intelfpga_lite/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "GPIO_0_PI\[9\]" } } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 48 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[10\] a permanently disabled " "Pin GPIO_0_PI\[10\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[10] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 49 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""} { "Info" "IFIOMGR_BIDIR_WITH_TRIVIAL_OUTPUT_ENABLE_SUB" "GPIO_0_PI\[31\] a permanently disabled " "Pin GPIO_0_PI\[31\] has a permanently disabled output enable" {  } { { "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/18.1/quartus/bin64/pin_planner.ppl" { GPIO_0_PI[31] } } } { "MyDE0_Nano.sv" "" { Text "C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv" 17 0 0 } } { "temporary_test_loc" "" { Generic "C:/Users/damie/Documents/Minibot/SPI/" { { 0 { 0 ""} 0 69 14177 15141 0 0 "" 0 "" "" }  }  } }  } 0 169065 "Pin %1!s! has %2!s! output enable" 0 0 "Design Software" 0 -1 1668613510497 ""}  } {  } 0 169064 "Following %1!d! pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results" 0 0 "Fitter" 0 -1 1668613510497 ""}
-{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/damie/Documents/Minibot/SPI/output_files/SPI_test.fit.smsg " "Generated suppressed messages file C:/Users/damie/Documents/Minibot/SPI/output_files/SPI_test.fit.smsg" {  } {  } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1668613510562 ""}
-{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5526 " "Peak virtual memory: 5526 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668613510880 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 16 16:45:10 2022 " "Processing ended: Wed Nov 16 16:45:10 2022" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668613510880 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:10 " "Elapsed time: 00:00:10" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668613510880 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:10 " "Total CPU time (on all processors): 00:00:10" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668613510880 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1668613510880 ""}
-{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1668613512199 ""}
-{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition" {  } {  } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668613512207 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Nov 16 16:45:12 2022 " "Processing started: Wed Nov 16 16:45:12 2022" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668613512207 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1668613512207 ""}
-{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off SPI_test -c SPI_test " "Command: quartus_asm --read_settings_files=off --write_settings_files=off SPI_test -c SPI_test" {  } {  } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1668613512207 ""}
-{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." {  } {  } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1668613512496 ""}
-{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" {  } {  } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1668613513150 ""}
-{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" {  } {  } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1668613513180 ""}
-{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1  Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4674 " "Peak virtual memory: 4674 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668613513399 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 16 16:45:13 2022 " "Processing ended: Wed Nov 16 16:45:13 2022" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668613513399 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668613513399 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668613513399 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1668613513399 ""}
-{ "Info" "IFLOW_DISABLED_MODULE" "Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" {  } {  } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1668613514048 ""}
-{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1668613514778 ""}
-{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition" {  } {  } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668613514783 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Nov 16 16:45:14 2022 " "Processing started: Wed Nov 16 16:45:14 2022" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668613514783 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1668613514783 ""}
-{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta SPI_test -c SPI_test " "Command: quartus_sta SPI_test -c SPI_test" {  } {  } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1668613514783 ""}
-{ "Info" "0" "" "qsta_default_script.tcl version: #1" {  } {  } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1668613514955 ""}
-{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." {  } {  } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1668613515287 ""}
-{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" {  } {  } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1668613515287 ""}
-{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" {  } {  } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613515334 ""}
-{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" {  } {  } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613515334 ""}
-{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "SPI_test.sdc " "Synopsys Design Constraints File file not found: 'SPI_test.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." {  } {  } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1668613515517 ""}
-{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" {  } {  } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613515517 ""}
-{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name GPIO_0_PI\[8\] GPIO_0_PI\[8\] " "create_clock -period 1.000 -name GPIO_0_PI\[8\] GPIO_0_PI\[8\]" {  } {  } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1668613515518 ""}  } {  } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1668613515518 ""}
-{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" {  } {  } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1668613515518 ""}
-{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." {  } {  } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1668613515519 ""}
-{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" {  } {  } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1668613515520 ""}
-{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" {  } {  } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1668613515535 ""}
-{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." {  } {  } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1668613515551 ""}  } {  } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1668613515551 ""}
-{ "Info" "ISTA_WORST_CASE_SLACK" "setup -1.334 " "Worst-case setup slack is -1.334" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613515557 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613515557 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.334             -42.751 GPIO_0_PI\[8\]  " "   -1.334             -42.751 GPIO_0_PI\[8\] " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613515557 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613515557 ""}
-{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.360 " "Worst-case hold slack is 0.360" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613515560 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613515560 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.360               0.000 GPIO_0_PI\[8\]  " "    0.360               0.000 GPIO_0_PI\[8\] " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613515560 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613515560 ""}
-{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668613515565 ""}
-{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668613515570 ""}
-{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613515576 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613515576 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000             -41.000 GPIO_0_PI\[8\]  " "   -3.000             -41.000 GPIO_0_PI\[8\] " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613515576 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613515576 ""}
-{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" {  } {  } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1668613515608 ""}
-{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" {  } {  } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1668613515631 ""}
-{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" {  } {  } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1668613516018 ""}
-{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." {  } {  } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1668613516043 ""}
-{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." {  } {  } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1668613516049 ""}  } {  } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1668613516049 ""}
-{ "Info" "ISTA_WORST_CASE_SLACK" "setup -1.134 " "Worst-case setup slack is -1.134" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613516054 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613516054 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.134             -35.714 GPIO_0_PI\[8\]  " "   -1.134             -35.714 GPIO_0_PI\[8\] " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613516054 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613516054 ""}
-{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.320 " "Worst-case hold slack is 0.320" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613516058 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613516058 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.320               0.000 GPIO_0_PI\[8\]  " "    0.320               0.000 GPIO_0_PI\[8\] " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613516058 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613516058 ""}
-{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668613516064 ""}
-{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668613516067 ""}
-{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613516072 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613516072 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000             -41.000 GPIO_0_PI\[8\]  " "   -3.000             -41.000 GPIO_0_PI\[8\] " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613516072 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613516072 ""}
-{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" {  } {  } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1668613516092 ""}
-{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." {  } {  } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1668613516161 ""}
-{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." {  } {  } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1668613516163 ""}  } {  } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1668613516163 ""}
-{ "Info" "ISTA_WORST_CASE_SLACK" "setup -0.817 " "Worst-case setup slack is -0.817" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613516166 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613516166 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.817             -24.691 GPIO_0_PI\[8\]  " "   -0.817             -24.691 GPIO_0_PI\[8\] " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613516166 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613516166 ""}
-{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.193 " "Worst-case hold slack is 0.193" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613516175 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613516175 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.193               0.000 GPIO_0_PI\[8\]  " "    0.193               0.000 GPIO_0_PI\[8\] " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613516175 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613516175 ""}
-{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668613516178 ""}
-{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" {  } {  } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668613516183 ""}
-{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack       End Point TNS Clock  " "    Slack       End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613516188 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613516188 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000             -43.502 GPIO_0_PI\[8\]  " "   -3.000             -43.502 GPIO_0_PI\[8\] " {  } {  } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1668613516188 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1668613516188 ""}
-{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" {  } {  } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1668613516606 ""}
-{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" {  } {  } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1668613516607 ""}
-{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 5 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4774 " "Peak virtual memory: 4774 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668613516673 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 16 16:45:16 2022 " "Processing ended: Wed Nov 16 16:45:16 2022" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668613516673 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668613516673 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668613516673 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1668613516673 ""}
-{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Timing Analyzer" 0 -1 1668613517923 ""}
-{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition" {  } {  } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668613517931 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Nov 16 16:45:17 2022 " "Processing started: Wed Nov 16 16:45:17 2022" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668613517931 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1668613517931 ""}
-{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off SPI_test -c SPI_test " "Command: quartus_eda --read_settings_files=off --write_settings_files=off SPI_test -c SPI_test" {  } {  } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1668613517931 ""}
-{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." {  } {  } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1668613518566 ""}
-{ "Info" "IWSC_DONE_HDL_GENERATION" "SPI_test_6_1200mv_85c_slow.svo C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/ simulation " "Generated file SPI_test_6_1200mv_85c_slow.svo in folder \"C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/\" for EDA simulation tool" {  } {  } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1668613518705 ""}
-{ "Info" "IWSC_DONE_HDL_GENERATION" "SPI_test_6_1200mv_0c_slow.svo C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/ simulation " "Generated file SPI_test_6_1200mv_0c_slow.svo in folder \"C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/\" for EDA simulation tool" {  } {  } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1668613518726 ""}
-{ "Info" "IWSC_DONE_HDL_GENERATION" "SPI_test_min_1200mv_0c_fast.svo C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/ simulation " "Generated file SPI_test_min_1200mv_0c_fast.svo in folder \"C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/\" for EDA simulation tool" {  } {  } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1668613518753 ""}
-{ "Info" "IWSC_DONE_HDL_GENERATION" "SPI_test.svo C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/ simulation " "Generated file SPI_test.svo in folder \"C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/\" for EDA simulation tool" {  } {  } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1668613518787 ""}
-{ "Info" "IWSC_DONE_HDL_GENERATION" "SPI_test_6_1200mv_85c_v_slow.sdo C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/ simulation " "Generated file SPI_test_6_1200mv_85c_v_slow.sdo in folder \"C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/\" for EDA simulation tool" {  } {  } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1668613518813 ""}
-{ "Info" "IWSC_DONE_HDL_GENERATION" "SPI_test_6_1200mv_0c_v_slow.sdo C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/ simulation " "Generated file SPI_test_6_1200mv_0c_v_slow.sdo in folder \"C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/\" for EDA simulation tool" {  } {  } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1668613518847 ""}
-{ "Info" "IWSC_DONE_HDL_GENERATION" "SPI_test_min_1200mv_0c_v_fast.sdo C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/ simulation " "Generated file SPI_test_min_1200mv_0c_v_fast.sdo in folder \"C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/\" for EDA simulation tool" {  } {  } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1668613518868 ""}
-{ "Info" "IWSC_DONE_HDL_GENERATION" "SPI_test_v.sdo C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/ simulation " "Generated file SPI_test_v.sdo in folder \"C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/\" for EDA simulation tool" {  } {  } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1668613518887 ""}
-{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1  Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4639 " "Peak virtual memory: 4639 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668613518924 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 16 16:45:18 2022 " "Processing ended: Wed Nov 16 16:45:18 2022" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668613518924 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668613518924 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668613518924 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1668613518924 ""}
-{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 119 s " "Quartus Prime Full Compilation was successful. 0 errors, 119 warnings" {  } {  } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1668613519574 ""}
diff --git a/SPI/incremental_db/README b/SPI/incremental_db/README
deleted file mode 100644
index 9f62dcd..0000000
--- a/SPI/incremental_db/README
+++ /dev/null
@@ -1,11 +0,0 @@
-This folder contains data for incremental compilation.
-
-The compiled_partitions sub-folder contains previous compilation results for each partition.
-As long as this folder is preserved, incremental compilation results from earlier compiles
-can be re-used.  To perform a clean compilation from source files for all partitions, both
-the db and incremental_db folder should be removed.
-
-The imported_partitions sub-folder contains the last imported QXP for each imported partition.
-As long as this folder is preserved, imported partitions will be automatically re-imported
-when the db or incremental_db/compiled_partitions folders are removed.
-
diff --git a/SPI/incremental_db/compiled_partitions/SPI_test.db_info b/SPI/incremental_db/compiled_partitions/SPI_test.db_info
deleted file mode 100644
index eef7047..0000000
--- a/SPI/incremental_db/compiled_partitions/SPI_test.db_info
+++ /dev/null
@@ -1,3 +0,0 @@
-Quartus_Version = Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
-Version_Index = 486699264
-Creation_Time = Mon Nov 14 12:03:56 2022
diff --git a/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.cmp.ammdb b/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.cmp.ammdb
deleted file mode 100644
index ad2841647fac329cddbcd82b2e953a255bd1df02..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 631
zcmV--0*L(-000233jqKC0001M0BZm=00011WpZ<AZ*CwlI4&_RFd#y8X>4R5HZnCJ
zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*CkC00000001!p00000002z@0000000000
z004Ia00000004La>{vTa#4r#YoD|Tdf;OB1E&zvE3NFB>0}eos=nzYXR-!^2BD6?w
z2cU$WiZ$am$X*$Hov;ZFwiG#&d48VEj6F_DB3fS}I_93}h)CgvZqc!zNY#A53VEto
zuFUPWmev>kb!zy|G*O9}HrS?bdobS<^ygL&5Up@0_!B(XkJ_K&e+2)f<;V2&VP)Zu
z`{xDYFD!l&1h5D8zrkJnP*GX4tvirEjX%*E>5M+(*%$r!r^^29)t_DS^RNA1<@Lq!
zZumkQd_VEyoASSp_xD3A*BSe};=AT&3h&#~4}J~7arM-%55wstK5_m<^%BoG|NqdB
zaUO8>{ZtMV(fXe8^Y^RlpX?v4-TB$&gY3_CeHGz);qc-|i&tU%$ez!Ie+#m<=XEze
zTn|#?udyH8XexyN8T`AvKP+m`@5Ud(9(?cN{FJhPIK22{IDU!0<u9b(wSV8Pc&T?j
zzpM8ZzOS9$vrmQRn;GxLOU6&oUGeuQ(K25TkPl3L1@T?^YVhrudWM!7e=o2B@RBd>
z`2~LmdrZFj{t$iR?8Sq3tWRDfKkx#agAc~n$H)JtNPe{c|I!cMyww)~00960cmZN!
zU}OkjU|=|qW$=L!NHYPk01!L+`o=qk`1{8D`n$xtI59DB00m(Hq)-ruOY@2|)ALeO
R6yoDE^GeK200000|NqanDv1C9

diff --git a/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.cmp.cdb b/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.cmp.cdb
deleted file mode 100644
index ca29230bcba69cbf39b883f65ca51d8f4d22b9b6..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 8468
zcmeI1=T{R^*SA4>Qxp)ShE9T1DJs1~=p=x2X;P&3-U$MNfP~%&C82kz(z_rKx`0TK
z-jxnMx$oyMc)z~uS+nNsGi&y~&i>8bXXe9D<KW;_k>O%T9Gv&qLj-FLY&|?3TwMS{
zFZqS|1p)Hj4o)@zF=0`F;41+kVF6)5p_c#%7@*<cWeZTUaqz<WdOP9$7vazRUxPD*
z|G)M>BQ@*)&i!!r%|a`g2E4l_={7R@2_7WkQQ+da$j2&a*x+jRo}GPKNPOS^D4UMA
zsfH0Q!m<9S!ox(p5XkwY2?{l$0VhWgfDj85xV-2du5elT504Q^IK3ok>TLA5-mxTF
zZnYMZE$s~w5;CjVH>;fzAseoU&UOjk^YNUcoU?=R{U4oFCRKAbi>tt8V0|wCGO}J%
z;CyR%RU<e!IA!)C5frMbuJ2-}!aX0a4W9`t!}znLFrl0Zv(yGgV(SeVy82EO8C83Q
zo}7QtfkUn`1xHkJzlRJGX9wk${gN+;RA^cc@E*S#ev{#s>Gz~BS%{HLnQOIFYLHE0
z;Js#$#;qROUve9<?^d}F&u6T-Ie9x{-I;LlH20&v|0h8E(H4sm%r2%YevcPZoPW0d
z%9B6$Y+aqd{n83hz?~DS+*~sPvo<XpbrT3vWqa5k9+ITR$WvXcIa3FGmBskYuX!WW
z)XrMI8eo{jI~`BvVHP09Y52KfWY)*@^PZE&Fb#&(Ln**ru3CMv6yxY2+PakZ-Xk}G
zq&31+u3A-qf7p9xG1XMFn#*w6U9-ADh!x8jrr8-FXyup6PQh|8juO=)-eO!>4nZqh
z=NG_yi5&#X$ue~w5^bFrjKXpNh6q|(OxqtPFqZSV;@}I{{9?v`IGDIUzamW2^ECg%
z@n~Y2$Gm2s!g3-^lg&+&_NE(vSdM0O^G4SHiTJ-t{9il$f4(Ocyi%M#sfSIbOSM?z
zMJid51)G4juAAj2S`#SnqHB8fWrl=K+nbI`D_xpKQX|ExQ=OZfj;reS;W>4OQ?R<x
zpMP8VV*9q;FB>ll^SFl9=D3+t5TR4_^Z`a)U$jn7Yr1ZADx&$mPBz=FrCY>S&H?K?
z`3YF}1Z?~6$?9hz1`v4kOqFe?K7l>79hAmY7;(pL@YXbn6!ki$1}D8fVtSaLfs#!Q
zP*2M`tW0B{+uu_T${@WMo*t%5Kt<%e|9(dLjwk9t$M!<hc87aSPjffJ#6ZzbUuV~P
zZNy{Omr)-m;RpzG7)qjWZv!3Al@^u|q%Ez*T0{$%{`Fdw{W~2I|8knY16^jaDLvX-
zmVKE@k5CI6^^D*B$HNCgxEW<9OWu-lKuW>o-U$Jf%#!w0q7Ihh5S`bNuL1f=pi}{9
zpdJCT=oI$r15^%Go5PmfLTH9@=s2%NhSwK(t_|P)ya}Hap6cC5jSrc7|AC+P+gg=s
zHCx5s19k-kS~Y@p7dbwEg8a1PR^wbxJtKdYp9Y~7qDH5+_+;6<Mq>@vF4K;wyl$|%
z2DB6gg?_Rm69+a)1ebI<;Tm1TLv#d9yP6_=i{8z!6A%)NZ++0b$mij1(}X*(6K(s#
zgKN5^C3pQ4H9pK9t1hq~)rM7^CVlECHqHb2&WK(%tG+<XIX@zj`_{UQF-N}F;eB4Q
zs~GuIL{^W_a4CcSgGQr9lUE#LF&x!I87|3DLX)Wfic#iYqbY!7$hLD)?P{u!kgp`%
zQ40S`B&p`R@GN+?UQ1-53x|e!M?l0GYMvNOB*r5eh7Tc|AylX$cP$C8ClC?*Tnz`s
z2=mq+8&5A!U$!4siVP>D1bepyS-qMnbLn$9RC0D8HJ(X3_+Vh5^@8F!*Rj*l`#d-3
zPt&O*40f+NQCry0GFr-M({sttAla^0WgoJTAAh3n2%i*|gont{Z%?D2On(F8f;ZrK
zxk$8NN1(NRfD5^1&t}5%pK-dZdl0PD;$z_sL`Wo<SGnXU9``8S%05db+%bc2ejw~3
z)Ve$S0>}XK4JP+<O~5@076Pr%;H`d*E8IcRWm!F+^_yI8rGwv#D3`pqTKJQ1ZQsTT
zEA<`tE&~2~aY}dG^$c&7rN7)um)x)TPcqlg_dj)XVt0{rSt0z&B@EWxH)}$mPF}(e
zGHd&|tI<J;cNU4dJO2j4d_6yc8)BV@#(iLI_fu;FYQJl+vA^ly?<N(qVHd?h^6%`(
z{bZ;WW_TVwEb=>Y5c+usCRe%kC)eB>{QhT<F6-Bh<Azks4px^Ktkf^wapO1ytMw?c
zaAy*mq!g@Fmswlo+5JBbn5Ju-?oOc9%O_92iA<>44U~I{X!F+U4Vlt&T&Sp=R!;BC
zF-oUBTO28;j&`A*3_dN~<*F7q&f$p9a+JTWXi6K7X%5iaN~(BDaNas++{FXeBGO9K
zFKO%E|MC?D)qe|5ChwePH7wauFNB-$YW`J<WakT196))@be+;XEviZ<eET?SDanot
z!}MfD|Ji<WOf$P>PZro;>nfIQ;a=tGAZWphVl-m6;LVp<$n5oF5uJDfcap?ldVt{R
z&+<{{b`rx)degu2tq=bbGWXBC>&l10=ql24Wm&5{aQWUKbPML%O8#jML%a+x0yd%7
zO29&_l3!+>dGlKeS;L#NxRlnVR7c}a8+NJA`>gmHObe=xAAUJ-{?K5#mszC+->u$d
za`MwGAR!V#&(K*)0BToOVBLh43P-IF7X>Q3&MMpm^Z0dVyN`hj=8qS;7GXe!KVn{z
zh9CC;1EUi+*|3Ao2g~&jEfvDpkg@}HWq8xFNnOutR~D5S=&_FX%S^p6F3>P_)XCeM
zl5U?s24_b~vFBa~jd(=`dfy92PTmHpy=|{a5ECI@zA0(bYCTwpaQ@oe+~BY<L9>wN
zLx&i8>U4wOe4yC}TTIouac1g<4N1}=kt~n|k)oL~CMgiDdT)l8e14GXsOP9QIjUB3
z!s8S`@$9oaUU1(gbCz8EcgvxdgyJvr2_18a({C=EJ;FKcYXfMOj?g$V)174r5fszo
z8c3Iuxjc$T4BKQKfZ7A|H9;qqr0cZMD!W>wU%0`sWPVG6B_YN?{Gg}QvR%i^XhFjD
zJ&u@Ua9{zSqsTJ^t(tePPvQ4|S(o<!)e}L?q1X}{(DE&1H{fN=OjwH)Uu|%)!@}{z
zKdqP;j}ak^v&_>4X4kCccVzgX!qu)M+N4fvj(w>VggYvTwx$+eA8vvgR$xe9UD?{4
zY?3r<?Ml~EXM4)#S9&X#U7WY7*k`sP=#&l)mcld>b{xbAz3qGCKG@Q-P9$dGy0l!Y
z;q!NH;)^Cf&|mW;CuW&`yG06Ad*FDjb?qMhGtNDj`!27$xy)hVnxOfLDIhz9h^c3p
z{%3Oxe)FImIT3#Ie+zLS@xWvwvf`>ZN|T@WieiY_<$d?E51CWKqfOelQ9KigcFyu{
zbSFQv(<5T1_GLk{$dwf(y%qaB*!F)*y9}%qy$=hDE&t)*YZ#-!G!%Id67DSWgV4HS
zZi7xOcSE<QcI-hqI9!W65n#H8L2o(RjT-Jc5F4ytz4I-5IeM^2*mKno^{N76rH0)C
z$UIm_K)>b-TRHE7s_zPE5hO!>bj%hNm>Hb(J(5j>&6?ZcJebqaKb<A|pY^=9H|_g&
zM6bS8e%8RW*isF6m0Yx3pB+KRyz%S=P85~XPVs`OpFWcr)AGF96TNEly4^h8GsH}$
z16a~|wvrW8UK^dB2E+-RHM8xxEZ#qVA7t)eQUU$P?(p3I1w5swDb5=|F3x7_jZvU#
z(1ExSNqQ-~dP_C)&U!|qf)jFYqk9p7qj{3#$D!$o{Jm9&*lAB>&<y3CKpfsmA8GcL
z{(GV@GcPRQ`ckWG;CPiMOH0ND`?`i*+_Pa%;~D4?$9BtGTK4suQ{|9|oK#v2(Pe*C
z!->`dm)!i>YZvJf(_DO!*NVu_%tZdY$GA$nj*~>-PWrdVDUf%BqR&QJ?<nF70^Lnx
zZnF?kH=9;a&t>VmGpD||8KQ>2?u-GicPb}P4Y<^y)f{->Ym9C;-3xan^~m)w>4*vS
z&HOjor^gF}aJ?7~XaFk6lrjv{Xa6R2Yvw^s{C<THn*W`+cS!*XeCHupo!a(byQCDV
zD*o<=(QXwr-(MD?)T~A8qHg*#jMeTUUD~c_$=A#xy-%g(=s0TY-E*wV3_3LcuO+^8
z#T8Yspne{ymv6tMr-Motx8V6}6$hq3Y=?Xrs)g2AJBG%A`BbOwx-zm#j-kI;vJ6P6
zE;|ukJl=LQhv`*%NpZ7)iHmaE#s)PV)Je8071%7RsKorkV@PL*cF*0RmM+p2yY7(Q
zN2I9G^Vz){bh=(6dTkKPUaUFs|H|dkb)-IZch9SIapM>VzH^T|oWEpLqEbAGr(QX-
zfIxOzrqx4B97;-6qdPmU<dK6cn}3Xf&rxRI8%ww@7W8y>d#K^pWu-?D%{RLrsrf`%
zmDGc|luFLA5<>He^eoh-?y9w?^eU`*X2*cSe|YYtl`bTBl}cO^*F!oP1G?|H%IuNs
zKx5EIw(G{sgeJLE+K}5<^R==()np#6SWBci<k}8`%^6{j6xW09u2RF>ga$IQGK)$c
zBFfPd*vnS~8ErC3>Z134jj`s}Qb1!zL6{zvEy?3a9g}T9`iVbqQ9VXarM(=@al5XU
z2J<g5rvfwW7U{*nwW>aVSJ={acOAF%DlAj4gFdYs0d-N?@9iUh9Hr#(z;B4}Up)?8
zle}x*r6uK7J9@zMvDxYRh6mF)yF2-{ukZ>rIv&Z%!Ww-M_b!)uk)7qpI8Ub5<tlD7
zl6U@Fe!ItE+h&D9p1Do6ajVtrsQ02FZ;g2!j=*S}mKdk@Lr!>jR({%=3%tb*K(pAF
zS~;fRpFh4)f_c&-+ww#({LuT(>>SEjSCdl1aj#U67sR<Ix{+0vo}*jS#w$Fz7p~E<
zU&`;Z-*)jpdlX%TMkMU}0>q611AMsi>Lg!DS%9_KXJ3>D+3Y(-c9}^fn7s=ahOn=w
zY_lGkw<YR|UyuBG%`~+!wAaPr{UiJ<$GrPR;Hm9jD-S}3gPYuO;-@V%KkBsLRXGlH
zrpK|CT=ZHgMYJP6iB9?v-_t{7L>iG_{vnqEyMD;IH>p3^YJ|F0d4SQ3phAqd9Opb(
zt#fV(Gt#jc3OeIi9ic+s$vLEJKpmXJL~e7-QsNSi+HHBmv&4Pfj(08l?+yA}uPkeV
ziu)fF`3}EJ_Yy5&5VliyM)~k;ru%EVNhoP#dS$Z7Mp6&T@K{tVX{UOM7Q!`cDJqS>
zxb^%L%y3EKeK%~NLgw*z@x`zbDqa4e`rH&ZSXNg14`l%HZ8)R+J=#C~;^C^LXDHxB
z(z9W5Qrz?OL6uO4Z|jcZu_yC}wl+T2&R&G^y;<|aFa5*_-BZx22TDMr{21jC3gPXE
zdHv@4e`l%k3R~*;Mqe5=c&^;7{SpO)UN>+P3A!0JS$)zIZYW4#<79u&egDZ^AU3EG
z=LS^hbDLcOBH_~^<$bwJ!f7{L3by*R)bOt>B^a)AN)w|oUW}#!Po#WH^_Q(~R&TSh
z8ZFEV61E^q^H(-2$hop9Qu^B~y|M-o5c@c8K`<8NT05Q|X*(B8MRV!(&$d>hk{HFE
zKi>9rgPtYtsD|u0xGl&b)J<a2dsJkDSo-TE5ORczdii=1c=oK-{Ho&@#c&n(_91FT
z>Z`*<mKu%1i@gV%<ibQYkS6O-Sr%@N_+NE7;mRyY(|_?ZuPZZCu7YaE$^PqOHWxGp
zoEad`fK_o@NQC}M-I-?MLt&@+KBHbuRG}jJ+Fd^P3&5FKtvAJ34f*70SIKR%ug-Sv
zqadZ~z8Zk}&o#L+9;046ArAl1wsfUXreP={@F?vn@@*!I_o&yvRIyej|4Pr(znH$W
zz#icn?84*RXN7Mw@p^>6Qa$$!sU2VG5vC;^3j)0PUGz3nBGQ)MeKcwwbZ(Fn^cBK&
zXoo`lx+>Ai6!!~2{p~%bl(N+N=~-_E%!Zcy&D8OTfmS&V`5*W%$F-it`2P$aGl=iL
z{zfHVI$9c46d>8z%d$961=gBsyMH;P??=>R<`EI(JlfCjc#lMwi(srj;6N~wD#BKa
zB+dU%)#$N(i^T=9NB9xZm?Ynu-`F%~B5aw-)BNAnj$;${&ey#sv8eA;s4=70TPi>F
zyHwWh8$-9FI#Xl6exrE5rR&g9)n1Xip~Q7>Ca&Ro%juGF*qPKS_$BvJVWHNi%~sl4
zk?7Vto4CIVEUi75U%u4Ddo=KOmo7Ah9wuqKyNxFk&3ZDPnbw7`twXX}$nBjT%b;>;
z-Bjy0WYH1rPHemDbH14C2Rr6grn0=>ImB&ynJKJr``K?=##2U)TqqIr9Icw)f$;11
z{S-gOdZ`{E$gm!Y-+L|AnG}1G4r`)1`b_ek+ZMFezq*y*{{q9TVo@ZpNi3KM($?AV
z^&ozQh<j)#lX+{TL~%6m`YDFe-!s%L|7lHj!_9~ZCZ_l|<matSyBFedI>Y7=5`~C^
z45P<3?^_2ifrZqddm;@1vS$~=q|<_#%ZMEmqQ1R7_kGE0eZ3|s0k@gG^P#D&$dmq?
z87E1zwv7_IzfnF+)X*;8>kWq6fR=lPxstK1V2_MVqJLbp)+PG{U)nST;$ufY|9V@$
zAMZ^OUm>2xXKny(@iRIIw!NG!OZz^NIgnxvrwI24&B_Z&3eH0GE61g};^2<7L^Obs
z1e_0<D638J=LYi0EI3KF%I47wE5ebb4-ksGm!z`7dJdPjAu6)Os^K^za-IZ?PbeSg
zv34`qMl1O!$`XHo$u2p?(%gd9X>{o9$CgV@*UuzBj;};+iQDK%*D(g$%+O@p?sPnf
zmI@0@cXBmsb*z41Q4y85B(k{MRBcl0bl5#ja5x&u4j<dl<iy2oSK|T~kcGU73fg9i
znI5c9E)VM4UfwSEiif_2AQE+UN~;apj6j|GsY`Fh&-_F>3oGE&K%I`_g$=X&vS9;y
zzCiI?)0Wm#HFy@23qSp%8P-mSn8|9Gi8&D1-k1X#n>^LN%;j_KGRa$q+kbXUUn&ls
z#!PQw&|knF9=_tI?kJ*XaqEm<g#@O?EH-{;m&;eK*RvIBTK#yg-t_2P5GJWCQ6G;R
ze+_g>ORflS-X=NlgvPP-6=tBQ3kt0G3a2xdUOi+z&@>?^h7L-ON?*q>1eL#K)>TL8
z8XIuw$)sC9We3ndIA@^SW~G;0WK|fHjS8crq`>v~KuGwIgcuh|2{18uW21s^^CzrQ
zbTH&0YJ|{q&@+gf;KZZcqnlIU*PwCOI@dEn1Nq(ewh&_J3Z(mVl7_gd19hJhVJZHf
z$FB)L4F-{z8}M_EMZw7ivdhnQ(y`xRF<0I5n)i;TP>b#`Lr8*PYeaIc!td!#Pbq6l
zJd1MA=CD?U(-UdVSKmqv1gS-4{oAV(t*?ouk3WMx-nUS1K*#~Mz?ek*F1h4{Q+o2h
zV!j_m6PgR@ICg71h;+`sgyu1PwZtH4yZT5zT4g?&{waa*ZlPZDFC`MAPcM3={4`Yu
z&sSCX6dE@Ge4~118vc|kBrNCmUXo9@TgCK4%3N-!h$cTnQYSrH!B`BLPTRwcU?Tkt
zYgyK({eAZ8RKiQ@(X?2szAm&gWf*Vx?}I0e{eb~VFkF1pJ|E~5$D^?V<U~m$SnSS9
z&*eZzbFS77t*b){j?kL;GaMs-)Q_GRuP@PoG!9Utd)DQGRTZGh*uvh$Vn~m|bmT)C
z%HPJlz+Qigc`M7`+fW=O2|9;Z1p*=^U&Cl4tdDgxZVahZOK(m!%FUmghOigf&1dMj
z?KHSNWtq8>NjgTF-1mc4=OnMlW3tLwErZlfefgb(qaULhn4**8Ku_RTU)8sD)h{<p
zsrAu>UF|OrmXHA*q*vys=d0*ryN~u~UVjdgcu&4tn@j;FoiFJ1P3kVXZ!#qDTg~ak
zA9O@o9uxY6yd`)``~B*w+MfO=D{gMSs3k}PxFpul{jD#Jh}_!0vQ{bUQ_Hwd*;#|M
zMl?y$gMjG<m?7)GzVo7w`;s81wBJX2S)}tYB;~g1XHrd?4rUs+$=y5}u_&aQjy$7Q
z_0n&5gc@OuA9Mk&&+9}AVf{`TqX@aoo<<kT`&PLrWRMEb7|^liltmk@8`sHSFgkc<
zkOq?Z>Aws-G_sR`3f8-|byXxba%x1&sw~m=CA&~SEVb8FM@OXACC9>kP?1HNHT5!C
zg7A&kfqiR|ATM7)Ew&Pp26XC5cY+`<fgIl`WNn{)_E7Ru*sJMHGh2k2CCG_aQgI+6
zCOPdHNETAO9QCAu2}EaD6d0o>v#*k|5x}8AFmAMa@%$61;WLVpayLsu{ROJh-YQE_
zr6^SPIi1nV+;yEEK?5QKKQ0XdjW<MZ*6E8onQ12WQKW%=&;`cQh%9AVL<7bhF~bO=
z8})q~osKQAdb4Hp<JWWy2{6A(K_zInAM4vudR0korMiocd$S11IKcXp&UvHC`KctS
zk#a*4yb1_i<((`}BKT1)lk+~#lSA>YLJ6<_qv?`!eJxbGt*#NQ>G!u?Ll;{#IS@_<
z$Y1%FaO$%*Iccbn>gUQ+Q?0^YkrD&lhm(zh<2)?emUqBvCFMI{re7rRfMz2W=RUsl
z98G+ra8V^8!u+bp`<;C9K1(3VpqP#sv7@GMQe`vYH5>nPfnAe`c7TV1#~x_*<L6a?
zyvXg6&)E>8%i-~AG|VIbA@zg{+oK|X#c)apJLadFZ7rvLqMMurHI_nQYV9D6r9?po
zzs!g{NrP?^jz3n+KjvU+%TKwSgEJc2dlAm4H$pS`AUYB!WC&Y9*D21gl;3U~V93i+
z=Hn;gc$5JjaEYb*aiW;5aO58e;S|Qug?(2bm)&M11pJ}=f4!~Nt>7Y<7q>B#+hjKo
zpI(*Sf<8;D{N&&_y#5dStN7nPKir^HO;xBa^-xWz?UkrCvY4|~xDdF+A*8vKeR0U(
z>bVx<dWeYZ=M*Mng@L1WBBbqU6ypu#)_X@eSR;m)&}=th#){e9Vh6m4gzSR*i(d^k
zge;5`ffu(E|8jf}gKHw8Np!53xr07)f*{UHl6S^Hhw~Q}y%0nED{%eCg^O_v^g~lx
zABSg9S1cMJCJ9%K+Rr<!<hB1vZ@L8Q^D}3*^Qr}J{Z7yOy81HxA^f+&XArt|N+R&Z
zctU!W3BRFumk}AG`}yJOn=rV8DVfvMicE$?x}v4jxbdvqQj+;Dov0GU4$LF)-#CfB
ztPylT$VS00(F^(WId5}{OQm#O-ot3<28iZ+(qd`mRcx09u|vtb%Wx5G4kv*Eqhv<D
z#39ShCJYao4EqhYLX(eVMb`aW0Ko?I9g=cfQ~mdnIn$2f%MK`*$;l~*BbkmtM#lI#
zdXM$)5qiV2=%tu3PagA*;s~J!F7HL!L^TgsE$YssSzyXEF@Ep~O_Q%`Vv@rTQ~w)w
zJe5`UcHH~550df9Bi7G59Zc;d2x|WHHI$cSoO)E-;`{FehTF3>eE6KX(^{?Xv(o=9
z$5wTDRm&>r3VpHv=`|8uN6~Bmh5SI|sLy!nNeuHUlC7nE_V-h2>7JVz?@Qa-f48!`
zQt?Upk!zH20iO--@ZHsA({U9#F|GU>Hj7$AmhEkZp{goVMf?e~>YC0jAHEq8oDFGl
z;0U-IFx<AU3qD3UWwi+pOkdu6CN{PvYgLPWwqmQ8-yjMMSRTQ($+`9T-4bgNML^bK
z;!4yPv%Aj`)7>v1{V_zAoyBsGPFtv575^iPNh^Mtgf6%CEaufZ-J(W}^ow}klyrpx
PdU3qPjx*Z-wC4W+hOvTy

diff --git a/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.cmp.dfp b/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.cmp.dfp
deleted file mode 100644
index b1c67d625638bb473b681fa4acb00be38889ece3..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 33
mcmZ3(&&U7*6?3%pJax1>&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8

diff --git a/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.cmp.hdb b/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.cmp.hdb
deleted file mode 100644
index 4615269c1b68fc7c87a17948fd782093a7c676d6..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 14656
zcmZ|0b8sfz6Zai#?2T<~u(7Y$wr$%sHny>`Z9Cc6ww+wDlQ;MCd!Ij_n);mYIbB_6
zW~#b+`gGNxfq;Ni!GV5{ARt!X7t6P*nm9UH*x3>>a{Ole%|ImRVqtAe#Ky!*#K1|<
z$VAV?z{o+QBuOM~;cP-AVr=33?R&NOzb&kp|Ibb!<?#R0|3-e!|IOV&FMMFKbVQOr
z;Y5dA>_rt&c2PjlB~G3^B?7hF+Vv8kHfy{*VZi*nUAgdKU^X?l_(2A!|B;YzaqM+-
zpKwG(ghz~TJ8X+=z;MJ&wMOr?2CUrqwAgLBU8%a*EuPPi)%doxxl~NKO_e#x(z%r_
zLt(&%l+aP5<pej3JCs7|3FF7Ie9n5hR81wY-`#RWmsG!t1BtF#aF*`GD=67c<?kiM
zTx9o4+^Mnv6<k9?I>($ez6#PAypk#X=~EHc%&;L~NG|w1W`{=knbV#{%qFFsT$H0K
zE&#D}3q6(G64_bmFX8F(EoW6<Wiyf7VkJ$V4DTVeZ1n6sC)%}XA)U+vYAzSZMth=|
z_&iG=Q^%1Bqqyr@4v=2}>=6<?yOG(jeS<2eal|SP^M{}%4rE-YrG5$khR!6)yqpk6
ztSN^sNXF7l?>hghCGo4!+0z#?bCuCo9q7j9?Gspiz**!)kaVZ%_x<k&8RB(|!R`Bu
zz+;49ksd0VO*sfvIRJktUr0ZU^wso=s&sL4GfaO`mWl0Rt*}O{2R$Szp_Wt_g2@tY
zcjtBcj^7WOrZ^6LLE~{Z+m>IiF!?T#!Ho)>4xRT*PEAn=Y2c*zLUT}v%Owp67IS|w
zaaY_nP8hTjnT>s5pOubx{d3yuLK_jX7dEC9on4(@XV;5#D&?sssjR9b9udYO9%Z1;
z%KzbF!VMdl1b^t%%uh=wzCQ$ZuJP&lRe9S=8wWL>ERtp>SqruroFPCyaMnNuDv``g
z#KV&sBvOiUEgHZ`7j(eABQ5c-n2x%0`>jVoHe^6ytu%97A-bTCK0U#Jc_jJWW7``p
zCf^UJi7)p0Vvb)UQE-OtvxZ%$i>#-}^*6fR2Lp=k9s-ZLE-SQW=lE&bcYt2GF(I@y
z2vNhCxb7l+Rv6ym6SInJl8&Qf7J!3ZcpCY0himM3ANv_EAz*r%#T&YWS3=^n0V`Pn
zr}@d1u<(T7rey$whWlrt@SWL7zBxgTpipx}=nEO|8}+1Kh)>)D<VtCFfz2^%;w|_H
zzX{(Xphj`wPIIw>D+fL2MU|%tS$47>X#~bZZ@5`<a&MYZ^rpuS^qH^ht6uuFbZxq!
z9&`cGI!Sq&37=Q92iaNvQr;%&>_oGNuW@!!l)@VWmtt1fCq0CsT#Dr=(Y;n0^GSg~
z0b7Bm;Gx<m5zni%Lh=s%j_%V5!+h1vHe1~4<W;P~*I=FU`Z>`os_<Hc&*PEwx;yrX
z#aoSL<d?(%z~VC?U0l%{b}#4HSpapRz&dZR!1`ElK`xCRh&qlD@uB(3N;?ygJKS0q
zx8Lwq&68QSy{V4H*2$iV%G=3MhES-;lFQZk>^^7j!x?7#E|+o4(<AR*rRU5ul|Xo&
zzVJNYq{)+u`+>!@_u!_(pS6clL$6zUR%X=s2|A)zcrV2KbIoticIhi%jCAzaX3n?#
zqsmj$;dj#ovl$e&Ue&YwEF*j9jitf5*_Fn1&Fs^QBOR|VdgsmMQ>h<KOz^9|wK2EW
z!~L^%!algv(K>I|z@ypQ_4Q@$_4C^HO9NlWJ$?6`OW*bNqg_)EI^Ubq*UJT<rpMQO
zr-#YpUGY52tazbeo2hHGw`Z~E;p45YcGr9O>!;YKoYUa;N<^&3Lygtf+V=ADo7bDp
zeo4r3>~_@~T$hjL&3yf|ceUT+{PS(jwc)91TFG0azu(x0h<wcz{YjWsDe}*e!43!7
z*UKE{<m)==tPfh64`Ccb(`^>7o_qzDGwBRLx7oL=oK;y6GP?5@Z&QalWN#^1u5|H%
z>q3c<q<cUZ%dAVF*u__gJ8)vqNf{{xAM4kMNu2uh^{Na2Bak2@=xB>j{ss3&!M(9+
zBUtfyI7jWDBSsn8R=ps-TPd{zFQoM|gnnAa58)ZvjT*)l)B|62L`>a?i#3Iz+jmrJ
zW#aQ6inm9$>Dzm0`&9rz$UGhv+HeZTLxM6a;+MJKP`hdSaG(uR_AcgQD4WraWGC*z
z<LJDa)zy)`|AdmoritJ_ZhzFIi;p;?#qi=;i=0=s3_R(R+S*hQ6C@og928{&*DibF
z3cfx_t_Q8Kt#pauu5Mxc&Xm4*russ=UyIA|nfH}Y6D>hVQ53=)8wC`pL=Q6Z&h)#g
zc$JG}lUl+-pE=!=WG5Y^L7jy}*J$6#CRKqdr)*Inct{>qn!VlVjem8k>QJO3S2j><
zLBl7=5;I4M3?b*-r6obL*svaV6?36T=oj@;^n-dMi%LO#<MjOtTp+2SAa~p%Q}dcU
zaA}jP9Io{S4Fc*-%4oqKREcFAHOO6&saq012ggPOn(rTN3F+%FQE_kB>OPXYLB`K8
zVOJ&SQUEnS<Xrheb0iy8kwZ&TBuhkEMB?Lex2Qlu`2Q%a%PNrr8nC4$*^kgoeleSH
zGMjjrj>SwQWvBn@U{d-Wt3*<{6BfsVf{cABG4{iY<YzC_&MNdGyfuUa*m1ZVMBS=J
z;K~KPfVFEn5u29`awa}yII4H5Z8W-rSP5zk%TK5D6PNMZGIA%m#067A$+I7nB)l(W
zoM=Er204e7ab#Ho&jvPw*B?H}>yFZ}C!BZ3vm*u5d9h--e~~Il#M)|kk2sL#Glh7h
z?P4Aox;S?R?vK*G4FkO2hWCHLNqFy;?FPZ3Af~Y*Af~C`<bG4~P0cqg-}H!pc;Kta
zx@KK)8o-!1Ek}XedbVdR&mVT?{62ng=Bz0h_u$c)IzM&Pm23Gnc>VtpSi)F!i88;o
zZEyg+)U|5bj764*Zvj`Q7@AJ4?wOR3;vR1yhMZYN8jebvIJJuR71+S}2vNs*_07>Y
zTi+}N4gJhAM0A$OM#e{xlCo?-C2v}dOjx@Rm9Tj%C}iVSRLtc0RW@0jw9w|AZs7T|
zlX*fWfh(1sc|tP5?YEw3S}fVMcJ~AWs>IfR4v60l()<ZrYCRJS58_)eA52WwKgJDs
zZ^syZ1)nCm9ACzJb`P2fc6|hOQ7&<>$07Vwn-KYuoW1p!8(fIeOr+bpir6Lta3xL0
z5rjTITL+4z8`sk9C=M=y%9Ea2ENoFH;^;k=w3X;uXIpYU@Q=&MT*)w)>C1_v?`QzU
z^QEsoB$7tAr1Pb7JpS^}<PZI`n;%THe8JPHH*RN;PTff1(5ZLXa~^$+nJ=0aF!-1g
zt7Oo5?L;k>A}s26K6Q%DH)^z*r^6-*HjRD8oBVwPGs*jn>^HjK*nZ>tP0S5UgQsW%
z2(*_d^OG3#_ndiOjKlOH$}}g|e&P&!@`7MDZIL;HlV?JKIa>@m@eO|4o=PxgE7^v7
zq-F3(P}yBV;rH-jdZw#8pX8Yu1U;*J)d@$B?K(r7iTCmWq{v8vHwn4Lap5)FSV?hG
zuC&Be0?l#toJEHaAx@#i&b+IlR5Dr>p2sTFT#DTKX3>eeYU6~M3kaQ`KC^fP+aJ3(
ziw?CP!T+c<Q$$_@Q*zgSNX$xhcSdJs4!EU89VHJI`O`5SXb<-31u1FT>onT9;ZhnN
z^h;Ru&oTJ?qV(aU^zo8?jmf&t<~;3ioTHzX=pmu}7@N3{-0iS|&gk^NAb2D<cB@1B
zcV$Evw>ip7)RF4L@5=t>f8qNGx{7}Z{{If+)Vu#1;kMJ~r;pBZPWbUG+l|@H9m*+*
zf$Ze=kHW8~8>4RwQ+|GMucz6}T5eO%Dq9jVq>8-)r3%;HbPQ>cPUC%mOx$^cfYZia
zXAS)nEqzsWeO1#Tfb27i6OlNws>dpTOkhoZXWR$9`2C}KhYmW2z&Hs#)I^mLexCZ*
zn+R44r}04TgaU4;3E~C=t<y!Q!5Z)oq55`xU&xongw5nf>S_v#wyBY*eR>skp9!1U
zkCLVm_&Vs{cX7ylCIlG$9DvAwD>o#q6Fl^R4N;hY*4p`bCS9QVfNYLQ=T2T&HelSn
zj}(Q*!(A}H&%q)dAiHA{3Yoe^2;|ZUS|>e9)Q1^(+Qf-UB4<e7*U)Ebk<f3vl$fP+
zf`{Ww-&fOT8maCzj?oGj#cKdd<kSrs3V&Jx7!irfABo5Poya2+NH-D)WP%F%VG;ug
zGlqxwGl`RcjLL~$JWfW~IQJ@;3=ld^C~A@!{*QND;=!7P<n5h;d{tQZF<nNo{!jRY
zQ$}22?#zKdtpFQJybo+rLZi{(^w2w|?vz=x9#%@5T!@;>GvJpI(x071S2CM1OA`Dr
zyC2W@Kji6e)C?BbVLI4l$a!G<_EFn4$bC;aTSf<G3aN;6DCH?67-EnWVAj;d6ZG-0
z^M6L&38U%bq2&ADzkuc>W-2{DjBXqyq4nhHHfzidAdLD#@_><s!`psldL6Jnu}X7E
zO2QO4*Uu>cy~;x!JNi(QLN^fO#742?OU&|R-sywq9;B_a!z7bKd?`#IavM|HbmdsX
z(a4C(6iF0_iMSd{#0A2}E3n$Nb)mU*bfMe7;nLNGrikxEmL^Ik>KofyH%=l<T>Mgu
z`3>@H{4;OA=x^-Xx_7+=rl`pxebk%<l@LqR7*3Ia@)AEnLH)2LRx+#h0F}<*?Vm!)
zU2^zbaZ}hnXxa*N(%MSXsNVWDMzC?qf6&rq64+`e>Pw%50Veq1{R~?f8qd+}W^4={
zRJ#Zn(JbF0^FN9HpG;<iuxwQq^)F<~Aj0qN>~*P8({@1tPmQRpW7C)dO+uf5jOZlf
zFyc$d7;%-CD9AcmifH3F&4Q9kap-ZCyVOJ)LMr_Ap=JKY2($X}j8Fd<6W_=wD!#}m
ztU$!2m!RX)Dv600HH`QT>mdUMjibT-jN(B4G(v$&H)AHIyi=xN#Bhl7_?hTPyGL|)
zPkyLAC~)*gYOF3iXhJC^t{}VSpp=FYV_)D1Ms{pA8p^w|6k}!A#6xvFL_y<C-hJyR
zXh?d%;zw}<5g|=ETBw1WeSM?UhY#Q<Tep*G`{&+h+34jyb0Ti1UaV&2nZuFhfw#jX
zE&285NL|kEY+bzPwSAFU<D8nF()s@M(J#fePz4jHk{wqybcksQ@n$<Wxi52*){-se
zId=8ybL`7smu!8{QYJ99o0&`38|MAI3i@hKPf?Xsau2HZQSdlJw@@odE6^;7=Dh7H
z&)r*}sL^29`NX|fr|@(K%!eQlUd`Bt;_NfvHXTkaP@*ij^35aQWGzr~d(R5(l5(QO
zH{>a2Tc}!Waue3u`Qh%5B=E5ov$%ooCKK19@KWsq_71Plj|dMBTE&!Xgt;?H;$1)9
z5OYG9+Xw=KXj{<@^f()Iys0L$q0UMmJWP-G6wsh*>~4@$=q*&zuPTkHGN}AirW(qX
zJTykUlTyo5IYLaZQ9FhXLT_GUWX!HMn0Om3JR^$UL&U$p7yFsCuW7b?Y8&^>oqvh$
z-l$ts(HCb&{%zn?Zam;w|7S@tY#i3;sZ#B%uzXfIc6?rW!17jkZ^O1t#YawwL$AQ)
zf?vd}L!-UM86h`MDQVVji$R!(r;@wW-RKyi<XP^7<I@PB@9L*%J8NG(F4cvIzZ!fW
zc*>Ku>%Gy(Zqf3*(yHi7;nVuu$aG`ZzPkzAR@rkgDJ^JG{9W^#?i#_SL6tC@7W@N=
zLD#Shi_BJ>AbV@<oNupLZnKsLV)@Nd+-Y<oGu_CZ#j8An-dCPg{9VVH7e;??;Yt5y
z9wuBN^wbc~a`f*M73^G<IUyky!wm)Z8t?7S_0V0`@|ORPQ`3LDiMSM*)m{-b1M$5T
zuENt4AGV+=VfjkSXWGKqfS4gxB#~TKNQpRGVeUoozDLo^Hu>t)Y0N#oVOPx#b1Q;b
zPZa{5AQtiKVEkwItUuEuul6g?JP}$**=28rc#<_Fw*X^LnuEJbQYB4oyC0;VXKE}l
zbJaYipMG{f{N4vsQvZ>bmz+Of`*PFl=-eYMZgsiHHVnkiP@dW^()^(uN7E}px+I=Q
z074L|G4mjZ5yqGfu5}NGeVD?2{qnlij>4;#U7}epSri-ZV-sH<;L`?YPzZZzK6i!A
z>wMay+LONwKP#=qHUca(anV$^FJ(8(Bn&^ub5Uixn2BV%s<sy{mLyZIQvXSLpTAeS
z|9+t<=9{w&50|Rjvr2R8=?J@@x});PR5F^Jr|Oia=r)bov-R4=<1=<EdTG^tvtb3S
z)lC;O5kabaE4cf;ZCKvV9Q$fmZaFceNu|B?gB?~i2{e}?#7x??adNzZe?kC)e;~XW
ze$q%E{OY*}A_tH6w596)!>9v|TSOiPd$=DCy5+l7uF)0>=?fVf)EtQL4v9pkcbS4x
zE8W=$gep!OZ-n17jvI^t>eVB~^F&wLMuR)h9;j}}8yb*kS9yY+L7Z`T_snDQm0o}?
zGS?Q2HQp<y0H@!I1q|_1Q1z@M4hAu$zE?jpS2J8gG#*KNB2}kNjw>#!Kv0Ww=t<#F
z?#?GaH01Yq)EW@_&04@aUa4&!)}9yc>+#KQAm)0j<MXI*>&C2rNf#U&M6v-Vn;gDD
zUL4&J?zCgNu@9>f97m*jpnl!E9boT~9PTn6On&svs~4$+G3{b6FG`QQ-W}VbpGmi*
zAMa%(Ut|Yf1}%iU9Izfa*P+?Z%IEyKpDal&w+#(&eTaUcb`-mrS`L)~dhW$N&#n&+
z-94fT-Ep@xc}P9wZG<C+2Zt}mGam|6X4aoD9yxx0n2*UdiqGnE<RCsJft;A{z?xn?
zm7Vl)8xPe(z*nrE*s2jn^+=zXiQC_-id&o*B%<jOyMr5@gBNmyyB5-(g=s(37dF0W
zzFRtvxW@plQYf1b{?QWcwyhm^A4u1Y+NehzakElX$%O~iXGh}GQfmxFmR-R$ZIy<o
z%*WP}OJbyL&OS$8ioMLY8SAf&ev*H?RTZ5+bbIYSLkGi;)wi#=w^NU!ifv8<x6w9<
zHrd%UTx^Zec4<^WWGVUrcGFRse1PQw@xAW6PQ(4N+lW8tm*Z-#F3~N??Ze|bsN?Li
zDa$pv)|o)Gn0m^mHuTYxgP8RH(?v7-&d2Ih<fAJqyluW7UL&ES)y+56qcPFhhX~m^
z=iHLnG)u89(KSdu67FBQH3y!C7)B9KrwgGEBL*<axdDGoP|v3|T&L2th{ER({_x1-
zgLi<5ysVDNIRLK?E>15q9bgkkj>oJ<mSi6AwT7oN3P~tH(%Cx8gn$Zzc75?aEaJ3L
zMP35vGza&jIH(%rl8&$(f*8TKm0dIv{$fL#Yb2a?Y>(X}L?(1lF9(B~54$>9flZ!O
z5e{*BhQsZR(8Uql1BudYcti=PRz`9;V2VqQ{kDa+b|eQs`r{0FVs)PFW{KkjS=H?!
z1g%kiadc9Zi>NVD&i&MOnsnqEJ4wKNJ2u;yU<@~b3fiZ4peShEU;b;bx$IDE>4E6V
zJoLnSV%VRM!@^SbXQ^2C)F>z$DQfQcwA<|Efk<>#12US6Bc~Q%e-9q_h2!kMBFK$+
zRED&yz3}H#hKuktl~3N&3E@TPByQcV_msi9qncMPJHknp)5HEwL@T=SgL5YGj?u>(
z8L#ZsaZV!|bz`IIjPm^*ySHIN@;Y2rf#9v4Zz=!MQrfuVG<MzoqVKPN;_@}eR70!-
z;F$Ar>zqK}7tEIkhWs_e;a^ZDJ3#CO4RcSrEvQ26jl3=~n3;ZFq}ASE?*g)C5WzPD
z_g%8rB358)nt~$xuo|?#&v6;F8goiKZ$v`Ho8a?qzQTbQO{{EVOG9&Yb+NAAX{cUN
zZ8@S^@hu|xuo-=vn+wh69?xb*C)ZYZy@S7UnJOY>V>=oS$_X!G=_tgF{eTb7eru)j
z?DV?qLG=}fbvLUTVk9uk=Pi{hFO@UzC5yAqy|GNPF4V7l*ZtGh-)A5ffn}gk@myn3
zPvJKIIPLuka)^P$9$JTIX?vRr;dljY5&M}lsoV#YnjkuIO9xe}xP&5d(Pij@Oa2#M
zHW)7qh4La`B!g(dop?w4iv5a_T=B?An|I!PB632~Ki>eV?@83_#OosO8X^gy9#_Cm
z9|Nx;#r&4X7RbkS`bkN7pBrtC%<{gmY5vevs;_gBmvC`H{P*PGDKD_2+%jkYoabX`
zt1lqW(r?;)amppEpE306r()r(fB){|Og?rwTpFpusAmTSAq3UB@=qHW6PNtlW3ty5
z*%K9hT<;>KuB(AJC^=YGHSLofdO>oir<}T30Z<qdqvtEp2M%gZ;PjA90U#^V0eSIU
z;dJH`hEP{?i6Skup6e8MV<*v{`K*2%!{-xTulca6FA1Wv!ywHLWUAvR=ks$<e)?oB
zUKAap);cMd|G14KZCeFmYFn86e85LFe||XK4%F1%3+H~FGq3-kh4PFB=fH!xtY{l_
zZb4xVt$SeP@e$rk?*qE1`<Q)&X}Bl1W_A-{ln-RiLG|bGSKf9M;O2MIOMC}YbPBq!
zbzYSJ_9^5xc<XwtM@uHy7Xrt2p2G%VFINHPK<{Y2Padtgl9<09OXMtlUpPM1+mGLZ
z=f3`TQ52iej|kwYP;2}cq7(Ajr=pX<Mii3?g7zr_&jg-(2-DIjWtUzJ!W^?;noiBf
zQvC~zS%=UN;1%TEBnA+&y%yD;_g!C&rn)*NqFi2e`Lm%ns6kH4!BPyG?6>oCLx3Bu
z-P8^1zb1LKwafZGIKmt_z~?HjIL|cL)~5WIH(aZ{Zwo{xai=}@j&}L(Q^Z>ZDORP3
z4pjwQ!1$)KdphnrEc<h^EwEx^N3Ekz?+UVK7~%8V)MN`(YwxRZ3hEhyN1CkNjNZDp
z<i9k_LP~=;e?61Iu+Mu#if#|n+%$LI{Y|P^^0@gjYZ&()DN+z}mPOb{y!?aM`Vos6
zV9b*Dj5;}!witXs2|Cs0@pn!QAD5qku?WTv9Ovtnrx)cX7i|(IP?i}`=-~#w4ncKU
z&*CyfD9Gj?a>&1z+dOHpg6>h*KRfb<Q&J;1U&y;2z990?$)7FQHDl)(Y^;3&O4*|K
z7&P2ZmCe^zx3czzTAyxx%_?-bk~aS=(-uewF8g@emxtPoMo3uO&Ah0<b??C2z6rZ|
z6zq#Q0~vOzoa-3$Uxui|eaE*2UW3H=JuB;L94nCg6w=7Pxw1C_>M;BT>G=CWF!PRF
zKm4|aN1rP4){TULIP27+@YQ&8Nom?=G4sVB<RbgVxzo6IGAiVKK@o*AcBcN`Qn$)=
zPw(yA#!M!!eKOPIEcgX`()o!&f9r?vtRUaGp^Jw_RXwzQ{VPV<iV{PvJf@m1WqmE;
zqaqA4f@g7eG39!|7YcMkNCCG}C1UynzcN}mbb0sXq<xGz#7A&7txkQX#H%+Z)wP&l
zpq`<oF3C7+`31<<p=RU)ce;siv+sY$P36lL$d?_>*UTbc7X5g?jK6JD(;_cbNl!t$
z6D^AV8zKvFl2PB4eTRNB*47^`wJ5(WJLnCc!>|hp%1`taWY&f^f(Z>hw7lsR=xE$2
zY{{#7c1d`_Q@6D`jP&j$Dq3!3d5<RXJQ=lD;fh|TO+MN}xe_Y)CSNeD$3mSfJI_q1
z>WmxPW86)xKlO=h(7n-{MJH!CC6I<umK11$x@3=X^*rzDxhS*YCDr$V02xH}gdVe@
z#@ebBUuyz9zTI%Xwz4m&>)*I(S-anO5z>=zRf}Iio#68ZOtmOPho7<lWgFV}HZg&w
z!C@YmmHm^P5}p<AoOx&rW>5w<f07gBY@C$aeh$J+mbYd^d6x*R=7iS2Bgb05(fBNl
zQRChxwI=pSu~Zvc&9P0|L|m3bYC&ciYBjS|8LrQV8(o1YS+CQrLJDBGUl8>*4aA?H
z_14FtYU^+hmh-E1&!}OXI4(5t%_K)s46$1L6K+afse5+GiU{uUslN$0bYdWN@V+k7
z=!)>I#(np&1y-4-8`KTMs5RlP5_L@yyt*<cVU#TJJGv3I0zH%!D~LF7RGiwd)M<-y
z0*>mpZ0Ve7W+1(Wg5fTGf6$s)tv|){H6zoneRB6YHS19M#fI2}eg&>4meaTQ^&CKc
z)#JX?_@5(8yYpf;kg$7Wge<b{XE4)zVI4)DU*fV?!{>kAQ1Rl0eae{*t8oW<S%<Vi
z?5!M%LXL!b(IhZsm^m49p)yP@HjPo~JuN|5o#q}PV-CrIUZ<N&Iz}HAlv?~nP6_FY
z#w{qk<$_&@#9D5;q~RXxGbRG1CWNqsnn}t}!|$!NW>6b9_20W^(Z)Wz?$hfZ()l?1
zw7fQNY8EZwSALeQ-QD113+5xTnmnYfpvbZb;ew)4ifDGkWVk@I@(O)BtLWq&AbxK<
zD8Ev29t*$aTo!!v=v5EidGWWPtRwr-HKep+*MA`eg3&C5sFp@n%42Hf{jL+#u?=Yl
zqY|=bfUfQX9qZTW1S-+r28(`**OwoqF9eGWv`&XrpI?$|C`tz1mUJ;_c*Oopw5OFC
zsJ<Dp<V}_dLR=N%1EFG_>YSHiIj7LK;QtWyXDQ-43I0T$(JiTj2@%v-QtS}MEEuKr
zlSSZO7!;jC4pNp|^ndJU@(HrjEPw)CtjG?<yN#Dh2~M`wE+YP#t*o9>9k2zWFau<6
z7`;xoyiP_RXOu4TO4fwr#$nKr{^&tdeWFVaRdM44piLEdlpqr2szzl2m^87~)v&7{
zk*a@HUrPCOpc@W`-_1ulp4HfM#I6f1gO8cTHTBKDu86;W9>gsP-cyLZCv~08KhD^g
zmfF&;>_TqCJTtAty~R?~pH#s|J1*~+TTZS&g!9CO|FyKMo4f8}r$&s4MvRV*fi4KE
zx5(ifuQbNFw`Nv0%W>M+>Dc(rvQw1X+@{UfS!t_nK1{}R4)IH`sTqeQ0`v`Gy0%C@
z>Dc8?%jo`h7N0Y~jVc}1hb@MxRkaLs@gQB3&@<<rwJ<mMn^8-{1pD8v#%BUW1~8<%
z6XVW#xbGyrqf8@8!o(kj?7OKTQyy?ZzIA4W9NHSpOWVxam713!U1$@CwhXv91lr6S
z%nbe`@FJb2Xp&*|)?RCK_eG@#oh(diO6LOz9?(?34FQ9RW8>By(4VP6P`To}T9K?D
z$%Za}&1B9{tI~c4PLIo<B3r0|O@-MDfmaNRMv#8+oL4rs!dlN5RTARsU55L$k$&Qc
zz0=^`EOYJYord=yy0^&E2;Qnp8b6+yGQE|UGIbD45R6w3AOV(CBAzSDET1k{t$P0y
zXg9HGVpn_gs3>UZ=rFToT`yC&<MKY6*&j$95C9S;YcjCTbk`e2w{{8@3oJsGS!Ifr
z*`w!iGZtIf*<^~?S)=DOGlx&<Y_o*@d(g9PWxYr$w?R2RHLBybPJ?|hXR;hCn$D=Y
z_i*v?PSI!a#}tPeLnb;D(wD&kUwYJgJ8++$G<$JR`g=5cL*BNaIKI?rmQtPA>xQ~_
z&$y~#m*J6O7VGKHgd;yEWs07_WBgK39zgjs*}k?Gq)iE}DH@Pi6Ia8s(_yZ+;Z42s
z{)Cq=0hJ3mxU_X5yn<)%Db@H3U8l4%#;*#dWYDvZRn1KMZ3(Sxh4`*2akKL0D0?em
z*kk*hTLMTwHC%7yz|I&9{l*YJPv6_!XrwS;a~qI<!#_d5xeK}PM!vXUp3rmV^;{Ic
zs$~WHvGQqhTr!6@7wCrv3)t9tjYuTtphgO<$kaMpi(}Cyg+P`KZ20l>3kr`DRz&oP
zC~rW~o?qrDeJsNG_|>1)pE53r9ByyyyffOpk2f`Fit8QlWiND&$Nbvf?4@t^hikz%
z2DO8x*WQQpgV=c#aH`4#en&w`*qN*j3r$kAhTeiM6oK|a>RJT2l^hCsUS-TcMQ^}#
zmlSbu>r8+iLT%T_CyaSmZ`YQ@vz7mFProJE?3z5=(*1WC%LgufjWWGZ3Uh1-5C--v
zrGz0n2%)-nA_7W}Z!fBlaXPzUa5_N&c!ImpzTg*-U+ir#eojo<+zv2zT@d!~4Za&6
zs$%c!{>Pu;c`lbl&5jQCt?JlR$rsJ#J@}oi<9}^_|4|LCuj3`Q+}@qq%f6!BI-J_u
zyrTUa${vdTUhPlqb?NtwtZ&&>KB$O!Lfs%lEK(%+K~T!7^`-EmaOtXIYF#^ler3Wv
ze}L@gx={9vqI?O6y@%o55V{U-Uxa@_163QEplh@;diM)tb{wow-M!%fct`88;8QWC
z?AyNmGS4=)K)LolzC*|_mYt>jWiycC)l1vjFZ`1dC-?}SldCfH5ld2`<gK$a%OWJc
z)mlh;M3IBA`a00&4uua+_I>XyJH43NpB1My6mZk+h;N=uowKc+>vy)1P?JRS>>E4V
zU|HMjDpv1l#*JwMKLjJ~f+Br-IyYfH@Y%sPb|Js26R)<NP(OWotGFNTg|b8Us%(MZ
z4|Qn^#P?qxIgiQ&X4?WAHg?Q9`ldlQwj4V)f^(rbdUO%Cto{&FB^8BQfFHe>;;)nt
zg!Zfq2Pk~5lGvgjUJgE<o~FMp-CQzfnzG5r#h<`%gwI_=JUj<RoQ)>g$eH70yb1o=
zULX3GYhJcr(aW_(eD2B=ng-(&D3i}x_IDyIE}vwDD&td{8lfGXj-e7(NWP9c;7z)%
z_qPk(^_lrOyzihW6^8NZgrPkIJ6MI3;?aL!q}olEmT21MEJ^YtFu?J)YsT=e70-+g
z&c4TaWir->iQn3$YrfPENv6jb%C?OvdR<G*cKmd(r^N`R7IQ%|r7r{PttcvEg+t1)
zYz0TD*w*4QE;1Q2`bw6z2O;*z+1cHp(uvsCvXgYoD}OU^v8@#+qv6@gk3=CeRp#*}
z!MjmI4^{?+isf(+q#Fg9=;uqaW^!Ex{f1#azOrX>T?D^6P+y&7Po}x^dUk?8^HE==
z_;F30bxM6#C7#u?X7pSH{U)n+_1u1b%23|7WX){53T`7h$GC&KQ0auQ@@#)ytkmcE
zzD_;laXI9{wN>;5!byC>OREwnu=1W62We%`5IPC^;ho?;#>&#=+6Qf?NVy@0U5z~t
z#+i`6^O$txvfzVc5Xw$DP(9jZq|>Uh{a|-1{X?!p<)8sX!z-G*xx5^4ONku9+2Tg1
zEQiOG!XMdtG9NE{yAgyVGdN`Ko!ZGoRzB%ig^y+}?g-$6(pV~?KQlDHv3ACcgX}T_
zhF<iy*#bBH?>zbrIJHVzrWe>NF)P1|end?FxjdLuFj;)r+)}ygtkrfW3Nm%=L1*M1
zP6zap)>+#aA)ozXy2LWC8zb+*Txwr(cZQj^8V8v#qR%Tf*Y~Jf&OT^KRHdlwzg&pP
zVtbwkgJ<&inPfCguq|&3OA8J<IyxhwU$4FTxlhh|y@DF}Uqzq$3gfn26Jf_M{n96{
zzfI9BQ%P?jUIBmc`;Xqe?d_z|=A_alFI?!k^VYLxx_H;FO6s7pjF+=!aJ`-P&4NON
zIxBxKQTjA)LNRFhAN`2qsuQ1`)o;V&50m#{Gv>pfg)CoLp4bMT|76O}D9)Fx6oeRe
zeBX$1FIN&!JEng?khV+PV5&czDB{sFrD%!8%w?>%8ksmqKc{H1TVwjzGYceP{8eO5
zUbFqIT+zo?q8RvvLwT=B>HM9B15savDV=*zJ&8~?mvOs6kUV3ty&yX$zrc+?p65L&
zpVmHzBk5m3P1yf<kHUPIP~QI+LlA2Q(rxny-6IITsSusGSbUq&PFu~9tapBzy~*ZT
zUx7h4*`#~z0#_wo4z4pkD57(n_T)In;Iuo2>F@HrvtMvUCWkJ8R#Y?{-Rv8YYhmEA
zey)Ts_|Rn7)zH2Wy7rItjI)L&pW=izE$;3~sIRm9^A^uSzZ8-eU8G%90`5?KS`V4t
zBy52~8#{6iL4=STHi-odFyr_+;Zv`>^0P7zq*=lAHzBDPhDR3u`+BV<7PwoRwd?V1
zeF)aORQ~$}^AQ+EcZ~eF!|ZI4R-&-+r!G_n;KP~_J!HzG%{`gk#%D~C%MS~_y)RgO
zU&P&9T%C)LnK;kD^kJ^8omACo>r}3>PTBP9wx&ZjTVM~E?D?bGlFBRdCacJY1XP3d
zszdz{Q;pS!Kq<?Q1jKH<<jNLYH&u`^4@YB{>ojHcBbPa~{5gS_{YiWG5huAu@W#Br
zjC0>DJ%d%S^s!O~^K8h?6Qai<(>gA#TttrZOF-9XIHbUyy-TRZCCiDa@{C0GN;D}x
z(VfK#UH6LPt6rycP|hFNuA;d(CDFnup?Oi~jE$<&+UTQ8(K4=VH>_C;uIa!1^_Z89
z7@jFzGYyw{$16f^t3uOFVL4ExHh^rTN}X$uKN<!)RJ!`1K_m`Q?K7Ikk(Ke7TBP)#
zbM?pCXF_iyojpC2P>RV=BKgs}5wO)Sz=lL0qpoL}v@r%fH;Q5wg4ET>o(+OmCa)9p
z#~B*XLni#|VNc7h)1EBwdSNfU!|<LplrKlIch;C^HIBU+2m*RE*cFVV?dKY2G+%t+
z76_O2sl){_)enjMeZ50}pC<4}*4{OQjhd$K8jO@%^`L3)+}qgej0Y3?=jEHH)d6m0
zlTSgcc8(YR8->+DX24+2y_!emfe%Xd?|Cin_@dt$)OQyDTJi&3@DtRJ=Kbx2LUb;=
zHgR4Mtq!=W;;-vPeOGWi8dz^hm;Pz_H|p^FE`WMfGz}9%%{eMOz*yzAtipX1VwY>t
z`mJjouTKUF#B<boZxg&4d7WfG&hR|Wba<B@I$i34Rudw-Y<+ZT*x|qXP1?(owLc^M
z@g$UV<oiBI1j3FZKFhNV|9oZOmjO?nQigiXr`vpo*6X~2NtJyk#lMNYU2O3_d^E?s
z*`)sY-Pq}V1}ve{D|~|5zt02aq~V%J-U3-KWrKJ@<x|5w>NQx_2SYUG_AcV!_vV%T
zU~3O-o}i!0{1poVB=uZqAC_|8=bib$l7AO#fdO8^9#Vs(q&?aHeK<RlXfEF32}P=N
zFNMoCyebmWiIuQ1vlKU&%eP?X6yIy|k8)XC_N{9jQ$n1;nC2O0VUV)7_iY=6max9G
zJkFH#_jqV;Y%&}eaORPa#r{Yto;V>TzAw!Z#681^nSw!o<>jGN7Wbj}`yAJ1uh?Yg
zY{T;;bI-_04yVKeCqJKt9ziv`V{~UI5}68?InV~yHW5y?E&qjj$@3ef3g0N?g6;2(
z+>=tZ#W3|@Fl?ELmw5+I;{d^$1=1xLi<ma_v~B{@uf69vZ*k(gqL;Y-5-<P8OSdm0
zx`&qU&eLySm+WICQ+Lg0LjPeLvarAX%CXEsMv`#P%M<qU^m`q-|8-=6V%Gn4WMMgh
zAEKn>2`uI5R`FExJkJ;Xz_+D~i^|tOIKJz?&^{4CeD(v_B8OkH2ZW@z@Fa8kBSW+;
zo(z8Uw^{2dpG5J;1k5V39^dKN6W#qi9dDS;qwZaUgV5>g;=-mUH(R9H9_5P^G?r-8
zgobm94}!d1a@V}{*q|6VL6j*6ex9CzaBwNSfRnzvLp@X}#!Q7JU!B1_`)>da>J)OC
zUK7>yzA9w|Mp-)Ifwq`V-P9WH>ppJF&~!0#mvcIJtr^c?Aqlm1i+|F5JTclc?Q++m
z+~rXzlW3@NL@;kB(|4Il+wLH~u4#?x-u<8eD5!OAtu>U<=e*^SmU&GFb)<vzoBy8i
z$mfU6W0i&yGZGy{s*<NnCgZfjY)NVYBkkm)yS%)-L>JGaY}S>Ys-ApRa#uYzYHiD`
zHtze@AmvcTrGai;`Uuk2!l}_FE<P<<Vw`DZGr&u{_z0|qG?Q4fC#`6ZYNBG=DoXVj
zp?Qm5NEG&t<EX6KrdtE)x`T%f=}xD>WATZ_$f_$9Mw4fvYLgoc+YHHOC&NpbxwFUZ
z!oW6CBNoQUJI%u$s-S!N5<io`U}0b*#TfPz<R@Vr;|C={!|8QA55XGMgm~YtLFR0(
zX}Ech_&InPawPgClK`{ShO5kMo={l<%K@haFGix<*SddRA<x&bRHVRbkyRew)xP`-
zcHdRv{0mIqRfGHsGvC#p3XiBg7v>7CC3>z=RBxbTSHkbKs3vaD&L#?vbV(m5&T0|$
zPCo6p!mHR+ZxAQ87$)zR<jqgA3a*WMkEjaGgY<9dRNNEvZ_!lTSSCph_|{d{?f6%%
z1eh)PStjfO!yOy}YU0~u;O*ieHEPr!sT;Gm3ihPsx)CjTT*Jy3X_WsQ&Z=$3T|^_u
zMPVCw2)2I^xUmF;X((w${4#gL$_{dV)I$-NQy@^X3Ba^U=+8_u?&IJb2V<Vc8fv0O
z12`D}BTIMWM?fERH>Q<O_%|)37%?IwwfhSz=pWc!1b1;+x&^$U!p^3@gbZizi4Bg@
z1kH}0?r?)%a9BD?!I|bS0wgimYlq}UG0`DI<OTvp@k*k2sIoNPsFv8*+1nQ~se?Yj
zVpDZ%y}jMlB9pqmq}Nj2HcjLP+PP2aPZZrAsdMn#yzVSEqE?u&u}k6W@4BaSyNy1!
zdiAe_t#Px>kI<wcFCs%hB|T{)jCZ6?pYUB<#c)FebUYb+zKzyv^<~>%oQUqf*1O8s
zYy5q$g=e~1A}{eHn+k)ynmwwmLx(yk+1Ah{nMvBP0U5JvA^kx$GMZLtoTxKsUL*9)
z3a$7!<(NHP$|~!6kFr{tiv0zLK_djs3M-W=F`lAdD4%_p<Kn7-lq>Kqfu=*8_dkwW
zUhce;EHU`7)E_jocVZ!v;SZ+XY{tGRMxViR4c%<8FBJ}+J@1p^2F>bxsaoIh3Yhz)
z9F@KuS#<Z0z-WWJ)GqSLajmWi3b!b2J%NC5%usF@ic(XCZ%|&gP#!=)@DZ^??965*
z#O4D6msEVLFhAHF%;F<252%K@F^C~z4)G|o8fIrbjnCS2I^yPyO)YrJ>>IFtFtx6-
z2rV|v%vi6dS4ca)up!&^FN7>w#^&2+mV+==)3w0+J;5zHDPTqbKaqGmADuB}*Gtv&
z9uMcP>prYvrm^z@Rz(X$i$q7Raj&%@F2-K}X|xsv)?la@vHU{=$X`w{KTy|erOoR_
z#=Wi(`;k?~o-K|_0hL|2QbKpcgOT~P#5V8`8`GnnZcF<YT<59~hWhi;dte8))M%O^
z<021Fqs=JCK^jyM1b<(sX=~lw+CZl(u+rAHD#?(|k$Et~Lmi8X4I?8}cI&81{m!H>
zvYu1Y>HyY5yjZFLqV8&!RZq|m?Fei-IR-GH2!OS@V^*B#C<Yg;7}4~SMB58VQdPP`
zh<@T%xdk>aj0MWcTTc{!L4kmLeYt~rr+QeUsbKZ$$+f|u!5-3z)zMa@6hMuyxw<}H
zUL|D@q_55;55~(6r4%Y^f|cPgW`qa-s6igm7Y|3bCc{JjBC>>M%@ChSbAJyb2Mzio
zlk_(*@7ZU2Ds%I)Is4$y=J;dl$>+Lbt1<nsP9mxfiSG=ye=r4|ferIWo#WsnwCW_I
zz?_YpG-2Xy)j_>%<8!nLkmfMqA5ch9w!sAQOaHpJ!i}%1g)kL4{fGo3RY8-uA`G*n
zTALdZ6|_O8chOYJG-+L=5>}VqZp#U!E;}SjX0ks*gY~`Z>GCe9=AdM7!fknALs`>(
zg_XB;NpBPA57h&MjKhl9iMS&{001y*!vT$vQYb^&K>$NReFuLgO7E80r62S;-h}ND
z#B+vC!5SNUTM;M%&HADO9J*{d>j`pYNxn8+OYn@8z`)&1<e?|^ORra}O5FN{^Ut)Y
z##qCwU>5%j7MU{`X%YF`nNRkVG<5ig9V^+OUuN?lkQeT_-f5lKCa9c^B~+F|uCZt-
zve-@)!cXKf-q!CN89QR6N^>4rAB}2U;HsOu<!HUowE~&o0T3A^8Yw>)m8(}9R=vZ4
z!|P__5&8&0baSh7sKpZkRhB0>aYYwu;*U>IIG>PI;{f_>d=b_?rxoVfPq~X}#H3Im
zJkrVCnzq3v-Ag^d^r5}zIm@PUUKFj?TrY_6c(_W~=RdzilW`r@L(A~Y)qB7rS*5O~
zri$Z0(YW@Sq@j-~bdKCjkc#Kb$|(cWfTHY{uAAwbhW3y$8oK$A^E|~}mc2PBM9qWz
zwy%Sw!l>)q4hU@_>Bh;)8>lcc0NWJs8!U3=9JpMCRLv7WEQq38Dy<~>vms5T*XxET
zh`QZt4J_a)XY(?fJ0-46Y>Q)+#u`}{2VY8hv*@4*{KKaxP#0{EN<mD7z=E<cED^mD
z2Ki>E8J*!@pw9Z58x*tkjoG`c`tTIUvdi5*Z=Ph9PRy@i#{{k!kGG$aqJsCh|G0)^
zxg5xiB~9lT$%eEARG$bcaRjj<<&;g*jAXfCWa`uuwLWg|l*Hgb`2XbN7n7+b#69mi
z@%8_#iv011$^jaKy%pmqqtf0A{RNHDTRv%-Mp3sPzZ+`xsn&7lY!gH?IGL={ktbGJ
zMYhKL2Qq%nI!&h{%n##5dm^LDU{=v-b(x49JsmGB;dqa|nE3tnPHfU+Bj&yf($t>X
zSx;$wg<Y*AclDHs+5*W8w8NteJn&3^g7w~6Y*t&fyOOmNIX^m~7PJB6ZdrC>N|#8U
zLBrbjyMT;0hFJsQGLyxN0o|J1Jwp;bQ61Csyk$N?vo)`CUQ3Gf{Bh&a!rwYFQ-HG$
zAVEErgaTi=F^4Bvhf8G<cZ<=1aE*AqNG*65E5_x&C9d)t)Xzt~C6Mqw^&Q*r49|XX
zsg?sQwW5bg^pu`0M@LraV0veVRv6>NbwC&Ryb1U^(s{B;#Ul@5y+UU#ftGuQj6@AF
zrSH<$Hngi*;Sf2P2kC5>^ch@1O1EJ|jNV2BGNOW%!B+mi&X~&}x9tz#4=`zsKmb$;
z%Tl5nP4#vknlU(oe1WA1zMK*4hIow3=cD1Y2gslRa-&BDPX^)vnGM|q4!4C8Mr>wz
zX6e#hyC<wjxgo0P5Uew})*q@3_yjI@86=#r7qU^55)mf!Uq3E`aCmh;ViP)RVj%ST
zwFOntD4VcFB2N%Fm+Zi-t<RY?M_a|r3%T?BTBe~4#mSeT$C%0K#xkkS{`4s=zy&|F
zX1S!#^88l7f~?tK38tEz-)N3{40Bv7D7~6R=%<`lh>Y~QhVj^oP-5^Q79jQH7{Lc!
ze&4`Iw<X;744UV$KRL~-mH(9h=t{pA6{i=K^iKng)sSG7RB?H2!o13FIsv_56(TeN
zK4kY!Q_-XtdEnQ!1q@1~WX`20d=Qr&aG>)^Qc0G5!Fo@kjqDCPZPvymYlIpHGVk)m
MeSQD&`1SSw0Z+gu%m4rY

diff --git a/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.cmp.logdb b/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.cmp.logdb
deleted file mode 100644
index 626799f..0000000
--- a/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.cmp.logdb
+++ /dev/null
@@ -1 +0,0 @@
-v1
diff --git a/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.cmp.rcfdb b/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.cmp.rcfdb
deleted file mode 100644
index ce6d691506364c808a7d16cd0bb3b907fb2d4748..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 9614
zcmV;9C2`sm000233jqKC0001M0BZm=00011WpZ<AZ*CwlI4&_RFd#y8X>4R5HZnCJ
zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*Kg_00000003Vk00000003M90000000000
z0053900000004La>|GC#RabexyKImU+#o@ck^~WxZH7i>-`izbFk;vQY+|}(h@~St
z4-#Ui!AJ~Am?_gfQ)(GyMrO)Xre!KN%*c$`qTR9BQU@*5GS0R#4pnA~4jOc5#fTP_
zQEK+}_dDmFbHDGLdzQN~X`TA+%!Bv7^ZosO-}(FQyE`qjS9eF2&BWXGtBpas2HG_+
znHtE45dU8Xu530wK8Zo)k*``X-~Qlzt2fj~dK=9rpMB>2&pz`Ww3ElsdpA6I-$;Fl
zYrFfAR_k`OJ^lX2H$45sBikNZ=HG32e9P0%JhJ7{$A16OEzgYfHJXDz+%F8&M(R$<
zbFEhE24!HeYr6Y*tM#TT`uiI%K}#jpS|eK?MKtIvz7E!cX!~8;7b8azmIdj#kF{FA
zrec7`$F~5!N1u4_qt9%8BKxO`NYxt6{?7oZ*FxI^EAK7xkX6N^-gq4XWFRJGwUHWh
zS~n}_3&|Py%)?n$3DLgBnzv@zEN8I3;lAM!m^9&M8(Xa&wD`J6RR~b7_5PMDk^0C|
z=l63!?~PZ}^3=#cBfCq~Se;(jz@I?s*i-W2_O%DHr2BlrkDv@{zK!)Nbouf)qv^hg
zpNB48-viFAK$WL&uNH4z$6Esct{$~7zQfLiFT!_U_8$dZR*VOT9_s$k=SK$i&IJ&h
zFt<;Q)Ot;i^Xicnui_-s%LbpYp9Kxxp+?}ThyQL!XqdgsbCA(Z-hn@Ov`S?)>r1o0
z2z7X0S4Zl-Qy5nR%RUuPp~<JBHsZ@g)kJN?tNLP;iJA{^5p?M+)x6wqL$E@Y>L{kW
zBQ4&m@h?=<f?UU1d|9s5G5hjk%z^(Nr?19sUT$5**apkI@}tYlsv~Pq$QW7Gpr9kD
z`x;vlWvA}t?ylC;`%O;|4EO>(7(s+=<|Dy}BjbJE_!s}NO7V{XJxnNpabFq#92@uf
z#<UR1dDK4&IZ^*Un7bpHJ|E1-A>)S&!0#cZkWl97U)Dx^<nuMZFSeDM?w#ETx-{&g
z-yL}5L!VW#H2X|xO5NU>KMr*Jvj0Zljdyc}mMBW_gIc2Q(f&jr;i0}MknqrbEs*d7
z&Bp=>kJ0Z05*{Ot$s+P%UHOeb!bkq8K*C4P$ycZ<CaG!FI@l7^!K4Nc(Z2<%JwzW0
z8azZd!b?5h_yD+|(oH^uo6)2@SyA8PFcq|U|JFrveZK9>U#PI`eCpkgJ@LrzJ@)P=
zp0B{X@~LMZd7`)3`yW_vUv+i(zQ><_vf2B04`*4083<l;x~#(4+gS59)!{ka+4bhb
zt=4>KnRrdo?EO?VpXy5+Yd>B|W9=oZ^QObHTXZjNWXRg}1qJ<KGA`Duy11nA&K279
z;zqW<lGA;kt_Z_G<H2e$^#5%|jf)$@)if^tL`9ALjfZtnAbFEQeBei|N~kUA_{*>p
zb0IL9<PLtWVo<fl13_}JPOFyOrHH1&t_j<&X<3%N5wELsnp*8}HLq(+8}}i(RaDmh
z0aI&*E?*mLtj20$2E2U7%6D;B?BT9rrtk9=%`9oGs_1$D-&X`<ps}W+%Eh0osB*Cx
zm8t|j@YmSNy0Zj7LGdi13h4cfVSui8_8xld?5lXN<VzKy?`ymTrzlla4t};GPW8rm
z%xP6rE`5G|wK}X-&1St9-LH!6dhKrz&efg7aY)5Ns@JQU?&CiD>ZY6Z{_f5yJ~r!%
zIkH_{Blf#%-lC^)KU%-Lno9%!UD5X??5P!8>T3+aB{5@T)n-STiXIIft!AiZ=Dw?Y
z)a>i!h;VgAjE8FjG_gvZjPHH5?_oh#>7)(=K&}1(toFOJEW77{)%Uz(!{T0R?CZ#g
z$FDwuos9JXwZl2aF>{wS6)$_fc{_yM2;~>9KgQZguahyU6xy70t?^nR?N{bM76$5#
z7s28L`Wk-<&CZ<PySxaZALi^KcZs$B#vEbI?{8id))qHz5-fhFBUuI-KNBwa-QKr_
zmL-jU1&i3wazEptWGr?P{9WYCO^JZcaGdF|;F6=(H~~ExNACT+Dhk^(Q6Ad%Hd;_9
z%uc{tYwQKD$IKl1x|;hsT+o{9jXpT?dS{IYTZ7gWRX5nz3lDuc6*3<#Q{`l6JCdn2
zxOtMRa~!BZi+8`KypitbEw72Vr9mI8;jT44iyFAr1<UVJZil${%6z$EQt&Qx48d0m
z`J9cnL7&bd&;EQCg^GHp!trr~?87w`?v!WRiM~*STiX5%un0^2A)niV{gvih+~@%k
zLNM4E)p_)3Lg~_u41@;In+)IAw1FiJo@xsNgAINsC9{n$26O`4$o`*D#lgVz0gDR0
zAJV*T+<6f0d8OtMn_2a}#U5)L2cL&l$!YT{IUV*q-1K!}4tsr4r2=FXPWmb{j^Z#f
zFz-@*I~>(Pp&zSq&lOlW-wtoJ>RMw;WUk(LPeenhfaZ~}VTBT#apm)+$c(KZU6ihf
zz7<!zYht}>e;1od(TZGAajwx_r|yy;D?-VEU1Nvi(l{tritmepT2kVRn+N|0+*&!h
z@xRC{+9PFO0|9eigWqEX#QsJj^0@{?K=dV48^PfUqtHlz&6dEw$BtPjgoeC^0u2s`
zPsSQ75&^%1;?prdx^3*C%hg+>n#OwI0}&cH@$89|@EFuUdUK=%=j5?b9-bTipF~dL
z;J$?4mH4f(e$=>51P&$QMAThve<ikPi(A83cZvJ6nBNYY0l!PrZ@?Kb-ngNSJwcZh
z&SFafb=hNI4)_NfT;T=8rDaN-(LYD_gfC8a><bn@0f_iUAO_K|kuF5ufj^FhUn`h2
zKMTh-Ui^y0G_8axF}?*8dCi|gd|va_8l%uD1cPbW-2Gq-yj}|ilP~&h?g$bjzu-_~
zn6T5|_>eZdxbXwPEI5K$)qH1cJmKN1;9Jr-q%{pT=0lSh5O6xpzUHSj`+bbj^@ng*
zat0G1INbzz5>_Q=U*nlTrz`axur6)6lKzRN^Q$%E1M5g?wgoLUxQbgH_%LWzEJ79o
z2+K{%x^anxDd>Kv;aWy&umvDFUG@D)TZjMrhDFNSc~Z){;o^gV6+2c)PKRDkV8xb!
z<aBsmgmpcYx>y55^C3d&aEN{`ur}EEh{n(Ny+9}W@S6ipS1Gq^o#>)L_4!VF1)O?;
zFxYq#w6c#kS3K~}m1|aPxOc@nSM)ZUk8gZ-6J5~kcG%J&xKZmel<QFr{U9D(_|=Um
zL)Z;xT^CV2r(u?kRq@*#qw2j-#joXD3@aYGceM$mW&`2YN5&}H=fjO#gy^aVRy_2Z
z8@AlD60e6=7vlWp%Bc@{xX~sguKC`39(YHy`6K{*5mZ8=THuR0An-&3_*ViY@Br4S
z<fnlW)6(%A)Nt$8ezh@Z*Fd`l+BNX2R|6RSvy9V;y@HjxTkY{s)X&&hUt_+uw|;VZ
zFS)P6uMxA?pq(et*yoeg>sLpbrilmMmQ(5`Ri7VGjlY$oUfjZGlt?GBjuTF5MOa=L
zez6k7F&0((6_-(61mZn6$2)E<W<>moB|o4v#Os{n1}?3dD(ro{hR%Lh#Hfyii%2c%
zg+D?+&N+-8bpkxXRiKF9$5q2EXT>7EFi<aUa5dTlG`W5sr-2~H%M<gA8d(pPzFnF4
zx`MzNvC1TNV{TPv8Uj$<QronNEOT8dqV!JJaSMEC0C5Xep`^IeFceYWi<l*sNE9|M
zDug;Wl){3}M{!%Ck@653Fx{R*D@4u}Cc1`Q+I`Ht&($apxpvE2Ll-rJJ#J{LHbGJ6
zp$g23`^k)+AXe;m1Q1?K-kltp*Vu^=+~&Xt=yJok8#CbbTfl^6KTu#-tT6U^4E(ts
z&&}#74FGCTiNb&@=Nx&RAyl-Evd+FIq=n-_cV+pz)xFqi7<IBO*lNjbah_qFnc}WD
zqlyFjeZ$ynm`|dT^6shQ;qe;r)+#w*iI{Z9)ooPAF<xV+)dc_xh<Gq33<+;@oPAQw
zu#*#+A)<8Ou<_mJSe&Ss248Xdt5vi4vS0U=jhd)9Rae4Yev2gBb(NBjOBz|8AQM?l
z#eF%3>f<uSn#bkC=Qm!4jmdm)#l3l;8daWY31=h<?yN+kP*Yzp2aeVA!C&WE_h3E1
zsqtHj>8uLRIK&hao<vp$<A*g2FJVs>_xS7hz$>+Di1XEo&IWp@Q6YdY&syF~Gyv`d
zDD)ylM~Fr2I+ww8<qNks&<u?z^mSC2mJGMfNlm#Wpyzo>c^&C>%N*3ApDN||zs8u%
zSdOYEA&PSNbE;tEw<c=*M^bgC6WAyWbhPTgEI5D?-PMi9=5VG2G=D~B+6XhpIt`eB
zGFT9JSIy*8<euY(y&=J?^}vp}Y5Z0&O>~2y5#{*sl=;QbRG^lB$|tV;Q(n5X$zK-c
z!Ml%n2ClJsib3b85toTvO`aF~$<2a1|8{pn8-Ga1f4{g?5dhg@MZm~1XbAMg-uJB9
zA<%CK*0p?e%ALtSb<up&8nW-LO8b6^5ogz?M_6^$>V{%l2h96iUk*whoC=k{^I<_6
zH=2F5;)8=SZ|N1vC0D*Ju~sdUwc`?PTP!;Ey$#&H?-K^|WZ4EAi~}5f#KxQJ&aLM0
z<(~wz4c}EkKHJzx@Y}5-;JQULZ?Puw4^~C~!MdND%l*t<ktK#3<D_yCT(fQi%Jm5Z
zn{|ttY2lA+ym#0snh&`lRrhXTle;9vnl<TqP2r}HsM+8No4bv_Fy5?LoE(OE)wqwj
zYp(pTqNvH_W9ceg&p+AVbH@CGL?W+Xi-dMnK*`$*JVwo$M7$>R<feS9E=NyH*2OS4
zj$4<4IVSg5Ph;HMh^fSlmk3@^<&k`FW^UZpD!E+~!oodmOEl=!2MgdKt`?1Q!G@)X
zP%P)599c22bMj0u=Rk<D9z$C();kQe0zFyj^(Ge?ZeA+R`$O-MJYgl!r?!}S(SoEF
z&WQ+v)ud=CehzJX5I`X}p<5G$sS~EtOb7(P(!9=-PoP=t2@#P1wY?1LHZODDuoG_|
z618S-xe}%X1a1ZCNpLGKAL*%Dkn4qMj-e*z!<E;HJ&73nqs<*Rp(Ya^gyMT@L)T#Q
zSVn}yA&bkJd{z~HYv^^6>9YlGASfA~0yp$&s+(uMb+c$JuT}2*h5rI2x4n{D6!tm>
zeLm$oO1{?=#{C9?dnk=`ad%<~((p%mD$1JuSHvJ3)(dqG&VKar9-3XF3QQ_c>tflU
zMkWnK5>mI1)wb1MMuZiV78kK@EDOeZ|6Ot>^5?36OPqW1{^_yj0}c$vZcNo>>>X4$
zry2py0R-_L^NEbksp4B%)1)!sa=sWWosuE%L$PSb35POdCmXHJ-@{=zMbPL;hUEHT
z{xRrMx-U9s?)8%PK*N00lEHbZc1mj%i45jl53Z>^HNc8U+`d5D(3Q@C&Tf7Lwl1S6
zY0)XP8hvo9+w{OKDkdD$a73g^SF7=NvV|$aIh7&t{wsG^zHncoqh?>7i(ur^Lm)c7
z&nCy?d>+v*ayMMmq`=-ui~g?B<Xyi-#X1z+^H#WEl%lHi0~gfz;=wkzvr<hEvQ9rk
zcAd|xZcNDDvY9?bfTALULrE`!l&VFIc9!d!0x#W$CQ)rZiTQUvLd@F|&sYil)`L-i
zfzE`?TE1=YDDtS%sMZUDy#AzQP7;#&62WyQ-M8K7k{b+4FE<)cbA@76p=w*B$=WWE
zmWk8RYa8yE!9$Ftj;b$>Wbhq@w}QGUwks?`K3&MwnK*4PpLO!+MVzXa={WgSX%Qz!
z1ZRg+OmEX<PmAa=$A@a2E_BK4=AB=X@|}iOt+5rwP`W`2&iXuj_T*f223>unx+eU}
zn1+hLqN79A>hVD8C+MxkZ-$v)T}5uq?c?XcsqUemD61mbs&ED3Zi5_@px$2wjXEFR
z2&YYZW*T~>=NyfDUYEipfM68(K)E+D6z*tNuhfl5t8ENw1|vg}oS+TEb<xJ74>#W#
z)%SvMTl=LjFh4kCO}^SMrg$P7v%r8G23s8JCrVOAMZBCc-gL>&yd`}iLADuTTA>oY
zrsu+ytn$7TXO(MMUZfe#d_z|jl8Q?;kZ?IS6*X*QE3T*^DX~AL>Po8NyfqQqn9G20
zMaFj_n9_!YI8Q5WIFd5HC)IE$&t+tM&(9M!4x}V5rzDnl(b<v!_u(hSr46GgiOne+
zrx*aPD2^>DtahesoKAUlHkGJFDH}s6tS+VUvD=0b$FV&X`LdLa<td4aDG5GZsT9P0
zsRsV?y;LIEagL|38cXHl7`jxcjk&2f7N!z)A*Cu=aF<fWuqNg1s#LGtn94^|)$x?7
z3n|zSr@FzZR2;`s1$Qo`YA7W!mhx&&D(9<G8B7|#l2Uar)vzk%)$Ww>Q>jGlP1O~i
z*JiG0^f{UGYEjDg!j$n<se&6$8Q+^yRZrE`cuEzQ2E3vGccpBcOJTJ&B{7@|aB~X8
zfmCXDr5X;UZ19<Wr2!^K(asbk2T~npcOH4AWfC2wBEm>Ze7^%PUU%bl+pqX5djkOA
zMS!mMB7hU|T&=xKb{&o5;xaUq43jlxa#>zpROFaVBTB-rSEEKSXD7-E6SS7IA4#!N
zwonuo-_xFXLn$}Kmr8L{%qx=O2Ao%^6!((6A}P1XDU}+vLb22^ykuLc6rLJnuE^Gb
ziKO<Xq=qJvdU+T+s3H&DoLw5%soarBYEkZbsg%PoYNSw4DrmQFilk`#V%gLH=UQ{w
zii=&vRj{utsw$oRp{+GUF<hngwxt4hIV+W#m6Ez_O{Kj&RTdw;ypT(l+Dl@2E_Wn}
z%E>ZMY0oVgl9C%!l7}YpbY7VhXsx3YNi9lAotjAM<#op7AmfIMBqE2)yd;)uCh~H7
znG|TP=0s9E^Twz{I(4OWQhM@0Tc`1&#!{)Xd1GX2!9*S&OGyn)B()_aHQ{vPiYM~W
z4WO!l_Ai#%VMFr3VKXvGhDg<})bj5n(JYf#pW5s!XKj^9oJiT&oU$=SKg(1dNv&@W
zq*S?eVHA0CQMxaM?sk6PU*^@OD+zSBS%Db#ohcjhQjxpDi{iMDN^Nq_@2W9U<+^&*
za5iOQYbwCel!O}?A{(Whhw4XD<#T_^cyfo5Y~Wua;uU#yD4D3Pgv8#IL{F;Y>`c{F
z@^I!z%J}4A-(<sxBQIT{k$F57$8bv3<y0}8NyTw6C2=@a4Es_UOqOU;Rq|wrkE7!i
zRY`X$=Vwz5Zk-XSO7`=$DOIOZAZ|_#OPf;#w<?v|{VC(g9(5%(8=OfE;nP!b?6#=K
zuqTJ`xyd+EksnS4m~0qLNlYHA-6>V2I~Ss{Db+uArRKrpjFX)1)~Bj{Je7~+V9Cdu
zQYfnSJ*mjIrHUbm?v9kI&J<R&a;%bT;?n6G+FGvax!b!f@iM`(+)m@gO9c-=T61!M
zD`^=n>&(<L%WBu^Nn4bwzv_2?<f9Lp%P@!8R&rR_l&ZGmGG<op6#pY~#%=yTHP6yR
zc%Oo_O`kkA=BR?%WvV9oV$YbYjN`8&yEU%{)R-9`V9s70*`4mK`9J5&6uBewLcKXR
zjf3dfg%Z#ldz(AQrHzRwkTfQ2X%$5!OS7Z~=NayTJ=e?sO7L!T$Nln`2NNdEjT(3>
zGcQINNiHdf#a2nhL8;AMK<)<W3DdwvbLliVYNnO=ENE_@WXH|5Q1zLF#=Ht$C*ELI
z#S@`MI~aw-`8DC7aONsXs5Rj?oARrY5+A4L_S#=Qu+9zq@017<-?qsCAZ<)yK-!qJ
zF$oc94;&#P?ZGrgq>ah{B_`E(UR;7CmPBZBD-qe}9SwqV*&@P~WWU6zR1mM0-;;~7
z%^hcca+t6fl6B%sLf47scf~fxD)H>1!a#HEZSEYG5=_|QaKc-OclE9KuUjP*)6nKF
zAa|wttAWXc#l}W+=^Av@pfo2#7Io?Hrg)<4UlDHao}SYtXR@utA|R92#^hDP<d?oQ
zMdsxQk?5|1Tb55d%3rj61hB7o8eUD^|Ig~=6$z(}cibC(=|_lHB=eI~BI-mArfqUu
zK5b0en6$q*6TQH_Z4Vp{iQ@k@CIuGmT^kdJMDc$clL8C(PGXW+vpH7$|G%|WNtm1*
zd2)-h$pt?-PZHddb5JOG`AHK>WG-J3@+%UK@I*#AIr6KUOCM(i1WRtX<L%CH&}$K)
zf#zIy!MC~Fn1~9oZ%gWgzvW0&6>7~@m8{s3(tJ}|Nr}lecU+onOny;JUXel`np7dT
zx#Of&$7D7h!~O#P@co;Kd20sVcV*wl`|sz2POHV=y{y7dV~6<0UsLc)s(JWbmHXYQ
zZJ2^x|8!8VsQGb9Ha}Ycs(Uz?<GsabIInm+v%!o%k{wpmDcK!aH>ei^>Wu6hJX;WW
zHZ403>b!t@S9TH9IRW*~Y!>uh3iRHTods`Cz&kTL3f}tz-q{&{8NCKni)B~#I{f75
zMK@^UM@};{{^oeIlB4Qlpsot2cVyc^-4#%Kv-O}JRMaWiUD-NN_XpJ38J|D7J)jO|
z8$orCxUw1O%x*DyM+4qFO$G)OwKJQa?SY1-qE5;Bjo!VW+UTd5A9Z!$uNS_6pAWK<
zzUPtXKy~o9c<#rM){73ru?W=DN^=M5YXH=f0d;n^9Mls5^^R;OsM@y<RMIj~#{%A&
zSsm0<0rk%80y54=dfGUqWH<1aW2Q%Rs2;(^-|TEZbZmkS%iR&>{I+ZdICK^|v#yK}
ztXS@?*a9nRg}sW|+u}7}WAL<obVh#kXGg%j(R1^W%2`(E>%^m7d%!_#t*5UMaZL&I
zb%BSKO0OLXx|X}(-xhE%-^JCD&BzWQu3;Na(O_a>&le=TWuA^I4QxL`{DHXU?FOHF
zEM(Dg6ufgH-V5N>;|3+zJLg3{@QE*6o$G5kKAwp5_JDF$GvX7U#yKbd!Fkchr~Mtv
zf0A5K_ky}5qHcu-_qf)A_Z+ATBE5Tn?N&rx@bO(Yc-`Y$i<a%+bq`-DsEqhb6dNC5
z;C?Ax@bZ_r(~FP!JN^uMM?~dM=(k0B2S7a;QTfEo(Flk0h+<w8@pMREiLF7ywn)SM
z;C0WsDMZGhcWR{fFwj{WA-NE|?(qSI20m(XTcm-{ADA9dFT;oKLNtFjg#V96I1GSt
zGUDw<Y?q_hM&a+8$lvvlcF!m%6kSFS;5=DS_>MoN-XCe;kGn5Lo}GepJ?fi$q{O<2
z_XsG%G@ve4lY1~m(Xb3}$07~eL3KZPFL+rutRH>t2RfS~bf$uLTr=X+7Vz$kct^pz
zCgL3i^+-fL4ICClIPl3u0}+zU1M{J;!>E$AQI#x%XJe6PXTjSO@$%Uw9RKvS2O73U
z8u+Z{6A|?S^v;d+?gQ05kh#!30P4wz_ZTAP>aXDAyZb@q7_6zBJvobNY7-xK0wGPE
z3Txw$H9lfud!&KuJFfGzh9)%Zj5KTq4!a^87Jzy#qOOHkhqY3CIuF$6MX0ZXwL_7$
z%kZo_@@yNZyJISJyQgs!Mt6d@8S(A_bw@<yLloSjw+js?;P3LtU-oSF@Zy@1z0^97
zj|0$cXLmdQ6{`iVx%NWa4yCOFtCnN%WtEqEtyq&x4b~7`5gvdJJrC2iUSC}ste6m5
zHU>GaWr~X{l6c+Pg%xAG3hXLf?{(qSn`@f&f!<q6R+nAb{A@FL_XWInl&rqH5a%lJ
z9t(JTvmsEAgK9CpL9B;wG*}hu;V!I)<9$XK_6uCEoDVb)nw8wyfO<!^6})=_>g;SG
zsAmG|Z6&)fVmTMQTssvPQ9F&CFY-B`CDx7C208D-KH@UCyTM&tUD-`$O*b4+r}=7<
zyuBqmxi0MbE<vx}FA$$S@OW$B@oiZrsGWheyZnk<S{uyvfp>GDVSdJ^R8J2y%rGMz
zN4(-9KCYf66>~B)tOxH6SQEd15sSNsms&HkZ?$g8z6sV2c>m4Tw2V(q;a^@ltKQ?0
z81U%_YNXo?4O~H3jn`$@!*jPgr;aIRMa~hxa?Bvn@89{5jXN{0tH)Ke<Y5Htb5@Rj
z^Fzz^n$`4F6*+nMbSuugR*p|a)^gY*z?QCG@~~3bJFJ{VpopY1o1bw<busdDI%E!c
zIlC^Ea<^n0H7-SRJ&@V$<@gtSq@NwAuJw?KaXAJVo)uU>ZTQmOT^UzM`qY8CxijLJ
zVC67j;40)guoqhhxq5^rYjKvBv!k+<o00WEj^nnzXls*~<DZbx_HN1+KyFzi$J3D$
zUXCNLl<UOEb_H_l@UoHE2U`^$aqMG0wt+)myP&z-SB6~;7jiraA=+yrxgF3}$IFhH
z9b$fgXUe-N80A@!Ly->dK<B}>)p1=gzc3pdpO!^(w9VPX%Gv5F=0x@$jz6)zg^=0h
z<*qY6XXZ3*zVW%x!PBcT*lk9(&%o#NUdOb+=T6KaCn2*llG_8h1&H<a=A31Aju%hz
zrbo8tXQv^rM@wdn8Jy#7-)u%Idv0^H(NWA(^#3e$=<$*|)<S->*D)jVzdsv=+=0k{
zR^Ul5XM3i^t2a9gnSGJm5y(yVIkxpx$aP{aI|LcN^u^U!kC(F}kCYqC4nl@D^>q|J
za!#-~+Z?xA#QB;C=UYsi)T1wsdc$~G9o+__0$b*IFJz8Ia-7XZy__ALrQBe)2QtSa
zxiR>A!OPj+UC8l-X*Xn!MslYi!x_Q)X>%gwW@ft}6Z^Rha`$_CcJ?Xcm=o47>sVi0
zb#UZ!*s`^d<4N-UkQtBUhzmz(D`y8cDR-yotL3xSEYyJZVh8kd1khCO-8M$NqhNA>
z1)<=-4BqZ&XK)eH{9&HHSWk>fUvt2FQIqhAdxdQgbw2{$ge>WXW$<Av@?j&WJEAB$
zA?^MKLZRgX_~sTL^LKUVJOwTKI*XlDk1Z_Gz&^&EkG`6Sc4veyclReF&xirB&>G^M
z4BL4k!jlnw9S3zNqOO9@W1114t{@8cT*#u4yHD;y^|cw)eG!%WSMFo=wFSI;BVOiV
zhi1g5Gk7hGBIf*fIKpf{_%=lv4uY~i(m)DZ^u?70)CTyT1P%jHHu*<y4n(mnLu_MF
zY^+DtrM~zq*Qt?Sp0o@{K5&F+!Zod-2h_6>bqq|)A`RRvbJwh|6X08}N%*t|dO5P{
zhF$o$J<`iluS1#<pV;5o@Ab70AGuoB)FFI49#M$_vCtR)Xvv94?+N(J6^iEN$UhWO
z&x2`RWQ}7E#~!WWJox5m5<VRQlItQQIrHkB90eCZ@3}}X$H+ZV6sy3?aa$YQ2EDr@
zYn&0en%2Ar!OOjszRFJ_7lHS5#LH7=o;K@?XYf3W*Vh$Lr$^LtU|JC2yAamSMAo=I
zxg7EChu%$*UamW@M7)5Y#a^qg?a;6*(y$jyTOti>z&jf8ZUS|GMBRlbwntGMLN3-t
zxi|r9%Oh)3p<z7IP=|(7k%qO9KCA=7ry*!K9%(3_rDq|EOHmZdKpl&yTq|)UrVXBh
z4+}I2pH73iD57$9<SdzGH)5SK-nteVGwf()WZ!LlO>pDR9Pk_mMXn00<wNjmH*{Q+
z-HH=|@s=I?Z_K{a>d4p|JF+==pJhMA`|j-8?Aypb!LaM{1?JSCSnF`NaTzhiBiun?
zun1%C%xo6yv|9YDxEVZkb~4b<VjXKMj_;@DClZ$4iP(Oquo{DwOD1BYD*uP;H=e3X
z8b(p@?Ltc*PBIwfjfUew>)Uyh-^JgM<k<+L{5k$=<qNGhWSA^)PQq`E=jY1!{#Nt%
zUW<si8ykRTeIi7GD|m5Fn+xavF}KXIrjDY<wno$gpbSNIa~h(<K+|UWJFWjRs%9E=
z-T;Z~ksqGdSZHR#=g#c?_|TDEkN4MPe*&MTn6sd3vKgQkyJ0Ho28Fe)uT_wV*V)ry
zjZy0B0C+b>8aU2!yw%rwXgH`z_%s#N@rb$%RL->eIuE_`BE367<vLGaoUu4_>1!_Z
zUeF|bS_EG1QuW1M1@|d-XKqg+id`FdyP@r}7Q(0FkQ<7$Z31<FL|q8#nTR?7y(c5R
zP4MoFcsU0jkElJMo{gw$pqIM{eT{>6YQ(z;ysSQbal|;IN%&NUhEtIS_LO+vH3sT3
z@Y?;8MYZT*RLx$!InuTf)B`aU{v3$>=>)Yi;^mraO+?)d>b8iw4AilRdO!3YjjWvm
z?*j1t4*&oF|NnRa;$>iD;9y{2SiY*z21qe50kIem2RXaNd-{dA2Dv#pyT*qHIR*r{
z1~D;I0Ocndt~|vER06_0P^C}>%qV8@163$vm=)w78sZx7?C%#G666T9BHqt6goPmh
zs4oA<D;qwb9+1J3P<_}`voc5kW!EKrHf;ndZ31FWsA@k)UspB;79bA>KxXj*acN$0
zW_n&~ib7^y2|L&ZkP1H$h;6Juc5+5y5eJI<t$?0Y0m`6;1%``)LtFzm88m<jW&NI-
zSpqeIER}+4#HySN#h&Xx^=gFearW@{bav$id;Zi6i+?ddEg+jfHiMjqVUv?%u%|Oj
z7_6BGtXSn-wonyNCtl4iuE8OmevToY{(kWgn|T=ofHqCdDoF=YV9hePY=&q600030
E{~k`ing9R*

diff --git a/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.cdb b/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.cdb
deleted file mode 100644
index be12f84a474aa56ac8233f24062f9b06d1341711..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 5852
zcmeI0S5Q-ZyTuhn1O$}oOD|Fc0}9eYQ;^=IgeFyb2^|DNk&YsW^e)8!A%tcUAav<U
zhae;Z0xC!mL5hU-ZTRNQoXc~0F5bz^UfHvswSMdQ&(2L4FI>2QqP_@RFI;d3KKB7N
zarE_b_44GtCnI`K^e(q@fUAcCx0JXf_gz`Bd*Wi^ckjt?8)<RtxcWPCt2(&)1G7Ve
zWakk<jOTJe<MMy??D~cIzu$u{t`}HUaX^^*HbIYzZDX}YZIx@)INfd;>PE|_gqLvd
zNlCX}-7RH83y)o2qbO60!e5|AX&rS?b&pi`6m3OP*pL41xbz~)2Ju2bcS(gSi2DkL
zHGOLLZH@x$?F?*KVZX5HnLKg6Ek{BEHf0X0!R(WU24^G=3l$_K1FAtR)4s(Z7NYMP
zkXX%B77>?IR-TyuC-fwT``}9~v_MIyjSv`tbGutJC)bB%Zq^uhsb%D<ftjdJbsa1I
z$xGuP_+3Z&pnj>6hOK(e8^2Z)zC?0D5ZZ?_<Dp~Nwgm^XJe4~O6h{w~`UPp(*IXt-
z35;r8E{n3s&Sor!mrXjT%-+~ocHoW(j3!-fW*mKzRf%C_BDUmJ{bHiFR^d=8q@83{
z@)GM*k76WKEt$zT7M5DkcBxo=EvreN56#-sw?M>2k?llkvn-pu4{l~O$fd@(fI593
z;yKen4|&z68eJeF4)0^xg_{-xB063kEs{-r|6B${bgjx%+gYtM01>vT;XW7Fw%v_@
z2)h9G&$Xg^lt4r+Z+qkOfCJ2JAfgtW?EY`<e^2}0m*oHJPqISSlu-YHEEI|A`aXo^
z5|t)|n|?~xVp$t6|7&+}{FQ`TTUuztX3XPp+E!M01fuVtbFg}XikORSM@VF*uZdp1
zqtcu;W5|g+%rY{v&?;O<?>1SR&8UJuE6y8$9EZP_CnFLRL}8>hTsN;a%(*FAHPW%T
zvVuw%PR;_(5So-NLW?geZ=w`_5ht7WV<mPU29tcB=;hmU+2y%C(VU+#0%7ap3KWTo
z($ghI$*EHxVRJ2O+vzne6Aug1n!Re0O<YmLn`X#x;U=9r@?RPl#bV6+qK`<FtA#BN
zB4IiK!J;g1gw?f=M!qJl!~xgC*X<B>;plQ9)0}A6NM=SGPCOZd$&e+;YKZx1XDKmi
zwN1LV*ZG-UBpM+oN&Gr!yx`>==}v7vs#6Tn(-W8T$6|K4kVgxfFlwrjjChC6SMFt(
zop$hszHY0^#J|XmH90Md&9UAGzUoMw4bIApYnx@lUmrmpT<(ulj^beY`ib58d7IkB
zT}mA*(E!m-)nlB-Lu|!^CmQT`Dxc$D7V!>oxg-kP4uH(I$)><`{4N$;l~O0tRy&or
z+%!VJx|;k#%kZ6J{8K#{uZm`a^^!%Y65rx<ccXVuYf%&4z-{qj-X`{qN%Hg@!ZF4w
zIs@iYOnP}pQX{U+3Y+G1N}A*-xgb0B3^ov6{{NT`C`W1cEXw#`#*Qw4R)-(ZrHjNB
z)KHnKa;3~#lFgKc7hmfa?fA%0(2e)XB!Pcdg0q*XhhnW~Kd#E)$<yP}iI7Av^@Pc)
za(4#ZJ747ATh&szTnR{=#Ex>}yx8u+>PM1){i|h>Dhp%FF%zm@y<yXx2O+k)fr~F2
zg~2Mbd`H$kmuNvns<YBXv6h|YjSi2(4%VL)lcR+~qDfcKDI!IxV?2g@6%l5uaz~%1
z5-fV<j^t5b>?CwISCK%4WDaO6L4o<?6jC_N^0{M`{#Wir^8d*l8#re2QMJ5b8cQ*G
zBLVq=Dd6>QSbl7|RIc<HS%&%(Q+{kB?Hf`?nme`2MGzI8H`KuJL)es3aMnMBSmx1^
z6`CW?s~~nkdCsW(P}i*_IH!AP1kIcyJ4<h+>YLUqEUDjczau(vJ#rykKbd^@8m(xl
z*=O?fCPJ7$0)<0}`X4n`LWZ32P?ahR+3(8@-XTBoe(CuNhrX72nV$XYSMjQ8J>o{0
z`<rAvDuu^~w*ogqB}NcSXkGrN@%LG#(*hZ4f;+y9OF2*9em^_l0+KbA&^`K|>KL!d
ztLO2kVMy+ob&(Cm8)Jw?nsXa^Xo=?Qj|^}$)ugABOV64UM*mj3ohI_MZd7&71uENY
zzFR;I3imI?neq%xY8Fcb*!RQ^e<m6i2Zs>(1$gI0nxusGkCwBcyJ?C9w?Nm~G6Xtv
z8mjcG3+l(PiRIc|z~%|gLE{g-i9|r>H@cvkxj_wKNV<kr*y8M-H<u@Dq`P_JF`)B+
zu7x#j?7etKG$nZx<9ogHnpH;0+pnbTyL1U!9XEfndNzP;aiZF!S8kdp*VddJLuGrm
zmLh3xZkU9BE%c9RcEbx<67FcsLQa1tG<^NhWJ29GsooXQxJOL=!ikH^y7aA0sv*fo
z<TO_C%ib6OItGBlfVPG;LQjDL?}2+Gpt=730=5}w{0!J&M5l$QI1^9&l>;;{p=${9
z1e(_Y0SADw4bUDyIRIlMpcKHWrht)ar3*@@*FxOeTflk&8m9n$??5LZFTUkToKW{V
z+yh_*fCmP^tN^6|v;lze**8LC>Mrk>HnQov92&?=X8!iSn^{{NcBfd%HnNoG`-9k1
zkAFrChPo!5BHK6x28M<*WLZaojZcw7hC=ql%46LCYx%ndT-$6tLCN#GPe9B;k-o^G
zC_CSJZh6rf_WC!kT1iTnOov`otjBB%%&Bj1eR%dgaSjc0@?7qL%zYW=@RNJSq8>O`
zZ=ZKEgFKno5rJ-LYHf<c`$mNSSblQb7rVri@-4%pJdI{xx--?%IaOUfRsPtEEl7k~
ztapEEIuJUZnbVLPfRaLZ9G5#LE_Oi1%OX<N(Uq)X9HThbz`aZ!w^P^Ee7<!UB-K2k
zo=*=owLC+wT_!vlJG^U>D`KUFSqt^}7LuC_js@#J{AxJEDX_a78ArgmE|>9eX8hQ=
z#0m$&AqVklnXkg;%NR6+r{G(y@7@pEvf3=KK+%D<E=0EW9aB)G-sy;PfiK>#9VD7e
z?x(&Uh0j~AZuTUur<mco+KNwaNw1*Nk8Q9r<4SwR^<ogsRPaABk`Dw={EzuKw0GbG
z34F&$33%s}PEYfR&4|mjhk_?}4vmOS_B(Cw2Ike*-sb)jiavQUY(Nq`+0pS(>Kn^i
z(e5%=XWwN~&%Gak_KW|q!?p3Hju9-WFygX1+2UP_XDBH3ri@6Y&)eZAjsNyz_j$yA
zz)4S1Za-RU;J!(w5=y6e+;&;zL!;|q*vTn>a&_>+V2%pDA7h^&AO{OrdH0l2J$0y#
za%gkcxipz2Q|WbXcG|UoklRqrZ31&a-8XoqWbW{Cm7<<uoa?oo5tSeJ8!*iRbVk0u
zjtWLT@60<EVKIXA*h55fzDb5+UhV8iCzDq7dk*I}TA`z>%WqGp`Au_%qap4%oPxtR
z>+-H9JmSl(O+>mIf1ac&UZf=(AmNG%?Ldot*YArw7Aj`_dppacTw^>q2~_-!wtvgw
z@Rp_m&C)H5iNf(WH9eD5cc@RQuzBL!NBw~>Zw)xpgO3N5GHmS*Gq4krKb@y3`HjHG
zXqjRMt@5|w0_i$Gcl>%|Qg0W8duBcshfnBN&})_XlU`^m52WiU5jBKz#(z5RUOh7z
zv!9!`dCyFLjL%Jq3FoH8>u06``g2p)L!p^he7nI)X%B>&2!WRRQx-noY)<L;BR(h4
za-_|5zLUXCF_%xM=0_CF4rtdOgeGh4Zx+P^B~Gk1AB%tIKaC0$%Gqv-xB)&xd5N7r
z0xg`O+6ioDC{q^|px^17`&qwvF&d!XW-_Za3b?ar%hxZDuopkK3dE%%LP(z}&!-92
z=hGPKvu)&{XWK;F0G-W=g~p!IL8x;C4bwRy`)r%j%E#xX&GU1E-9I-S@SmG<&W_+@
zC<QP{gwbRI=)7zIt-y2kbdxdW4DGysj_wFMOX2dMJ3~*boTseHoz1;=i%+Gns5UOp
zX=3j5ysN3;kNh@l8?y6PRW<o=l&`jLqqdMBZ~o#ZQLL_qGq$xYNYvv~lEjlaLxtXu
z<Go0A7&UZ4QW^e`<yfzEiXOqqEQ)8M^RRALK>h9^y*zD0Hu+kOuNUKJxvJ)WL5b4P
zHlHUJYx6@b4^VfG%;6!r5UcM$^A#jrk~}FlGzuJs5(0D>Noc0ZPhqpGw=s;krU_+9
zuWBlY7@J`QSjPadYA>&C<%~n)#3q(LvJecVEyk#&;Cb55COesOEZkH_b-)!wyxIH@
zbQ>;WHBXWKW9#;x&?h}4Y}qcvsxQn2RiQe7a;$;K?zk*HiEVtz(c%Y+3JCBSjj*)M
z!>f!yG?+);G?0(YU!gWBSbunk+k%_!rqM;CpOX-xc816Fv4vGs0pu9Q5Y>v0Shy~S
zRDWjNvamX|0v82#Ddnv<c3M#*G6~nIebgB=&#Lt=;Aoz;PVnZn5bXYz;za-5a-WWi
z&U4NG^rpu>gum`rW2D3GUv5msD{P16Uyyg46khr#Po&WbPoxA|lg?EphI8Ees=~X4
z>meFlJUc0IDl0rR@uMoNw8ioF*_HpV1N}h?-AOKBI3<)dTUJp<<MGjHdX{;(!W|b0
zQP=0e)3(*d6q<ZjS!rq0SR1x#zmr{IH6Dn@Rw1tB@8Fg5xYW}@A>tq%VRS_v7j#Ve
zt&}cn*u>HWt&bwTA6W@Uo;*_}8|jd5XqpvywpYlLPiU6;+e*gLG+EYePd$A>N!|CU
zQnFX+hpXUrr$LPViELL`*$=(OScco-orQ7PFXiF&(Uan4!hBMBH}qP15+DyHG!ax#
z#v<k2(Rrh!K#d^J_r{kIo)m8dq58Q3m`Yf;a&Xd($M}USr>Lh$)hG7o_csf4CpXM7
z6->Ccad0<JA6Xp_?Hg=BhEz_9kUti@ZnBi)J+3oaTPxz9KxsGID`A&~gkd;GVwS?P
zz1_<N*w?yZh;ot(E?ffZYm+hMq`P(z<pT0!@st!`$%QRNoyK+}22Nn8Cdnyk4noBr
zP_3WuNNXx!X)r)5E4G5l-^iFw`SW2!chIT?lTYBJ6mcSgmSdw$qzm*5u*?j`{Stw}
zKhm)|wtWHp{8oPa)o%hJrjmL(vANq8%^Ry^OLCcTWPBH)Zqjf?t2v$UL_wOr?oqL!
zw|nX1CTW9)Fq5yEa^Kj;7w5VJ=*yV*lY_SYJjX;;FYJ(F3=`ovR^y4+qbeV$UokUq
zihQb6>XTSFQC!^F!fk)=4H95q>535qOWH+n3CQCSl!v6d3ohjXD~N8#_8T%&2+D>%
zyANA>y3_c0N<leEJ1iYT;l^YP8!%=Jj6KVBq+6aS$o1Cc5~%X9v`%^!XgwcKiIkIk
zsKg}-Q^&nCVweIF91C)b=99BpnW|SNV<f|}i~eElx^FF0v)UCyQ;=I*B5v3Hw(2uv
zn9bLwrdeuvF|!%_d(WZ-gsQE!bNv){?ANK#ipG2is;*P9uykwc37=!9pX+P*^0Wp`
zoWAt1vodeC{c|SqR|N29<LPP8#n3ce7Y2jteKr&N8|tZU#9J(|@hF29q55jX;a1?`
zAo2Bj8-|9Lu8y9J-XbjueRUObov!e5ar{dRcLH~KzWiUGJ%P!@XCgh?Vo}2|hf>L6
z>aO*+gP{l~=Rm&-YRBu!bex4-xDLS%wa#ls%e~jOWP{f0i}D{3uOC`lTED1!p?6a-
zS-*MgLbqtOkk%mQ&q3TZifXkcxNJ=30eO@&%)vAG&(LA3-<BoJQ*1R7yic{Zzw{-v
z4_DypxD;miwaRa2bdKzu%93G`P2j16y+P~;-|Xm;OHY;x!#UYJF6c)3dOB^S3US{7
zE#7rV3Z{K_uk@C~;V5H%+g+RC9^-mVav7&R?H@DaJNj2O;rD*?=Lx_3PA=o%hMDS*
zX1X#an{cGTVUvva{e8!c^!}oA%u~*%y$SAqixzX&b7Q<iU!?QYhS*yhtR}7DEga2g
zf7;mI<ji#2b%O^6gwty!7qNM72l?5lKUU`bHIELZ9a2r_CmMJE;+BmyS@<zrj^dS>
zq?zISdYarX;lqkboF=UH+IcHSd}d7QpKNqYD!CS;sNYTkmEEqmW2UWPIN6cz+vT@&
zX+`#tl7NkK&HbN$4>R+|TKgq2MT22twiIJ>w!-sRykQy#Mf;PSpxW(zR`;;$lq*FW
z(C&^t{?xX^J&}Zd#n+N}k9FUI>ED;sXd5b=kSJELU9tSgTX{(K13~Vk?JW0p=AwRH
zGkLEWxk#m+fuf0yZ(fSJ`wv@ABYGKhnBmX2B;*=82K2gDRdreKsZ%G)HlgWWPZIBq
OQcJ^Y*N@KMvHt*1-jWLd

diff --git a/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.dpi b/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.dpi
deleted file mode 100644
index 603a775ab61cd4c7b081678f972dad1e4f2aebc4..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 853
zcmV-b1FHP24*>uG0001Zob6a!Z=ygHe($d!KJRt_7o^RUrbXJ=dW)AP#%#y{qfUz8
z!r-pS{`eh1Q4}e46H}Y=0G!L5!}-paxd3nfOdW2H{eXDxO-Z=qOB{AB&nE8prqu5}
zd{;`h>IIx3pAyP^0`-Dp*G3V`k#6jGCUQ24NcoEpV-;V0iJzRHT(V5ueMlUelSPT+
zuYe!|krx!M`^41=#hh+O;UU-#kPc1Uk(ZdN?Hb2|LXgs?2e@d8)t)zlAbLw1M`%i%
zg{t+dcMz<Ew8ye$RU2saR#UIN=%OJ#h?1<y5JZ-=b1?CIYD{K`PSn2;Y>PX2iGzc*
zF46C+Ba`QoF>#S&MBy5Xs?Hlt<a(FE9D=klI{;nGu-eh<cRf}I1d*8S>0<{`GRK*z
z(#8U*jCQB>q-(w0l*kM`C#0*W+BN70tee1QEy1AbS>Y7BGyo0a64Rrg+pd9~?@C9=
z&f*Z$NB%<n?fI_|tfib9x`S>{Z@lQ8TD|p{TYxpe)@u+>)v|&|Wmz=Eid4peDA|<}
zk9kQ_e%L&+EfIpm^*~}D5gMrduHN~^X{|=PR@bX6cdXv$49F(Ud$`2lJOPc?Sw0Yh
z)}QoI$YYDj=t@*9rChm^WyA|I5@oA0!lG$n-m*?Xo>;f#48iUs4<`h(>Mi!z37S|k
zkV#FC!HgJzgXZ`;c{7L`P~=)TXXcFJutpNP*o1&!rP+5a*fcn?o#jeJ9B&N4QrLHi
z>ZRDCp^sHYnQd|3JL>kKrmx$S)0nsi!d^2H2e$mM^^7u(XSFrgI%D8wk2+vrl{&VM
z-K;U$HU?rg5jF(jNMIy8iklMkLtJ`;G<j=k<h<g+W@-4m)ZkA^jl`C1ip<gWitUrM
zXG7w%c3UZLoA@emXCZ}P`I_U1M<{ftF-J~_msO_~hX<yn!uwXgS-tBFjCQNjJB)2K
z5F9SF5C&|<<%X4O{%0W&ypX;hh1GQiU_9#sZYUH5;}}Mq0}7ArTFVd_5MogzOv3KR
zvEp2)5rbmXn%uB3EJqRKY{1|RcAy=W%cmkq=5<bBMdbOKU6ybNU&JYj)Td_6F}wJU
f`Hgc~?DK!8fQ>RaJ;p!NR=*av1ZMsR5v2&(f0V19

diff --git a/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.hbdb.cdb b/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.hbdb.cdb
deleted file mode 100644
index a5571e9a5e2ca6eb6e6f370664d4afbae1e99f8e..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 1595
zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4Lk=o#u6C^(g7=A<Z?8JQ{=Sn3-Z=^Ggs
zS||j2DfncTq$;?kWR?Kc{(J#3;wlT+B!)>$5E?{Uvq9JujBl>Q=J_&8xIGLLs23Cx
z*YjocJY3OpTIS4zy<1&%^>);Jp7SK*eBji5@z;z0KYH}&;>VgYn|PV?;m1Ee{a91`
z`QzD-AHN@e`t*Cb-2a;Yul4)qzyEhT-T!^v&(DvaPFF9#|NG;sgCB4Hw>zI-^!}N3
z?0*Gw{ePbx{<-)meENO)@_YXtUzd$9+*kikCV%}pyO_PT{~tcyegFUU&tI26{`s?F
z-u(IhAAQTNv0<~6E8Ea~zj-cS-rC~Jb7vdPx>%KWe$8vOH3_WR-*zS3z2_TwHY;p<
z_O(*sunkS3)v+7$-Y;8|X1e;e>FZsb+7So4_Uc7!FAomeJnQP)S*3SdMAsx7jT2vU
zd$;E5$g{7?&hE-P#1*z7X+8I~w|A#pU6WS2J1y2ckySfl<8{{8-||jng>BvyyLsJg
zpy6w7ylyJ3HcvHO9eH<M<aOVOgIr;6N)PVZJA2ctt84PEk2-5Kv_@*$vdI7S;aB+Y
zbMwFF#n;-(J%4^5Sk(OgU%^-tc;1W2Q9}Ogsi4hG8hf7TD!ybi+Z<LNwmj|s7QdA(
zXO0T!dvE1h_+CKPs#LFQf%k=l)%g##U0kNGt2H(e*s<e-;h8`GKIKZeUXXHn@vQ82
z=K;yq$Tm%B)wp#+(+_v%J~LhXf_p=M^TB|NVTUv3MCi?RDm>uVHfz}q>4!116VG4M
zG%xMGpk}YOLvHe|XD{B?ZW1#;w)L_Gn`QXX<Jn8kwm)mjO#LXCxgj}soxhoa;?boC
z*W~>FP!iXWu=7kyM!?0L!MBd5in&`BoC|p$rQ~pC(t*U2R>eAb$!?y#XBW!s*(b4{
z_o&zZjW%m6K2Q35=l)+d5398YDkB!oO0$w%x6$eP`=^OroLksWJb!e}VQ1<CJ)^t3
zj!Q0_aor&K$hv~0*?vN)jA8R9s3bPUMPF{Z=X(A9k|&e?2?rIt{O!2?@%(L@1$H**
hJ$zbM@=ZD7kkeOlpXts!l}}wt)_Zin@rO7llmP$}J+A-&

diff --git a/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.hbdb.hb_info b/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.hbdb.hb_info
deleted file mode 100644
index 8210c55998f9226aeba57897397a9e541102c45e..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 46
zcmZ3(&&U7*6?443e9xch_SZRizE|HvN9&}{$usA>&--XT^E&H&P>qM-_Bvrj0EsUW
AW&i*H

diff --git a/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.hbdb.hdb b/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.hbdb.hdb
deleted file mode 100644
index 5055f309652eeb1d68d6a686a39b7cb8b311ad1a..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 13915
zcmZv@b8sci|9~4?8#~$9+}O5lY@Cg4ZT4*JWMkX5ZQDGtapIfr@4bKBx;6F8)7>-O
zQ`Ixm^O>F+OfWF8N<{FlH5i!f*Ye}bn&!?f)(-ZhtlUhjOf00LZq~odNIBU!NLhH8
zS=pG`SXjA9)nrNKtzFGYCCsc{zxJh_{jUiC%m1|mR)+MS|F_oT{4ebZe(ndKL8Ou7
z537Qf1ita@CTu5|;@+o|LPUahlX+L<=`71IxW{;1h8G=vQ-@brm>eQFm@F?pf3NDe
zWWOas#p#*vl9#C^3UP;<H)PxhPeLLG_*!<RnO&ykQR-s^pz?ZJUUWO#y36#r<N-3d
zmD_hhuCJ|S)f7}-sBi-arEsq)4{l~|rjN>P-I6=-QkHqMith7!Lpt1kOaODosX4Ed
z?`0+3mTuJeF%`2kvi5STA~LYL86>G==;U^PSq?sp+S%FhFStFPj}1#U$3DwiB<Jp(
zW@uH7?enB7y_da_d4+4PQu}B=H`UkW^U`}~%D4F%Jcn2F((?`ixfiklZB0q##|wBf
zO^{BJNQ*<3RL#@;P&bM(kg-it``W?#MBFcq{5fv+C%K2PmF_dAu=p=Q3Aq@=a!h71
zc!2L2Qbf^~K}BvGue`BMx{;5ux9^a?MQ-POyg3(okB0obIRa)Ys5tzbJKP-?A5Kfy
zfV()m7`bB^e`Q~!^Yikim}TmsqSUe8LlJHm_h?hyZhL2&_f@*oz<TN?J6xk?FYk9A
z`9t~lAnqoI)gN7ccLQDt@)WR?IMr9FnVFLSHV%UqX7CAGfo4J~HbQscc}2Jydvg#h
zPyI%JoRp4Z+F_s<Ui9m(G<>HJ`c(Fo`xFPaB|?ghwY7P4GWp<~qnW0l)KLwwot!$}
z*il6g?xPHb3S0Kc@}MQwusMMji-GlTr#~>MrFoGRQEY63BzU7<VA=(AH}>H_5k2Ng
z&{XDXZRFMj(cZI_EG6a*d9%52@^PDo73ZX7np5{>KRUKM!KG*gJu9R0_dPjdmJ3v#
z!wo%PtPciKh}(?#%wHi;Y>vmd)s1<vKKmdqQ{Oc+N~|c6od6rF?yR-H{;momS%3W1
zjX#3PaQlHO31j_I2h}ol`<w`w-A@F1o9h8MjwRqE^1qU^%k{r(VTNFCLSD53{bgeY
z4rbq=>uB%~8+8QD%B^sx_v@SIHYo2ytQLCL?!^pPX!vS|RbGCMN3cl<R%>*P?d$B~
zIUK4Xp3E@3*JLZd6grg8FnpZ<#Qf}Lgm?uu8WqvvX}o)B@&>MiMc>}0TJ%}o;e;TS
z5tl{l;ECNmh4TJ69r@!iYeKBBl+5%)N2g9OdpN|Js(bo&BsngLJ)LBYYqSecS5~7e
zxV<M-9F*)2JPKR*Q|i}ni_`2M{wO5zBE4qVm{3gAaKN-Re~njuUQB_!U*JVUo|*${
z2=mFRhgOJ>X&Bs#smqE`5zOrxP?XnWc(EPjst!v2oOmLLSQS#Tv0aycwjUgD-12r|
zHR+GII~AsrxLaLa1;qck8|S%y3z*9QO*BOq;ZS$NSdPX#Bb9yb>ZDA&<!9$18FcNL
zj1@-~`Hq>68IivECmJw+#{2wxqQ;IUU0yHv!0bv=qMr&)_CLBOeJ?*G8RI*8VKHR1
zf1jv)_`tTyMqPG&pZ_HLwBvStF3YO)wJ~KH`3R!D4+&jB9j*yI=$^R++-@(Qk(6~~
zZ*BOmWQnceWIzAe(R;K$sXi345_eje-#_cz{OGFbxvM7816BXts{eR`SlfHLyA!RE
z-Yk~l<TdkWdP^iEHWS%o>sTf0@3adUH2mnDF<FvaD4%mEd6R;^4G<;$5Mcb&R(}2S
zGTbEy`@ch;3Nd!He%fz_{Ck+c%}(~mcK)cZeqA<gh0P_h@9FIkbb^eg?9P6#Y!J9p
zmv)#YZBsK3yCc$u0H&K++n}TMI)k=8H=={v2Qw8ds-dm$`^al{gDPC4N7Jw5_BrXc
zDtqG9rR^M4!fxfOHf-mGOF(;%^vQV86U3qA<Ugd`wfbjs_Tv<^K)>4Bn83+Vld=Rt
z7#<G64cTL(cX0{*xLY&|-8j#70W;s4rQgwsCCAk)=?J5j(?1As8oFioQ<vwK^Z2PY
z&@n#9WP6jbGZLaq_S1`+rsd@;vk!uc5X6a`b~chkXUUh57GZ|hhXFQ;VTX*lkcNmS
zG&$p}&@*R5)&?M%G{&9szKa#|xgkiA#Xvjy(q7NXDOnZ<6E~8eC<-&G)D1}(JdRi@
zQ&q*Ch2A(;{V^AZfkM!kvumt&ZH7kA0}ldse?g#Z9$42WXXF}oWew(Id^aaP!?z}~
z(87E}CfNl2De@F<n)-x~h0~L;hK5I4Rzp)E$zMZj1CLs-=nVBSSmwY*?c0uT{Ht%r
z-gL@(VFXz^Oxa1BhGL>!eYs!^P@);D{>ietsf)(Sb*xo1<5P)PmSZTEV~UnzOqOHz
zmScxeiPxqp-wTzvf9DIzq~<GmLTFR*0}U2~DoLkyGipmon+W94)-NdkUQl5SxR=A5
zw(mkYMPJ;nY0p|&3EarivlfS`)K_HaG&TQ_v1`sPm|`V+OQ>cL3#6gg$7~mX^^l!k
zFO-=FjzDfF`>Bdf$94bZ|K<noMfO7Im4mf9UU*ph>Xo*2d1~$5-ducgfs$R+{ObEv
z@c2~#w1g?}1#0yp3;ckr(PMzDN&jN~3j)Tk{1@q8tTRH=*tE!Y?V63tq$t;NO(Nx#
z6w*sD8qnID$g0R`h3mGdF3ct6C0AiKx=N|YdHlaR(8ljyn>5va<+~>w?O3)mvkg30
z5aaqr&ljmax{#m2H8_sM7ratMB$APjCt%tBi8$rHjWgih{YCZ{0bdv?QOU7{Z_ZM>
zMSGb2U@X0mOk8lMm$hlfqio!aj$gBv{_2#1f~Is;Rh+uQ{%Z<PzDR}mwpd0YNy^do
zFAb6ZpCrlt%aQi3n}EeHgsv6#&yafo8^$ME6667`2Zt;)1t)jrz>7FtqrEg`hfm|H
z(tU%Azf?i&N2<PsR;^hL{x*`6qsiBd@0&bw0B7VAs0C_^1P1f?-lgAi@LJmwyYbDu
zjmp-ldz%4SdJm=->XSN5HvSg=w!yp@esp7m<H1tI=Kg2X`4i0+#O5C(kl^w77cn5s
z5TVkv>Dj{+d5ofy+p{_8l<G{$HeWxkxs&0X2HzKAdWB*Vk2%*DL%OeoEhbT?UAgsG
z?DqyeiX$s78F{PbFSumC`~{cXm%reWmp`__>xUw>!|Pwk{_ffsX)-YF_&;U*C)fWZ
zujKLr35eJC#@SCz7#`c{;dT}sHi`W6J6m23&C~E=YkHFuNpoa<JOIB#)0NPu+5X=K
zf31siNZ!6qm9)%S>zs$qcyR%PP<H$VrB<}TVZD2y;g1;^w0U<GSuD)Tb1xnCInAlH
z#mZw(&4x%zbFd5NqVgQ8JCJ)uqiyI{E3<4_hlsje=vhz_^v}1P_V!5I8ck(d=qVUY
ze`8(XVY}&y3U)fI&Z^u|2L2X?#Q!d}esLCgkrjD~4!+NXK50jo^S~)EGK}??5P3<O
zx_|9=*W3Le0e*=cE$7JPgyh)#1()-ezu<ED@)umLNHqUH*KXne_p;6}Ddf9PKH?{H
z=&%;D)oLY!4YiWqIS#vyXjNPP&OJQsAR^TH(_%b2m7AWo)I=Pclm&Mk@h1y5&X92i
zW8}$wkE@q)n*htW01G`o3%vm=VCoe-Iff{SQDiEMR76s1r{C|e=nX~t789x*HX5SH
zWQwfFMDn|NyL5ks0VlG{<JymjRi}9t%WZ}J$jfm8ee-5O;kl-}ra26-VjO8oClxQF
zo|B#1(#^tA(oYs=d?%y*H_}wAp7eeV_U_6x8#gdHrPu2P5u54l;Qk(m!4{MRJYm+p
zzows_H>#o7(;(KAM&edvynTh|pJ3U!zW|S!M{Y?U^o*A`0d=eg#+k!M)(as<x@1BP
zX_G|#ni6Cfb9yuia(XoQLhlQmFP6>N&;>X=T%q8dAHQ&i{_+>@Fkk+{9rnv#xWmEU
z-oQQWDklCm2kqIb-+X0M6vKi0-!Avl8AgZ6H>5aq;$x&*VyyEh?gFX!%>Hlx@5;$$
z=j8sBL*4hTTRH*O|6I~#r%yMonAy4WiDk-D6^@-er2@;T10_uY-nJWyws4FjsT;w_
z=0Ty~9xnT;6x6)rsI)C|`AT}YM1h?7+PnY;VP-y>JK35?idFPT!33?~M%dA0V<M$G
zFx%-k=;PsU+S>M}yLxmk>DoWs#kwl$^8k3a7f9aR%6lRk6x_`joKH)U#FTO}`SRu`
zDmCqzqOFX(yRxG81T<u3kTVpPRf>CDVfW5QOH88H<Dz^C=9JZ4n%vdPbmG_)q-&~d
zhTlo48f|4oLg?vm)AUu~@C{Vp(!RhqRDpv@&qG7{p%{CBLb?{IPPlIqpc6d`-VzMI
z940z9w0|LNKUb(ybz7)H1z#9Zq*7&=CfUksgMWBhZk<@(UZg@5b2wIra8in*#gwVf
z0_n173UgF8gfyWMgZHnTjGZNcs?f;XxavX((&Ykjj%BOQYbA=yNsvW|c{eIU$=ctr
zFP$fQ?yciDm#bjmvlqO>DZlK#T_!e_1K8ZupwACR`E7_6+0xKWhCh*JY2O%CZNAr0
zfIGRFfIC&j1pYhC`!=y?CqY}qL{D0ENk>{$^@aTxOlk6LN6A!~6U?ktk14oXu(7yW
zs9)fGLGlIl7tCL9#p0q0ITBBb^Gu1Q4tRos?ntS|Z>}MMyK>3<yRcJ`DGbB?s2KnT
zm1w7q_|B>U&-<^!42Iza5=VGdg_`+!XRXPv9<rkmuy+BUE9IN)k;gx{%}21tb^iuT
zao6eCmg=K&pOB9c@^H3sULTk^_;XCJrk|+3D=mH$u=oC(&_?wG<%v~kFqIwWLz-uq
z{dw(i%h{r{g=M(8VbOBM^N4!hGjX8zj9^dfP);|=g14LvQz5xq7N~Pr+A{Hze_ZZR
zE~AevDrkH%fq-hL*@4$%_$Vw@VO~xCaQS{O<#{c6*W01?(yx%XIX`I@QArq3UQxoA
zA`En)aiH~nq%BXyO(%AJrZX7Lt6J14WM0ronm@0PZ(jASe^ckt2^gI#J!8nc(A{+f
zG8j@TB~Q6;G6*&~t9MoTx4DL@IhWN(3#J<;8t!Vep0=$5EA;Ki2>;vwrhnsZ{oU`H
zb7(%;XtxMq^l3V8rM|M~2JTDMY3#VUmDD%umR2w^Un$thXrOLgLB01LGqY;QLvT4n
zD?02x5VbIBa{Y?web_pk%V4{+IMODZBrd}aClObG=>9$l4F`kb_k_jwPPpA)lztf_
zrhvDACnLj+@UR~Y4(U)=|3HrFua^$Ydx4>Vm&zk#ie~}+*j)dK7Y$>$Nbb`#>H%59
zkD1w~2}Hj=a3ZYoqVe9uqmbc7dN6y()!wcU#2M$f@Pc)f-CkOZXgi9V)H+#ZmrihG
zx$mJAg|T<M>finO#Biy&yDVFU?s*rxOPV-M&4R?+-38kL3N5H0IjJJIxao!s6cVJs
zguWda!}IPWK@um>a7x@0Tl7%Mbo1uMzdazzbw0c?OWP|y$QAs0Gj7^hPexMenFZwI
zbu~5L<O&IZeI^QeF`1*JQr{(Ax;?MD)+qD|fbi_5z;S8%Mb92cG5c<}0QtYg7Rl-J
z+lpdtl$TE{YEIW&-E%1wj&seF+0v)NH9a)zj&I#gyxPX{DFZTn!v)i;=e(#44cM!y
zH1spEqONpqCi~0T7I@_H<*147C_3{di|BQW#;^Gr2%2#Ilsnz;T0Ph@3N*asETTM$
z3pwKQk}z4m!Msl;I2J%aw<z$h$FT9t8^)9MLu0hw#v#Zbe6iCfEoRCz-F{1Rc$FaW
zYoz{r9ET}%k4YB`RmmcOI1pm@G_G9M7Bhnfxsf)jB|ue_&>CWzVccS5b&vK(4eBaQ
z^Y^#rUt$Z0Sb6qQ7y_(u>)2{RVXclFXEG%?rSY|kzq&qiY9iF9#AE2@;?=Cl1g|m?
ztgUJYLHLqlD89%hSL)LC9D4m#%Hx7&9x7+8$D)g!hsL6dh=pb<1AV$KMoctMS>>w;
zyK5IcQ(ZQ%o_?k_-m8yyATVoV;D|Ev&7TRr8d-Ntd$eNVdwas}egVR42*SvQGZrB}
z<YCc)a@J3D5ODD7Hz9D~M-1d-$Q}sK$Ekz7id=}tN10GaZGa@yllj*j2H`O2)LrEa
zhE@Yg<jJ(CH@zTVJMR58&WZ(k(`wXD<nuoEi$Usgz|bSU#EWV8U3-Ub{*gW5D>>72
zcksFA@w(*p8~YyrC%$F044cAXYt}T>hwL>E(%XnF(y*<g<LcRKY!Lf7Z!{{|RML;w
z#&<BMF06i#!e8d7{cXt(f#|#8C`#11Ej`%7oXg9~TOB6I?J`f|$64j+g)>uLu?+Q`
ziguI!L5lo29od--#IX$IhF&$tjjKSSv(#a8VnHvZsHJHuy<j%aGg=2Bxt2?9*4Enm
z_PVONj`E*%`X_5OzCT~he6*Isr;sl&2$r;j=g@LGt)?UJKeNB795`(&>(n}#n-9KV
z5shoPdnh%ev{Tb<o~1ZsR8;73t#%2bbB||j04*@{6V@%46cj6k2wad7gTfRI@d)^+
zmKm>(H&|9T1!o0TTWibfa?5=TEL&{{+rl2h+)BB?uRg|;G6E*@jQ$$>t<_w5%9{*X
zD$mqCoH1#;_qL|1pvg5uHSrQ>z}RiqS^K^oh22qE4;k(3bPhhxuTS;T!XxzD^-L95
zFrjsgvpFbqbmRKP@KmEQQN9sBml;SFve$l(l@rU$n0Mm-=G+Gnp8@@&f|?3y;t<u0
z0=^W^MW^tsN8i2nWkb~;TQzJE_Gk;eXEn0W;0|)!&NDbZmJLS~?^F(F6m@{Jf{?Oc
z;xLDgL@}J#!kug*eMA`FkU3Yxvo~bAE2Sf|R@2HlClKaX#+N609^I`7wyxZCjpFT%
zHzO(kFmqM)-_(+%zXVEhu4go6k6IVmVaptym;MP(n&K!s@@CFhY0mu+j(BTckG}*F
zA!BNl)n(Kj*UwZwQrP`Oz&@0D?$+&;)bPpEpALqEX6)sGTddlP`at&C`g+booKdx|
zX_X>4`&=vL>4o>v*fTOhx*Gklu@D~~vfTZN2&bYL)G9-w;vX3l8BTbHzazjvlqT15
z{X5LaAx7}r53D14dmF}c8;inFR+qXxlcDt-w5W`^e5&YO_}{u<^r~E4leFC3JgUxh
zYb!Q3JM-E%YE8`x{RVHR6eZFHEa_bl5ySf19XaI^CFm)puZF(mM3a+BgT0jo-U(W3
zC<Q2WHm^yA-3FJ!3<m)M-cw+5Q=oHWV0opy7D^F{!tdB2KClAtXI$rPIxRJXEQMQp
z)jwFcYvc?CmbSAICCSS3@Ws}{?$oD6heDiI+g;v|PD)2r?d(Pk{!CAEm9h6wONHJ+
zPnP~AI|#e^)x#q?xm_n)5XnU&3U@=;j+XNeNBG(=M(DotW9A9`^or-xgt<jTFK?_F
z(0c_J|2{2p+^s!JI?{NTIbv*R6D7;(N_6covO`t=vU4r06j18nXk4<i&8~Y#@D?3t
z!Z0a8J+l9nOUbuzz#>JS@a(T42&24I8cELeFI<qd<k%7>R}0*(Hc96G26s!yQ`f#R
z&MH_4HuZeNXGjv(?;4}0emL_^j|Amx55aRPc6s>vw$43!j_Y?XHF)u|SJHb&O`1|@
zZ#OizmX^=UtDKlXK6Q-r!zdG?Yg|}DkOrDRLF$B3vrgF31N%1k#NH$CvB!HZ*WnmF
z9i|R@b<sBD+>){@M1auH<0Df0wEu5qp-B4klYODvs`~)2)ee=DVJ8lLy%(i4x(TKJ
z-_JU@Zx%iMZIgQaP#}_?XX)to53nP=&SMxw9Hx};V9j;NjU@)jZWwY-(rWNG`#=Go
z1d|>9Typmk8Ml1*mMST{J3!R~AnOR#FPX?jb&-2#LMeuQPDbGv7>ebui0ccxqyJ{C
zMqgPKC0lB~p&oMA6OymwV{OwuFwz1aAb4%^J_%h5)rB#b83aNM8qU5FJ(V!I1_F9L
zLVKBEGt?S)ZIlA+pfVeOv`41v?}W498KT1kSmVBpv4Av8u2VvLjfi2od67QCSwI{n
z*IIvitC2&x$557|YN}xpCr2Fyo^v{l)%4z#ao>hDSKS)B2E%`Z`PrhqRGTCnf#6WO
zAP2<G9W&>MtpRBbk2F0zoT%!rHa{Wj_)?q<b}xkYr+vVS+2uh@eEQU0TsaHlsuz()
z0DTmSk4U#A6D=rXrN5L*Pw;K3*%lIM{0Aq(dir`=*X&^CS?)oyu>9YI-@Hyhso*Ob
zJ>E-=%P1Vy&+8`F*$|44-ar<tuKN#{W2VYn`Q!bct^k}i0l0V997Y)SFhMMs01@1`
zuNa80e`gz#Fu+s8SZA4)8witd+eI?A18dv^@s{xj5WY)V=e@FhCuaJDV}R%YYL>sX
zeOv$^gWYC68^jbOU$J%;){ala^xelfc+nLYK8AJeFkYH)4Nh)pUzbIWx4{e+w)PIw
zwjunH3aVTaVPIsbwR|My$Qxfu3+HX}sZ;1KE($*u#UKl_)~;=)fhGaM;2Y5@Bo$h6
zN|!_xG3tP2Q$JU`2imEzyvzNbtF_xXrNy8Bu(36g>F|J!tR0(Ivnwr9C)ATTLg3un
zA$zvuAdcOw-BaO4!L@lIV$d(2On`QFW<Y{jC#-Lms$B}WVhHvzi&7e(yM<f#uYW7{
z<mUGc!CvCC_sISWP#oD?Ods_%$xc(QuAi^xv;NvfN9?%lDeQQwQI4fqK~s^UTwM6o
z1Wi}_eluxmRq(-j!nsYZKaI-0%kpf@TJpGMYAObOn!<n)n^6*rrOyb9k-f=O8j#hJ
zT0TmBe6#`Hr3K03Z7oH|mEdX1**QS0yuiG=0`8#8CW{Yx)X@lUq|+gbFDdCLI=h<9
z_RseF*nIo#K$h9t?k#t=<fM;$N@%m<S-6K$UpjHtk=;DP_S1BN-t#!^;cp%SxCEd-
z&sGIW`pDvd(ukB(Bki*#wu#SC=wXDX*}Bn1zeCO|e7Wn4+L7LsN{%y@UU~yB5lvJ1
z(dyhP=DKW6LzsPQn0)QUwOKm1PTvDsZzFr`*{OIv0HG=u*;Ip!p5&Mw*%fwdEqi24
zCSfma*_@0I;g_~AAJa5OR`gB8yN}Z5naVwT-wySk-sT*O<WYP8u*181ljH@3FA?9e
z)Qd6zJ~fff31vX77s{WlZ2S$v<$JFW*9^8_D#9mx4Pm8Uw$#Uu3Xl-krP0%RKf<T;
zitM6R-;NP-NB|?!hg;8Nc(IVtUzMAbfA&eg`!hpedPHKnqn<ZzqN513s0=?@D1=Y|
z&p1B_q~v=$P5!jv4c3lEAw?rUX;PYVPMwZ8G8q$=pDn5gUJ{X1oEc4iXNt&%5LBH_
zJV=YORGAm<Wn72hvY&__J7f4oZ=A90UU6PQ5fTJd;)&gYEUY(T+639(&@0N34j$e=
z@90+2UECZ_F!OTyp?hUj*)>EV+;mN3++XYRtEAd;A${D-P=k9L(G6U=DYo)Z(RhVW
z@e=Qnz-Uou#pe{HJbi67c~8eXK62YSlYbr&c;na$gtQ<WxIOR*GSX=WqrVJdz85t(
z5A}}2IYnn)C^Rgg?@(rj9@`^y4K8;Ith>NA9LU9S5?x++RabqKx9O|1-@p-Detfj}
zeyR(5xZK5}Eg=^c&grvcvOF+|Z<w?w0(i?Mfl5T@yShhTd`7EL&+#G;R6It7%@u-h
zU7$G=%~4NmO=MagC}Eq=HXKIbsP$kRBt130opH+{Z(eY?UexeLQ?6g(Jy4G1KP_p9
zz0=}7*j^vxII-bI5;O&zL6Q77W?!NT^pkiO3Efu&E7wZD{Sv-e)?~6<6}*x@`8UP8
z59ZuxdT<{%d)l*h>R1`zsBm&1crjmzN$#yrn5CxdAKLHLN79C(TqUvuD>XbUdH<TA
zrW%pQ1-)1NCXxLJux}Jo@auhK0ipDyhyXSM)crIa+^iDYiM19_XRD;ngMPy<ddn?-
z9Ji`iJN|OD`sFH4BY?H2bHv{s%X|F%^@I_?`Q7XBr<WNjgMNG6vgtxwsQ%}=nXKNP
zsMaga`uMU4^Ao^u7u7F}$cAlM4jgFnF>}39X`tZaP*VPw<PPpSQ+}?TaLydDn<=KR
zGl`5oWgUqmFw!7z^1on+2_>W(&av)ZPojgO;`*z4OQ>S^0=p`HRTbXZ6&}-K$77!%
zj77{pOU@tsEfy`7#$e+}l>8QnbO}yNnb@Q0`dzrGgej7eKBs;y-&45pxNcfH;Q6b-
zP^+YO4I((+7gg<YETh~d#wo_-V)1sYwIHS>T5^Llev%i)ePlHns_H{?TCr})4KpJS
zbn~MHxRHKf1%YfKZmN+6j1Yb2@h{5LM$Z=~%^qXEz-Qt6rvPB~tf)-B)>WIl+N@s=
z#_(y?^=shOkLl7-F*49&=RALJ3=e>i5){AejsF#ERc)(FX|&A{w>9%4uF4j_n2WRA
z*}*1F+QA;bxcNuayk7dyiQNt+%Xue;<D1u1Y!#|#H=-3vYooUvro&AXO7#669hb8B
zrufn32+~ZC5TJJ(2j(+{1@sCIe6nTj&S(!~d_Z1aa@K6I@N|^#ra=Cunf-}RH3thu
z?bFTk1PgAr271l4V0(3BPxUKEoe*4;w;+LJ7o7PmwRozHMH_{(oV-VDqTcNc{d+0Z
z-ioPR=|_E8d-~{k!er%faT@J<ac@N{R}5D$`3FD2vn3evajg&m%%^_rxe*fm+qlVf
zHPq<GBI*5dpZKS@^6oaAXaMn+yS#rfXLIL%gUxhl;rDYYZC*~Owhb`&hRuzDyg<U3
zWRh~W-cJ~YyZ51q_TU*0U<8wfG5UKJ3wMAXOh6Z3dCG4_`%4lSAqpgaH{3U@z*oLW
z4wKMsbjK><GCsExCN_KLOxxa&gcoNY>zxC33fUnALPKa2{U;{5z{vETYM2$HfKNEr
z!2K?&lIvxNsP3jkxVknr`?41yaQU-u)1*#p_Io#NRM(z<7@i&A{4_x4YF+(hDEKWn
zV(_Z#q814M%+K_GgaA3g4YwU{`W?`n;ibPG>BmKnOTfU)TC}rI;SX;8GL*z|f4#+R
zaKjDr89^MhapWS-n<+=^Wk9{B7I%aPpdJKt#J+;JyxIB^BqEc8)VB6ndDK119ua1>
z0>vkUB4JfLV~`Fk`}5MPSkEUu#0OXp=+6Ft1Ysb68|^br_)QA(h6HgSfDkk@`|^kC
z8YGV4d2SC8qZBOF<M~S4vpVxOw5|le^8bnZ#%^*w{ijz5w#DP~!7H%Ty_?`X0P;oV
zJOm%R&F8eR_GLu5vAL;D^P-=cU}>lKJB>?7X~?_jKj$vY<>~3lt~jHXGye1KyIob-
zqOt&bqz|H=XMeTIsT3JWIe$73QwykhJUw`38&R$2seec4D|!c*gnq&~UP%i0edbho
z%HjIj;`-|0`tt33eDGpuf}&YKUMAOf{W~~dm({JACZR@9+}nl<S+=8!szVAyM|T%&
zH3|Yd)u14UTg>V{mvR~h>LvxH=}3pv70!BVi}`!ZFyiPCnmfapzsw~xSxELiqHb1~
zi003;Op^Y2=7bK=(ffFH*3>`4Q)8)DDRfgH#yk;d+2W(}UN~rpToG-IQ?ZJ2`Y1Lm
z$Kc&teY`v@eY9@B5q#H1H|LNW#hQStpdDdz*p(oRzbFcIJE%QWN63ZRX$3W@5fz)A
zS?``wtSdE2%i@<B{F`WcS0AHYoMJ55uqxm3cH0i53jj<vfoo`a*TFZo_~>!vjj@%o
zV#QCE435il7T74{)Bjja)W!8;MH2sc28?ZEOPDMT{n}K5ElHKGj!2#mRTdgIYN637
zQrdHtEB&azZw89g=oP1@*k|+QgnMr#y$6QOd@{qmhmziRL+5tm{{B!!dl?rwu7=9(
zMrbe>=W0D&4o3L&MtdO;Id=G?@wOd;@ac~B!Y6Wk1(WLl(H7Vp$ct6gmytCc(B!bi
z;{O=!R?6>W;@p}N1Wg_Ph7?I3Q-PZeH`jYfdQTlPll@iWqh4&hxPONWJDzBuw4JRA
zm#s2#TkY|+-~?>O*U33rtTbSL!mPCIduywhq8LjndNFiNuL@K4%&e2BR-t;?e(QWs
z*{Ngch8JO%l-}&+u$)5d@8oP)ckI`K9MLV8^O-+m89!pW!BmdUsNImQZtUhVg>?_?
z1E*6q-A!<Padz~X|8*FT-#4Y+AfG{<sV`9UBZ}ZDhmM04BUx6HWN)$7n-9yE89U9A
zhJcO+G;;PO+j&s-3ApUC7A^-JcF6t9KuJ3yQoybuj`tAIrrkLR?~u0_PSr&Wl262q
zpDkwSVWhM%*XdV$#ZvjkrJ50Y^x4{})319H65R6$%_nFEERGu^eC8N$&NFk?jq65C
zwG$juaT9;=X&jDrxMQ$pFMn3g1?up*aoou_^RJV^7d+F+x^^|c0x4p?95O>-djDn_
z5-O5cGP+Lnvtb*NB|Yc}EQd(jY*xpz6`}`B%MX7{5SIbQW@UNeDhRJzzW7gR1zLG*
zZv#a3`ZrryjUR6Qr4Gj4{Rc;J3P7fdRettXyS)2@xXg7+s%ZnhR=fG56QCe8W~&Ii
z1f#?SRgo@o6Lcv0+cH$H5{l?@@J#Vn0$KD4HWxNyrfBKfT$@GL;vDZU=O=39!+!CD
z;?=|J_lW8NltZq+z8rAxjb8(J$jmkvZ;sOc-bjyFK#V5Wdi^_}STLXTJNFJkG41RX
z*ObRHkP}_mu=<e@Pq2g+-IW~`b=bulr0M<(n}}tQfy3F`a{jOoGJojft9uZqR2p)v
zR6ayl*BoxIb4c$)f{JFZ#b|Zn7TrRQ=^%kpLyptdkNJH&06;GZ28<B8<LOX!tpF3w
z#mk;Dt^EBkESA5zzUS(+WfmCs#~kBi<DL{CFb2G3*0f531hJto;AbPZCFWO5YiH*m
zOooGdrr|47a@y3L?rV13gyUdS`X1<l_x%HHn;XAl`7xar<f!nI(cyZWUdvq?&wPVo
z0=5727Qg~B2vlo-Uu<0TFK#cY5U@5bV&pSi(jHQ16oN{{mSXEo`QJ3d`xJsOk79Ji
zB~b#zcuVPh(g+gT2NWOSQrJX-9oWT4&Oy=iD?NiPsTedKcB$J;6!@UvvSEeHvL)n{
zuaKNWU7kqnx`DtnQxl3e3Y%2{)A>*wOso@;yNmuWiO+}>-s9F07F$_BMje>~c3M-O
z+o8S4pK30L`ed7UuXe1;1TPr8tV%0@M=tOg$Gc1D9wOjaG2l4#V<rOZ;h`7^dA96r
zXpFOR5YF7tFA}#dWpK`T7ts-y;z`a7Jy(CIk1h5(oVUH5KvWtYLZ&6fEC~bj1)ZC1
zYcqGjs}UXTPi^GvJGBPfXo19x_E7ywh3;jAc@&20YqPwoKgM}T#}}V8f<P(Idq%{6
zyLr(*!-d~CdiH}v37Vj>v_9w|5xcQLC6Gi_j|WX?6u}hB*VPC;UA$ngy2kIkm&;z{
zMkM5XT9}J-1g2(y$1Fss)|bvHf@etw>upT(6r8N#u2q6(Y|@+JfW%?>Eu7h7whj)a
zx(!A(bA5m>0o%W2+Awo{SYJN2H{UCwtq~7z^6Dqau5p5A6^Dc2jyRGh$t|#gE2vux
z@RiLi^Z*3uX_>|1PQ=dH?wRS8+@jH2k52QhQ9~9QXTD^TXR&}&)b~`Ody9Z$M%D!v
zgIBJ=bUyI}x6fs!X7~>&K0?e^st>iQ4XIdu6y5`)xZPE-tC%rQQ4+9{%6zuc)1DS9
zC3YbermcoPbGnZl;kOXe8v&A50qz<QU|`V4<|;REf4Zii)TIe92u*NW86rqHgBIh}
z&}p?4hEd-!y1I)pcqSfzRC93A4E3fhOgk&wSj}0~`9K|zc^)HW@%J<uBI|Abc6@43
z{RP8i);I+U<As}ExA>t@*!xlO;wv^kO8A;InW2W1U9#4oP7@Ue@DCc&;GOv%2$)<y
zt?lym23(=~F`S=S?~%XfN<qqMU^maQeuN6nlDtp^9IJs1MoichFTO}B5i|Q@_*Ddq
zDnTzSS)TBpwt$_h8ox)jPlQt#8NBid;#{l^H$g@T%@^ytjnTb|(SOXK1XvXdHH?$2
zA(vzu>7Pb*Ob|SqIvh+2zcjUfUKyNT1r2161}H=UmE2pV-k-$G87a?l0BgBax!u+P
zF>*Q3d9erZWfJsl{c9qzG<^K{8D`q$dy2Sulk{9!`_)P4<x}SBs?I|ge%HD`H%ieR
zBp0EI`rDQG+jlZWYBJ=l#>_)a)S)Z!jGyh{BxHLVKa1vci1(XAvQU?BL8*i<oL;Q&
zrQ9SwPv_?tpWJ*AR_hU<7%2XDVHphw9wvThIV8r=&fHW$CRtfyg&@{13mYxv28`Jf
zvo<j+T6dl_5fK1F(tVsJaU7pCySO`R+01N{Byq7ai_WhC)}MWBwg#YiF^i~G35P$2
zWLWrTx1Qw%gn^R?Hj)O!c1itJG198}wIu7mRnMInun`1LP#^l-G>M}dIlN5<@^K{&
z)+B$vA}k>lAx`xhzFYCu>^M6@m_F7XQ(Cp#UBs_>;nH$vvdOJQIz=Z4y3j`3TQ3j$
z1qX|!J=9vhxo*$B<ds$aN}9wC%#S*f1jfJ!>QoqzJmRHye(oq_t}f?q^@_2;TMzxB
zp97fLDE-B+BQkA>=uCt`cs;+fDPQsmv1=NSP3qf<k;o$`cSs@kc9<UN_g}Wkeh}!@
zT|YJnRzdNxdqN7`KQ?jI_ldCi^Y9cYc<xp^Ub}Tl;!eELYh~}^BUv2NJZ4fYCkQPR
zI6%qy=d+J3{h63&&*WZI3IXMN-06as$Ui1&+R0e;+rPdBRN~$|R}S^{!*eun7ur(q
zWW3^r)uQ~e1Krc)Q9X-f7em|^m~l;fiscavF@x6qWEWyiYr1idAKVv6agSNt7pr6!
z-UaVSAN7g_os326G;>?O8~B7h@}>2N=Ka(P+{iCDXEv$kP-0F{LhKV!>;W$&AyR)E
zwg;^N5e@5m4f*10({V#=T{Lrw;%l}&F>+H3A-vY>Q4z=3-)<b#NYkWSne%w)<$^Fb
z{@O56t|>Ea7UdqU!j8a)+b7=Z(hsU=hD)>jq(!xbh`Hhl0vM<oh0{uV5E#JkzP0a&
zNRCSsN+Z}XLx;go$*{&1TJ4x9wMgR8(nTZTnbDprtHHY$J!6(p+(ra5PzK}Dl7SPD
zvh@n?+oMfPHGuEMp=}1r$dFgZ!XwKQKt-T~n8OF~<yQw4XO(6BgKHDv(51gIYT=b<
zGiyT*FiAlceE*&TTZ$_fUL13@b(=~te%LGi$HMBD!``=(!ou2u?^g;<c8ipj75a`w
zF)&@w%!PPOombLpk!wuY#FfO&&y{RxeR%5|TLlk7PGmnTF7_)iA7QbcG9OK^hR@}W
z-jF(ViX$XQ7<tmR0~%b{=Bzh9R#C-!FSdT*YYBH-@>@CJ4?ac?=@u5~HThNi`ZJV=
zMX--lh?lj2L_Aq?VY=HpOjXG<k%3SWF7Ok_h<O7BelcX*Fx=)BvriFIrRrSgp`R2<
zm*Gl<PPA_RC%4eU)yZi1@LXpOM@gH0R5s1iqLi6hvHv-oav`yhL^QpfZ9r)aURSi`
zQ}6pfKgQt?1oHyFe4N{ICyVxtpM^EH71{HQ`GoGo-Ux?3AAzRc#Fv6YogqhU;;6-t
zwy$uuw2y7a?_$>HtiHPgG$&HWW38Cs!{DpUaF<d)*|`emeON_gyuVRSR?5lFy+RG<
zDqN$s!>-bv3mC9(mCpLqwI7~)_<pMvKH~}j7@SS6=*}a_T<|t7n>*yy#H{Mc^r6VO
z$vV_@4Ox;PoELS>tr@I%M6P8{ZQ-rJPE+ep8fXJl+*?C1JPpOiG%Qom{DL&8#Dh5~
z(5gLa>}iXl-K>q*BB9!i>k>;4*mk!tJ4K><ewR28-p4td3-dfW70o)5Y5co#jW?}c
z(r#dA+G(`=6Hi3#RmU`|O^cB?D@NtHvh)$yj;A&X(~B=2bHK7R_;t&A$VLPPW4X1|
zvYqw|di-s$udZYmtU9p3v<2?w=KSfJ5p)aZyy8$dqT(N(RF<UF8Wm$RNK>$~B35~z
zEC}k$LQ7?f1#~9<?bG&?3WrW{?J0Zsvz8SXD$Zn{xZp2_!B`?oJe#bWdToupo-_Xm
z2?qB0=?U(eV*3kI12d4Bk<meyCh?Xj#<aZx0eY>jg0Y_6!=w0|KO>cKGnN~@Y$aY@
zR*qDIToPk8c86Y^6&KwUngBi~T<9;pSQHid4=TZr?+P=<WMT1T#$eMtd>-2u6kHyB
zz;<B9|9%Tl)LVt(Ol5uS1qg`zTPZLdI_e|T5Un!dEz4~$yL6;y8148=1S>Nc9V126
z6Zw-0iNZ~0zX@KN)rY{a^KNt#l19|54X~mwX@{YM(ZTIzet;_vHSq{Gokp(n?J}`O
z*R!+3?Mh<6UBT>^F1F^_QqT757O&8os*0*Y617%KVUyFKi(t!AcafJWf<Gjws|`{M
z@y;kM=m_Kp*`uaf!!OuDyi-bxt4}-~B^jW0*MN!SLxQ>mmR4Nv9O%xmc^(+Hqr<Y_
z>#5Rgu=;nBH0jg6TYzM`2nnWYEC(%ZbY^RQUGeHqm^z2I^6xXI<^42*Xqh<Up*mr(
z?bwO#=JsY6%O?z?#mr5=Npmyfh6e>Cu9LEBR~dJ4Slc%8Qr_k<TF3~CEguy}7dD=B
zxnx4O=Ia55M-DjNO-oIG$nPCUkRCx*(oL0;u2E-Gzcit#JDl!+nZu7<*GTZLOK!yT
z{9BY4gRKjGhZz0XQoh>;GjC0iwbWXiSRs7G9Qh3USPfls{A*Xh^Tv_V@>m`c!TK^X
z84|R0@~2P)Z#B9g1ad?Zz1-k6|JXbx^QsnqS#)Ep2v|ET;%#khx2h3Sb#KKN^pKj{
z5<Z1maG!(0Zfr(8?i=p@o=Rk?5?)F}HxrGYEOyx{YXweZ_?jC8`F9sB>6}6yrAKtF
zui5}|J0x<4mSx}loA!WVNVk2b&@C?UHzp;bBy$;fQ|x*hPiA71yyj|hwBw8Q`PEJr
z_*U<OhlF>Uf$w>VKFR;u<Y;AKVPWZ@I-xX`^Nuq3=j=z6(HDQQlkWAJ(;LUawl{lR
z!drm$ra_w>k(uCCz#%EfzQmPwLXFA80=E*MdvqH~(R+ds-bI-fRt_^6%{~swMO_iY
zr*2&zWD^EKl_Z4W4EO!E(~5$omqE+oXl%$pd<i~zn~Lw0wf#VUuy3Oh!M_)A39-3a
zs~in9TRX!|!*K;m=oabYRr;{HU{^dyc|0E!!36qb(G9)gq{=v}wHm<wf=^#zm8!x+
znN7NW|C!lhkYl+Jmuq>3j}k)XthB-EJQ3TxGy1H})8cn<3q<jbfoGueEyq_g8&%a;
zm@9;9)&%mj;2rm&99b;<GnmZ&l`r(sh}5r&AU43e`FrDl@sTtl7*rfWaM>@O{;e=s
zqaL-;`&}Is<2l|XwKC-EOTvZqcIB&8s%tn?LSaJNJwC#b%66zn+~C5uhkThL+u!wi
z!@6xQ?U$Ly!~aZw;q>-cVdWXDRu$t5?OwfkH8vXuHItg+Ik8u6Cg<xXEY-TB1vEaG
z5y=+><O2E?NcA>$Pq6+movnh$E~{6qRR^&j$6OQZd8R4YYzvFLxn6hstYpSvr@$RV
ziIcF6GDpI^gwyi)I}wO-i!;)8C?t82ucKady}!Re|Et3+gve-E5udH>@+asY{9~LW
zF&W)L8zJLJ4$XP9e&+`SM<WoK*}YI?A{vofgVEODwoV|9Ni9n+S)#tbSHLU{SHMLs
zpFt+%sBos2KHA|BI3_$wpa5)gj;a=-HK5vnJRgKaU%L3QeZkuy>hictCri9ntfub#
zF^oeyr>!V|+UJH=W%ddPl9YT;&?YaLj=zW7mM<nI5tQf@_Kex97>~Sk3X3)YjUlU*
zpbJdejvoimR11WkPT8RerZ(y7t_5fvV`ycBpCH2Hb0&5;Lh(P{>6x|pMdBeVKK0~M
zSJU<tNZ;O@))7#(d#XYVAbBn*T74@ko3G~gfbATF`&*6oLK9Mb#qBS-I?F$e7yxHl
u^jG3Ue)I!P{Vq71gwj=Z^b^6i(lwe(Z|WhKl)hemG}yQ=fb_pH{r>^7C6&Mc

diff --git a/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.hbdb.sig b/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.hbdb.sig
deleted file mode 100644
index 6c0af65..0000000
--- a/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.hbdb.sig
+++ /dev/null
@@ -1 +0,0 @@
-c5eb7f6cdd530884c3b884e0a3668ea4
\ No newline at end of file
diff --git a/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.hdb b/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.hdb
deleted file mode 100644
index c9ee0ba43f7482e7e3762d301549c03d6b54a9dd..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 13307
zcmaKSbx<A67bU^{0fFET3l`kngUiF+-Q8V+ySoM_xVyVO9D=*M`{vtU?Y~<y)u;Q+
zty9(2x9-$EGnfz%5S2(@{*4e2R{t*6f2eBW=wxAMOU%Sc&qU8iEbL-oZA{G0%tp+}
z#lXbOz|6?RNvtGAEMwtpLM&=*;ruTa&+~s06!iaB38EbNfB4_{%JRRt`<F8xge+~9
zWH%TWL2Z6}Yy~9fpCtJeo|1tPIyySXn8#I?6>U5SzUr<CQ)uv#65Ij)V#X@+(8k&j
z3g|Nmp$^yz_Rj=vm|xHpdR<Jl5Aa>Y#N|idKC3r;TsBlM*OeB2No?wMcRj9qeH><a
zU9^t3+m*dDr%$+W=EU0*5Kv7IWwGRj=5sh;eD-T=$HhD|$97Eidfyz99IMka$*{!2
zS>O&6CaB)9)&+X+b9n%9x9G;xDP$R^AJ(q)t!G2T8K;-oB(PIK{Io2g+cZW-P6sg0
zW4xpN`f1`Bzt=etryWDy?dONbqgT>x>Oq2SAP0y94~iJ^?H1Hw#+dHR*u!(iOSs#2
zeP06jXsB_6uRtW!O}Ni>Mq3OgOpreV0CuRt5H+PfYCHZLhgGN9XQnH&4c5F^ig?lP
zUl)FXjl;t}_FmUr5A95`nW@7`Eq##s)~E2%h9mTOee=<~{UQsem_vA<5n)Ua^J^Q$
zW<gkPqKECl41LVZ#|2Z9Q_K8Zsf^v<32pi)V5pJxAvC?@(uB39SHh?-Eyik-wYl{w
zXQxp}ddEl-0nu8g7f0(0R}Mr1d<=9br|;HuyBnE+h+M1zWI!V&08(8p9FXVzW#`uZ
zs%~jG47$W_!*i@C3@XqhUQbCwIA*2@6lErvOtzpOP!HDDH%?<WLCFaafz@zjLsXaJ
zF2)@RvN#c+q7X2KVMd(K`F6Jy$wl%#IulV69qA}R8H{xKsECeGK5;g@ORNp6Y9n;e
zKlQ2FHC<eJrW#?r2!T&psQPDo4vpivuAKEKnzQbr*U5?Cpg72cmol1~<IaIef@P^s
zWtz`E7g+vCPB4E^!6~EvA;hU$e;M@Yk(p^>PLARb^h(>3q<(>>P>eiqrB6JWn4R=V
zG(JjsyA>46T$e*G(c!q4?x=8f<#q)yXf6ACum3k|_?SaaPI|C;v%}}${MP;<_E4y`
zSH_g=^%1nD5%`iJ_~|m7SO0qmViq@J`f}slc-B9fWwmAkde;7L`}xO&9;Yt-@b%>v
z?*7B>cu)pD)c(kgu>%F!BqIwH$;e?n^^?6Ed<b!_pG|+L`pH);<XrLJj1nTN8^?jj
z>qdj#y$c4Ileu}37J&M1@HZq{BK^*ox!o!m-SDr($Fy3BXChjRX|7wA6U)<o@{GCW
zinA=fBIg|5?hpN_Y|(ny<}^4vjZuh+v%NmVF?ur6IF7bh-vODR_=!6_>JfaFevEgx
z@*cgOk5SJSzisCQSy5Ey{#_(N_>?F$N<iVJ0~z&GW$0@a=Odip-91wQC#()1g@8i1
zLVQ8{*)g(?XZckW1JNkA`?5$AtHWB=&G&Yi71^KDsh8^ywS<0wM&GL!c7`NgU|(0J
z;wM&?T7%|1I8#3c9AQ~pVLV11b+L5_)k{Vk`^jPi#)Ee6`=Pr5E|E)qy+O3EP|4zP
zOCbs`)2aRHwrS0vIkegCgZF-SaVPJcmHt)!NuTgf!OcUP=F;ov=g;69wc(`9+F%Dv
z-!6g>p){*kdsMIQtDo_@pREto;@o~ykJ)z&8$lP)pAyZV-tUL+hw*EEUBB3twHH6(
z5Z+S7qVyQ_OWrE=81~$IKf&nXuN`%&hJ3{rS7cJOl!3fB8K9eycKrae<P77FPj8%1
z{B-ofJy~0MqQ?XND3${P|6P3t=-dy3bnh3+NGBjOprq@u-F-?BZ;CW@7iXxKdwTf%
z+@8al73MQ9c5Av8mz2_8EPJ^l_}B_OCC-&D%W`>cT9lb)Myf%_ebP-Pwzm##%s)LT
z20fkK6GhbiOTg_m=hw1VNPVrrT+_<2td*I$!q>0@Hx?XBx(?SlS{_((x~;}7djF%=
zUMLN@<$z*Fi~dk#xm|$}7>&1f-Vo`yQ|%lP6v{Vr0Me}PpX`}5M}^ze$LuGyrG)Qn
z#l=j4Nu87leZO3jp68QV=acy2ljetx5Q5C)ygXP5GlxLfbX+pnI;o604K97oKJoYP
zbN3~M_!5%MoaE~XNzT$=kB@9hI(bvqi0KU+BU^rr%I;uLG>1#4tx^3WD*h3Z{}GY@
z2u6B?zbT_7HtF8~e>j$1W$v7z>#yC2awRyFF0skoSPuGyJ#jRNEHZk1JCCxOl}e6m
zfVu8V#cQ)ZF?5{Ga*9l7G;696rtf|;b02^0*f=wX!{8KWq;603K?rBJ{>z>Iu)Cq7
z46~o_(a3bz$WJB{&)(sDAy1*oJz3ucTPP4+7_I-@7=sbYf`EbxAcv0(-(pZtY3MQO
z;Qb4wLv;cM#Xhq13(Yj+{1k-8G=%rwr!i+habFC<PHhbWds>OL?vL@{4Yn^9A>%Y7
zgDAx-lHCOjf9FyvJBJ2u7m!5!P-+7fDO?*^&kQtpG2;mTux)lTZF^oBV;7&M3tD;D
zKHX?LcOUA=LFGYE&6x6G7DgRdSPEJ8v-qX~ZlZ_|gOaYHeBzjW3jvBlfP0~_uv*|Q
z1i^|Ki1VkER|0ci&J}dcoqLlu8;q6^K`$;=0v&l+BEB>lWpgUAoGr6Z)|zD?XX`e!
z!_U=0Ei&IKc6t)d;pwH2G%pUh=80ylBQXWa=KMXvnXoGme}JL8*DYpfC+5Xo321Q$
zM3fKk)|w&%@|pSK`w(~{`4GIo`{?7WkiETpe{Id>Us-s+8{N1l0lB&h_|#3m9w)AE
zfO5~C0}!Y^2gfw#enAko4nq3xQ6NDitkObA*f#tl7XA^Y{}FT$H8w5m*a0&rO9zIG
zu==aBHuR`Q-<O-5Gb0Ca5Sr-L4|bTu0&S$5ThpRazy}4)uyMTbui4d0O+{X0FRaCl
zuLBJaetDVA7qT4n!NlnIVOnAPiWABkkR;fR13_Jmf6)g<7tsgMQ^F6k&OQU3Zm1~3
z65n;vC}V8{IafAe9BxVv=u*H`Gfwf=SdxU>gkPkkPxi!;=0_{3$`Ugb6e*j37s}a6
zNM^4kByhJ<lQ*hp6{qDuUt&5X7B*RqW7a3^*({_`ACQf%meVOs{7h*6FCge&z}L9K
zrju0aV&EuGfgbDup&i!*T{UK6U@pI=n=UZ@o%{j;OT1zbEBa$OS|g4#pkb13O((oz
ztzetNmbFMbHj%~-;y`X~-^)~JmUHJC1b)cm9STv6sSIKF+o=km(oCl`#~y!k_4Wd-
zHGYp!J;3eC+gBpg4ci&FDE1(8R->QkNZ>rI6%EX)=d`z5ZvTGBzh%+x8MkXfQi2;r
zaggPZ$ZsZ@+gy0rSa?}qc-dS?R8y#DE!jPg;A>&|BK~_)E@*BUa<;jKhQ#M<yZ@cd
z8RRTS9g@W67(KBM%Rl1$KcWGt#^$)gM*7f3i`#B>)?+ngYPHFEqp9e&i7vbO|2=B^
z@5pwwGTa>Z-pq^Ra=yCl-#9+G6+=&HlM?thw09%FOs<+WTjyd8#(%Bp(YI|L(%)zr
z($8rex}#=%N1X77tXB`vDCnV;jpVA?himDOwXjBzI!C~mU&Pv*g!9dqTrKLg<SGjE
zRky@?uW6DRi#ZG}a@7!^ZCrXWC?i|~NT0X+s%t$CMw>J64ldcY&KDzZDXud6OWRC9
z&^NL{wagzNZ~gtN)TR!R>L~%gr3gUsC196UbnSqkcbP`oO8N+_#LUi-^6Pzwkjt6X
ztJyC|EV;wB?l0Xl5Gh8H)6IWbCCsF=vA=%*vifdg|7ehH3`=2$(Et9#jzcZ2ulQQu
zQ&^SQ(@+VDvMuvbACWxohD`kH21C)fi6~>4i<LJ2aY84SrSqN?Lo8IrClsjI9td6Z
z0P}B~$QVg~@xN0w@<_;A`o-nVqml^W#YzaQBS^N0>R*bvS805ib%)MzQ<eA+g8m1w
z|H02!1X<LPKC&z1#!k=U5<%*+O7XYMw9mKk=lO@*enl;?Kzm7d*MB>YZ=iT`usMtM
zVqW88;<Q~o$16k5bi#FN>~kZQ-Ey1r01ZJGOYiy&D)M*JHn$wLvlsxi$WShG?TLKR
zW45dkygQj_P;)lJf|z2rDiSFKaPYe*1d#r4$pDQGM$?X|a6_iRfwcXH;bx1n98ETy
zF0Vt!D=3-Ie>!i!k+`Lq(=Emo8%H1Rh7O@N_dMOzxozoNjgm#)w)DHpC=-NAW)jT{
z<*>-|Q!vnhM9l0h45=wm_$<Ph*yC{$v7^XQ=8Cm%m^^wpQDo8jZ}ViFrkHQ`3~r1G
zQb8H6-sFHp_6~^qzPitq&eyUF+;<0GWOwr+e-EDNRS(~(b*EjF!%YT5;K8!l(6H^$
zMcm0x5gemu14!7hRjrqg)O8ocrEpU@BSINY)O%)uL%|IFPV?RBAh>tlsiqL@U8CMu
zYzE|vu4_6qy&J}tp~-lTzz}YxgLs$N-{>rQK+gL<2<Jju<fN~EZu|GMnz{)ewtP%A
zQu_zE<_-jBkv!((U!9Q$+$!YU5c~?{Gzge!1GV{^CeaQ-kN$AE+ll9^^yiFDpPguL
zlMEB_;>{-}Piv=(mmXW$gCys+i7EF?=lz`F;&Cb7rJ=~;J+R)oxdEPd>xV|4Y5gC!
zO3i%H)u!=gfBh}~s^w&|)^*xbolREQ!`@_B@qE%a7k5b88#~~vN83`ccNtFY9vQbv
z;&&bJ;?#G{^<S}iHbtV?Xj?mn)5MOIbd-7@#OKb3dK|3jOBk8UTHhecwzm+{jqi~>
z4{QfMpI_mk=RkiJZ8C#PLLAHw4m{R6)(_rIyFQm=pgxIiaorC5f*cN?IlTkY1w=@K
z)OwP$SH>TiTeF}k{q#+#oJnqHgo<^xaE*`oZjWiwu=iNRPZu!t9>~_E;t5Hf@Z)2G
z(ksZPz0cGSZkEOi`LNy1Yy;Cff)9`;kTr5~{gU|7&`iZ{_AXHpdXNf}h!~s^Nm*Ly
zZQorV3v77Y9eA4R`E|u!h-sZ|d!KV1v|({OICtDKY(<hAuO)lB3Egq+`k%>1{P1@~
z!!F%%hLpgkL0~JA9iH_k<^j=VXpQtt10vKt@$EhOz3E|h7<kt-hjS;av;OEP{^di}
zy=jv-g{wn5oq8SjH-b73q$~?xgfZvuO28<$08>A-cw3BN56(JbZbI*scY$BdgvYn&
zU)#SPFSQ;MO6Z*xN9!dX*zP3`<A!l*#y#e6qlTW5=@6E!=5YS&*{}L+Xn=NkHc{CR
zO=&JI8~62{vTncaY8;k<y@K5yUt+}1+kNQ9mpNME4>IL-6Ev>eUOACuxrR-J7Tb+Y
zeJ%xkWqlp|E@kL9yKS#ua?*1?KFEDeJ&Ttr?>ix(9VvUt+ee3G6c`*F)4%R@(RUla
z&%PxzN_%265aOg=jH1XK!Y$J43MA^)n--f@{;q-g_BqnYMJ6|4ovFi8Z*eY}21^3P
zVx1Iq-^pV2?eU>96Za?`n0BnSnX9)XP*cpX)NMTJJbj0^S<mYCJ}3H?UAuntITiEK
z#x|^<VlyEOE@-rxQg<FI!zVck2fUa$=4VM}gnDo)d2PCw*1r?LEv@|5mLife;6Uuw
zX6sJQ3c7EDEoFT-806qvgHs4Pa1dbdh?7RkgpOb<q%pYhtiBPdk8SgF*RYOxWX{tj
zgA|`VYUb6a_4-&+LcUo5ai#b1$<rtDIJUa`Dv>QpR|D~{Tv<Wx_IK*`?j2)P7ruF%
z?8uj+4qT(ftZ=s;mq7wpgOu~WL1~X?zR^z>VSd3+$6<cqX=6?+Z!!bkwy}?WPjma$
zxq8G0AB-itW@HB@Cr)UenAc9|x0GA;VJpB;;x52-e%PhxMA2a^8d1noXc$-UJH$15
z*rm|K>DDC~KgRWX7#FP%;2H^iGx&*WU{lnab%zI?;ios;zqGUy_eS2iB)$;x#@`{>
zu_HSmzM~rm1G491XRP7ne_gHlwW~l{ynbvwk@@Aoa$snt!0?6Tb_I6N%t=0ETcf#0
zNdTYA!XldA;`lIQ>Zl&KNhsMTz*WSRYEzumkJ&DO&-}{^)!`RiVW!Cs3@oQ8(~lf(
ze#m#uP$3uw?SLekPhA+`Iiof~BTX|kixF|E0((nqK_{`i+f;?wHe-N|v`*{yHM5aD
zQ)V-=QHQEFYYm#YTxR+5oM-QfblpfL{L(GY7@%hr@Wqqwj#N<N(-P*BG-z5Xa0jC>
zS+$J-d?%b6WRe>{zR{noMZdY4tMyj^+}z+dTCmpBlMtYNnLyr}Lmv71f^5T>g7r)d
zTD#&OIBsO38oC_LXYK8k?}6o(@R;mD;nRge#S%kGHndpYgEP|5({N=)biUtD`Hea{
zsZ4l-LrjJ&`kLNTh+8EC+%;Md)`R}emGUDvU!lsFEC^JEBVb9j#n@);=*)@{CPVbl
z@@?S<CNHpLFx!g5c7rvM4rDSnTH>;959$6hZ32_!AsqYt6pQLg&r&)`=9SaCc0okX
zg4(-g@D3^k{EhimNV?RV?jrw0`xYpjFTA;_B^;dmQM&l(H$Ng!77u_3?~bwq(8(UY
zv&gSOl`2~q1<zR5a<l6K>12}5Da(Uq+Su2Ho`#(0@(Y6NJ&z3hcNosIc$X8#Ch;^g
zGhyQcf;Z%;e|TnPOZ2`LS?;05v7eLvV%I)0cPSdVC--5)BCm!{3UV@VEcj99Gs=0!
zTGOCAN9lv+fDx+uyZTZSJ40*0CVvEt(j^<n4Mnx0InJx0Hz%6{?oDB`g-X(z37(lb
zb2l*b=v4V7N=CB-n_Kpi@(C4ZivfIT7#~8ovr5<a4a{=~<{`Vs>d0QaZEL4`qL2G4
zXP+8L_s#NHl|uMp-PQ!l_sz$}<nl`$56dV(`+iPWDI(*u#!Bi<qI#gy^T@~lB(y8{
z2<8(*eq(IcoiF6MJM5xU6K65??%rDAroxu-nJrCTux(UD;<KXbflVfEjH|9HRPHE0
z(eo$?*ro{3DGFtW5S@cPK$^L#-Mt+3%r800U;VN_^rc<VRl`Hr^2eM*r#akJ7Mo{X
zW|O36dQ5)~>L1@X&i>>-8V%=X5MC5DPtu*?RJ0B$z?UC<&Z$7pFL~Ph3sS)|j)YK^
zrBMvHO9R*^Cf#xXpH84>JkYZi_yWs!7wvgO?s=4X2bR7EkKcjE@4+0W`Dzg5nJD}P
z*e?ovcW{<Ie%7G`*3m$LT9F8=`qz7`{VbRUQ#8Lo;EOZg9j?D)6#)%OD&?@|;%&)J
z$Tl$Vy5#TH(HzaX9Ri{Cf+>XjLssx2&FP@v4oGE*yh(jKdbka5)lRHy1eN;Fogs0u
z?Hh*RG5sPw*YKAxfn*;&%SfyTLP%AOr2^m!A>W<2{|W48aI6LCdp8qQrWZ-oZ=zjN
zugc6u@n~<RxUrCx!q6^F0G~L(ryBU8BX}LUIv@E0c*J9l3$t2k=l<dc(9b5@K>41V
zS#|Z7abFgs@cR;~>Mkm`)a9d0_h|YK><5*UlA+Ewbxvgft;byD<5yO7QLSy<wMi+F
zH8A?ti1&<7Z1Y!TP38k22ncn2-Z)!Ucl9V^jIT_kp{b)8|2kg(oRf2QRCir#ov*D`
z+!A}s!+6M{`(r_h+RM0Bvn<t8X_+YN$94GX$QBDKPdL6WK$W+V<Mexq1^W;a20Ew}
z41Cq%Mv*QHqN|<rzj?;x&+ylirCF3RDjNG~&@j{czQC4p66WDsN#39mKjGo8iR|15
zd$>vx+=g8!ya7SCWW?7qyxh7Tk{fq>q2-5nsGoq#poYhG`8a=+w&uyy%|1-|j&$$o
zQP{WG8;&jEk@)(PfMxZX!QIXWEC(8xd3lf3z8H8A4r9|P%#I@g!M_R#j_g2_H2mZt
zAuzTmQ#@7MG*|1!^d9T>QJIyZKIk|FAj1n$OSgSDDJ2h%fH|pakX8)QQZ)bhFKDW{
zbMOf$+V08()V?|(X@kF<ZQ%VQAS9+(frHzhgZzNj9rfMnnlRAyp7F!Qd+KXnXl`0(
zK?7d56?j9&qC)=WE#eLNu~4jqqv$3$<;L4S>P%d^pOD7RT505(4nPTh3h_yJkZfW)
z3)MV3E)4PM5}Ct)2v!x?iqr}5u?s!pJG|3;favY4mR?KsND+{?zf>K@yKz38bTCQ}
zpg-n6Ii3>D*v?^9W`L|bt^tX9wy>(ur{J{!)2SBlc7t~!`XmsZ)3J?6_kJmr7ItAz
zVE045F*agWBu?T!kUtPSTc+n4`E)4b*+$JH_Q#|QuiWwy@P;DZD+b-_x;O^9FtC*;
zi*+)pYO3~kiz$6SV%E~H__a?t8YK!4YJ2`^WmLzk5n9}+5`B<iWYXAFARj~*g5VxY
zs7FsVY(-ArBOP*EzN1{Jpsib~EHwo)>oQ7fKx<}WJinjmQKVTZ#ZUf*cv+`;Y?4?c
z<=|q}!mCoHW<@pa4KokzIW-}J8>kHs-B!ny9S}#Dc}srzS-Hh2TsbdDUY^)!SSE)m
z-q$cs{!T&U0a6{?9arNxk=5C5>}RM@ny9;x_<hfLExVNsb`%;swvpveth!MeG;IBg
zLnq57`zFG;cQbN8L7h%tQ7}{$!M{i~{x+hk(1ah&t)QzypNel}uW3)zPo}Y-e`D^^
zcU;>IrN^_YsM71|>{!4!U%ETy(9%{Xtm0=^ed6>*OScpSyJEK@|HR#e91q!6K@;YE
zW=0GtrcN(dqj&89weME&!eW&w`tTHrugDV$P_3-SF3YUpHe6S|;&dooKzAWq+@IJI
zg<ri$fhSkKQcbw)mC$9&5bo1XPTfY=Z7`i;7xYa{WLPSkezuwPjARpf$sDjP96)|X
z0%wpsu;OlC(@MywTPmBBUDes4U5;frxG#s41ButyWV^w;y3E|^;u`ea8C*h~8dZ?$
ziD~rHLmE#T{l;cDrBuoyuz3Q18O?F%J(`%@#fed<51Z5@Fpz$3C;X5li>sQeid~$h
zx*`|xB8zBP97*&Lu}h&;pLN(<p(^U>*Y<ZsAoKf8#}{u84`YwtT=BB{7Y-qPvT@?<
z+xd(MTvUMmnR4VR;|d(z%m;Hhi!6Mxj5UrRFPX;(qul#ihNvpqKUExzMQplFmL1#d
za1zW~v>Lk!*{1_32ZJZ(P6b>jS?<Y+`0BjirMXL;b|plS!r~EU3h`;`aU(f^jm{d+
z!Mdr9F8x<csWxrtil;R(lR|WT0i*}*;039eZcdSR^VYP#Y7l(ol^ruD60KYt^HOAK
zs@YODp~_XXl*6G&Unidj70ubDsFp+nUe0US^?G<RqnULpVpQ@i{9lh3*SNq9c*Dqu
zJUU+UlwdWcLw&AOR2AaKU<oxy7`2)UXl5>M1>kU>eTQ;|8V$_wmYI;h2?wb-2t}E|
zC~VF@`i7Cs6N}T)8kw$YhqbUC+iJnHlWCyQIKQb)fiRaUHW_3O%P2mnwpuZY1*2)!
z!MNjzVZ*6#D5Fyq1(M_Rb_-y*ml6=WP6W_3?T=wbNnYOH`b4woq5fG`uQX&kyF<Ro
z{G)%aN{vNXb27welf=K8!If$KM;V`*t)`C_ge6uhLiOkB?*${l4?wC_jK*}W>BtFo
zNDV(xVB{{7H|<)5QSoeacsck%+v*^+Zc=90qG~SUD@xTip2)Jf&P=ItXlDIb@M6dN
z??A7jUxR><u@D|UZd+t5=4!adw5cIO*oUlfhbw#<b!PX=nr{k%<`dJ#`2zvM{=3t>
z90tq5hWmDPflNhWLef<Jq*Lz+#6wxb2IAVa5r-SY_u$wTyb|B&S!Jke@qi*MXS);c
zKV)~q0WrX^s6gT{>)!^fXpO!OFx9>hslq5#xWoB0f+hB&REMRI<w_oFqQJ2jT-=>`
zpLE^z44~$c2<1JPn$Nir==tyc?#OrdQ@XUpU?LvFFJ0Spyh%b&*ffiW4PuYo!pFs@
zfHkD7BdV37-J5g$+=IItU)Q3nyO$(qQDg~XY&=rRC2)zUKd^!USM42zaEIeqW%EyH
zPI0L1oJccN8@%eECbyQR3T-s#>V7BRaWH-Tx~{g~ay&&WTl(~mdew7AA78jtJfSBH
zXh~!mEaG;OZw5KG>a=RwY^%r9?sF=Wl%Ixe_UHD#jsYyfFfXE4650in-!L6Wm|b^i
zY+Mxe+{Ql5x3kap*?s;V+&UDO?|-e*%F3k$@S)2iSngN*CDzR8#w*Tnr!B|@FhHCB
zcA}vT0>yl@T&cSsKR66PZ^qRTYmpz6jjUxlyELwaGqgmD+O>4%Z*lNtY6l2qamDuQ
zfk{4uw>-S?0RGWYDRuiyZnvu=$qrel)lh&UY#nGSH}~P;5Q@{47juKLmC|HIS#G(P
zLHz)rzKhr3mA44oGKZ^wXCc&CCU=kS-!Bh!s5PljtI1Q32ewRY1zJ%b`C3sMkYbaB
zQoIK2Dq}lMHY*<_i_L*Z;w6qSZqz@f;56MM=Q{n&-}rHc?<<=F@N?;@^_4U$XII-5
zYiZi^$5eC__D`zpJ;XVFILoRjdzh)UbX3r2Z>(d{F9k+AN_jHmJt_T>t5PG|g!^97
z>sT})yBluViE~zPz*|+(MMRs#_94!9C*pY|aGcKpF?K0oM7Y!eKY_Y*b%da*PqtCd
zJfzDUQ@<{7s`AYEPs@E=9O85=>uJW8=|#O6$EQOpihqVqEEoDWB?sS7D@*d=dQh;s
z({59&Gg^9#Qwo0j@X6eI`G)Me;a&QL%0>P9?6E21$p*|4-ByTzXy`kE{uuhht>+Q;
z{EM#!!(D~v(bB(}PV`%d?z&xh@J6|qFS_mkoG7CY4BYPn9(5Y&V5}8~4#Wm~M{QI|
zW<n0$cjO7O4%W$~*$-rbA4NxaehOrtc$Kyp8T_T87mFg;M;s@>%1~flsBS@M7lM4Y
zh;}))CvM&vI*VG(ES52M6_hqA?<1EJ-%9O1rNl3p;rvZx)^W!K_2ONBHHgys1px>I
zzXp$p1**Gmjo@a;@a_p_=zcn!VU>Fuh`Qh~6ZoA84}y<#!ky|fG(W5>>+-?H488UI
zJvWGI>k}*OqH~VP%`)tlDs%IU(Y<y59Z(~kmkr$VBgA;B?@)=S6W_CSV+vjLzT?BD
zVGFAFlcx-bg%}HW$NQ}_ySMrh`J-!U>+&DOX7>t!ao>Xe0W@c`L3ZplO6sX7FTJ``
zj!LZMXUoASrM*G=J*A*681hpC>5b9<Ny%kC*46)A9d0?+&Hw!;+%o9j3bQ7AB7?&<
z?9(~)DU*A^57vEu?NLL}#i}CnUf6C%M4%+pR~PUOnODwq7zr`k@qnHA3AuO6<@$@=
z5Gnc07{;ywb9{WP$iQ3A7@Fg^;J*?hHp6fleT~&D_b^_h^*gv&<JOx8@5d>D+QRA`
z_+PK%L}Svy96o$m|De(ZMd18?D#v!y{(`t!6zU7Ayj=Ip--J_MVB!!E<1@CM5dr-x
zA}9H)5DU*+e{_#r`J6+6o^3!+*gS5U^LZpbBzb<(qji>es0^856x(VkhD;s~W^Yv7
zHbg(&=(j+;XHmAB&$4(uMY2!P=(jq&XDqgx3d_Bv`H^a<GVOX_5xTof%e_j=z2-Zt
z_a@CFxA~FPg%O_l5uN~<W2C&h;7Fzq2L_APA(!aHrt0hD@WhtwTNMA>F$d4rvjf(r
zyeCk_sdpmYGcMary<l+z3neVB?V^aCWF-T9HfRL5x1-!Z7gfOB;&ZZxiTGJx_-i)d
zIwMaaUFB_8pnrmVnA2B&uZc^JJ;&jP2jFV%v?<MUJ0AXzg}T<R-`57i-aEJ3F+#yA
zAXpv~tt>+XLg-HXDM1->Mct7wz?TbXsj|y-&m(%lR{jhwqw#~v`e+xcxEN#yx<@>D
zngxD<{20H5jnVDH3f-z184TGRrB+A3JJZ+`g!|WZ^=^Y*nKrkM0Xu13Sl;Zk*n!w6
z)oZ9ycTbnVO{P*Z4(6wMbj;zrZ9u<=kEll$LucxbC(W?iYv`=hRJN-fb)!GrXJ<d)
z(-?4vz4E;fN{)&nwM%zoS6P^DwA|}UM>Pb0tna8C=TEs)6do|H9^Fk=#CKX6&xro@
zBXgCZt1l3%a*D6KG)3&^8Rgu}c8GuAsAGqetO^hE1EbSJOC0+$1j=muwD18}Xi9##
z)Z{^m$Y*+dtJaB3Rh2%fdyAQ0K5${dKgE~RQD5fdsdwS_GWI!aT1i(bF>SJ4Zh5}c
zgNPW}vVwnr<DiAok}g8Vyp|9@+9%8(C(Mt%gzxMF@+~v3mhx%RA`bll3sJuPn0LoO
z^*xMbh>5=b%JBA6wX7&tVE>jt;f}4)1?qHZVZ^R9ep8vu4n~p7cC8|Gqa^fW8G{?%
zlj9A#R#qoi>!T0D@+WB*QRq}65R9Y)(cM&54t67wF2xxnf}(n{qiCiQAm@BZAa)2b
zW|HFBe=YDgS>)J$go>C*anB`keG2#Ej~Bl9pr7>(^vl9~b|+q8d~)mtgH!LyXryv3
zgt5l|njPiC9p_KaisV9-R?YEpV5A>}=&M#=kXYu2wh22ud|dGgULRgE)nXA^d(0ZB
z3Nj%AQT1C?3`Lv1o9e<BI`Xz5Ch~I3{~+)9qFauPRMquew)ft@o&v`j3*|^O7IJLd
z=23(S#rdyuYzAVcN>9+zn^FhP6rIlB)!B($<`*8QdHsqr+s=>fIgw9DD!OBDI+kn8
zff)_u4BfsC(YGN><FJbJFa9f>5om48SQ(C#Ql*X15>s0DCWDgk=h8#e9rKC?y?4uZ
z^222?4R9y&yYso!-(1I_tc(mvTste(1Kz!)YlG;{B>nqTEgo^SdM04mZj7Z}g274<
zCcAgL7Rvu*0>3-rHq2mUHh)srD}8CKNw^A=bsgzdm1Y0eFHg`3YI@YfwJpe5O0Q3_
z^Av*JzDZ>2lFhH%QYx3W_bZlyADyL3<2*xvZAt*Xe>ajmjUGm_4_3{$PBCJ=O0_38
z&!ZJfAH52(oZn(O71c)`^q8Ba*kX_5Lg-v!IkAvct5>bL+oL-_zv(S)Rkn|y4evsB
zJ|W$MmyYwRmqZvKlccTJkbWpfJlJXIt&x17;JxWraBY_MM%Lb7pmdS*-xi}@r$WZc
zK1JR3y7w-nLdzD`>n-MAjR&~Fg5FF!L3y0viSGxfHEPR-a9W6+Sn82NTCDf0=W%rL
z=`=dVn;7xO(t*Tv!Bvj&oyS$bp{FYrM%<Pyc$P6dqa(GmY|npKzsm6#OB)~P2<|XE
zH(}%Jgt)bnIzch36_2K<frR!Nt48=GY3KO2^eguGsz#Dh)BE_BR!Fo+SuPtz5N)cP
zMG(_3mB<A0#e8Q`sjXkBc?xU5(Sn+Ez~Fh%s$uY2<{9fZMFFJ38^t|Sp8P&S+gi*Y
zckfx`$~ecUJU+o-9=hO&a$h~b`#&qH8t9n|d@;h#aQ)P1n6!gfknQ^{T6*lJ`)4@{
z{5<hubd=R+wybK|R4ldcAMO!m_gN?vmW61<OM`Y5Q2SG$L3A1++v!Da+1^d(T2pkp
ztDTJ1+zhm%Q7wIgR{PVZ2!h4*i?A6jX%U`VR5^N87Od<LPJ2pEG1Q7q<Qh@^Bmb<?
zvjZ|HF@qXH<x<6?2Nk#f!d~DTP&HH76d`kwV^JqS56AqIom|B+0nbBd6N*WT4OvED
z;&}%a;&X0oD<3`$_7w!Y=K)`|`0iHBl}zgS#Q}WC@<)G;szOhLf%&2QdH|m<dG1f_
z^>w_wwH@&nfojg}*eB#fFr^EO^aH^4FRmmtZ9_VRV!*HAGAt<%5a9xoODT??=DL9M
z1(DY~urHr8gnYI@*1x<f5zDdr=gGNby!tns*cs}6fOyL2^3yjV!v&&0KZF45a9Ao*
zeDZ*IRc6c-^`2Me|NP&b;nuqSvOl-_^p|`M!rvt;(#kwAjM_S<={rWc;JWvQb2m&f
z^!hm^3R{`kpWkpb5`6<D=EBor%|LFq3oyk*f*DS&l0?L_-kYO)x6k&8#k=XO0>_hg
zD1IBAuT%qvZzhiyH1T50x0)ZfDQ6OiVCJB!2ecRve}p`&xFrz`&A~YQKi|lRLZw7@
zRYaIKfyKPzLFPFzlRqMkPdHh+>f>6dcr=3^QTWNmG1ri!c2q{BJd`*Ty2#%DAsj_0
z;ojYpG&T<N1JT;6mV~b@zPCM-zczoY5<$^f#F{yFFGc!b1|=d6IF&{9YEy?9W6e*-
z17P`kEs>HS)2pytB7Sq!BiREdnZitoxo#f9rC<$Uk_FOdx!n}T2Df=i+=IjL9dV}a
z`Q0QQV$tTn@Ly*|;?ZvaFtNrG=JTjR^ayxiG^DwMLqWKs<)QAJ(=;PZD3yf5(eE93
z>Sju!H}DIh@%cCeA{TMifM7!sY7(GT5G<m?mCYADr5~((-$%&45018A7;6i#yajew
zt)GWPe4{C%`cb0KO{ti0FHsE774`dg4*ac|Ba?hC2q`m?k+cKtu-#sE$JC5o5Qs8*
zikavZlj#;Pvbuku^ZjPZ`Ia8tgJ-Q{Ty#T~<C2clE-}-sXoLsX<5Bp~>gR@M>V;-}
zGpvUn^g0x&onNZ$XLr-dHN>AQ8ZaTr&4noNR14kXtqA8};V00~Ak;&NG`3r4phGQ;
z_X7gc<cv&Le+|w93rynJ*ZKy^8<nt?@6y@6qlVJJ-D4u0XyHwBFlQwD{y-g$=|-;j
zD^1Mo4;<M?4RimoH3yFur)gXq`087ocmps&JZwiXA|7)TDFh85Dpu-90<^d7HYACV
z7h|47`x%d}=@eXigEkjuIEqUGTpy9>$~p>3atGeAgn;Z><K-R|55}V0ficH|?_l{~
zcqjSjJPd=2gqZ!Jb@7M4Eb5kR<<IzH@bUPG@D@JN2pmxnOn=cw9Tw^c^M7kg+Y4O+
zrUV&roH0!sff~+5<^~^jno#b?_S!fj@moVA*&Ufh|C8u2xg<2$kv(dF`=MGGY~1eL
zZ|En@`;SQK@w{$i6Z^n?gd~l&rusHz!Gc4bx<*tYZmokV=llUK_Uzz`N&;Uf!((VZ
z{HmhK=9bL7fnmvwCqg#;RT$++oX9JTsT;y%aI`b=n=u*5<SP72ZqlCYuM!v%lHwe`
zD8uO;7MMEvH=pm6{qkq{YbGAbFDJvQ^o8n_xMFklff=rStg81=U!${V+4n|AdCYak
z2Y-Ca&?S^wX(Aa+pcanXJC89gCXp3U1ZG5m?Rz&FuDL!{mM<P$V&$=s79I-n{C?#`
z!lyItq2_mez7Z=SL8V_@4{teRD6V_FHdOYjhq<(foQJ|<l#h#$xylv3(Jh$BA+u7-
zhy=}&b>L?4)H?CwIQNf?CYqV&0is(FQxVUp$w4#!vYby?MkLNwopyjVX<G)*wUVe@
zI?RHKOgYsUND^TzE5gUa+8L6w1yB7jHh|EAS*VUP0k0yUagX*r34NCJ=XG-uQ;PYV
zybTCmSh$iX@K;DAc+m1_=65FbzTdz9x<5bNzj&uwSu2ZT`j>z<Z1Ux)rf;a4#>@9r
z#uS@7z1&<MQ#t{comy=q*HVHGOl5-7#8^fPM5-c?up*d2BqXYqA}~MSgywN9??Q!)
zc6N!H5Hd0(3JQPVL>y*y*2mheX3CiI+)i?tO>sGy{_VS2b{<-OB_c5Y74v+Hs|Dfn
z9mn3eU)6b1fi*LeMDpPIjCMl#^241I;Au?`j+_6UtOZL9b4G{b`I`8;&KW#nja}Ok
zHH)YLg?zV;!w*IH<yO0A!BpBbZB_ISY=~MTL0iZ&45Ov$H`R%gt;>EpU)CpPDj~ke
zwP#|EWL2PZw4L^4<t<3MmqJjf2OlCQClDluvINCT2IEy6!tsTd1?D$`Qd;fc>Q-)Y
zpuwe5Z>G?VwJI#Q9;NwFQ2a{!h>5XkiB5d+x0A$Pcl(8^p|w>0b~!R)K87lM(DXUM
zGJr7r?~brR6_<8onH<%RV0@O8XKzknrwx&F!tl;>(0Q3ugWHR=WUu+SWE9Hi4>uD@
zRdpzv3_5B&j&UleTj?QP^tCscshtFB@vL)R{!|~7uLy_FY*1Utfc$NGFy?4oQcbbr
zWX|xn0ITL0-SVF3yi`$cEzgN0u2K~g9jLmjcg3FNcD1*E-(E~~e`FJivK8E}urPjt
z_NIMEZ4L1)Q2pqLtIkb7neS-okn;0gGHlE3qiD5edmxNy!c;;(M*J2`XmnKfE&VfB
z?t~w{Pw46?D0$8o(P;mT*nOTr>j>L{tG~-fcgiyfDN5+*+}^p6=8Rk+m&?PNk<FZN
z3Je&;>}WFTTsK_W`M&B91Xc5^>FTs=<5CEEH0ukWEp|ZB8T6aWVdpkwu~00jVm`Ah
zwcG@=D$MSV2&{^;^TqWuH#dOd?;|~BZOI1bCb>15E*_Dz%sSRyQO?JPqYwj}LsIE3
zX>7w+aM1OSMdYw2`xQ%b<K7RQ@SteiCU+L!SGBsx9zvW}FpY9}=Q#SHx~O7xL#(d&
zs6^Nfv_{FY17$h1%xDx(NMTyV=t<R*RS4Qp*5H{?MG%40-*AhF&XBPa?A-fodVk3z
zsxbpaLpFqPVD}r8%uI(wTpUKQzC)0eP}L}6^I`%By&oW2WGOl;-O_i9_KIriX}fWo
zFDeTDrBZbmUp`ufB@0n-+}cR$qU_DD!A3Bm&SNSo&tfS#GxPUlmpEeLt6LPo!u~l9
z7k~z;9^`Y|8og))8TqwKBplXc6q&ocP}0D*YMiMC_b42NAXgz#mCdd$>f>oiY8!9+
z)EV6|p?SHV7V^dSWaSNl`)?GdrH0u<ymF<u_-^sfuBi42cHv6DqzC7xsUSlVCFOM5
zbmgMck@ONiwN0UKO5#~WD$E3YNjlt%bJ^{7XWG~=n3L*=D4lHR=Oc@-+`ESz;kiq#
zNZ;C#`ig-pv$J$ueJU1YRzb(ru36Q=F?$RS4lM}AiL1PwkaH$qPeYzhA{xcTv~|^E
z$$2L!itH#TegA%L;;5Q6=xUS3abWNnCon{LdJbY{cQ$<`vEHGD@u(oZr8|R<@_Bu&
ztN@!JNO5tN)dqBExt!9sMdq-TDm3=VNf}|RNyS8eJ{VGN6qTZL1m;byo?SOEP+FV@
z<ZxOfegkEcuO9t5Bs`)WST<@iL>C)GREE+*pkA{sbigSFME83q?8VLfNRPnuzY&5V
zs{R<3*hn?Q(-YPhiRhO!5t|Wi6BvH6@t3vs5u;9bMv`@=yd%2Xf{Ik0a^6o*_;xUf
zdB3brv;b+qS3cf0aRf}ILJr~(Lne`$XKkka{T`5YLnZh41i2k=Q875E^BBzQFi4%&
z6_-cCm$r+VYvpSjE|VH7+1Yyx<b1f3moLSX%+Zzc6e+|eBNwEli`8;qlPR&>YQ(<C
zZ#?>Posln&+tFq86jevcm11;r0E@vQN-Je1^&lcY>hObKib`tq6Ooq=b9hxYl}DeH
TrXG0|+N{ry_!H{CqW*sXzvc})

diff --git a/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.kpt b/SPI/incremental_db/compiled_partitions/SPI_test.root_partition.map.kpt
deleted file mode 100644
index fe5dbd49c83ad08d0d338d12fd17b22a30e60f64..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 1079
zcmV-71jzfW4*>uG0001ZoYkD)PTMdP#_xFwD-Y1rj_rWd_F~d5Htm85X*X!90ySF%
zZ9@_emGbaQTWCAzkiIWWu`h`eANw5t&OcX-Zi{+4yAq3R?#9u@`Il+!s(LWbis{u=
zB-W#kuB`IqVjRhVjKuvzR@M*I{^|Ga194vFb0>am9}9Upkb|N4^pM}pgjGfi&tj=!
zHI%2~;;Z<U*G_z%<#qdRG&vDsbn8~rVwo@MYFfEk)T^RhPw8%4=@!>662Eg-wj;$j
ziZ>q|ej@T&ySixi(XYI!UHSZ4`{H_Yld8z4)m`@Jrum|(vv$(>{xTW<9L1aA?UeCu
z&Z9CEBO`@GB)Ev{MSZCuA)467%-v-xH$%i2ZZ8Vji)CygF}tUf49q5{lnhK)XN(MG
zAQ^JVSzTgMDBM+o388ogH$nj4$~eP>Fu-4eb|+|WH-dRbNzo2kouXZV7NKxgptd;P
zu>-6K-dmG^LI~W~P6e~PqIf^WWN^HL>Y{j8Sin*g@Cu7qiVN?U>rz~N2M-rP{Q3xk
z87hT5CL^JUml!7r72u`CgiyePGAQ6NN)n294EKb?9ke=yy8<o31$Yn=D!{*+L>&CN
zDU$zPH`ishsJ6xRLE+p)#4I<<a_(ktr+K?!-19VWVAHtkY0wC*anIABFlpTJH1HAB
zxaVo$EI{L~r-9vq#(hr%ry3gfJq_%8H12yEG#Y5!^)ztk)D-Su+HVNl@ot!*fCt+<
zh9DlVU<`pg-h43>^Pmh0c`(T|6!Kux%}~f=mA;{n2hSEoJk}PmRD1`!xt8J`Y>QYb
z!ee!-<pMk?gbVQ4*|J=K2M-q);4u;{MLT#8Ww{6s8lFq&F~g?}@WfSAN-&S#MWqz<
z;9V3M;z21~h{u3TDeAF2HRVG5yU-skp?B4CwywLkb@Y*<`R~_uOSvtMUVFccKfh6D
zwG;Vb=AOpU@L+H={HpCxl*^}8G-=mb9Ly5GOtX_5Z$%$p$zclsUdujVpw=>qkLl{a
zD^U8QK;6xl{U~sMMc2OqO^6EIU*7buz<moTAO-GkqWf21+Kq;uPGC2yf2abJ5QE76
zLa~1Z+7K0(c!aR-=8t>wfyy5Rnh+J}5y7TgO4<{_Lyr{L&71V2K#x3N8sY&|9#z6=
zkZH6(`m^1<aZeu5r#db~f~7}gNE%`e<Iw?3yQKp?5$sVCsJkWfJt@$mUZ4)K6O(vU
z#-$-<(W+bb<6{*VBEr(6G};D<us`}UAqHWO0Jb5Pamu4Q&IIWJed=U|cmh3&AST2J
zqCCo?ZHPDO(jymWLu@1@9wjk4#9p9BWt<67f6}8)j1934mOiD?AvU9xNB40$#1p7I
x@_{<ULfEG)Fhu<+kLoxb;*YYVNB2qg<2wnjpFRJyfBoV5U*t_r{s7pzW2+Q45OV+k

diff --git a/SPI/incremental_db/compiled_partitions/SPI_test.rrp.hdb b/SPI/incremental_db/compiled_partitions/SPI_test.rrp.hdb
deleted file mode 100644
index dda12fea99d17fc0074772f58af513037e0d904c..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 16012
zcmZ|WWl-cy+c0dL1r~>e#ogWA-QC@FafiWSad-E{-QC^Y-Q5R&xt{y``_<IZXSzF;
zsr00Jk~0Y!2na|e9O!om0%HCBVfl_~rjAaQc7F&MIp`Sa7zl)1ENx5(*qB%e7&z$}
zndq4q7&!=(r3hp!olOZuO)Q<i*L^+v-zFM3{%=bl>p%Y&|0^T;{<rK7df@|~iYuD*
z6qUbsy+@Z%6e0~&RNR}$njjIxFlTZ?g*uvk2?N8AW*!v*Gen7(Bv6DF5rM%%*VR!}
zRGbw}QB_e<!Fh32ME<TSzN{ZBtE*!;h(Z!>zdboW@^0$ts&2ZF%k)*6dzQ7GbsT4M
z9XqSKY+iXi(<e!V(o-a52X7p64EWI(M2Y|UGHK^hZ#|f-<C)&GEaL~`8R3oyx#X!^
z3tPku*E{`pM*|2C?Hko;q{KVpk*@-hGHSk3Xu_J0qi-LlNRfUwrnTSI&Q6-P&!sV`
zXdZI4mPL8gWj(20daR*U-pMnmUpDEyC?1CMNtaYS(Y%CLkD+Jn-4i|JgmN{Hsyb6a
zH#G1^=lNgFmWI(P%ZqwQ`h&;L)jQDi+$!Sea^P)`V7poj2<~%PM1q$(?6|K44F^PU
z8WF+oU?Mmr%MMe|ZgJpwU`yaniu+1@d?FeZJMehgfVi>0^TycZR*C8bj@FgUA6AS@
z#R!7OG;$!&@zRm3AH>qr;9|`tyi4xRws)4Vv8=JPwZ=7O=4MA0xV<s_fgd6#Th1&l
zgW<5XxnG;Tg~wjb?A5Az%K2+NUi?^~%@%2!VL-}B(dqtqbG6z2sIW9tL=Pbz<y?uQ
z#<cmlyxFdrmaG|s>R7ztH6x~rCy<tK1<8RIK}=}Ouaed2aR2*S0Pj4;=C3ui_J|@J
zu?S*8-)|`e^{0Xcfl&oMbHu(P@by5F^{p5z(S9aWkD3aa{4-WHf^rS^e<nIUOBS&z
ztDusgaLIT0(G)&JRKH=-CA!e35=k!B0_I8rzZyNMaAM}P)@{P9aNq%+QX!Kx>UUp+
z(M$*~*O~165E?Ex{&ie7-e|Z^bMfMwH03&X3J-O1;^gb9t75~h+rpztp6QOF=ke5?
zIu__rb){U(hlPZ8O^hk8b#htMG?r+#b#$85Yxf5SIXp7%sI=?OD;1t|b+XG<XEvAN
z&`x9sYj9=}hiR)kz%SW%^2te#vE5!L7m97tb$2<e#j2Bf$5^QO6YLCpCy?R5>)l+w
zjxALq-WVs}$C<0N8l~Bg5<zYUXq*m$9>+UrG9!umZcNRQuZWR)i|hcXIcHKB`|N{z
zbZ9<k?wgk)f5hE6viPhybAEXEx3`2?jalB?-Qc&$AeVs?i%&3Jv`JJZixHvOnu`7Y
z*WaIT^Ibys?1uPgfz}k3=B^%O7tIri_$^lomzkGnQC7#TR_eAB*q8OSV%ifo`b3N4
zd^qH0LR*pANoRvO#uG9l54|2czMGAsTt&wJtYRs#1ujR#+FX|=M?z{UZa8@5o2KIs
z7=KIQ<^{?Qw>3>G;-8o86WbDJcw=CnS&X=eF^XRa6^y8MkQnO?gS#9{a$?~fr>{xc
zSv})s9IOa`s4Dp!yhN6vc@+3ePw=w5MAo!Zv0ZG}bhqi@j{--yKTRUz)-cU0$~k;T
z6RrTU&%EAjLXR1jGT|Ynb2uYHM4~K+^c@CdHr%o^BA5S^FY`IcjO8200aM~1c$RN0
z2g@`we`D5KYD%i6(;xSZV`JW*+OK#5f$al6x$(r_-q~_cr)ZNCd57SV{j5~yrbLqt
z^wddP*lK#=-efe)#@q>5zNynSL^5?*Z(`kkk-r!JdN+MkIfW_n%v~Pdt8lx>H1qEP
z*(p9|;AOuMGtAy{{_(_bBqTg3e%Lk4hu4=H=4f({0Idc>!x2~k6VbT$&#!5l=O4IL
zK7d?aWo7-SoL=CQc!R#b@H}2r)P-?Dr<njJUyW<kWk07)NI+jh$>Frim(4r=<wx^s
z$?TPz&y8ogA_m4?$1(=K{cSJ%`*MvwF~A-<nDY9uxmln8i*NY6I!6;oAG&FtcpFFk
zR{jq8`?7(19-8+3+4XCp@l!q!-rQn@fwG<Y?R88aqKi44^RD9^@p(Xh@h>9%+uQ4Q
zh;Ku6^?%<uC|<B>_kQ5c9VlGXv2VBk**6V{0@|+FiRpTe-0S&zS-wNOwO>5pZ0d`=
zGhfl?ySn%aa^G?KkiG8w!GXK#yr1}eAfrKcb>#F&6&I4aiNEnN6Imv6;7`9k-YYF;
zV+Cm-HdDi!+j>4_5%Xrlgf2O{THV>PEu-O!jOYA|1Qd}^da^cElASC;-jNm=DfDYa
z4sRmu`!_)%%f4U1AO4A;Ys8)7VLmnB9TLoNn>p+~Q{XH>8SY<hFrB6#Zg#soa1u+J
zuZoVr-=(sL>gQn9gwhMR^NCt*uEq;cwMQu&$Z#yvI&#|yMyXqhjc4ChXvY|)v6E7w
zeA-GcOXO_5>l{EMe96Z%Q{)ovxf112w(};vKUk*__e&P9)7y=IJnQ%w$)n=K1g&|I
zq31p&!c|P{;Jj-<kJY-0z#kd~<T|w)2)yGd3vfo~b8QD9edVu!WtuA;NYyTv6OoII
z9L^0xKZ}dh4;}E0;qjbxb^bU=F0CD9MMW9ABgC<dMGIGKx5{nzZLoCC&3IMV3J|5(
z0IQzAr5h#@Na37oyGdZl=ut1SX8h&IbI^JQTHXB*x?t01>>u39reFt&h*|vTqDd?R
zxFs0bByuJj2WOD94_;WE$`?fxJP|z`IlO($5D1(s)ISlZo%*Qune`6b<guy)?pByk
z(J{5cx=cemq&bol<55zXvCBgKT2G}y+D;$CzbPxY17sbtHu8<seRUpLv6bhw2OfOx
zcX!4or6Sg%hVD{M_obuiB@!dUPH28YxdW84C1qGg7f=avQKq7+7c!a-#WoJbI(ss!
zXELitGOaAKVKo8xMo%IF9BT=QSYAXZJxqI=aH`Nv0UG_ByUKz#Zpj2qZ_-HXx@3PZ
z^-u>~hhdDOuInmmX)aG}_72sI=tu*{>l~%(Kco^CT=Au>qEL}@ym4@0At=*JI4(GY
zlry)gWHa>&5RJ5>C6Rf}KY3mu9ylLhPFP=is2md`&W&U4IXZb4_8*=sV&>Pu`Q+?k
zUcMVHz8gm5Wh7pA)aT)eSwL&NXh3V)zrB6qrv0veYyb8}3p#S%629edHx?%SZ-ssm
zf}pyD+Jjej^!!vxPhS1bvn_skKB*(S>fq5?T2H?D{|gp8QI?l-jFlg_V$l|x=WO*w
z1nB@1+JSUjvoed**$VAF(qddeo91YOB@MESdfrb+bFT|HBd?8b6W=<%RftEBatz{~
z#A~7A!bnS7wWE?YZbrnfU5QEBJ{J@=aVsgU^HP>xRwXX9dY>}z!fYWpB@|8_N{4Dn
z-u)L|B>pd?{V#Az+_<Ltk+@Wy&l#S@b+9g*8f}Fo_ix-erlRdVcy4-lJy=K5%B1>9
zW$}Jwkw-VNL89;SSEY^{YR)?HTTUJ%Y9-L_U}HX8Hz6G2&Gq|MZDLXJnb@lh6h+pP
z3&L;yS;#I+z>*g>zVfVflQKT@&(6v3P8YygRaUg(fy3jH`dF+kSnyI7FU|4D6<8?v
zP~aO!k}o^1clI20Hq4aUPGQN~;<DuG?3{OAbyCpjzCfmyOX0m9IIR;e<<Nc7DLBEj
zHBU?a2_w`lE)?yW5)|Z`^R47t^|$74-QR|Tf~v9^X+ZX}87Dqqgw&@o&E6rzzr)eX
z{{^$>|J5Ei#QUAI2^RF3an8{R#jnpawTIaXkAzqtBo!TXc$_|xcg6$yi%*2%v#HxY
z6#1+#2SREuQEOv(52F(cvy%91ljLP7_2nh6;;E0Tj+9G`5s6JKk>;8LL=({}aXeO;
z=hWn~{zUvxRA{J>J_FGL+}eJ3MB9y*&W{rbp`p>9$9oHo4?E-+T9E2*4NXq%&QGe{
zOYI%~rG-7v8S1r*RMxWBbzX8tB{xdkleFwx<M45kdzF%VP0oCfcARTIDzqDy>}DbV
zsLOm9&pHicMG7FJ@7e6ngK)zL1#!dwM*fZd8~Zo@Z{neT|2tSo|NoEU?=J1{1DkYx
zUPt`oD5F@pB!k*f=|^$Uhq?7aqCJRQ|FoT8x5N2DaiuclPpU!?|K5#k?7&tIcBp%s
zKNIm_sY_s~O<<`-kPPFn2FdM4*?JM+Hu&6F+5wrwf&r0vJ&4b$sh@#L^zRItioF~Y
znu8n@{|Y<k_85+1qxv%o*btl$HaI3YUH2J`fp<{qFPA;3+v!=dX+50lO#V)6W?;uQ
zlMqv@nJ}5N*-Qf{9LI!&{!Vzm_Bbv?IrQ9NGVha8de9>=Y;@4(>kT>#v<p%@0&W9j
zV~p-#hv1q-U`P8A!a#=){{k|5LVrW)JU#lw%)_^E4|=1}8Uj6<L5WRaBAs~PBAs#|
zhE{TZZe|*5j5tK=`#VJIe$)P@`EA*P5yji;3iAib0S?SJ*l%#(etv`hhVTvX8xo2N
z3DHIgHzCaW%}f73rSFP4XQ^J?U;CWx!a^eCUA}rrNl~IcA34<c3Dk6)SkiwIA}#X%
zO7RYnz@*f#y>Soow?V_HWfgDLV}Ng3&+ki#wa7&gHiT&&`)7z5g4+z^1!kD}b8;7L
z!na}kvZI9TdipdCx>rrmdPGpv*h*B2-x5@mFiXfpFbqQhase(T5>ho&sFHpzA`)PA
z$I$L_U739)@@D#Sqc_-Vra9=m8@bQSC&-wJCO3!OtG0EkC5Cm1N>Vtc@kvnu+sv$q
z)!013mLs_FJW|DeWW0@RFgZwLOUmo*AW4*P%V~+|ymMN+p1kt}O0j+>Qj#LUeyJ1L
zgpe3IdV}_(hrv7f<8ZyEDHs88^z;x35_&(#kjNz(>Jasq#$p^EyAzFJL9S$ooCDBw
z_pQw5O2r)#%K;9FlET099TKe*hZL*)vBX=4tZ)vosvHt2jarFImAqm4aV5z^Ne!yh
zWO{TaQ~g@k7(ty_O~B0R3M0!QCLUkqdvu2VI3k<PAm4{F>WONM6#K9nh~~mYVeIC@
zC8&Rqb|_L4Rca*Om{t0*Y*m@|9e^|4?(B7{lhM4v?pzs@UC1$-ii}4Z+%uvQk;447
z{sH&b+81JAA?4?wPQRLj1H2}v1HAe-)o;q*W-a0v+Ye6;0Ab%dHP8a(H_#&XE#%wK
zHz@z_`nM4O0kps1$Ez|U4%uTQ#R51S@<vK>{v7t%U4@xo4m&&!lAMrxJsx8yj*<6C
zI4-2cJ?=X^y^@^8w|?3YR{ps9$?aNn(T<%Vd-rVYzp_H+Atp=&|4^5qh3;M&bT)jT
zw1)>p*=DVAyrzeZhD{nPqgn^r#q2Q$P&v@{Ro5vWPf&Wi9ungQ_7e*iWCbop?^-RN
zly%Wbk}osBdMQBtY139@SSD<xe7d`mgZ+(rf{)WQTW>s%%iF)$8$BDHSM@nt6}=mM
zWQiTQ4Jh`Pt;XMOn+owM?y0&s#pD7w*VTs`|J$ZY);}vAAj_4@Z$&P@(aqu|*Mej!
zH1<1<Lf}2Xa}EmN&8ToJ#6IyaKHd26N4|tg{D1*@{WLg^MyxG=utCdzUY1wv?@fm{
zuSi?#5JYCw|GVE%J83OG%x<IOG*p{T9ik$>`w@siWd4p9SpWyEFS(-b_!O~BxGkWd
zFFcZo0UuMx;SO+e|K}=MekRizpJx&OuFC7QSUgr2bx1<LNap}M&P5jTHn3JZOM5=O
z(Pn64^)V#5bhl1|EH0=O`Slj=_M8mBiINUibf@`+I~Jko@!MGx0iD+4o~Z6Dtag>K
zTz8RLccFDm^+V@SO0LtX$gcB+?1hqZ)Ln9}^qwT^QfIgBj)YG-=X<e3&)ddP#Y^q0
z?hIY-wDgYV)x<~7Gg#em(WCReP>(e3&+vQyv}hWipRwKVW^B(Z?eg9be(cYU1UGh_
z`&;Qf)jbav14;8nCC$~22Ii->Mo(ZN*y}ojo>3_#X;&&whXd;L^>9;2o1quN)bnEO
zQG6OZv-tkMXBAfU_gt$)AnsX5zxm!mb2HEqiE)f!6ERea=^u`&VjI-Qeh4V4U0uQl
zF(ROu=f2|!ibP6X7{SdXHC(4{KMz41r1~{(!uECZCk>)#$&{*|SO$+?kP}`wA2qaO
zVwC{@qD1c}*(Im`R1VnY(k{L+ce#E8Ym7;Infwt!)ZAeWc=Kpl%k^S#!QbtKmiatp
zmu<w*x$;OR#5B4<ZvgCQBKmTI!GPVD)R5wmM-R=r8zpeRyeU8gPm<SXnmzQRBEXH4
zN5%J9CEGNVI5+0%W>I=L!6m$UF7zq(6a^TtJ|h#lf6}KIqp`jWylGnv@g2*a7!MO}
z8g(^&t9UZuT@kayc<*NgL3K&BZol$F8hb<gPBbjW6h@zAG7br6mFpNTwo<y~kw#tT
zIV*5wIErQ@QR~*N)t|XE&CSO9CIiCxR;$j^P^+gj)sD+597UB`)V*EYNrew3)4#I{
zwX$>6YbKrfrkyhLEq~lQ87})~g9{j|STAP&1`fH@kPrFaGXm|o_w^#Vr~8qmRd(3J
z%$Wm{o&qp`%eA~8O^MUg#(xXICN7ntm46u!_ao5x<kNR0^%_7BP?N=^UbvD4Ipn`n
z!EYU+DnM10cnpDmp?X&CP{~HDQc&FT6E9AsW%;>higX!#W9<PS#~W4gCyLmi;()rf
zc0h_&r_z(?%;SuMXHE^9|KA1AB6D2<70ZK4@{fC;d4f{7WK?~t@PmOAskdUmhD{rp
z_CA^zqV5R2>0ifX*HIv-#o5%vaL7*Qlj8LRm%Qqo@O_=@TbH_v#j{<tqfrsfHv7;L
zo$H}cZHjWNdVh79w(yy%+-ZhJN$%u#fV@}7z_6Zqg=av;qMpAo-I(glafuIxzwdA8
zX-fHId_Ow#pvTbs%TdFZ1D}=+l&3iT>i5DL?{Er!xI?*>%VUmck+hf18dSHIC&W{E
zQJ;biuV?R?e*K*c7~l~XPWcYT(E|p*rH|)Hwc)~a$(`d#Ke-KFUoHL^(-*q4FVorY
z3}3Od7rQtooH&=VNmhPHVAf7O`1_6e9y=|=@tpQiS@w2jujd$UuLC8*pR~;o&#I|6
zdv7SytG>9aVT$`OtYqK6cZ`NFZxrmork^Lx2ZC$jXSsL{_r#<*j+WX-)%*M;(aR-Y
z9!tv&jO)kJ2&zV5ZF<nYQ{=cwl}6!Ka{ru|YNP4zZaW)?`3%ponw-Y}=IjldeeO%a
z5rj6^-l;W3-Y1))o@=d9?I#sg(xOf#Ej3Cnv&ynGHqmL<HCftRro&1o!TkEUm7{1K
zwhso2``nmhdY-JFT&cNK=j@@quA%I-u2Ez=Ip>0!bbA|C%<A7K`E<b7&h<Ncg^87q
zvGs_hLY)9Sf-Ec2g%)*RT06NS%fcGVIzywbs<E!A(biki>Adc?wz&3oML8Jp!<2j(
zwVkmTB1W+i*OxI_xIh`H!F>|Q88OZ21P-CtMtV!7B7XfOp~%;FXN}XL%;~j%#2hOs
z8RvxFWIF#kON%|!B&&do?I&Zs#X{IZ>p;&Dl5$jGp;kNxo-3v5_==n*S%FE)35i2S
zZDiWCRfLM9P@B{=L*7)(*Zx;xb*xt}XQd3UPg=QVu|ky-9@hFoBL}Zn#nK!io-zeI
zh_9Bl5e_}BE(z6@)&(MgkQ_M#ZQa9WxEm6VFxaYQk0@vbOv&C|Q3a09OtMgv<2e10
zWnq@I8s`3(_!x7bEwJadd1RF09EfYN@}zs9LOb0%E_3UH)V5MnNDeP+RX{se^*|Yr
z1s6GY?2%`VDA3Iqeq}cEFK{2`oLHP6>`*%wd>~oNzDCBU<tkt9p_-1LsKU4K;fU+b
ze1@=j+mG3B#ZB2On+^Rq)A4TSD7=Y#_Blm}erovP7Sz75E!m|Ni@avx=ZR!J8BI<!
z(=^;^)(qvSvHsKW?MXWp>Z;xAz9){Gk%GW49XFg~kEl|wiVCmlH@{Zs_rD2Y07IO+
zod_KN0-=EWSmi%oV@ZaxR0;OUrk>z(JUhp4z1t_y-6M!ysP=0GInNDiCUqNh1Uxiu
zFgs?uk(i#DDu=6Y;E7U2Zu=Y%;!Z_HjUFD>>+y$`<G<LO8)>br|7bRK%r)4Y#*-G#
z7ny`!y?WBF@gewW7LaI30U_jgCLDfvW?*dTC433>JP)6SM`uTOO<Ac|lIk%%?uhOv
zc6<%H=d~*9=sZREFJV?kS|usr=9F?~Zxbr$0HyuRQq|tL)E-olI!v<TxAh7zA)pcR
zsKq@!tr~Ap+Vkn4_^^t!^uwU|@@HFpCPShUP!F#bP2F(+siew5;YY!!GT*CU1YuQ!
zWsZNW@fM4WIfjqJH%@h|>4qgRru=vWhf#0stsaUhNBETF2bO@8h#k!)_7U^Lwr^aK
zWh&sl^KoZxsospUEVXZ!@pH<Ayy}<j-k6gkWC$aqSa7@#j`{!Ef<lOUcU1{G1<k!x
z54YtYg$50e=`libN0R9BUtH#2S)WzDy12$YxjMzXnsOzd%;R!OC}s{7P!E+p<DhVk
zfCBu(eqZ_NzKUA~_m3E4IEOS{l_yTAm{?Izo@HE0Gr8Bjy!d`tb1*>|`&ACz`N%6R
z2|TIz(RowfUkrNN^wF0k==q^r=t(P?k{9mNip5dr1oQV*3Z|yq?z(lQu?5NXsz%k~
zurwt?z6VYe-iJPFUj_y{OmS_#<f|4x5Mn2i&u!HRhl4j!_Xc282h!1LmU<03#eEr_
zoqZ=Td~++@Sgb_JnP?h3{oD)T8)mH@%BSyix_Pz~2<LEkvcpdSf^qbfr{-n}`9vcP
zjF~xc+%?&?gS*Yb4OFF6PEPXH$}vp?1i<RCLGFK+_$8P=W%jGVZdKqcM<+0BMi0F+
zuN3Y6;3(yQHGlt!gDd7gen7BRwa{At(Tc9LIluaZ@n_6L7tIaWdkv9U5rJ6h_C|T5
z?|0A4IR(?Hk>YIhm!s6|+#RMNEn(d5Z1AMn;DBe8eko{K*kA`qubH@`7`>x&X;#nx
zcZZv_BZLmVwcllz;{uA@IYUWL0^cD}AMDWOW)X0s4(!!GMOaSzgJv)G&nGov#z=u{
zQ<ftS`FluSm|=UYPv+EmT4(#lYdY$<pWqt;XioHHAZJ_Pc{rq5lgOL@o@vBAjrQfh
z^Q=!8BmX5rpk_gKm-`oN87GOy9#Zh;fQ7e?>s~|79?YXb2cv%llFbB5*QBQnvL%OZ
zHh&J=6MQ!hxg*7L2M2nrgt(otG0z(Ws@pIaUaa6=pMzj`8>oK(y?qMZy@L3u#t&S7
z-)Vo}v3m~e?nnHT;|G!gZrob?tNR(SBRMY{sn0h3>Zf?#9(XC(paw+0e!K0?UMMH%
zwiScuoP08qZ&CuK7M%#+>Bt{iE?Nb)FN2~dHT(Yf(#|*#X5N!>9HdjMSp!Sj`stNJ
zP{;V^;rS?O$qV`6?AMB#*A{u_wCAbx_LR6_e-n1VSiB#u|3s-sX`#e-%Jgeq+hv?w
zw_afr@F>DfXobfVUh*p{64;wwIi|U&>(DSfHx`bn9}b}@rLQGYo_F`E?5BrZL)p>{
z_(E1_GX3Lf3K|2B<Qr`B{@=>`=smwKtV?jy>l`OXimu;!eL-7%_D0&GqhV5}E7PKx
zN<a6IHkE#C9s~m@XMTmIsT>c*L%%-)?EffwN_?f_zl;0rBgRnC{NYs+F{-d&+OqBX
z3HS*$82Cgka4$H)w{`xcVr&(Gs*DJL{e_3nvab21O&A<L;vAE4!^ZPHmw%OT^Q5;1
z1NA}W&f#-ddM>$UQK-`^p7p0Tmnm;DkaR7hV9?0PR=XdCzSw6xKIrf$fVey2LbFoR
zt<BBeJOJ%~JPVs{Mla}_{lh8Lva*NSN#LD_(O11mko?AP;1D;u(OsWiRxdAEA3nm1
zy^AaAy1G98HS!gyOvyi*-+H1;24#4`t`Aw06>MF8*ik(>tXqJdUb5aA``vrc@O1^`
zJPsiWKB~QiaC?g3@(IhQH4HVe=n2r)PH8BE&=u-~a5RqV!4^B)RrhWu;_HH^&`pTk
zH=<k=LCUl8NB-Sa*X9?~Vbd4lE|UV^^eG6GMwg}oZCzYgo!|D@v#>_hXF0*dI@4Pe
zmyZjdzM|+__UC^iOE_3Z?nDr#vQl|_EIc|=o}36{Wz<JzJbsKd=vwH@<XvVwCXk6x
z$tXBPU2=rE0<QAbpRrwKP0sotKn0RKn`UpQFSIDfwV2*00|0Gqi!JjS4p%^2PG&d2
zOX}1W6$*F$OQ`noJ6icK#>!t?nriAD?L=FVHym~us=)YIIXiTEau)Fk5C59ifiuYx
z7LPKWsJ$RFRUKQguKs)*aam11B#9>8#j3tBDr{$#`>1e&*_QB1*>##`$|h<no8LC!
zwne#?=<Cs1IQOzFgH1&Q>l7nIF}MURbhig>Om!FYkm}oTMxuq(_)rSJEbbQ?$>)+H
z$Ocu}P4YP<I%<F<+2KK<-D+Ml_eH6399?b?=&wKC@IQ8jUwYZ|%##PP$aNO&&<Y;n
z_GV(%@)|gb#NyY9dyDLH<FrJ36|K{0i+YSGAHAJZE(e!{mO*W6)umcyu8w;go7jBh
zEc?Fl{oH4t`9ZSluZ3RTV1HoT*u7qA_>3b067b*G0XG?-?AY129pLpu`aHC7<yrnt
zQx)(2Q_GP}723@_;jiF|J`@P|)9%IU+yP!z!L6Ws?xXOJF+W|^NKNY|u11{63^L2#
z%KG1bxHojuzs2G0#RDaGz)@wTszx1A8j&f2OiO(?+ek`z<ol+;BG1>752dMQO$b2C
zG9msz%_PUC()QF;@vBc4`|UljXyBfl_EcZ(RRK)p-Hz?uwy6@pBzLuYL!6FBKmZj@
z3@Nt3ZT7B&3!DP0N_8pg{=0|v-opmVC*eC&_8|O+8@8SYSxoWXu4u6zS_ZH|JLe$G
zaz<PqdipX;ECw&cHyc9#?qTz`6n?k>2p6#7^0st-xF9Pe?-(Fm^ns4e>GHrRlaB@M
zJ@=}rGLf?yjxt=q=9P1ECm^|GLmsL}8rH!!p`hC`P4`v74q|2djRhc}g#w@ytS;V%
z(oUvWd*=L(N~Y@)KPxa^odlpUOmh?{)J&)w=i4xK%|4{xZi+MA^H_!mFb$kf_;Lel
zCIGV><?|nY>5EfB2tgyF5qnZgQ+2WQ&E?j0i@EsTBM8$<-h~{_E)FM>gL&i_q1j3}
zp5JHrD<lB)Tp%@T3cGD7q*6!XVU{9Dt2U$a+>Cvrn~O_Ldx@{=3$SWJzS$@e)4|Zw
zMeYL~;YGvYgd=w&@ZuaF5BK*NK%T3(5vg+vm0wfmF={Z+d^NAQ)*u4kn|de0P`GPe
z#mCg##URyAz3Su&ZmVjbJ^63$)s$l+IdJ3O20s%I0}~HPBp$VyhgpX1D9y>L>%UQ+
zV_<LdTdy0)RMs`Eaz=Ai+FF|jkr}N+{Nfw?>Y=$HJq?17lf#Mjg+f9*9av`j3wU6C
zv+HKsbBN~VmZdrYoM$br)!auVq4y|=n_8n}Uu$GsDR@*eX=G46&AbQTh8;vMnbQ?H
z_~HH|s2kaSN*nWG99Q_T_pB@~JG$4=E$`Wy9;80dY61%CO&#9#;mIf?FEZwL@LVm?
zn_SGvuM|5W;^U&c-RLg5jo4woJ(5A;3l%+$#L%5Dvzwi0wCqNWMP*vIXV;3cjajKv
zB47t(6kc2ts{Nln_=hUY-&BZ?&J}cpgM$Wv)WtVTx7xh7cJ~9<cLUv<s9y;3@7%n%
zDInKwv*EGc>bz;4?b#EbrP&j7ko6_EbGzVp<>jV4OAERetM$)*sN$_xUAt!n`Zrma
zKGf~%Q9rS|(ZO?p6wA@!3?Ix`FNBWJO(yr{WFc+OOO**J9;Jm`A@4pe%BLSL`w#w}
zE?0MLr4PMr4zCKE96l>C%3idvRUjoD^VvVnV?d+31E>qBJ7@5r)0O|Y=|3}M?_poz
zpH)0k>*a@Q!llXQGFC%EJo{3D*j4ZHtAgkWr+hYo=(Jp0^}K-BY3NUF4J5ZiwW~X+
zwpi8pl6XmD)wJ-gTXTJ6<VfW}WnM&7w(^5pY#wb}pN(GBKbkzXdNRFruru#|SocQa
zTM*^9z12`F;o)8IK|TNIU*%R87zl(prt+!ny68ICRCT!3Ii9T0b*Wc*b@btWMYr3u
zDog5uHFIh4Kr3#?!?{(zA^O6~#Up--#D713alrs|)%w{Rw%GmhgOdkPcVB_|Bw)O*
z1Io59NZ+|%X8SDJ3D%;62w4KxF3xeQ|G8#r;PtFS3`hR_5jYq=L*SJ9CjVnb#5pa)
z(NL;aRE6gU&3eRSn(w_3f1$c==_F54o5cY&C~*8Sy}|EZdXRSq4%X&R)AGQzItbl{
zs{p9Gth;3j{`;jL24cD!5XP5$XM<P8?JQrrHlNa&4iu5@EF)vAb2tYlFbown)Xg89
zzSc|-pE|wg8mgt`;}garrl)g38tCOWq;E;8RMEXhviSI<wa*VObB#Q$VjY&E#3ORk
z-AsW<7_jZFAc5Gs@3SMwo6`0yl)J4D4bKOHhnHvXvEpwO_yzqD<ST*5E~nGyjSq$6
z8{FJpeKX(-;ogPMSkqS2TB>w~PowUYkrB~Z_o;ZZrwt`rFZsZvCZmg*|7iS41Ei(O
z*7DxS86<z#e2?IdD1X=Vp3505=?Pe0?|rUzaY1x>+W(y>L?8D~&Ee1%t_Opbhl(a!
z>(Yn_-1hFtfx`3+roXf1xy^YT@SQ^a@{oUT%m&hfTyskUY7uz4RG{&e7yXA*di#yL
zK45n`X2K_%^&e3Io%rw8fScd^z-3T+9>69D!=&B?)EmDa0B<;VLCj5D-=omZB6n@I
zv#xzDxY|Y|7!g6RRJIhfsZ-3gAjhE#`H5a!BW&@(?V(S1*>HQY-#RO!k@vdEedZ^V
z&om-{ddIt~v^@qf;b)3ds({5UxIHC6_j3mBgP0$D;|ThzHsy-{7WGrP2Tp$h8~>OU
z&F(HR=MegsJ>6@9SQ~^MuUZ+<*ZkW10y&MR%fF5YK-d|iZQhgzT7w?Xm(Lz+8T|-H
zFEw29d*NzF=qSdE(U0Emq44Dks}8?&HM$>)QZC3CVw11T(du@H7n$x6OnlLu@uUCu
zFI(o%b&y%!iyp-xv>oFewU*ee$_@peFk9y+rZL$=m>$Yy0_>RMPfDzO4AJ`M!n)jy
z8E|Qd;oQATh%WOnNLQ!zxh7KC%Gs2aAA|Ws?V8b3J7Na1l4Bha<c8sT?31<yVAYvY
zq={e?_ua1PgOr|+EN*W3%ks=|3oH!n_Gy=o%B3YN-1>rt5lv^M%ZkSs3gbd;myioI
zr?b-kUi#NxiOLcsBTCUaBh&TG9)zrlt?Pos=!=fTvZ0}8S68h^XwqiKOIuCF=>Hvw
ziP4w-SCsu%l$&uULUo{o9IOlo7guY-%QOhp(ac9;yq@cwV0X-XEyI0W>z#bv2yLGP
ze}-VZX6T*RdF0uFtuYm32tBUr_1QfM`3}SRMCcE9pOe17>78hI&hXy|eIcFT+=88H
zv|(C%0`BTe``2dYo1%x;2Er&0wqSqhNZeT)=y;GU0^?KQtL}w-SK&U;bDdWBIUBtf
z5dl7Qn}Rs))cetd=jpfYQ-fV|4Hy=D9Gv5M8}$IId>viv&5b<LB$>Pc(_SZg7Iysg
zIY%4oBAu)LW4TW1vcSV5Qu@B<Sv98Z;RxE;pd@){?g(Eag}m$Et`=p?m#puc6m`5_
ziYY=7owJt$S`Tu))^*a{?>qB;PTdB3nX=gI@=;Z|7!}5%2?)mla|Li1Aab~J+RSbL
zyTQrWyGK$K2%HLw5FEz1G~<k{((X1Sy4QV2!@o@!y@o)9;@Y;>S)7mA{u;vO@82ND
z6slw^T7fCUD7gKRiI}y*%MhMIQtqSLbv55?g?7A2Eq<H-Wnt&5Ueiu*P@5n2#kl)q
z(L3(x@Ppl3J6!S=VS>VD8u=yPy!!hRiOuGyXVCaKXI`J~m5Uqhj6KRyy0+IcF`cmh
zeYtxt_|L77TgZu#e$3`MY5O!>C!5G}7Z-=4xCVphhR9#KC!`6eTuvAhIXWd4aIs?c
z{Q;CJl&LxydjE@(y!>YDYqopt-pFmalH#&L6zZ9tHXQv{VprF)mW>x%pHA#$IU7tZ
z-y=p`>|!#un4}#32A}bTfwXdRw(9MgTUPp5tMNJ|s+07SZK;~c(nN#yF*u(NjJ9<+
zp8$+k5qjqsjJ9PMTJde`FOIi2-9CpRyFHSXKNlzPT@bl$h0y%%Zc64)h}yCH1jUwO
z<E%Rr0Tc%BwD0D+PeDQm<-!Q+)*KrL1G@*Y{i;|9+pc>zWwHH9SP1Og4w#6XI(fS{
zxb`)XtTuWWbuBvmdkE~mN<wMq=4)E!qXtbz_LSfw+BY<85Hxl2H5$M%ireG3SB$hr
zj8cpUmsY-}qXwg62jvEZO8wreIWFQjaUEL}94xA9-9bb{0h#!99Qw6L9~yqhEB=GQ
zgb|j5`NblqjDKicLugznei@a1aMbhw{qYa0Dt=`ei(0i(AJod;BB9BHAikUO(?~$2
z1pQ>Q#=Jme`KYCN74zujrHJn@A`F!r6s&m2L313@`y1PDN*Fqi4o!G8Du+Xd$XPLO
z?+(kM01NOFy@^6!^%fm5`rfNFLb!gEY?`W{njKR8dzyb}s$exUD-0cKup`Qaf0eV+
zWbY5{rN<8Dh71bT)aVy3hv2-j;2<nIQWU6>V%@jJ_QPNy6p}^_;xC8Ns99;?92E}0
z6NaK=Aw&p~rcXM!7?136z(@4=g8AN3vsnJk5P}+}g1Qt4I{kqG)g1J54Glb43_yZe
z*qNrXyA&%d>VUL=IJ;wT3kkUa_3QbAvJ=Y#Kbdml=AwKrBdYA^c@nWN0ZC`^Cbo1^
z780_Ei|G*r;ZkZB13cO&m*Rm-0Uu}|W6H{olb%9v7bj$r25UljkJ{b!-i!Fj-czb6
z@8Z%pe2PG4DI<n@{;ON#Nh4XdlOH4sp*PXAqbTp@qNq|5$i(6}g{!s7Nre8L9tUt@
z@h0*w;R42ePO*c@TB6t10ggKw-f8f+7hy8!-|5_d>U*>=takUc<h|363>IDvc$$@R
zo9l#r2f|QnR-7em&e$(l`<XoXX187lesFuP8gx5E!g(@OfG|au(P!fn&E@pN*;^sH
z?7A{rr(59A6Luxe%1hEtuJHHlNcviTlTkTW%0IjC<r(#<U4irO3+B5^9#j@41U(9-
zYf&1=#=mR+);oP0_?^7Dz6tF9WuMYAe)o3nS7Ka0Op~y#Z>OFuD7yP|{hY<nt11hU
z^#mLa_nn9QqkhKjMkwbx7*L=;O#fq-m$=ssxlg3jq;5R}<TxEwzkii=F6?@w6yd2D
zGYFlG5977;){Gyh{l0_o((48`r48*3Seae6{B+H$_|egVz_X<Vjb~R59;55BbNj?~
z;|}e<6PSvkS4wr1=(Z#nCf!4fnF?KwD@s@4=CQYZ8Rm<4({VG2Dy*i_y$t80`B$&5
z;_u-WM!t6BbBtzs_cq)IDE%Zo;;eMk`8CZX|Mx!!(hQK<*Cw6xwLkCVsEG)nod~V7
z@CT4M@5IRARgwN-xMN1$Gmrl&a%qzaumzLlQtn!b@d~GRLJxLXw=+5SA)O68?`Zgf
zok3{U)Ex8u9==CFU$<Fky>+qI1wO}Vj!VqDa64FLZQTz4W8haGA|Mq1{W~3|OSo~T
z>2K+~+uhsOK~S@K_A$E!UAIHh-#%!~>K5^3Z7zrl-75tBmC%G}x=>a))5e?K-3C*F
z?6Mvh18x^;o%0sR1>6U1hW9qHThrg*SLsE8DwYO@<zW@;3Y8hc7Ljw_F<fHva9)HP
z_K*FNk#|rDoE`A%5Q8+~mg{?1P=JpRRS%`9QllAw*7ySX1+1@VkcSHWQCUdL&!Ey{
z0L?TVzz-fprzpx}YoB!Hr?9)~ihdHV9!yiUP{Xkz2=8i)!{ZD*&W*cVEf=s7VByZ&
zztQPgUDCch!#fof%pk$YqC^14z;H^psg+pA;FOT0tx{OBsiyQ!Oct*6amCTX(Asw#
z6dX8+sK60W;KIcrYB2EqiB(!aN>;xk>&nrJDi<UA3kj=XU9$$9#UBB{gSDECD@XPK
zW6|K8GfDXd_fhR=oF`+S=9p)2E6EM#YJ@^IBb)Tx*2~Fu_GFq9fv067a9WE!_LTkv
z2iGGC!~^A6&G<+meIEhqvo1@CrUJoe<F5wMk?;lGaX09C2*0Y@-4}<mCBe}Z2z*M1
zrH9yHgDUo>|9O*71WX6cG4>ogcL~rp<qO8@6*MhtbZCqdaso<zp|e62k=f^>jRQwT
zMH|SFp={_tFy^QMH^2CSDE#i__#N=}UKcYr&1Hnmon~$9TzTsxS}m6W?-qn_vQsDP
ziASu1(r#dvj+1J+Z<nz2&R_WCrxB!D65SXU?`eBklR-{>F<dj>3F(i~sQ^IHC$i4T
z7pC)8z94Ls2(fP)uH023-npNFLqrzjKa3mZ_Nhy2ww>YB@y+saRmUB3g~meCQr7wf
z0>$F>w~M~caBa8it;#N|uxm3MnVh{H3|7W2m6vOg-+35|%zq^Un?qdhT}YGh1=7x;
zRQUuFU#berN_dhPe_W{f4_ABb9SZ7I_!8a~;IDPQY4KEr8J)tvi-X}Q<`<U*;2dWM
zp!gKoC$ikvC_{86Z<hE^%3MOhZvA7$O55F`9;_1QrNC6^O6Qv&BmMz>8qz~AhRXH2
zG_nstMK%cai&>4P=_!~$&$KC3_tDdxjv=p>K2($SP{oo>f%o;!rX0`J3nCpW>tlmU
zqV}9op8~iLY=;)D?ZNT1gc%GP<BcY0ft{tRQtQc(<%IWi1wHQ1xf}?G<P-^sIKA#;
zy}U5hFVTCLs5$RB;iU7BR>Tofw29{h37N#QZah73S}=ZgU)FqARxu2L<E<IM2n-C9
z4{u!W?=q^7!S5(#9`<D5LQ{|tI;&lSy&z0mqYi*uMPPg#q$3qI)c3in)5>CBG3)-R
zL3y#Sk=s`L=E@sjP7=j);TH9zy1l!!1%<DI`B?MpI<3Glg*VjGsIT~V^O;>6+DY%q
zyQHz-KNV=#m0sP-Ydqgy;kojbU($kwfz`Z{s%FqV<sE}_!yuNIwRehkI7<8%adcmR
zdfs3!AvUqNRFLbY64|IkLJ}tVI9o<Jy}0lqE!-fM?^iM61yUR9(R!kPz;SKV<~DN~
zMr{0<G$`IZWF7sZY1hg^mH1hk4_cey`bXpPgYwf%hCO-lZjTbCVZd*Xso@w;;2<Aq
z(VrWo`SdNkq3;28k~GW#f>GM`(MKEEp#WeAhBZAlC<tEHB}zScHw>=fNQcO1xd&zy
z<)zp5L1#Y%!V71fpFT-MuqcWwr5L@A&FF>lENgINBt2FN#qGa{2ur&<w5$&bf>(|$
zDM{v4>>kk<_+w*uOk+SCJ5S*F@9DDW9)3LW(_x^QDq%hv6r>4Nmzt#4rQ+75DAJ&b
z@rhV7M*kRd61}WdxS{wy-zN69#t#G|)~8ZIe4=B6!y{K3Lk}SGt3X8q72)DOY+X=6
z63GjK;3-TbI4Mk|SBMGT8;?dT#2h6?8rMHn6#FD1jJR<QE^Em^Z{GhM?Z-X#)o<r7
zdz+dN?&;27q!DgeMg*A#{e96!eKXwz?RL$Vjv!>!*ZB(m7b}}T>iN>J4d3OWXFH<p
zt*<RmWb?YeEl_mRuCFaP|0>0BlSt7`Uc)W%UpsLHx7NaDbQ?F4PK4;DEupUH!e%&^
z4qv-lcpEO^rfL3_^TG#|^Kv*BpoHbkEA$^XRYkk_0xj%0fb#5Dh)Xwy3v^yI6P<r$
zk}P{aZpz*P*Fyaj)(iwW`OVMMHxmJj(s7D^vV(EtklhnwG^9YsrbG=*2M$)|Ge)zb
zmI0~S5h>(I5i#C?!}_?ssr@Fd6T=jxL?e_n+I*+jsZVSo2`(xFtBPD(BP1D)+wfYG
z;4I7VJ=`%!e-0*-_;3e-DAhuD4mAqN0}K*M(jCLXA80ayCc*oc#X&1+T&{9VvVuy@
z7B~hIY_gQURBrSYeq5yN7?wy-7FRP!!4PDlJ@G1a8c`CjKS~HHp=;q48olylOR&b2
z&=aR6LsO@n8P@uQi5sMZ4Ccdm=-=5E(5E~2Od0d00*`d0c)i=7Ewo~`rZDiLkeff%
zO>2Uf^_<?~7rzFABWs&OH7PF;t1o$%BYbe``Nddm8&|w*#f4*DWANcK*le((OL3qB
z4qWZ9Ca80_nUNdlCyl&@jNmD6sn_|`m->x*qoW*xm0~2Spkq8AT)S>|hRKdxcD-kv
z_2&vK(EfzfFZB0hvHZRDTuq@_I+6C4sS4!{7c=Zs<FtzTg!2x{Ga#YH8@>Qn4?G*M
z>i*R%zN7r}=M!*-f0x+D+IMz>Zcq-HM@n(#t*rT}Gjj)(ry#NCRSXuO+|DV7-=ws1
zIop4jtcb`RV*`tB{4_mS=Rr}vP6_m3I_iSJm-BjhA}B{|FJ8UPqj|#Nvo~6JaQbOV
z8@zWLbf63oQ&hi-RewsXk;mdg%ewePT70S}h}<#5g2>Vs<NWAeOvW9*$!p%d59jW&
z)_})zq3+7t^(PN6ea+VDdb~@-FsFW+2nL#jm7;U{6*I?&s;1qMoFqMz@2&aAYTy(f
z<_h`ru_kNQx}PGTmH*|Zqk%~i6y!m)9eTWu;7aquf<;NJQQ*~b0a4CME*xd;i~EA?
zV<KKQy5R9sT-Ss)@j5zOG3u$5&x|B2_k3rn(3g@*XS+TkF*n^^;aRT^C3V26uo~J;
z<_GwdCk?4`c#(>HCsJAf2Lk7lpe3HdjOEdyXxUpS?Ascl--;JC@yMS8&%cfL6b88q
z8W#FooLxwk?0Iqd={o&52^A7AY$sh0(&2r2$SrdTp}JB6h8JDLgPGQA){UNJ&E({S
zf)c-38@FdpwtpwebW7DzW_<d7ze)J@<qqndVr_$_isnaOq>_E8yQM%eSXVJtpJ-~^
z%I)Olb}}`=#SFK};y{wylB$wRinO4yk62C!T0j`y8;eBU7<Yr>i^K{QNru8g#`j4`
zV!#k50z-w_<1{su<>N};+PdDlo#}MtvAOQb78zv{=>wdNW{{~c<S<q?j4nrBmf=db
zY)Rk!K|O}9I2hd{wSOdEg%J2#oc1RPd=(rw%!vIHLWk$`yjN#S(1-?NZE(O`nI>EZ
zW{dM9gA9bs9f*8Je`Tt@SPhwbr@{BjLw_5i3~XdT)%uhBw%fP)d<<zYBRz|~&dX{V
z;DsI|5$WORY<)&T5y4bI^$>0(@U!7eAX|R#DDrJ+YTiltiND#an!3Bx6uCqqs;h^n
z`ybTWfC)@DZft;|uJRz8{fs<zSy!DH;6Qn~F6L%tVB0s`QTIR-YW(@gyY1o4Ekk0}
z2t63I3rk$oJ_`{Oe9s3Ld;?zz`F&@>yvdcfvxskgah@w1ZA0(t*HDOw?30NDx0xSX
z|86>A1x%v9YU8th(ng~9)UOSty+7>|@+R9oLPI`=yUja&5e)Vi7O>5>v}PxL_GGoE
zNDZvb@=Jnqu}W!EO=)##pjMBCmLQyIAF!?WpJ_|opfn8A^5*LE31O5Mni|(FJRH!^
z{=XUFp{!VCq(kp&aR2xjyjxM#ehqwWgy0~w9%jnCtBPj=4r9uedH#fuD`R+yXap;j
z)Rq`LzIPPgF7vic6<o3`nP-E}QvzzF5V05#!~hy*vCSztE8ZyTjOeD2YYy{{7T8r-
zX_CF?E6dx|Zba0plH`ye^%ii;&5vIoL_hE#ncE=!S1&-`UCsl`<&FL-1mRPS?^zlh
z)p)wo<C`dmx=kl5{A)KiFSb6{`F>6%WB5r5yD%l(IINUArelG9g<o^dNf3wBO7S4h
zmAE*)%KRK84iT^f5=gVsMOn|BX)euh?RM_%3f6+l&UckkcCeMylB^@3H0`{}7ZV>B
zI|)-K=QQ_6C_-aHs$H^56W-);i#@%HAaanrTnRmO{?FJ<X7GYq_q+Gbj6H}#zD=lR
zSQH6K?bkv~jmSBZV7?Yu0BL-mLU5i%pPmSx=udpK!{_jdl$(g2{tGXS({^w6pkIch
zlI1+p#EUB5PaT4Ygr+>f@_z^uipsae*2<01%`^W>$j887zlK&c$>uRm3@U9fJ^-@;
zOZB<g!$8oAfuW)HE=X2D|5I8u1&@ci6psSF463`;vlod~R}NWk`%uz-RG@8TAfbPp
z9-F~Ch-Zjf^Zqzw9ATJ0IT7&tQTSS!X7_L3o=@VS;m2{8Dk2HJbbam+eu3>}*CR+$
zg2rkrEQ8g8v?e`6luN{ub3pTqVO>xS;?csol+KCwZ;vm9oeM7zr4`c3`$B6mC!QfE
zPTaZmEBwcCCpPckb!zeU@TNZg1u^iyLnD0@T#f2Ic};UEbWUA$&R*z^nFYNQ^wK=1
z%)CxU$!S!p*37@}S9g4$Yefqf?-u+;_MPuX^(58miEB9aMmQe`{Of=!wSvkbaO>dL
z-ESXjnyIi5FS{e2Ztxz>pKG`inyye#RtuM@=hhPeglnF!%_&}T-~@poxx`$VMxM5k
z$?W~=hxI(xx3R6b#YYS_;_{6Xwij#vGy<P?Efi%nViLIAWf8GLSBI1Swfk#h#Rzog
zp@Ln*R+3zo_wTCLL=jMzr@h9TiA*-3oG*i^ZM}AEF(3R|nk8gvVMYRBEJSgFKh)g#
z3nQl}uZx*`L|8d|7%(4$@cAQnx336Wl2VFIusWvTLrRiA=`y#a077NWN_KL~ODUTN
zm6e8^qUs-|)CTh11pQ@cD}cF>J>S{>!s{rUN_C86+DFFIxDbPwRB*zN{GtJ`1i|tB
zG36@1@dVVPC{G(lXIa_(x~>sre0&>+QTaf;Rfe*Ivx?q<YRia1YSot*>=JD_!?vW&
VFpr=<egn+Bubbuz{J(kq{{eN=mjnO+

diff --git a/SPI/output_files/SPI_test.asm.rpt b/SPI/output_files/SPI_test.asm.rpt
deleted file mode 100644
index 9aed80e..0000000
--- a/SPI/output_files/SPI_test.asm.rpt
+++ /dev/null
@@ -1,91 +0,0 @@
-Assembler report for SPI_test
-Wed Nov 16 16:51:43 2022
-Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
-
-
----------------------
-; Table of Contents ;
----------------------
-  1. Legal Notice
-  2. Assembler Summary
-  3. Assembler Settings
-  4. Assembler Generated Files
-  5. Assembler Device Options: C:/Users/damie/Documents/Minibot/SPI/output_files/SPI_test.sof
-  6. Assembler Messages
-
-
-
-----------------
-; Legal Notice ;
-----------------
-Copyright (C) 2018  Intel Corporation. All rights reserved.
-Your use of Intel Corporation's design tools, logic functions 
-and other software and tools, and its AMPP partner logic 
-functions, and any output files from any of the foregoing 
-(including device programming or simulation files), and any 
-associated documentation or information are expressly subject 
-to the terms and conditions of the Intel Program License 
-Subscription Agreement, the Intel Quartus Prime License Agreement,
-the Intel FPGA IP License Agreement, or other applicable license
-agreement, including, without limitation, that your use is for
-the sole purpose of programming logic devices manufactured by
-Intel and sold by Intel or its authorized distributors.  Please
-refer to the applicable agreement for further details.
-
-
-
-+---------------------------------------------------------------+
-; Assembler Summary                                             ;
-+-----------------------+---------------------------------------+
-; Assembler Status      ; Successful - Wed Nov 16 16:51:43 2022 ;
-; Revision Name         ; SPI_test                              ;
-; Top-level Entity Name ; MyDE0_Nano                            ;
-; Family                ; Cyclone IV E                          ;
-; Device                ; EP4CE22F17C6                          ;
-+-----------------------+---------------------------------------+
-
-
-+----------------------------------+
-; Assembler Settings               ;
-+--------+---------+---------------+
-; Option ; Setting ; Default Value ;
-+--------+---------+---------------+
-
-
-+----------------------------------------------------------------+
-; Assembler Generated Files                                      ;
-+----------------------------------------------------------------+
-; File Name                                                      ;
-+----------------------------------------------------------------+
-; C:/Users/damie/Documents/Minibot/SPI/output_files/SPI_test.sof ;
-+----------------------------------------------------------------+
-
-
-+------------------------------------------------------------------------------------------+
-; Assembler Device Options: C:/Users/damie/Documents/Minibot/SPI/output_files/SPI_test.sof ;
-+----------------+-------------------------------------------------------------------------+
-; Option         ; Setting                                                                 ;
-+----------------+-------------------------------------------------------------------------+
-; JTAG usercode  ; 0x0013DBF0                                                              ;
-; Checksum       ; 0x0013DBF0                                                              ;
-+----------------+-------------------------------------------------------------------------+
-
-
-+--------------------+
-; Assembler Messages ;
-+--------------------+
-Info: *******************************************************************
-Info: Running Quartus Prime Assembler
-    Info: Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
-    Info: Processing started: Wed Nov 16 16:51:42 2022
-Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off SPI_test -c SPI_test
-Warning (18236): Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
-Info (115031): Writing out detailed assembly data for power analysis
-Info (115030): Assembler is generating device programming files
-Info: Quartus Prime Assembler was successful. 0 errors, 1 warning
-    Info: Peak virtual memory: 4674 megabytes
-    Info: Processing ended: Wed Nov 16 16:51:43 2022
-    Info: Elapsed time: 00:00:01
-    Info: Total CPU time (on all processors): 00:00:01
-
-
diff --git a/SPI/output_files/SPI_test.cdf b/SPI/output_files/SPI_test.cdf
deleted file mode 100644
index 24a800c..0000000
--- a/SPI/output_files/SPI_test.cdf
+++ /dev/null
@@ -1,13 +0,0 @@
-/* Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition */
-JedecChain;
-	FileRevision(JESD32A);
-	DefaultMfr(6E);
-
-	P ActionCode(Cfg)
-		Device PartName(EP4CE22) Path("C:/Users/damie/Documents/Minibot/SPI/output_files/") File("output_file.jic") MfrSpec(OpMask(1) SEC_Device(EPCS64) Child_OpMask(1 7));
-
-ChainEnd;
-
-AlteraBegin;
-	ChainType(JTAG);
-AlteraEnd;
diff --git a/SPI/output_files/SPI_test.done b/SPI/output_files/SPI_test.done
deleted file mode 100644
index 20bb6c7..0000000
--- a/SPI/output_files/SPI_test.done
+++ /dev/null
@@ -1 +0,0 @@
-Wed Nov 16 16:51:49 2022
diff --git a/SPI/output_files/SPI_test.eda.rpt b/SPI/output_files/SPI_test.eda.rpt
deleted file mode 100644
index 63588a6..0000000
--- a/SPI/output_files/SPI_test.eda.rpt
+++ /dev/null
@@ -1,108 +0,0 @@
-EDA Netlist Writer report for SPI_test
-Wed Nov 16 16:51:48 2022
-Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
-
-
----------------------
-; Table of Contents ;
----------------------
-  1. Legal Notice
-  2. EDA Netlist Writer Summary
-  3. Simulation Settings
-  4. Simulation Generated Files
-  5. EDA Netlist Writer Messages
-
-
-
-----------------
-; Legal Notice ;
-----------------
-Copyright (C) 2018  Intel Corporation. All rights reserved.
-Your use of Intel Corporation's design tools, logic functions 
-and other software and tools, and its AMPP partner logic 
-functions, and any output files from any of the foregoing 
-(including device programming or simulation files), and any 
-associated documentation or information are expressly subject 
-to the terms and conditions of the Intel Program License 
-Subscription Agreement, the Intel Quartus Prime License Agreement,
-the Intel FPGA IP License Agreement, or other applicable license
-agreement, including, without limitation, that your use is for
-the sole purpose of programming logic devices manufactured by
-Intel and sold by Intel or its authorized distributors.  Please
-refer to the applicable agreement for further details.
-
-
-
-+-------------------------------------------------------------------+
-; EDA Netlist Writer Summary                                        ;
-+---------------------------+---------------------------------------+
-; EDA Netlist Writer Status ; Successful - Wed Nov 16 16:51:48 2022 ;
-; Revision Name             ; SPI_test                              ;
-; Top-level Entity Name     ; MyDE0_Nano                            ;
-; Family                    ; Cyclone IV E                          ;
-; Simulation Files Creation ; Successful                            ;
-+---------------------------+---------------------------------------+
-
-
-+-------------------------------------------------------------------------------------------------------------------------------------+
-; Simulation Settings                                                                                                                 ;
-+---------------------------------------------------------------------------------------------------+---------------------------------+
-; Option                                                                                            ; Setting                         ;
-+---------------------------------------------------------------------------------------------------+---------------------------------+
-; Tool Name                                                                                         ; ModelSim-Altera (SystemVerilog) ;
-; Generate functional simulation netlist                                                            ; Off                             ;
-; Time scale                                                                                        ; 1 ps                            ;
-; Truncate long hierarchy paths                                                                     ; Off                             ;
-; Map illegal HDL characters                                                                        ; Off                             ;
-; Flatten buses into individual nodes                                                               ; Off                             ;
-; Maintain hierarchy                                                                                ; Off                             ;
-; Bring out device-wide set/reset signals as ports                                                  ; Off                             ;
-; Enable glitch filtering                                                                           ; Off                             ;
-; Do not write top level VHDL entity                                                                ; Off                             ;
-; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off                             ;
-; Architecture name in VHDL output netlist                                                          ; structure                       ;
-; Generate third-party EDA tool command script for RTL functional simulation                        ; Off                             ;
-; Generate third-party EDA tool command script for gate-level simulation                            ; Off                             ;
-+---------------------------------------------------------------------------------------------------+---------------------------------+
-
-
-+--------------------------------------------------------------------------------------------+
-; Simulation Generated Files                                                                 ;
-+--------------------------------------------------------------------------------------------+
-; Generated Files                                                                            ;
-+--------------------------------------------------------------------------------------------+
-; C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/SPI_test_6_1200mv_85c_slow.svo    ;
-; C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/SPI_test_6_1200mv_0c_slow.svo     ;
-; C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/SPI_test_min_1200mv_0c_fast.svo   ;
-; C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/SPI_test.svo                      ;
-; C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/SPI_test_6_1200mv_85c_v_slow.sdo  ;
-; C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/SPI_test_6_1200mv_0c_v_slow.sdo   ;
-; C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/SPI_test_min_1200mv_0c_v_fast.sdo ;
-; C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/SPI_test_v.sdo                    ;
-+--------------------------------------------------------------------------------------------+
-
-
-+-----------------------------+
-; EDA Netlist Writer Messages ;
-+-----------------------------+
-Info: *******************************************************************
-Info: Running Quartus Prime EDA Netlist Writer
-    Info: Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
-    Info: Processing started: Wed Nov 16 16:51:47 2022
-Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off SPI_test -c SPI_test
-Warning (18236): Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
-Info (204019): Generated file SPI_test_6_1200mv_85c_slow.svo in folder "C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/" for EDA simulation tool
-Info (204019): Generated file SPI_test_6_1200mv_0c_slow.svo in folder "C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/" for EDA simulation tool
-Info (204019): Generated file SPI_test_min_1200mv_0c_fast.svo in folder "C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/" for EDA simulation tool
-Info (204019): Generated file SPI_test.svo in folder "C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/" for EDA simulation tool
-Info (204019): Generated file SPI_test_6_1200mv_85c_v_slow.sdo in folder "C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/" for EDA simulation tool
-Info (204019): Generated file SPI_test_6_1200mv_0c_v_slow.sdo in folder "C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/" for EDA simulation tool
-Info (204019): Generated file SPI_test_min_1200mv_0c_v_fast.sdo in folder "C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/" for EDA simulation tool
-Info (204019): Generated file SPI_test_v.sdo in folder "C:/Users/damie/Documents/Minibot/SPI/simulation/modelsim/" for EDA simulation tool
-Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning
-    Info: Peak virtual memory: 4635 megabytes
-    Info: Processing ended: Wed Nov 16 16:51:48 2022
-    Info: Elapsed time: 00:00:01
-    Info: Total CPU time (on all processors): 00:00:01
-
-
diff --git a/SPI/output_files/SPI_test.fit.rpt b/SPI/output_files/SPI_test.fit.rpt
deleted file mode 100644
index f6d07b0..0000000
--- a/SPI/output_files/SPI_test.fit.rpt
+++ /dev/null
@@ -1,1686 +0,0 @@
-Fitter report for SPI_test
-Wed Nov 16 16:51:40 2022
-Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
-
-
----------------------
-; Table of Contents ;
----------------------
-  1. Legal Notice
-  2. Fitter Summary
-  3. Fitter Settings
-  4. Parallel Compilation
-  5. Incremental Compilation Preservation Summary
-  6. Incremental Compilation Partition Settings
-  7. Incremental Compilation Placement Preservation
-  8. Pin-Out File
-  9. Fitter Resource Usage Summary
- 10. Fitter Partition Statistics
- 11. Input Pins
- 12. Output Pins
- 13. Bidir Pins
- 14. Dual Purpose and Dedicated Pins
- 15. I/O Bank Usage
- 16. All Package Pins
- 17. I/O Assignment Warnings
- 18. Fitter Resource Utilization by Entity
- 19. Delay Chain Summary
- 20. Pad To Core Delay Chain Fanout
- 21. Control Signals
- 22. Global & Other Fast Signals
- 23. Routing Usage Summary
- 24. LAB Logic Elements
- 25. LAB-wide Signals
- 26. LAB Signals Sourced
- 27. LAB Signals Sourced Out
- 28. LAB Distinct Inputs
- 29. I/O Rules Summary
- 30. I/O Rules Details
- 31. I/O Rules Matrix
- 32. Fitter Device Options
- 33. Operating Settings and Conditions
- 34. Fitter Messages
- 35. Fitter Suppressed Messages
-
-
-
-----------------
-; Legal Notice ;
-----------------
-Copyright (C) 2018  Intel Corporation. All rights reserved.
-Your use of Intel Corporation's design tools, logic functions 
-and other software and tools, and its AMPP partner logic 
-functions, and any output files from any of the foregoing 
-(including device programming or simulation files), and any 
-associated documentation or information are expressly subject 
-to the terms and conditions of the Intel Program License 
-Subscription Agreement, the Intel Quartus Prime License Agreement,
-the Intel FPGA IP License Agreement, or other applicable license
-agreement, including, without limitation, that your use is for
-the sole purpose of programming logic devices manufactured by
-Intel and sold by Intel or its authorized distributors.  Please
-refer to the applicable agreement for further details.
-
-
-
-+----------------------------------------------------------------------------------+
-; Fitter Summary                                                                   ;
-+------------------------------------+---------------------------------------------+
-; Fitter Status                      ; Successful - Wed Nov 16 16:51:40 2022       ;
-; Quartus Prime Version              ; 18.1.0 Build 625 09/12/2018 SJ Lite Edition ;
-; Revision Name                      ; SPI_test                                    ;
-; Top-level Entity Name              ; MyDE0_Nano                                  ;
-; Family                             ; Cyclone IV E                                ;
-; Device                             ; EP4CE22F17C6                                ;
-; Timing Models                      ; Final                                       ;
-; Total logic elements               ; 40 / 22,320 ( < 1 % )                       ;
-;     Total combinational functions  ; 39 / 22,320 ( < 1 % )                       ;
-;     Dedicated logic registers      ; 38 / 22,320 ( < 1 % )                       ;
-; Total registers                    ; 38                                          ;
-; Total pins                         ; 99 / 154 ( 64 % )                           ;
-; Total virtual pins                 ; 0                                           ;
-; Total memory bits                  ; 0 / 608,256 ( 0 % )                         ;
-; Embedded Multiplier 9-bit elements ; 0 / 132 ( 0 % )                             ;
-; Total PLLs                         ; 0 / 4 ( 0 % )                               ;
-+------------------------------------+---------------------------------------------+
-
-
-+----------------------------------------------------------------------------------------------------------------------------------------------------+
-; Fitter Settings                                                                                                                                    ;
-+--------------------------------------------------------------------+---------------------------------------+---------------------------------------+
-; Option                                                             ; Setting                               ; Default Value                         ;
-+--------------------------------------------------------------------+---------------------------------------+---------------------------------------+
-; Device                                                             ; EP4CE22F17C6                          ;                                       ;
-; Nominal Core Supply Voltage                                        ; 1.2V                                  ;                                       ;
-; Minimum Core Junction Temperature                                  ; 0                                     ;                                       ;
-; Maximum Core Junction Temperature                                  ; 85                                    ;                                       ;
-; Fit Attempts to Skip                                               ; 0                                     ; 0.0                                   ;
-; Use smart compilation                                              ; Off                                   ; Off                                   ;
-; Enable parallel Assembler and Timing Analyzer during compilation   ; On                                    ; On                                    ;
-; Enable compact report table                                        ; Off                                   ; Off                                   ;
-; Auto Merge PLLs                                                    ; On                                    ; On                                    ;
-; Router Timing Optimization Level                                   ; Normal                                ; Normal                                ;
-; Perform Clocking Topology Analysis During Routing                  ; Off                                   ; Off                                   ;
-; Placement Effort Multiplier                                        ; 1.0                                   ; 1.0                                   ;
-; Router Effort Multiplier                                           ; 1.0                                   ; 1.0                                   ;
-; Optimize Hold Timing                                               ; All Paths                             ; All Paths                             ;
-; Optimize Multi-Corner Timing                                       ; On                                    ; On                                    ;
-; Power Optimization During Fitting                                  ; Normal compilation                    ; Normal compilation                    ;
-; SSN Optimization                                                   ; Off                                   ; Off                                   ;
-; Optimize Timing                                                    ; Normal compilation                    ; Normal compilation                    ;
-; Optimize Timing for ECOs                                           ; Off                                   ; Off                                   ;
-; Regenerate Full Fit Report During ECO Compiles                     ; Off                                   ; Off                                   ;
-; Optimize IOC Register Placement for Timing                         ; Normal                                ; Normal                                ;
-; Limit to One Fitting Attempt                                       ; Off                                   ; Off                                   ;
-; Final Placement Optimizations                                      ; Automatically                         ; Automatically                         ;
-; Fitter Aggressive Routability Optimizations                        ; Automatically                         ; Automatically                         ;
-; Fitter Initial Placement Seed                                      ; 1                                     ; 1                                     ;
-; Periphery to Core Placement and Routing Optimization               ; Off                                   ; Off                                   ;
-; PCI I/O                                                            ; Off                                   ; Off                                   ;
-; Weak Pull-Up Resistor                                              ; Off                                   ; Off                                   ;
-; Enable Bus-Hold Circuitry                                          ; Off                                   ; Off                                   ;
-; Auto Packed Registers                                              ; Auto                                  ; Auto                                  ;
-; Auto Delay Chains                                                  ; On                                    ; On                                    ;
-; Auto Delay Chains for High Fanout Input Pins                       ; Off                                   ; Off                                   ;
-; Allow Single-ended Buffer for Differential-XSTL Input              ; Off                                   ; Off                                   ;
-; Treat Bidirectional Pin as Output Pin                              ; Off                                   ; Off                                   ;
-; Perform Physical Synthesis for Combinational Logic for Fitting     ; Off                                   ; Off                                   ;
-; Perform Physical Synthesis for Combinational Logic for Performance ; Off                                   ; Off                                   ;
-; Perform Register Duplication for Performance                       ; Off                                   ; Off                                   ;
-; Perform Logic to Memory Mapping for Fitting                        ; Off                                   ; Off                                   ;
-; Perform Register Retiming for Performance                          ; Off                                   ; Off                                   ;
-; Perform Asynchronous Signal Pipelining                             ; Off                                   ; Off                                   ;
-; Fitter Effort                                                      ; Auto Fit                              ; Auto Fit                              ;
-; Physical Synthesis Effort Level                                    ; Normal                                ; Normal                                ;
-; Logic Cell Insertion - Logic Duplication                           ; Auto                                  ; Auto                                  ;
-; Auto Register Duplication                                          ; Auto                                  ; Auto                                  ;
-; Auto Global Clock                                                  ; On                                    ; On                                    ;
-; Auto Global Register Control Signals                               ; On                                    ; On                                    ;
-; Reserve all unused pins                                            ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ;
-; Synchronizer Identification                                        ; Auto                                  ; Auto                                  ;
-; Enable Beneficial Skew Optimization                                ; On                                    ; On                                    ;
-; Optimize Design for Metastability                                  ; On                                    ; On                                    ;
-; Force Fitter to Avoid Periphery Placement Warnings                 ; Off                                   ; Off                                   ;
-; Enable input tri-state on active configuration pins in user mode   ; Off                                   ; Off                                   ;
-+--------------------------------------------------------------------+---------------------------------------+---------------------------------------+
-
-
-+------------------------------------------+
-; Parallel Compilation                     ;
-+----------------------------+-------------+
-; Processors                 ; Number      ;
-+----------------------------+-------------+
-; Number detected on machine ; 8           ;
-; Maximum allowed            ; 4           ;
-;                            ;             ;
-; Average used               ; 1.01        ;
-; Maximum used               ; 4           ;
-;                            ;             ;
-; Usage by Processor         ; % Time Used ;
-;     Processor 1            ; 100.0%      ;
-;     Processors 2-4         ;   0.2%      ;
-+----------------------------+-------------+
-
-
-+--------------------------------------------------------------------------------------------------+
-; Incremental Compilation Preservation Summary                                                     ;
-+---------------------+--------------------+----------------------------+--------------------------+
-; Type                ; Total [A + B]      ; From Design Partitions [A] ; From Rapid Recompile [B] ;
-+---------------------+--------------------+----------------------------+--------------------------+
-; Placement (by node) ;                    ;                            ;                          ;
-;     -- Requested    ; 0.00 % ( 0 / 368 ) ; 0.00 % ( 0 / 368 )         ; 0.00 % ( 0 / 368 )       ;
-;     -- Achieved     ; 0.00 % ( 0 / 368 ) ; 0.00 % ( 0 / 368 )         ; 0.00 % ( 0 / 368 )       ;
-;                     ;                    ;                            ;                          ;
-; Routing (by net)    ;                    ;                            ;                          ;
-;     -- Requested    ; 0.00 % ( 0 / 0 )   ; 0.00 % ( 0 / 0 )           ; 0.00 % ( 0 / 0 )         ;
-;     -- Achieved     ; 0.00 % ( 0 / 0 )   ; 0.00 % ( 0 / 0 )           ; 0.00 % ( 0 / 0 )         ;
-+---------------------+--------------------+----------------------------+--------------------------+
-
-
-+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Incremental Compilation Partition Settings                                                                                                                                             ;
-+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
-; Partition Name                 ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents                       ;
-+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
-; Top                            ; User-created   ; Source File       ; N/A                     ; Source File            ; N/A                          ;                                ;
-; hard_block:auto_generated_inst ; Auto-generated ; Source File       ; N/A                     ; Source File            ; N/A                          ; hard_block:auto_generated_inst ;
-+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
-
-
-+------------------------------------------------------------------------------------------------------------------------------------+
-; Incremental Compilation Placement Preservation                                                                                     ;
-+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+
-; Partition Name                 ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ;
-+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+
-; Top                            ; 0.00 % ( 0 / 358 )    ; N/A                     ; Source File       ; N/A                 ;       ;
-; hard_block:auto_generated_inst ; 0.00 % ( 0 / 10 )     ; N/A                     ; Source File       ; N/A                 ;       ;
-+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+
-
-
-+--------------+
-; Pin-Out File ;
-+--------------+
-The pin-out file can be found in C:/Users/damie/Documents/Minibot/SPI/output_files/SPI_test.pin.
-
-
-+---------------------------------------------------------------------+
-; Fitter Resource Usage Summary                                       ;
-+---------------------------------------------+-----------------------+
-; Resource                                    ; Usage                 ;
-+---------------------------------------------+-----------------------+
-; Total logic elements                        ; 40 / 22,320 ( < 1 % ) ;
-;     -- Combinational with no register       ; 2                     ;
-;     -- Register only                        ; 1                     ;
-;     -- Combinational with a register        ; 37                    ;
-;                                             ;                       ;
-; Logic element usage by number of LUT inputs ;                       ;
-;     -- 4 input functions                    ; 3                     ;
-;     -- 3 input functions                    ; 32                    ;
-;     -- <=2 input functions                  ; 4                     ;
-;     -- Register only                        ; 1                     ;
-;                                             ;                       ;
-; Logic elements by mode                      ;                       ;
-;     -- normal mode                          ; 36                    ;
-;     -- arithmetic mode                      ; 3                     ;
-;                                             ;                       ;
-; Total registers*                            ; 38 / 23,018 ( < 1 % ) ;
-;     -- Dedicated logic registers            ; 38 / 22,320 ( < 1 % ) ;
-;     -- I/O registers                        ; 0 / 698 ( 0 % )       ;
-;                                             ;                       ;
-; Total LABs:  partially or completely used   ; 3 / 1,395 ( < 1 % )   ;
-; Virtual pins                                ; 0                     ;
-; I/O pins                                    ; 99 / 154 ( 64 % )     ;
-;     -- Clock pins                           ; 2 / 7 ( 29 % )        ;
-;     -- Dedicated input pins                 ; 0 / 9 ( 0 % )         ;
-;                                             ;                       ;
-; M9Ks                                        ; 0 / 66 ( 0 % )        ;
-; Total block memory bits                     ; 0 / 608,256 ( 0 % )   ;
-; Total block memory implementation bits      ; 0 / 608,256 ( 0 % )   ;
-; Embedded Multiplier 9-bit elements          ; 0 / 132 ( 0 % )       ;
-; PLLs                                        ; 0 / 4 ( 0 % )         ;
-; Global signals                              ; 1                     ;
-;     -- Global clocks                        ; 1 / 20 ( 5 % )        ;
-; JTAGs                                       ; 0 / 1 ( 0 % )         ;
-; CRC blocks                                  ; 0 / 1 ( 0 % )         ;
-; ASMI blocks                                 ; 0 / 1 ( 0 % )         ;
-; Oscillator blocks                           ; 0 / 1 ( 0 % )         ;
-; Impedance control blocks                    ; 0 / 4 ( 0 % )         ;
-; Average interconnect usage (total/H/V)      ; 0.0% / 0.0% / 0.0%    ;
-; Peak interconnect usage (total/H/V)         ; 0.1% / 0.1% / 0.1%    ;
-; Maximum fan-out                             ; 38                    ;
-; Highest non-global fan-out                  ; 33                    ;
-; Total fan-out                               ; 378                   ;
-; Average fan-out                             ; 1.03                  ;
-+---------------------------------------------+-----------------------+
-*  Register count does not include registers inside RAM blocks or DSP blocks.
-
-
-
-+-----------------------------------------------------------------------------------------------------+
-; Fitter Partition Statistics                                                                         ;
-+---------------------------------------------+----------------------+--------------------------------+
-; Statistic                                   ; Top                  ; hard_block:auto_generated_inst ;
-+---------------------------------------------+----------------------+--------------------------------+
-; Difficulty Clustering Region                ; Low                  ; Low                            ;
-;                                             ;                      ;                                ;
-; Total logic elements                        ; 40 / 22320 ( < 1 % ) ; 0 / 22320 ( 0 % )              ;
-;     -- Combinational with no register       ; 2                    ; 0                              ;
-;     -- Register only                        ; 1                    ; 0                              ;
-;     -- Combinational with a register        ; 37                   ; 0                              ;
-;                                             ;                      ;                                ;
-; Logic element usage by number of LUT inputs ;                      ;                                ;
-;     -- 4 input functions                    ; 3                    ; 0                              ;
-;     -- 3 input functions                    ; 32                   ; 0                              ;
-;     -- <=2 input functions                  ; 4                    ; 0                              ;
-;     -- Register only                        ; 1                    ; 0                              ;
-;                                             ;                      ;                                ;
-; Logic elements by mode                      ;                      ;                                ;
-;     -- normal mode                          ; 36                   ; 0                              ;
-;     -- arithmetic mode                      ; 3                    ; 0                              ;
-;                                             ;                      ;                                ;
-; Total registers                             ; 38                   ; 0                              ;
-;     -- Dedicated logic registers            ; 38 / 22320 ( < 1 % ) ; 0 / 22320 ( 0 % )              ;
-;     -- I/O registers                        ; 0                    ; 0                              ;
-;                                             ;                      ;                                ;
-; Total LABs:  partially or completely used   ; 3 / 1395 ( < 1 % )   ; 0 / 1395 ( 0 % )               ;
-;                                             ;                      ;                                ;
-; Virtual pins                                ; 0                    ; 0                              ;
-; I/O pins                                    ; 99                   ; 0                              ;
-; Embedded Multiplier 9-bit elements          ; 0 / 132 ( 0 % )      ; 0 / 132 ( 0 % )                ;
-; Total memory bits                           ; 0                    ; 0                              ;
-; Total RAM block bits                        ; 0                    ; 0                              ;
-; Clock control block                         ; 1 / 24 ( 4 % )       ; 0 / 24 ( 0 % )                 ;
-;                                             ;                      ;                                ;
-; Connections                                 ;                      ;                                ;
-;     -- Input Connections                    ; 81                   ; 0                              ;
-;     -- Registered Input Connections         ; 0                    ; 0                              ;
-;     -- Output Connections                   ; 81                   ; 0                              ;
-;     -- Registered Output Connections        ; 0                    ; 0                              ;
-;                                             ;                      ;                                ;
-; Internal Connections                        ;                      ;                                ;
-;     -- Total Connections                    ; 373                  ; 5                              ;
-;     -- Registered Connections               ; 79                   ; 0                              ;
-;                                             ;                      ;                                ;
-; External Connections                        ;                      ;                                ;
-;     -- Top                                  ; 162                  ; 0                              ;
-;     -- hard_block:auto_generated_inst       ; 0                    ; 0                              ;
-;                                             ;                      ;                                ;
-; Partition Interface                         ;                      ;                                ;
-;     -- Input Ports                          ; 10                   ; 0                              ;
-;     -- Output Ports                         ; 8                    ; 0                              ;
-;     -- Bidir Ports                          ; 81                   ; 0                              ;
-;                                             ;                      ;                                ;
-; Registered Ports                            ;                      ;                                ;
-;     -- Registered Input Ports               ; 0                    ; 0                              ;
-;     -- Registered Output Ports              ; 0                    ; 0                              ;
-;                                             ;                      ;                                ;
-; Port Connectivity                           ;                      ;                                ;
-;     -- Input Ports driven by GND            ; 0                    ; 0                              ;
-;     -- Output Ports driven by GND           ; 0                    ; 0                              ;
-;     -- Input Ports driven by VCC            ; 0                    ; 0                              ;
-;     -- Output Ports driven by VCC           ; 0                    ; 0                              ;
-;     -- Input Ports with no Source           ; 0                    ; 0                              ;
-;     -- Output Ports with no Source          ; 0                    ; 0                              ;
-;     -- Input Ports with no Fanout           ; 0                    ; 0                              ;
-;     -- Output Ports with no Fanout          ; 0                    ; 0                              ;
-+---------------------------------------------+----------------------+--------------------------------+
-
-
-+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Input Pins                                                                                                                                                                                                                                                                                       ;
-+-----------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+
-; Name            ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ;
-+-----------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+
-; CLOCK_50        ; R7    ; 3        ; 16           ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; --                        ; User                 ; no        ;
-; GPIO_0_PI_IN[0] ; E6    ; 8        ; 14           ; 34           ; 14           ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; --                        ; Fitter               ; no        ;
-; GPIO_0_PI_IN[1] ; C14   ; 7        ; 51           ; 34           ; 0            ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; --                        ; Fitter               ; no        ;
-; GPIO_1_IN[0]    ; A12   ; 7        ; 43           ; 34           ; 14           ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; --                        ; Fitter               ; no        ;
-; GPIO_1_IN[1]    ; T10   ; 4        ; 34           ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; --                        ; Fitter               ; no        ;
-; GPIO_2_IN[0]    ; E16   ; 6        ; 53           ; 17           ; 7            ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; --                        ; Fitter               ; no        ;
-; GPIO_2_IN[1]    ; E15   ; 6        ; 53           ; 17           ; 0            ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; --                        ; Fitter               ; no        ;
-; GPIO_2_IN[2]    ; D6    ; 8        ; 9            ; 34           ; 7            ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; --                        ; Fitter               ; no        ;
-; KEY[0]          ; J15   ; 5        ; 53           ; 14           ; 0            ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; --                        ; User                 ; no        ;
-; KEY[1]          ; E1    ; 1        ; 0            ; 16           ; 7            ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; --                        ; User                 ; no        ;
-+-----------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+
-
-
-+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Output Pins                                                                                                                                                                                                                                                                                                                                                                                                                                             ;
-+--------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+
-; Name   ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination                       ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ;
-+--------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+
-; LED[0] ; A15   ; 7        ; 38           ; 34           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
-; LED[1] ; A13   ; 7        ; 49           ; 34           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
-; LED[2] ; B13   ; 7        ; 49           ; 34           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
-; LED[3] ; A11   ; 7        ; 40           ; 34           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
-; LED[4] ; D1    ; 1        ; 0            ; 25           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
-; LED[5] ; F3    ; 1        ; 0            ; 26           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
-; LED[6] ; B1    ; 1        ; 0            ; 28           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
-; LED[7] ; L3    ; 2        ; 0            ; 10           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
-+--------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+
-
-
-+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Bidir Pins                                                                                                                                                                                                                                                                                                                                                                                                                                                                              ;
-+---------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+----------------------+----------------------+---------------------+
-; Name          ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Output Termination                ; Termination Control Block ; Output Buffer Pre-emphasis ; Location assigned by ; Output Enable Source ; Output Enable Group ;
-+---------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+----------------------+----------------------+---------------------+
-; GPIO_0_PI[0]  ; A10   ; 7        ; 34           ; 34           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[10] ; E7    ; 8        ; 16           ; 34           ; 14           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[11] ; A6    ; 8        ; 16           ; 34           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; User                 ; 0 pF                 ; GPIO_0_PI[10]~input ;
-; GPIO_0_PI[12] ; P1    ; 2        ; 0            ; 4            ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[13] ; R16   ; 5        ; 53           ; 8            ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[14] ; C3    ; 8        ; 1            ; 34           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[15] ; B10   ; 7        ; 34           ; 34           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[16] ; F9    ; 7        ; 34           ; 34           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[17] ; B6    ; 8        ; 16           ; 34           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; User                 ; 0 pF                 ; -                   ;
-; GPIO_0_PI[18] ; R3    ; 3        ; 1            ; 0            ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[19] ; T12   ; 4        ; 36           ; 0            ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[1]  ; P2    ; 2        ; 0            ; 4            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[20] ; J1    ; 2        ; 0            ; 15           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[21] ; A14   ; 7        ; 47           ; 34           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[22] ; R6    ; 3        ; 14           ; 0            ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[23] ; A7    ; 8        ; 20           ; 34           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[24] ; B14   ; 7        ; 45           ; 34           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[25] ; R13   ; 4        ; 40           ; 0            ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[26] ; L8    ; 3        ; 18           ; 0            ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[27] ; E10   ; 7        ; 45           ; 34           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; User                 ; 0 pF                 ; -                   ;
-; GPIO_0_PI[28] ; C11   ; 7        ; 38           ; 34           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; User                 ; 0 pF                 ; -                   ;
-; GPIO_0_PI[29] ; B11   ; 7        ; 40           ; 34           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; User                 ; 0 pF                 ; -                   ;
-; GPIO_0_PI[2]  ; R1    ; 2        ; 0            ; 5            ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[30] ; B7    ; 8        ; 18           ; 34           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[31] ; D11   ; 7        ; 51           ; 34           ; 14           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; User                 ; 0 pF                 ; -                   ;
-; GPIO_0_PI[32] ; D8    ; 8        ; 23           ; 34           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[33] ; B16   ; 6        ; 53           ; 22           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[3]  ; C6    ; 8        ; 18           ; 34           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[4]  ; T5    ; 3        ; 14           ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[5]  ; A5    ; 8        ; 14           ; 34           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; User                 ; 0 pF                 ; -                   ;
-; GPIO_0_PI[6]  ; P15   ; 5        ; 53           ; 6            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[7]  ; R5    ; 3        ; 14           ; 0            ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[8]  ; G2    ; 1        ; 0            ; 23           ; 14           ; 38                    ; 0                  ; yes    ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_0_PI[9]  ; D5    ; 8        ; 5            ; 34           ; 14           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; User                 ; 0 pF                 ; -                   ;
-; GPIO_1[0]     ; T6    ; 3        ; 14           ; 0            ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[10]    ; L1    ; 2        ; 0            ; 11           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[11]    ; E11   ; 7        ; 45           ; 34           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[12]    ; D15   ; 6        ; 53           ; 26           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[13]    ; T13   ; 4        ; 40           ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[14]    ; K16   ; 5        ; 53           ; 12           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[15]    ; C15   ; 6        ; 53           ; 30           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[16]    ; P8    ; 3        ; 25           ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[17]    ; R10   ; 4        ; 34           ; 0            ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[18]    ; N1    ; 2        ; 0            ; 7            ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[19]    ; C16   ; 6        ; 53           ; 30           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[1]     ; N3    ; 3        ; 1            ; 0            ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[20]    ; C2    ; 1        ; 0            ; 27           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[21]    ; N12   ; 4        ; 47           ; 0            ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[22]    ; B5    ; 8        ; 11           ; 34           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[23]    ; M8    ; 3        ; 20           ; 0            ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[24]    ; N6    ; 3        ; 5            ; 0            ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[25]    ; F13   ; 6        ; 53           ; 21           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[26]    ; P3    ; 3        ; 1            ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[27]    ; M6    ; 3        ; 7            ; 0            ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[28]    ; G1    ; 1        ; 0            ; 23           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[29]    ; J16   ; 5        ; 53           ; 14           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[2]     ; G16   ; 6        ; 53           ; 20           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[30]    ; T14   ; 4        ; 45           ; 0            ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[31]    ; R14   ; 4        ; 49           ; 0            ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[32]    ; C9    ; 7        ; 31           ; 34           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[33]    ; L4    ; 2        ; 0            ; 6            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[3]     ; F1    ; 1        ; 0            ; 23           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[4]     ; B4    ; 8        ; 7            ; 34           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[5]     ; L15   ; 5        ; 53           ; 11           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[6]     ; D14   ; 7        ; 51           ; 34           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[7]     ; N5    ; 3        ; 5            ; 0            ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[8]     ; F15   ; 6        ; 53           ; 22           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_1[9]     ; R11   ; 4        ; 34           ; 0            ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_2[0]     ; R4    ; 3        ; 5            ; 0            ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_2[10]    ; B12   ; 7        ; 43           ; 34           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_2[11]    ; C8    ; 8        ; 23           ; 34           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_2[12]    ; E8    ; 8        ; 20           ; 34           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_2[1]     ; D12   ; 7        ; 51           ; 34           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_2[2]     ; F2    ; 1        ; 0            ; 24           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_2[3]     ; G5    ; 1        ; 0            ; 24           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_2[4]     ; T4    ; 3        ; 5            ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_2[5]     ; J2    ; 2        ; 0            ; 15           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_2[6]     ; B3    ; 8        ; 3            ; 34           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_2[7]     ; D3    ; 8        ; 1            ; 34           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_2[8]     ; M7    ; 3        ; 11           ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-; GPIO_2[9]     ; T2    ; 3        ; 3            ; 0            ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; Fitter               ; 0 pF                 ; -                   ;
-+---------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+----------------------+----------------------+---------------------+
-
-
-+--------------------------------------------------------------------------------------------------------------------------------------+
-; Dual Purpose and Dedicated Pins                                                                                                      ;
-+----------+------------------------------------------+--------------------------+-------------------------+---------------------------+
-; Location ; Pin Name                                 ; Reserved As              ; User Signal Name        ; Pin Type                  ;
-+----------+------------------------------------------+--------------------------+-------------------------+---------------------------+
-; C1       ; DIFFIO_L3n, DATA1, ASDO                  ; As input tri-stated      ; ~ALTERA_ASDO_DATA1~     ; Dual Purpose Pin          ;
-; D2       ; DIFFIO_L4p, FLASH_nCE, nCSO              ; As input tri-stated      ; ~ALTERA_FLASH_nCE_nCSO~ ; Dual Purpose Pin          ;
-; F4       ; nSTATUS                                  ; -                        ; -                       ; Dedicated Programming Pin ;
-; H1       ; DCLK                                     ; As output driving ground ; ~ALTERA_DCLK~           ; Dual Purpose Pin          ;
-; H2       ; DATA0                                    ; As input tri-stated      ; ~ALTERA_DATA0~          ; Dual Purpose Pin          ;
-; H5       ; nCONFIG                                  ; -                        ; -                       ; Dedicated Programming Pin ;
-; J3       ; nCE                                      ; -                        ; -                       ; Dedicated Programming Pin ;
-; J16      ; DIFFIO_R9n, DEV_OE                       ; Use as regular IO        ; GPIO_1[29]              ; Dual Purpose Pin          ;
-; J15      ; DIFFIO_R9p, DEV_CLRn                     ; Use as regular IO        ; KEY[0]                  ; Dual Purpose Pin          ;
-; H14      ; CONF_DONE                                ; -                        ; -                       ; Dedicated Programming Pin ;
-; H13      ; MSEL0                                    ; -                        ; -                       ; Dedicated Programming Pin ;
-; H12      ; MSEL1                                    ; -                        ; -                       ; Dedicated Programming Pin ;
-; G12      ; MSEL2                                    ; -                        ; -                       ; Dedicated Programming Pin ;
-; G12      ; MSEL3                                    ; -                        ; -                       ; Dedicated Programming Pin ;
-; G16      ; DIFFIO_R5n, INIT_DONE                    ; Use as regular IO        ; GPIO_1[2]               ; Dual Purpose Pin          ;
-; F16      ; DIFFIO_R4n, nCEO                         ; Use as programming pin   ; ~ALTERA_nCEO~           ; Dual Purpose Pin          ;
-; F15      ; DIFFIO_R4p, CLKUSR                       ; Use as regular IO        ; GPIO_1[8]               ; Dual Purpose Pin          ;
-; D15      ; PADD23                                   ; Use as regular IO        ; GPIO_1[12]              ; Dual Purpose Pin          ;
-; C16      ; DIFFIO_R1n, PADD20, DQS2R/CQ3R,CDPCLK5   ; Use as regular IO        ; GPIO_1[19]              ; Dual Purpose Pin          ;
-; B11      ; DIFFIO_T20p, PADD0                       ; Use as regular IO        ; GPIO_0_PI[29]           ; Dual Purpose Pin          ;
-; A15      ; DIFFIO_T19n, PADD1                       ; Use as regular IO        ; LED[0]                  ; Dual Purpose Pin          ;
-; F9       ; DIFFIO_T17p, PADD4, DQS2T/CQ3T,DPCLK8    ; Use as regular IO        ; GPIO_0_PI[16]           ; Dual Purpose Pin          ;
-; A10      ; DIFFIO_T16n, PADD5                       ; Use as regular IO        ; GPIO_0_PI[0]            ; Dual Purpose Pin          ;
-; B10      ; DIFFIO_T16p, PADD6                       ; Use as regular IO        ; GPIO_0_PI[15]           ; Dual Purpose Pin          ;
-; C9       ; DIFFIO_T15n, PADD7                       ; Use as regular IO        ; GPIO_1[32]              ; Dual Purpose Pin          ;
-; C8       ; DIFFIO_T11p, PADD17, DQS5T/CQ5T#,DPCLK10 ; Use as regular IO        ; GPIO_2[11]              ; Dual Purpose Pin          ;
-; E8       ; DIFFIO_T10n, DATA2                       ; Use as regular IO        ; GPIO_2[12]              ; Dual Purpose Pin          ;
-; A7       ; DIFFIO_T9n, PADD18                       ; Use as regular IO        ; GPIO_0_PI[23]           ; Dual Purpose Pin          ;
-; B7       ; DIFFIO_T9p, DATA4                        ; Use as regular IO        ; GPIO_0_PI[30]           ; Dual Purpose Pin          ;
-; A6       ; DIFFIO_T7n, DATA14, DQS3T/CQ3T#,DPCLK11  ; Use as regular IO        ; GPIO_0_PI[11]           ; Dual Purpose Pin          ;
-; B6       ; DIFFIO_T7p, DATA13                       ; Use as regular IO        ; GPIO_0_PI[17]           ; Dual Purpose Pin          ;
-; E7       ; DATA5                                    ; Use as regular IO        ; GPIO_0_PI[10]           ; Dual Purpose Pin          ;
-; E6       ; DIFFIO_T6p, DATA6                        ; Use as regular IO        ; GPIO_0_PI_IN[0]         ; Dual Purpose Pin          ;
-; A5       ; DIFFIO_T5n, DATA7                        ; Use as regular IO        ; GPIO_0_PI[5]            ; Dual Purpose Pin          ;
-; B5       ; DIFFIO_T5p, DATA8                        ; Use as regular IO        ; GPIO_1[22]              ; Dual Purpose Pin          ;
-; D6       ; DIFFIO_T4n, DATA9                        ; Use as regular IO        ; GPIO_2_IN[2]            ; Dual Purpose Pin          ;
-; B4       ; DIFFIO_T3p, DATA11                       ; Use as regular IO        ; GPIO_1[4]               ; Dual Purpose Pin          ;
-; B3       ; DATA12, DQS1T/CQ1T#,CDPCLK7              ; Use as regular IO        ; GPIO_2[6]               ; Dual Purpose Pin          ;
-+----------+------------------------------------------+--------------------------+-------------------------+---------------------------+
-
-
-+-------------------------------------------------------------+
-; I/O Bank Usage                                              ;
-+----------+-------------------+---------------+--------------+
-; I/O Bank ; Usage             ; VCCIO Voltage ; VREF Voltage ;
-+----------+-------------------+---------------+--------------+
-; 1        ; 14 / 14 ( 100 % ) ; 2.5V          ; --           ;
-; 2        ; 9 / 16 ( 56 % )   ; 2.5V          ; --           ;
-; 3        ; 18 / 25 ( 72 % )  ; 2.5V          ; --           ;
-; 4        ; 9 / 20 ( 45 % )   ; 2.5V          ; --           ;
-; 5        ; 6 / 18 ( 33 % )   ; 2.5V          ; --           ;
-; 6        ; 10 / 13 ( 77 % )  ; 2.5V          ; --           ;
-; 7        ; 20 / 24 ( 83 % )  ; 2.5V          ; --           ;
-; 8        ; 18 / 24 ( 75 % )  ; 2.5V          ; --           ;
-+----------+-------------------+---------------+--------------+
-
-
-+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; All Package Pins                                                                                                                                                                        ;
-+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
-; Location ; Pad Number ; I/O Bank ; Pin Name/Usage                                            ; Dir.   ; I/O Standard ; Voltage ; I/O Type   ; User Assignment ; Bus Hold ; Weak Pull Up ;
-+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
-; A1       ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; A2       ; 238        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
-; A3       ; 239        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
-; A4       ; 236        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
-; A5       ; 232        ; 8        ; GPIO_0_PI[5]                                              ; bidir  ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
-; A6       ; 225        ; 8        ; GPIO_0_PI[11]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
-; A7       ; 220        ; 8        ; GPIO_0_PI[23]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; A8       ; 211        ; 8        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
-; A9       ; 209        ; 7        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
-; A10      ; 198        ; 7        ; GPIO_0_PI[0]                                              ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; A11      ; 188        ; 7        ; LED[3]                                                    ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
-; A12      ; 186        ; 7        ; GPIO_1_IN[0]                                              ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; A13      ; 179        ; 7        ; LED[1]                                                    ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
-; A14      ; 181        ; 7        ; GPIO_0_PI[21]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; A15      ; 191        ; 7        ; LED[0]                                                    ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
-; A16      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; B1       ; 5          ; 1        ; LED[6]                                                    ; output ; 2.5 V        ;         ; Row I/O    ; Y               ; no       ; Off          ;
-; B2       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; B3       ; 242        ; 8        ; GPIO_2[6]                                                 ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; B4       ; 237        ; 8        ; GPIO_1[4]                                                 ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; B5       ; 233        ; 8        ; GPIO_1[22]                                                ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; B6       ; 226        ; 8        ; GPIO_0_PI[17]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
-; B7       ; 221        ; 8        ; GPIO_0_PI[30]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; B8       ; 212        ; 8        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
-; B9       ; 210        ; 7        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
-; B10      ; 199        ; 7        ; GPIO_0_PI[15]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; B11      ; 189        ; 7        ; GPIO_0_PI[29]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
-; B12      ; 187        ; 7        ; GPIO_2[10]                                                ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; B13      ; 180        ; 7        ; LED[2]                                                    ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
-; B14      ; 182        ; 7        ; GPIO_0_PI[24]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; B15      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; B16      ; 164        ; 6        ; GPIO_0_PI[33]                                             ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; C1       ; 7          ; 1        ; ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP     ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; On           ;
-; C2       ; 6          ; 1        ; GPIO_1[20]                                                ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; C3       ; 245        ; 8        ; GPIO_0_PI[14]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; C4       ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; C5       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; C6       ; 224        ; 8        ; GPIO_0_PI[3]                                              ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; C7       ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; C8       ; 215        ; 8        ; GPIO_2[11]                                                ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; C9       ; 200        ; 7        ; GPIO_1[32]                                                ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; C10      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; C11      ; 190        ; 7        ; GPIO_0_PI[28]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
-; C12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; C13      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; C14      ; 175        ; 7        ; GPIO_0_PI_IN[1]                                           ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; C15      ; 174        ; 6        ; GPIO_1[15]                                                ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; C16      ; 173        ; 6        ; GPIO_1[19]                                                ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; D1       ; 10         ; 1        ; LED[4]                                                    ; output ; 2.5 V        ;         ; Row I/O    ; Y               ; no       ; Off          ;
-; D2       ; 9          ; 1        ; ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; On           ;
-; D3       ; 246        ; 8        ; GPIO_2[7]                                                 ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; D4       ;            ;          ; VCCD_PLL3                                                 ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; D5       ; 241        ; 8        ; GPIO_0_PI[9]                                              ; bidir  ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
-; D6       ; 234        ; 8        ; GPIO_2_IN[2]                                              ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; D7       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; D8       ; 216        ; 8        ; GPIO_0_PI[32]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; D9       ; 201        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
-; D10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; D11      ; 177        ; 7        ; GPIO_0_PI[31]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
-; D12      ; 178        ; 7        ; GPIO_2[1]                                                 ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; D13      ;            ;          ; VCCD_PLL2                                                 ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; D14      ; 176        ; 7        ; GPIO_1[6]                                                 ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; D15      ; 170        ; 6        ; GPIO_1[12]                                                ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; D16      ; 169        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
-; E1       ; 26         ; 1        ; KEY[1]                                                    ; input  ; 2.5 V        ;         ; Row I/O    ; Y               ; no       ; Off          ;
-; E2       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; E3       ;            ; 1        ; VCCIO1                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; E4       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; E5       ;            ;          ; GNDA3                                                     ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; E6       ; 231        ; 8        ; GPIO_0_PI_IN[0]                                           ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; E7       ; 227        ; 8        ; GPIO_0_PI[10]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; E8       ; 218        ; 8        ; GPIO_2[12]                                                ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; E9       ; 205        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
-; E10      ; 184        ; 7        ; GPIO_0_PI[27]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
-; E11      ; 183        ; 7        ; GPIO_1[11]                                                ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; E12      ;            ;          ; GNDA2                                                     ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; E13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; E14      ;            ; 6        ; VCCIO6                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; E15      ; 151        ; 6        ; GPIO_2_IN[1]                                              ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; E16      ; 150        ; 6        ; GPIO_2_IN[0]                                              ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; F1       ; 14         ; 1        ; GPIO_1[3]                                                 ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; F2       ; 13         ; 1        ; GPIO_2[2]                                                 ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; F3       ; 8          ; 1        ; LED[5]                                                    ; output ; 2.5 V        ;         ; Row I/O    ; Y               ; no       ; Off          ;
-; F4       ; 11         ; 1        ; ^nSTATUS                                                  ;        ;              ;         ; --         ;                 ; --       ; --           ;
-; F5       ;            ; --       ; VCCA3                                                     ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; F6       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; F7       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; F8       ; 219        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
-; F9       ; 197        ; 7        ; GPIO_0_PI[16]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; F10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; F11      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; F12      ;            ; --       ; VCCA2                                                     ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; F13      ; 161        ; 6        ; GPIO_1[25]                                                ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; F14      ; 167        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
-; F15      ; 163        ; 6        ; GPIO_1[8]                                                 ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; F16      ; 162        ; 6        ; ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN                ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; G1       ; 16         ; 1        ; GPIO_1[28]                                                ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; G2       ; 15         ; 1        ; GPIO_0_PI[8]                                              ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; G3       ;            ; 1        ; VCCIO1                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; G4       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; G5       ; 12         ; 1        ; GPIO_2[3]                                                 ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; G6       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; G7       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; G8       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; G9       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; G10      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; G11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; G12      ; 155        ; 6        ; ^MSEL2                                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
-; G12      ; 156        ; 6        ; ^MSEL3                                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
-; G13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; G14      ;            ; 6        ; VCCIO6                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; G15      ; 160        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
-; G16      ; 159        ; 6        ; GPIO_1[2]                                                 ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; H1       ; 17         ; 1        ; ~ALTERA_DCLK~                                             ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; On           ;
-; H2       ; 18         ; 1        ; ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP          ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; On           ;
-; H3       ; 21         ; 1        ; #TCK                                                      ; input  ;              ;         ; --         ;                 ; --       ; --           ;
-; H4       ; 20         ; 1        ; #TDI                                                      ; input  ;              ;         ; --         ;                 ; --       ; --           ;
-; H5       ; 19         ; 1        ; ^nCONFIG                                                  ;        ;              ;         ; --         ;                 ; --       ; --           ;
-; H6       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; H7       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; H8       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; H9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; H10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; H11      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; H12      ; 154        ; 6        ; ^MSEL1                                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
-; H13      ; 153        ; 6        ; ^MSEL0                                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
-; H14      ; 152        ; 6        ; ^CONF_DONE                                                ;        ;              ;         ; --         ;                 ; --       ; --           ;
-; H15      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; H16      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; J1       ; 30         ; 2        ; GPIO_0_PI[20]                                             ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; J2       ; 29         ; 2        ; GPIO_2[5]                                                 ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; J3       ; 24         ; 1        ; ^nCE                                                      ;        ;              ;         ; --         ;                 ; --       ; --           ;
-; J4       ; 23         ; 1        ; #TDO                                                      ; output ;              ;         ; --         ;                 ; --       ; --           ;
-; J5       ; 22         ; 1        ; #TMS                                                      ; input  ;              ;         ; --         ;                 ; --       ; --           ;
-; J6       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; J7       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; J8       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; J9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; J10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; J11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; J12      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; J13      ; 146        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
-; J14      ; 144        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
-; J15      ; 143        ; 5        ; KEY[0]                                                    ; input  ; 2.5 V        ;         ; Row I/O    ; Y               ; no       ; Off          ;
-; J16      ; 142        ; 5        ; GPIO_1[29]                                                ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; K1       ; 37         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
-; K2       ; 36         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
-; K3       ;            ; 2        ; VCCIO2                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; K4       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; K5       ; 45         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
-; K6       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; K7       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; K8       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; K9       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; K10      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; K11      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; K12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; K13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; K14      ;            ; 5        ; VCCIO5                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; K15      ; 141        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
-; K16      ; 140        ; 5        ; GPIO_1[14]                                                ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; L1       ; 39         ; 2        ; GPIO_1[10]                                                ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; L2       ; 38         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
-; L3       ; 40         ; 2        ; LED[7]                                                    ; output ; 2.5 V        ;         ; Row I/O    ; Y               ; no       ; Off          ;
-; L4       ; 46         ; 2        ; GPIO_1[33]                                                ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; L5       ;            ; --       ; VCCA1                                                     ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; L6       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; L7       ; 75         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
-; L8       ; 79         ; 3        ; GPIO_0_PI[26]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; L9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; L10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; L11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; L12      ;            ; --       ; VCCA4                                                     ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; L13      ; 136        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
-; L14      ; 134        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
-; L15      ; 138        ; 5        ; GPIO_1[5]                                                 ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; L16      ; 137        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
-; M1       ; 28         ; 2        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
-; M2       ; 27         ; 2        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
-; M3       ;            ; 2        ; VCCIO2                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; M4       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; M5       ;            ;          ; GNDA1                                                     ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; M6       ; 64         ; 3        ; GPIO_1[27]                                                ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; M7       ; 68         ; 3        ; GPIO_2[8]                                                 ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; M8       ; 81         ; 3        ; GPIO_1[23]                                                ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; M9       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; M10      ; 111        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
-; M11      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; M12      ;            ;          ; GNDA4                                                     ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; M13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; M14      ;            ; 5        ; VCCIO5                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; M15      ; 149        ; 5        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
-; M16      ; 148        ; 5        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
-; N1       ; 44         ; 2        ; GPIO_1[18]                                                ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; N2       ; 43         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
-; N3       ; 52         ; 3        ; GPIO_1[1]                                                 ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; N4       ;            ;          ; VCCD_PLL1                                                 ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; N5       ; 62         ; 3        ; GPIO_1[7]                                                 ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; N6       ; 63         ; 3        ; GPIO_1[24]                                                ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; N7       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; N8       ; 82         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
-; N9       ; 93         ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
-; N10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; N11      ; 112        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
-; N12      ; 117        ; 4        ; GPIO_1[21]                                                ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; N13      ;            ;          ; VCCD_PLL4                                                 ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
-; N14      ; 126        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
-; N15      ; 133        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
-; N16      ; 132        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
-; P1       ; 51         ; 2        ; GPIO_0_PI[12]                                             ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; P2       ; 50         ; 2        ; GPIO_0_PI[1]                                              ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; P3       ; 53         ; 3        ; GPIO_1[26]                                                ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; P4       ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; P5       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; P6       ; 67         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
-; P7       ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; P8       ; 85         ; 3        ; GPIO_1[16]                                                ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; P9       ; 105        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
-; P10      ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; P11      ; 106        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
-; P12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; P13      ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; P14      ; 119        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
-; P15      ; 127        ; 5        ; GPIO_0_PI[6]                                              ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; P16      ; 128        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
-; R1       ; 49         ; 2        ; GPIO_0_PI[2]                                              ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; R2       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; R3       ; 54         ; 3        ; GPIO_0_PI[18]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; R4       ; 60         ; 3        ; GPIO_2[0]                                                 ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; R5       ; 71         ; 3        ; GPIO_0_PI[7]                                              ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; R6       ; 73         ; 3        ; GPIO_0_PI[22]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; R7       ; 76         ; 3        ; CLOCK_50                                                  ; input  ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
-; R8       ; 86         ; 3        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
-; R9       ; 88         ; 4        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
-; R10      ; 96         ; 4        ; GPIO_1[17]                                                ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; R11      ; 98         ; 4        ; GPIO_1[9]                                                 ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; R12      ; 100        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
-; R13      ; 107        ; 4        ; GPIO_0_PI[25]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; R14      ; 120        ; 4        ; GPIO_1[31]                                                ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; R15      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
-; R16      ; 129        ; 5        ; GPIO_0_PI[13]                                             ; bidir  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
-; T1       ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-; T2       ; 59         ; 3        ; GPIO_2[9]                                                 ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; T3       ; 55         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
-; T4       ; 61         ; 3        ; GPIO_2[4]                                                 ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; T5       ; 72         ; 3        ; GPIO_0_PI[4]                                              ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; T6       ; 74         ; 3        ; GPIO_1[0]                                                 ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; T7       ; 77         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
-; T8       ; 87         ; 3        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
-; T9       ; 89         ; 4        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
-; T10      ; 97         ; 4        ; GPIO_1_IN[1]                                              ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; T11      ; 99         ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
-; T12      ; 101        ; 4        ; GPIO_0_PI[19]                                             ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; T13      ; 108        ; 4        ; GPIO_1[13]                                                ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; T14      ; 115        ; 4        ; GPIO_1[30]                                                ; bidir  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
-; T15      ; 116        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
-; T16      ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
-+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
-Note: Pin directions (input, output or bidir) are based on device operating in user mode.
-
-
-+-------------------------------------------------+
-; I/O Assignment Warnings                         ;
-+-----------------+-------------------------------+
-; Pin Name        ; Reason                        ;
-+-----------------+-------------------------------+
-; CLOCK_50        ; Incomplete set of assignments ;
-; LED[0]          ; Incomplete set of assignments ;
-; LED[1]          ; Incomplete set of assignments ;
-; LED[2]          ; Incomplete set of assignments ;
-; LED[3]          ; Incomplete set of assignments ;
-; LED[4]          ; Incomplete set of assignments ;
-; LED[5]          ; Incomplete set of assignments ;
-; LED[6]          ; Incomplete set of assignments ;
-; LED[7]          ; Incomplete set of assignments ;
-; KEY[0]          ; Incomplete set of assignments ;
-; KEY[1]          ; Incomplete set of assignments ;
-; GPIO_2_IN[0]    ; Incomplete set of assignments ;
-; GPIO_2_IN[1]    ; Incomplete set of assignments ;
-; GPIO_2_IN[2]    ; Incomplete set of assignments ;
-; GPIO_0_PI_IN[0] ; Incomplete set of assignments ;
-; GPIO_0_PI_IN[1] ; Incomplete set of assignments ;
-; GPIO_1_IN[0]    ; Incomplete set of assignments ;
-; GPIO_1_IN[1]    ; Incomplete set of assignments ;
-; GPIO_2[0]       ; Incomplete set of assignments ;
-; GPIO_2[1]       ; Incomplete set of assignments ;
-; GPIO_2[2]       ; Incomplete set of assignments ;
-; GPIO_2[3]       ; Incomplete set of assignments ;
-; GPIO_2[4]       ; Incomplete set of assignments ;
-; GPIO_2[5]       ; Incomplete set of assignments ;
-; GPIO_2[6]       ; Incomplete set of assignments ;
-; GPIO_2[7]       ; Incomplete set of assignments ;
-; GPIO_2[8]       ; Incomplete set of assignments ;
-; GPIO_2[9]       ; Incomplete set of assignments ;
-; GPIO_2[10]      ; Incomplete set of assignments ;
-; GPIO_2[11]      ; Incomplete set of assignments ;
-; GPIO_2[12]      ; Incomplete set of assignments ;
-; GPIO_0_PI[0]    ; Incomplete set of assignments ;
-; GPIO_0_PI[1]    ; Incomplete set of assignments ;
-; GPIO_0_PI[2]    ; Incomplete set of assignments ;
-; GPIO_0_PI[3]    ; Incomplete set of assignments ;
-; GPIO_0_PI[4]    ; Incomplete set of assignments ;
-; GPIO_0_PI[5]    ; Incomplete set of assignments ;
-; GPIO_0_PI[6]    ; Incomplete set of assignments ;
-; GPIO_0_PI[7]    ; Incomplete set of assignments ;
-; GPIO_0_PI[12]   ; Incomplete set of assignments ;
-; GPIO_0_PI[13]   ; Incomplete set of assignments ;
-; GPIO_0_PI[14]   ; Incomplete set of assignments ;
-; GPIO_0_PI[15]   ; Incomplete set of assignments ;
-; GPIO_0_PI[16]   ; Incomplete set of assignments ;
-; GPIO_0_PI[17]   ; Incomplete set of assignments ;
-; GPIO_0_PI[18]   ; Incomplete set of assignments ;
-; GPIO_0_PI[19]   ; Incomplete set of assignments ;
-; GPIO_0_PI[20]   ; Incomplete set of assignments ;
-; GPIO_0_PI[21]   ; Incomplete set of assignments ;
-; GPIO_0_PI[22]   ; Incomplete set of assignments ;
-; GPIO_0_PI[23]   ; Incomplete set of assignments ;
-; GPIO_0_PI[24]   ; Incomplete set of assignments ;
-; GPIO_0_PI[25]   ; Incomplete set of assignments ;
-; GPIO_0_PI[26]   ; Incomplete set of assignments ;
-; GPIO_0_PI[27]   ; Incomplete set of assignments ;
-; GPIO_0_PI[28]   ; Incomplete set of assignments ;
-; GPIO_0_PI[29]   ; Incomplete set of assignments ;
-; GPIO_0_PI[30]   ; Incomplete set of assignments ;
-; GPIO_0_PI[32]   ; Incomplete set of assignments ;
-; GPIO_0_PI[33]   ; Incomplete set of assignments ;
-; GPIO_1[0]       ; Incomplete set of assignments ;
-; GPIO_1[1]       ; Incomplete set of assignments ;
-; GPIO_1[2]       ; Incomplete set of assignments ;
-; GPIO_1[3]       ; Incomplete set of assignments ;
-; GPIO_1[4]       ; Incomplete set of assignments ;
-; GPIO_1[5]       ; Incomplete set of assignments ;
-; GPIO_1[6]       ; Incomplete set of assignments ;
-; GPIO_1[7]       ; Incomplete set of assignments ;
-; GPIO_1[8]       ; Incomplete set of assignments ;
-; GPIO_1[9]       ; Incomplete set of assignments ;
-; GPIO_1[10]      ; Incomplete set of assignments ;
-; GPIO_1[11]      ; Incomplete set of assignments ;
-; GPIO_1[12]      ; Incomplete set of assignments ;
-; GPIO_1[13]      ; Incomplete set of assignments ;
-; GPIO_1[14]      ; Incomplete set of assignments ;
-; GPIO_1[15]      ; Incomplete set of assignments ;
-; GPIO_1[16]      ; Incomplete set of assignments ;
-; GPIO_1[17]      ; Incomplete set of assignments ;
-; GPIO_1[18]      ; Incomplete set of assignments ;
-; GPIO_1[19]      ; Incomplete set of assignments ;
-; GPIO_1[20]      ; Incomplete set of assignments ;
-; GPIO_1[21]      ; Incomplete set of assignments ;
-; GPIO_1[22]      ; Incomplete set of assignments ;
-; GPIO_1[23]      ; Incomplete set of assignments ;
-; GPIO_1[24]      ; Incomplete set of assignments ;
-; GPIO_1[25]      ; Incomplete set of assignments ;
-; GPIO_1[26]      ; Incomplete set of assignments ;
-; GPIO_1[27]      ; Incomplete set of assignments ;
-; GPIO_1[28]      ; Incomplete set of assignments ;
-; GPIO_1[29]      ; Incomplete set of assignments ;
-; GPIO_1[30]      ; Incomplete set of assignments ;
-; GPIO_1[31]      ; Incomplete set of assignments ;
-; GPIO_1[32]      ; Incomplete set of assignments ;
-; GPIO_1[33]      ; Incomplete set of assignments ;
-; GPIO_0_PI[8]    ; Incomplete set of assignments ;
-; GPIO_0_PI[9]    ; Incomplete set of assignments ;
-; GPIO_0_PI[10]   ; Incomplete set of assignments ;
-; GPIO_0_PI[11]   ; Incomplete set of assignments ;
-; GPIO_0_PI[31]   ; Incomplete set of assignments ;
-; GPIO_2_IN[0]    ; Missing location assignment   ;
-; GPIO_2_IN[1]    ; Missing location assignment   ;
-; GPIO_2_IN[2]    ; Missing location assignment   ;
-; GPIO_0_PI_IN[0] ; Missing location assignment   ;
-; GPIO_0_PI_IN[1] ; Missing location assignment   ;
-; GPIO_1_IN[0]    ; Missing location assignment   ;
-; GPIO_1_IN[1]    ; Missing location assignment   ;
-; GPIO_2[0]       ; Missing location assignment   ;
-; GPIO_2[1]       ; Missing location assignment   ;
-; GPIO_2[2]       ; Missing location assignment   ;
-; GPIO_2[3]       ; Missing location assignment   ;
-; GPIO_2[4]       ; Missing location assignment   ;
-; GPIO_2[5]       ; Missing location assignment   ;
-; GPIO_2[6]       ; Missing location assignment   ;
-; GPIO_2[7]       ; Missing location assignment   ;
-; GPIO_2[8]       ; Missing location assignment   ;
-; GPIO_2[9]       ; Missing location assignment   ;
-; GPIO_2[10]      ; Missing location assignment   ;
-; GPIO_2[11]      ; Missing location assignment   ;
-; GPIO_2[12]      ; Missing location assignment   ;
-; GPIO_0_PI[0]    ; Missing location assignment   ;
-; GPIO_0_PI[1]    ; Missing location assignment   ;
-; GPIO_0_PI[2]    ; Missing location assignment   ;
-; GPIO_0_PI[3]    ; Missing location assignment   ;
-; GPIO_0_PI[4]    ; Missing location assignment   ;
-; GPIO_0_PI[6]    ; Missing location assignment   ;
-; GPIO_0_PI[7]    ; Missing location assignment   ;
-; GPIO_0_PI[12]   ; Missing location assignment   ;
-; GPIO_0_PI[13]   ; Missing location assignment   ;
-; GPIO_0_PI[14]   ; Missing location assignment   ;
-; GPIO_0_PI[15]   ; Missing location assignment   ;
-; GPIO_0_PI[16]   ; Missing location assignment   ;
-; GPIO_0_PI[18]   ; Missing location assignment   ;
-; GPIO_0_PI[19]   ; Missing location assignment   ;
-; GPIO_0_PI[20]   ; Missing location assignment   ;
-; GPIO_0_PI[21]   ; Missing location assignment   ;
-; GPIO_0_PI[22]   ; Missing location assignment   ;
-; GPIO_0_PI[23]   ; Missing location assignment   ;
-; GPIO_0_PI[24]   ; Missing location assignment   ;
-; GPIO_0_PI[25]   ; Missing location assignment   ;
-; GPIO_0_PI[26]   ; Missing location assignment   ;
-; GPIO_0_PI[30]   ; Missing location assignment   ;
-; GPIO_0_PI[32]   ; Missing location assignment   ;
-; GPIO_0_PI[33]   ; Missing location assignment   ;
-; GPIO_1[0]       ; Missing location assignment   ;
-; GPIO_1[1]       ; Missing location assignment   ;
-; GPIO_1[2]       ; Missing location assignment   ;
-; GPIO_1[3]       ; Missing location assignment   ;
-; GPIO_1[4]       ; Missing location assignment   ;
-; GPIO_1[5]       ; Missing location assignment   ;
-; GPIO_1[6]       ; Missing location assignment   ;
-; GPIO_1[7]       ; Missing location assignment   ;
-; GPIO_1[8]       ; Missing location assignment   ;
-; GPIO_1[9]       ; Missing location assignment   ;
-; GPIO_1[10]      ; Missing location assignment   ;
-; GPIO_1[11]      ; Missing location assignment   ;
-; GPIO_1[12]      ; Missing location assignment   ;
-; GPIO_1[13]      ; Missing location assignment   ;
-; GPIO_1[14]      ; Missing location assignment   ;
-; GPIO_1[15]      ; Missing location assignment   ;
-; GPIO_1[16]      ; Missing location assignment   ;
-; GPIO_1[17]      ; Missing location assignment   ;
-; GPIO_1[18]      ; Missing location assignment   ;
-; GPIO_1[19]      ; Missing location assignment   ;
-; GPIO_1[20]      ; Missing location assignment   ;
-; GPIO_1[21]      ; Missing location assignment   ;
-; GPIO_1[22]      ; Missing location assignment   ;
-; GPIO_1[23]      ; Missing location assignment   ;
-; GPIO_1[24]      ; Missing location assignment   ;
-; GPIO_1[25]      ; Missing location assignment   ;
-; GPIO_1[26]      ; Missing location assignment   ;
-; GPIO_1[27]      ; Missing location assignment   ;
-; GPIO_1[28]      ; Missing location assignment   ;
-; GPIO_1[29]      ; Missing location assignment   ;
-; GPIO_1[30]      ; Missing location assignment   ;
-; GPIO_1[31]      ; Missing location assignment   ;
-; GPIO_1[32]      ; Missing location assignment   ;
-; GPIO_1[33]      ; Missing location assignment   ;
-; GPIO_0_PI[8]    ; Missing location assignment   ;
-; GPIO_0_PI[10]   ; Missing location assignment   ;
-+-----------------+-------------------------------+
-
-
-+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Fitter Resource Utilization by Entity                                                                                                                                                                                                                                                                     ;
-+-----------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------------------------+-------------+--------------+
-; Compilation Hierarchy Node        ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name                      ; Entity Name ; Library Name ;
-+-----------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------------------------+-------------+--------------+
-; |MyDE0_Nano                       ; 40 (0)      ; 38 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 99   ; 0            ; 2 (0)        ; 1 (0)             ; 37 (0)           ; |MyDE0_Nano                              ; MyDE0_Nano  ; work         ;
-;    |spi_slave:spi_slave_instance| ; 40 (40)     ; 38 (38)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 1 (1)             ; 37 (37)          ; |MyDE0_Nano|spi_slave:spi_slave_instance ; spi_slave   ; work         ;
-+-----------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------------------------+-------------+--------------+
-Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
-
-
-+-------------------------------------------------------------------------------------------------+
-; Delay Chain Summary                                                                             ;
-+-----------------+----------+---------------+---------------+-----------------------+-----+------+
-; Name            ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ;
-+-----------------+----------+---------------+---------------+-----------------------+-----+------+
-; CLOCK_50        ; Input    ; --            ; --            ; --                    ; --  ; --   ;
-; LED[0]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
-; LED[1]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
-; LED[2]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
-; LED[3]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
-; LED[4]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
-; LED[5]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
-; LED[6]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
-; LED[7]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
-; KEY[0]          ; Input    ; --            ; --            ; --                    ; --  ; --   ;
-; KEY[1]          ; Input    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_2_IN[0]    ; Input    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_2_IN[1]    ; Input    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_2_IN[2]    ; Input    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI_IN[0] ; Input    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI_IN[1] ; Input    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1_IN[0]    ; Input    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1_IN[1]    ; Input    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_2[0]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_2[1]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_2[2]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_2[3]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_2[4]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_2[5]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_2[6]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_2[7]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_2[8]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_2[9]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_2[10]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_2[11]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_2[12]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[0]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[1]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[2]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[3]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[4]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[5]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[6]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[7]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[12]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[13]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[14]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[15]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[16]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[17]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[18]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[19]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[20]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[21]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[22]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[23]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[24]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[25]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[26]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[27]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[28]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[29]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[30]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[32]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[33]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[0]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[1]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[2]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[3]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[4]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[5]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[6]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[7]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[8]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[9]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[10]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[11]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[12]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[13]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[14]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[15]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[16]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[17]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[18]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[19]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[20]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[21]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[22]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[23]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[24]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[25]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[26]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[27]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[28]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[29]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[30]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[31]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[32]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_1[33]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[8]    ; Bidir    ; (0) 0 ps      ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[9]    ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[10]   ; Bidir    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
-; GPIO_0_PI[11]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
-; GPIO_0_PI[31]   ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
-+-----------------+----------+---------------+---------------+-----------------------+-----+------+
-
-
-+------------------------------------------------------------------------+
-; Pad To Core Delay Chain Fanout                                         ;
-+------------------------------------------+-------------------+---------+
-; Source Pin / Fanout                      ; Pad To Core Index ; Setting ;
-+------------------------------------------+-------------------+---------+
-; CLOCK_50                                 ;                   ;         ;
-; KEY[0]                                   ;                   ;         ;
-; KEY[1]                                   ;                   ;         ;
-; GPIO_2_IN[0]                             ;                   ;         ;
-; GPIO_2_IN[1]                             ;                   ;         ;
-; GPIO_2_IN[2]                             ;                   ;         ;
-; GPIO_0_PI_IN[0]                          ;                   ;         ;
-; GPIO_0_PI_IN[1]                          ;                   ;         ;
-; GPIO_1_IN[0]                             ;                   ;         ;
-; GPIO_1_IN[1]                             ;                   ;         ;
-; GPIO_2[0]                                ;                   ;         ;
-; GPIO_2[1]                                ;                   ;         ;
-; GPIO_2[2]                                ;                   ;         ;
-; GPIO_2[3]                                ;                   ;         ;
-; GPIO_2[4]                                ;                   ;         ;
-; GPIO_2[5]                                ;                   ;         ;
-; GPIO_2[6]                                ;                   ;         ;
-; GPIO_2[7]                                ;                   ;         ;
-; GPIO_2[8]                                ;                   ;         ;
-; GPIO_2[9]                                ;                   ;         ;
-; GPIO_2[10]                               ;                   ;         ;
-; GPIO_2[11]                               ;                   ;         ;
-; GPIO_2[12]                               ;                   ;         ;
-; GPIO_0_PI[0]                             ;                   ;         ;
-; GPIO_0_PI[1]                             ;                   ;         ;
-; GPIO_0_PI[2]                             ;                   ;         ;
-; GPIO_0_PI[3]                             ;                   ;         ;
-; GPIO_0_PI[4]                             ;                   ;         ;
-; GPIO_0_PI[5]                             ;                   ;         ;
-; GPIO_0_PI[6]                             ;                   ;         ;
-; GPIO_0_PI[7]                             ;                   ;         ;
-; GPIO_0_PI[12]                            ;                   ;         ;
-; GPIO_0_PI[13]                            ;                   ;         ;
-; GPIO_0_PI[14]                            ;                   ;         ;
-; GPIO_0_PI[15]                            ;                   ;         ;
-; GPIO_0_PI[16]                            ;                   ;         ;
-; GPIO_0_PI[17]                            ;                   ;         ;
-; GPIO_0_PI[18]                            ;                   ;         ;
-; GPIO_0_PI[19]                            ;                   ;         ;
-; GPIO_0_PI[20]                            ;                   ;         ;
-; GPIO_0_PI[21]                            ;                   ;         ;
-; GPIO_0_PI[22]                            ;                   ;         ;
-; GPIO_0_PI[23]                            ;                   ;         ;
-; GPIO_0_PI[24]                            ;                   ;         ;
-; GPIO_0_PI[25]                            ;                   ;         ;
-; GPIO_0_PI[26]                            ;                   ;         ;
-; GPIO_0_PI[27]                            ;                   ;         ;
-; GPIO_0_PI[28]                            ;                   ;         ;
-; GPIO_0_PI[29]                            ;                   ;         ;
-; GPIO_0_PI[30]                            ;                   ;         ;
-; GPIO_0_PI[32]                            ;                   ;         ;
-; GPIO_0_PI[33]                            ;                   ;         ;
-; GPIO_1[0]                                ;                   ;         ;
-; GPIO_1[1]                                ;                   ;         ;
-; GPIO_1[2]                                ;                   ;         ;
-; GPIO_1[3]                                ;                   ;         ;
-; GPIO_1[4]                                ;                   ;         ;
-; GPIO_1[5]                                ;                   ;         ;
-; GPIO_1[6]                                ;                   ;         ;
-; GPIO_1[7]                                ;                   ;         ;
-; GPIO_1[8]                                ;                   ;         ;
-; GPIO_1[9]                                ;                   ;         ;
-; GPIO_1[10]                               ;                   ;         ;
-; GPIO_1[11]                               ;                   ;         ;
-; GPIO_1[12]                               ;                   ;         ;
-; GPIO_1[13]                               ;                   ;         ;
-; GPIO_1[14]                               ;                   ;         ;
-; GPIO_1[15]                               ;                   ;         ;
-; GPIO_1[16]                               ;                   ;         ;
-; GPIO_1[17]                               ;                   ;         ;
-; GPIO_1[18]                               ;                   ;         ;
-; GPIO_1[19]                               ;                   ;         ;
-; GPIO_1[20]                               ;                   ;         ;
-; GPIO_1[21]                               ;                   ;         ;
-; GPIO_1[22]                               ;                   ;         ;
-; GPIO_1[23]                               ;                   ;         ;
-; GPIO_1[24]                               ;                   ;         ;
-; GPIO_1[25]                               ;                   ;         ;
-; GPIO_1[26]                               ;                   ;         ;
-; GPIO_1[27]                               ;                   ;         ;
-; GPIO_1[28]                               ;                   ;         ;
-; GPIO_1[29]                               ;                   ;         ;
-; GPIO_1[30]                               ;                   ;         ;
-; GPIO_1[31]                               ;                   ;         ;
-; GPIO_1[32]                               ;                   ;         ;
-; GPIO_1[33]                               ;                   ;         ;
-; GPIO_0_PI[8]                             ;                   ;         ;
-; GPIO_0_PI[9]                             ;                   ;         ;
-;      - spi_slave:spi_slave_instance|q[0] ; 0                 ; 6       ;
-; GPIO_0_PI[10]                            ;                   ;         ;
-;      - GPIO_0_PI[11]~output              ; 1                 ; 6       ;
-; GPIO_0_PI[11]                            ;                   ;         ;
-; GPIO_0_PI[31]                            ;                   ;         ;
-;      - spi_slave:spi_slave_instance|q~31 ; 0                 ; 6       ;
-+------------------------------------------+-------------------+---------+
-
-
-+-----------------------------------------------------------------------------------------------------------------------------------+
-; Control Signals                                                                                                                   ;
-+---------------+----------+---------+---------------+--------+----------------------+------------------+---------------------------+
-; Name          ; Location ; Fan-Out ; Usage         ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
-+---------------+----------+---------+---------------+--------+----------------------+------------------+---------------------------+
-; GPIO_0_PI[10] ; PIN_E7   ; 1       ; Output enable ; no     ; --                   ; --               ; --                        ;
-; GPIO_0_PI[8]  ; PIN_G2   ; 38      ; Clock         ; yes    ; Global Clock         ; GCLK0            ; --                        ;
-+---------------+----------+---------+---------------+--------+----------------------+------------------+---------------------------+
-
-
-+------------------------------------------------------------------------------------------------------------------------------------------------+
-; Global & Other Fast Signals                                                                                                                    ;
-+--------------+----------+---------+--------------------------------------+----------------------+------------------+---------------------------+
-; Name         ; Location ; Fan-Out ; Fan-Out Using Intentional Clock Skew ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
-+--------------+----------+---------+--------------------------------------+----------------------+------------------+---------------------------+
-; GPIO_0_PI[8] ; PIN_G2   ; 38      ; 0                                    ; Global Clock         ; GCLK0            ; --                        ;
-+--------------+----------+---------+--------------------------------------+----------------------+------------------+---------------------------+
-
-
-+-----------------------------------------------+
-; Routing Usage Summary                         ;
-+-----------------------+-----------------------+
-; Routing Resource Type ; Usage                 ;
-+-----------------------+-----------------------+
-; Block interconnects   ; 18 / 71,559 ( < 1 % ) ;
-; C16 interconnects     ; 3 / 2,597 ( < 1 % )   ;
-; C4 interconnects      ; 3 / 46,848 ( < 1 % )  ;
-; Direct links          ; 14 / 71,559 ( < 1 % ) ;
-; Global clocks         ; 1 / 20 ( 5 % )        ;
-; Local interconnects   ; 34 / 24,624 ( < 1 % ) ;
-; R24 interconnects     ; 2 / 2,496 ( < 1 % )   ;
-; R4 interconnects      ; 3 / 62,424 ( < 1 % )  ;
-+-----------------------+-----------------------+
-
-
-+---------------------------------------------------------------------------+
-; LAB Logic Elements                                                        ;
-+---------------------------------------------+-----------------------------+
-; Number of Logic Elements  (Average = 13.33) ; Number of LABs  (Total = 3) ;
-+---------------------------------------------+-----------------------------+
-; 1                                           ; 0                           ;
-; 2                                           ; 0                           ;
-; 3                                           ; 0                           ;
-; 4                                           ; 0                           ;
-; 5                                           ; 0                           ;
-; 6                                           ; 0                           ;
-; 7                                           ; 0                           ;
-; 8                                           ; 0                           ;
-; 9                                           ; 1                           ;
-; 10                                          ; 0                           ;
-; 11                                          ; 0                           ;
-; 12                                          ; 0                           ;
-; 13                                          ; 0                           ;
-; 14                                          ; 0                           ;
-; 15                                          ; 1                           ;
-; 16                                          ; 1                           ;
-+---------------------------------------------+-----------------------------+
-
-
-+------------------------------------------------------------------+
-; LAB-wide Signals                                                 ;
-+------------------------------------+-----------------------------+
-; LAB-wide Signals  (Average = 1.00) ; Number of LABs  (Total = 3) ;
-+------------------------------------+-----------------------------+
-; 1 Clock                            ; 3                           ;
-+------------------------------------+-----------------------------+
-
-
-+----------------------------------------------------------------------------+
-; LAB Signals Sourced                                                        ;
-+----------------------------------------------+-----------------------------+
-; Number of Signals Sourced  (Average = 25.67) ; Number of LABs  (Total = 3) ;
-+----------------------------------------------+-----------------------------+
-; 0                                            ; 0                           ;
-; 1                                            ; 0                           ;
-; 2                                            ; 0                           ;
-; 3                                            ; 0                           ;
-; 4                                            ; 0                           ;
-; 5                                            ; 0                           ;
-; 6                                            ; 0                           ;
-; 7                                            ; 0                           ;
-; 8                                            ; 0                           ;
-; 9                                            ; 0                           ;
-; 10                                           ; 0                           ;
-; 11                                           ; 0                           ;
-; 12                                           ; 0                           ;
-; 13                                           ; 0                           ;
-; 14                                           ; 0                           ;
-; 15                                           ; 0                           ;
-; 16                                           ; 0                           ;
-; 17                                           ; 1                           ;
-; 18                                           ; 0                           ;
-; 19                                           ; 0                           ;
-; 20                                           ; 0                           ;
-; 21                                           ; 0                           ;
-; 22                                           ; 0                           ;
-; 23                                           ; 0                           ;
-; 24                                           ; 0                           ;
-; 25                                           ; 0                           ;
-; 26                                           ; 0                           ;
-; 27                                           ; 0                           ;
-; 28                                           ; 0                           ;
-; 29                                           ; 1                           ;
-; 30                                           ; 0                           ;
-; 31                                           ; 1                           ;
-+----------------------------------------------+-----------------------------+
-
-
-+-------------------------------------------------------------------------------+
-; LAB Signals Sourced Out                                                       ;
-+-------------------------------------------------+-----------------------------+
-; Number of Signals Sourced Out  (Average = 3.67) ; Number of LABs  (Total = 3) ;
-+-------------------------------------------------+-----------------------------+
-; 0                                               ; 0                           ;
-; 1                                               ; 1                           ;
-; 2                                               ; 1                           ;
-; 3                                               ; 0                           ;
-; 4                                               ; 0                           ;
-; 5                                               ; 0                           ;
-; 6                                               ; 0                           ;
-; 7                                               ; 0                           ;
-; 8                                               ; 1                           ;
-+-------------------------------------------------+-----------------------------+
-
-
-+---------------------------------------------------------------------------+
-; LAB Distinct Inputs                                                       ;
-+---------------------------------------------+-----------------------------+
-; Number of Distinct Inputs  (Average = 5.67) ; Number of LABs  (Total = 3) ;
-+---------------------------------------------+-----------------------------+
-; 0                                           ; 0                           ;
-; 1                                           ; 0                           ;
-; 2                                           ; 0                           ;
-; 3                                           ; 1                           ;
-; 4                                           ; 0                           ;
-; 5                                           ; 0                           ;
-; 6                                           ; 1                           ;
-; 7                                           ; 0                           ;
-; 8                                           ; 1                           ;
-+---------------------------------------------+-----------------------------+
-
-
-+------------------------------------------+
-; I/O Rules Summary                        ;
-+----------------------------------+-------+
-; I/O Rules Statistic              ; Total ;
-+----------------------------------+-------+
-; Total I/O Rules                  ; 30    ;
-; Number of I/O Rules Passed       ; 13    ;
-; Number of I/O Rules Failed       ; 0     ;
-; Number of I/O Rules Unchecked    ; 0     ;
-; Number of I/O Rules Inapplicable ; 17    ;
-+----------------------------------+-------+
-
-
-+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; I/O Rules Details                                                                                                                                                                                                                                                                                   ;
-+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+
-; Status       ; ID        ; Category                          ; Rule Description                                                                                     ; Severity ; Information                                                              ; Area                ; Extra Information ;
-+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+
-; Pass         ; IO_000001 ; Capacity Checks                   ; Number of pins in an I/O bank should not exceed the number of locations available.                   ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
-; Inapplicable ; IO_000002 ; Capacity Checks                   ; Number of clocks in an I/O bank should not exceed the number of clocks available.                    ; Critical ; No Global Signal assignments found.                                      ; I/O                 ;                   ;
-; Pass         ; IO_000003 ; Capacity Checks                   ; Number of pins in a Vrefgroup should not exceed the number of locations available.                   ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
-; Inapplicable ; IO_000004 ; Voltage Compatibility Checks      ; The I/O bank should support the requested VCCIO.                                                     ; Critical ; No IOBANK_VCCIO assignments found.                                       ; I/O                 ;                   ;
-; Inapplicable ; IO_000005 ; Voltage Compatibility Checks      ; The I/O bank should not have competing VREF values.                                                  ; Critical ; No VREF I/O Standard assignments found.                                  ; I/O                 ;                   ;
-; Pass         ; IO_000006 ; Voltage Compatibility Checks      ; The I/O bank should not have competing VCCIO values.                                                 ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
-; Pass         ; IO_000007 ; Valid Location Checks             ; Checks for unavailable locations.                                                                    ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
-; Inapplicable ; IO_000008 ; Valid Location Checks             ; Checks for reserved locations.                                                                       ; Critical ; No reserved LogicLock region found.                                      ; I/O                 ;                   ;
-; Pass         ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard.                                              ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
-; Pass         ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction.                                             ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
-; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength.                                          ; Critical ; No Current Strength assignments found.                                   ; I/O                 ;                   ;
-; Pass         ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value.                                 ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
-; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value.                                            ; Critical ; No Enable Bus-Hold Circuitry assignments found.                          ; I/O                 ;                   ;
-; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value.                                        ; Critical ; No Weak Pull-Up Resistor assignments found.                              ; I/O                 ;                   ;
-; Pass         ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode.                                           ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
-; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength.                                      ; Critical ; No Current Strength assignments found.                                   ; I/O                 ;                   ;
-; Pass         ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value.                             ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
-; Pass         ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode.                                       ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
-; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value.                                    ; Critical ; No Weak Pull-Up Resistor assignments found.                              ; I/O                 ;                   ;
-; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value.                                        ; Critical ; No Enable Bus-Hold Circuitry assignments found.                          ; I/O                 ;                   ;
-; Pass         ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value.                                                ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
-; Pass         ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value.                                      ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
-; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time.                        ; Critical ; No Current Strength assignments found.                                   ; I/O                 ;                   ;
-; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time.                                       ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O                 ;                   ;
-; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value.                                       ; Critical ; No Slew Rate assignments found.                                          ; I/O                 ;                   ;
-; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value.                                           ; Critical ; No Slew Rate assignments found.                                          ; I/O                 ;                   ;
-; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time.                               ; Critical ; No Slew Rate assignments found.                                          ; I/O                 ;                   ;
-; Pass         ; IO_000033 ; Electromigration Checks           ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
-; Inapplicable ; IO_000034 ; SI Related Distance Checks        ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O.                            ; High     ; No Differential I/O Standard assignments found.                          ; I/O                 ;                   ;
-; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks       ; No more than 20 outputs are allowed in a VREF group when VREF is being read from.                    ; High     ; No VREF I/O Standard assignments found.                                  ; I/O                 ;                   ;
-; ----         ; ----      ; Disclaimer                        ; OCT rules are checked but not reported.                                                              ; None     ; ----                                                                     ; On Chip Termination ;                   ;
-+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+
-
-
-+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; I/O Rules Matrix                                                                                                                                                                                                                                                                                                                                                                                                                                                         ;
-+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
-; Pin/Rules          ; IO_000001    ; IO_000002    ; IO_000003    ; IO_000004    ; IO_000005    ; IO_000006 ; IO_000007    ; IO_000008    ; IO_000009 ; IO_000010 ; IO_000011    ; IO_000012    ; IO_000013    ; IO_000014    ; IO_000015    ; IO_000018    ; IO_000019    ; IO_000020    ; IO_000021    ; IO_000022    ; IO_000023    ; IO_000024    ; IO_000026    ; IO_000027    ; IO_000045    ; IO_000046    ; IO_000047    ; IO_000033 ; IO_000034    ; IO_000042    ;
-+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
-; Total Pass         ; 19           ; 0            ; 19           ; 0            ; 0            ; 99        ; 19           ; 0            ; 99        ; 99        ; 0            ; 89           ; 0            ; 0            ; 91           ; 0            ; 89           ; 91           ; 0            ; 0            ; 80           ; 89           ; 0            ; 0            ; 0            ; 0            ; 0            ; 99        ; 0            ; 0            ;
-; Total Unchecked    ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0            ; 0            ; 0         ; 0         ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0            ; 0            ;
-; Total Inapplicable ; 80           ; 99           ; 80           ; 99           ; 99           ; 0         ; 80           ; 99           ; 0         ; 0         ; 99           ; 10           ; 99           ; 99           ; 8            ; 99           ; 10           ; 8            ; 99           ; 99           ; 19           ; 10           ; 99           ; 99           ; 99           ; 99           ; 99           ; 0         ; 99           ; 99           ;
-; Total Fail         ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0            ; 0            ; 0         ; 0         ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0            ; 0            ;
-; CLOCK_50           ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; LED[0]             ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; LED[1]             ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; LED[2]             ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; LED[3]             ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; LED[4]             ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; LED[5]             ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; LED[6]             ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; LED[7]             ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; KEY[0]             ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; KEY[1]             ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_2_IN[0]       ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_2_IN[1]       ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_2_IN[2]       ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI_IN[0]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI_IN[1]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1_IN[0]       ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1_IN[1]       ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_2[0]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_2[1]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_2[2]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_2[3]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_2[4]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_2[5]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_2[6]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_2[7]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_2[8]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_2[9]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_2[10]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_2[11]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_2[12]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[0]       ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[1]       ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[2]       ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[3]       ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[4]       ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[5]       ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[6]       ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[7]       ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[12]      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[13]      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[14]      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[15]      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[16]      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[17]      ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[18]      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[19]      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[20]      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[21]      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[22]      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[23]      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[24]      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[25]      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[26]      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[27]      ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[28]      ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[29]      ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[30]      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[32]      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[33]      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[0]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[1]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[2]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[3]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[4]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[5]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[6]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[7]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[8]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[9]          ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[10]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[11]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[12]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[13]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[14]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[15]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[16]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[17]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[18]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[19]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[20]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[21]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[22]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[23]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[24]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[25]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[26]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[27]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[28]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[29]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[30]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[31]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[32]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_1[33]         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[8]       ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[9]       ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[10]      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[11]      ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-; GPIO_0_PI[31]      ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
-+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
-
-
-+---------------------------------------------------------------------------------------------+
-; Fitter Device Options                                                                       ;
-+------------------------------------------------------------------+--------------------------+
-; Option                                                           ; Setting                  ;
-+------------------------------------------------------------------+--------------------------+
-; Enable user-supplied start-up clock (CLKUSR)                     ; Off                      ;
-; Enable device-wide reset (DEV_CLRn)                              ; Off                      ;
-; Enable device-wide output enable (DEV_OE)                        ; Off                      ;
-; Enable INIT_DONE output                                          ; Off                      ;
-; Configuration scheme                                             ; Active Serial            ;
-; Error detection CRC                                              ; Off                      ;
-; Enable open drain on CRC_ERROR pin                               ; Off                      ;
-; Enable input tri-state on active configuration pins in user mode ; Off                      ;
-; Configuration Voltage Level                                      ; Auto                     ;
-; Force Configuration Voltage Level                                ; Off                      ;
-; nCEO                                                             ; As output driving ground ;
-; Data[0]                                                          ; As input tri-stated      ;
-; Data[1]/ASDO                                                     ; As input tri-stated      ;
-; Data[7..2]                                                       ; Unreserved               ;
-; FLASH_nCE/nCSO                                                   ; As input tri-stated      ;
-; Other Active Parallel pins                                       ; Unreserved               ;
-; DCLK                                                             ; As output driving ground ;
-+------------------------------------------------------------------+--------------------------+
-
-
-+------------------------------------+
-; Operating Settings and Conditions  ;
-+---------------------------+--------+
-; Setting                   ; Value  ;
-+---------------------------+--------+
-; Nominal Core Voltage      ; 1.20 V ;
-; Low Junction Temperature  ; 0 °C   ;
-; High Junction Temperature ; 85 °C  ;
-+---------------------------+--------+
-
-
-+-----------------+
-; Fitter Messages ;
-+-----------------+
-Warning (18236): Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
-Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected
-Info (119006): Selected device EP4CE22F17C6 for design "SPI_test"
-Info (21077): Low junction temperature is 0 degrees C
-Info (21077): High junction temperature is 85 degrees C
-Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time
-Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature.
-Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices
-    Info (176445): Device EP4CE10F17C6 is compatible
-    Info (176445): Device EP4CE6F17C6 is compatible
-    Info (176445): Device EP4CE15F17C6 is compatible
-Info (169124): Fitter converted 5 user pins into dedicated programming pins
-    Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location C1
-    Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location D2
-    Info (169125): Pin ~ALTERA_DCLK~ is reserved at location H1
-    Info (169125): Pin ~ALTERA_DATA0~ is reserved at location H2
-    Info (169125): Pin ~ALTERA_nCEO~ is reserved at location F16
-Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details
-Critical Warning (169085): No exact pin location assignment(s) for 80 pins of 99 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report.
-Critical Warning (332012): Synopsys Design Constraints File file not found: 'SPI_test.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
-Info (332144): No user constrained base clocks found in the design
-Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty"
-Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers.
-Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time.
-Info (176353): Automatically promoted node GPIO_0_PI[8]~input (placed in PIN G2 (DIFFIO_L6p, DQS0L/CQ1L,DPCLK0)) File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G0
-Info (176233): Starting register packing
-Info (176235): Finished register packing
-    Extra Info (176219): No registers were packed into other blocks
-Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement
-    Info (176211): Number of I/O pins in group: 79 (unused VREF, 2.5V VCCIO, 7 input, 0 output, 72 bidirectional)
-        Info (176212): I/O standards used: 2.5 V.
-Info (176215): I/O bank details before I/O pin placement
-    Info (176214): Statistics of I/O banks
-        Info (176213): I/O bank number 1 does not use VREF pins and has 2.5V VCCIO pins. 9 total pin(s) used --  5 pins available
-        Info (176213): I/O bank number 2 does not use VREF pins and has 2.5V VCCIO pins. 1 total pin(s) used --  15 pins available
-        Info (176213): I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used --  24 pins available
-        Info (176213): I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  20 pins available
-        Info (176213): I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used --  17 pins available
-        Info (176213): I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used --  12 pins available
-        Info (176213): I/O bank number 7 does not use VREF pins and has 2.5V VCCIO pins. 8 total pin(s) used --  16 pins available
-        Info (176213): I/O bank number 8 does not use VREF pins and has 2.5V VCCIO pins. 4 total pin(s) used --  20 pins available
-Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01
-Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family.
-Info (170189): Fitter placement preparation operations beginning
-Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00
-Info (170191): Fitter placement operations beginning
-Info (170137): Fitter placement was successful
-Info (170192): Fitter placement operations ending: elapsed time is 00:00:02
-Info (170193): Fitter routing operations beginning
-Info (170195): Router estimated average interconnect usage is 0% of the available device resources
-    Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X10_Y23 to location X20_Y34
-Info (170199): The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time.
-    Info (170201): Optimizations that may affect the design's routability were skipped
-Info (170194): Fitter routing operations ending: elapsed time is 00:00:00
-Info (11888): Total time spent on timing analysis during the Fitter is 0.11 seconds.
-Info (334003): Started post-fitting delay annotation
-Info (334004): Delay annotation completed successfully
-Info (334003): Started post-fitting delay annotation
-Info (334004): Delay annotation completed successfully
-Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01
-Warning (169064): Following 80 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results
-    Info (169065): Pin GPIO_2[0] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Info (169065): Pin GPIO_2[1] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Info (169065): Pin GPIO_2[2] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Info (169065): Pin GPIO_2[3] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Info (169065): Pin GPIO_2[4] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Info (169065): Pin GPIO_2[5] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Info (169065): Pin GPIO_2[6] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Info (169065): Pin GPIO_2[7] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Info (169065): Pin GPIO_2[8] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Info (169065): Pin GPIO_2[9] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Info (169065): Pin GPIO_2[10] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Info (169065): Pin GPIO_2[11] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Info (169065): Pin GPIO_2[12] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Info (169065): Pin GPIO_0_PI[0] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[1] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[2] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[3] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[4] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[5] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[6] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[7] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[12] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[13] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[14] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[15] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[16] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[17] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[18] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[19] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[20] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[21] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[22] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[23] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[24] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[25] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[26] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[27] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[28] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[29] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[30] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[32] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[33] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_1[0] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[1] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[2] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[3] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[4] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[5] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[6] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[7] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[8] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[9] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[10] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[11] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[12] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[13] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[14] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[15] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[16] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[17] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[18] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[19] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[20] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[21] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[22] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[23] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[24] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[25] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[26] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[27] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[28] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[29] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[30] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[31] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[32] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_1[33] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Info (169065): Pin GPIO_0_PI[8] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[9] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[10] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Info (169065): Pin GPIO_0_PI[31] has a permanently disabled output enable File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-Info (144001): Generated suppressed messages file C:/Users/damie/Documents/Minibot/SPI/output_files/SPI_test.fit.smsg
-Info: Quartus Prime Fitter was successful. 0 errors, 6 warnings
-    Info: Peak virtual memory: 5515 megabytes
-    Info: Processing ended: Wed Nov 16 16:51:40 2022
-    Info: Elapsed time: 00:00:07
-    Info: Total CPU time (on all processors): 00:00:09
-
-
-+----------------------------+
-; Fitter Suppressed Messages ;
-+----------------------------+
-The suppressed messages can be found in C:/Users/damie/Documents/Minibot/SPI/output_files/SPI_test.fit.smsg.
-
-
diff --git a/SPI/output_files/SPI_test.fit.smsg b/SPI/output_files/SPI_test.fit.smsg
deleted file mode 100644
index 7121cbb..0000000
--- a/SPI/output_files/SPI_test.fit.smsg
+++ /dev/null
@@ -1,8 +0,0 @@
-Extra Info (176273): Performing register packing on registers with non-logic cell location assignments
-Extra Info (176274): Completed register packing on registers with non-logic cell location assignments
-Extra Info (176236): Started Fast Input/Output/OE register processing
-Extra Info (176237): Finished Fast Input/Output/OE register processing
-Extra Info (176238): Start inferring scan chains for DSP blocks
-Extra Info (176239): Inferring scan chains for DSP blocks is complete
-Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density
-Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks
diff --git a/SPI/output_files/SPI_test.fit.summary b/SPI/output_files/SPI_test.fit.summary
deleted file mode 100644
index 6a030a5..0000000
--- a/SPI/output_files/SPI_test.fit.summary
+++ /dev/null
@@ -1,16 +0,0 @@
-Fitter Status : Successful - Wed Nov 16 16:51:40 2022
-Quartus Prime Version : 18.1.0 Build 625 09/12/2018 SJ Lite Edition
-Revision Name : SPI_test
-Top-level Entity Name : MyDE0_Nano
-Family : Cyclone IV E
-Device : EP4CE22F17C6
-Timing Models : Final
-Total logic elements : 40 / 22,320 ( < 1 % )
-    Total combinational functions : 39 / 22,320 ( < 1 % )
-    Dedicated logic registers : 38 / 22,320 ( < 1 % )
-Total registers : 38
-Total pins : 99 / 154 ( 64 % )
-Total virtual pins : 0
-Total memory bits : 0 / 608,256 ( 0 % )
-Embedded Multiplier 9-bit elements : 0 / 132 ( 0 % )
-Total PLLs : 0 / 4 ( 0 % )
diff --git a/SPI/output_files/SPI_test.flow.rpt b/SPI/output_files/SPI_test.flow.rpt
deleted file mode 100644
index 9d966d1..0000000
--- a/SPI/output_files/SPI_test.flow.rpt
+++ /dev/null
@@ -1,132 +0,0 @@
-Flow report for SPI_test
-Wed Nov 16 16:51:48 2022
-Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
-
-
----------------------
-; Table of Contents ;
----------------------
-  1. Legal Notice
-  2. Flow Summary
-  3. Flow Settings
-  4. Flow Non-Default Global Settings
-  5. Flow Elapsed Time
-  6. Flow OS Summary
-  7. Flow Log
-  8. Flow Messages
-  9. Flow Suppressed Messages
-
-
-
-----------------
-; Legal Notice ;
-----------------
-Copyright (C) 2018  Intel Corporation. All rights reserved.
-Your use of Intel Corporation's design tools, logic functions 
-and other software and tools, and its AMPP partner logic 
-functions, and any output files from any of the foregoing 
-(including device programming or simulation files), and any 
-associated documentation or information are expressly subject 
-to the terms and conditions of the Intel Program License 
-Subscription Agreement, the Intel Quartus Prime License Agreement,
-the Intel FPGA IP License Agreement, or other applicable license
-agreement, including, without limitation, that your use is for
-the sole purpose of programming logic devices manufactured by
-Intel and sold by Intel or its authorized distributors.  Please
-refer to the applicable agreement for further details.
-
-
-
-+----------------------------------------------------------------------------------+
-; Flow Summary                                                                     ;
-+------------------------------------+---------------------------------------------+
-; Flow Status                        ; Successful - Wed Nov 16 16:51:48 2022       ;
-; Quartus Prime Version              ; 18.1.0 Build 625 09/12/2018 SJ Lite Edition ;
-; Revision Name                      ; SPI_test                                    ;
-; Top-level Entity Name              ; MyDE0_Nano                                  ;
-; Family                             ; Cyclone IV E                                ;
-; Device                             ; EP4CE22F17C6                                ;
-; Timing Models                      ; Final                                       ;
-; Total logic elements               ; 40 / 22,320 ( < 1 % )                       ;
-;     Total combinational functions  ; 39 / 22,320 ( < 1 % )                       ;
-;     Dedicated logic registers      ; 38 / 22,320 ( < 1 % )                       ;
-; Total registers                    ; 38                                          ;
-; Total pins                         ; 99 / 154 ( 64 % )                           ;
-; Total virtual pins                 ; 0                                           ;
-; Total memory bits                  ; 0 / 608,256 ( 0 % )                         ;
-; Embedded Multiplier 9-bit elements ; 0 / 132 ( 0 % )                             ;
-; Total PLLs                         ; 0 / 4 ( 0 % )                               ;
-+------------------------------------+---------------------------------------------+
-
-
-+-----------------------------------------+
-; Flow Settings                           ;
-+-------------------+---------------------+
-; Option            ; Setting             ;
-+-------------------+---------------------+
-; Start date & time ; 11/16/2022 16:51:22 ;
-; Main task         ; Compilation         ;
-; Revision Name     ; SPI_test            ;
-+-------------------+---------------------+
-
-
-+-----------------------------------------------------------------------------------------------------------------------------+
-; Flow Non-Default Global Settings                                                                                            ;
-+-------------------------------------+----------------------------------------+---------------+-------------+----------------+
-; Assignment Name                     ; Value                                  ; Default Value ; Entity Name ; Section Id     ;
-+-------------------------------------+----------------------------------------+---------------+-------------+----------------+
-; COMPILER_SIGNATURE_ID               ; 123519564958.166861388108744           ; --            ; --          ; --             ;
-; EDA_OUTPUT_DATA_FORMAT              ; Systemverilog Hdl                      ; --            ; --          ; eda_simulation ;
-; EDA_SIMULATION_TOOL                 ; ModelSim-Altera (SystemVerilog)        ; <None>        ; --          ; --             ;
-; EDA_TIME_SCALE                      ; 1 ps                                   ; --            ; --          ; eda_simulation ;
-; MAX_CORE_JUNCTION_TEMP              ; 85                                     ; --            ; --          ; --             ;
-; MIN_CORE_JUNCTION_TEMP              ; 0                                      ; --            ; --          ; --             ;
-; NOMINAL_CORE_SUPPLY_VOLTAGE         ; 1.2V                                   ; --            ; --          ; --             ;
-; PARTITION_COLOR                     ; -- (Not supported for targeted family) ; --            ; MyDE0_Nano  ; Top            ;
-; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; --            ; MyDE0_Nano  ; Top            ;
-; PARTITION_NETLIST_TYPE              ; -- (Not supported for targeted family) ; --            ; MyDE0_Nano  ; Top            ;
-; POWER_BOARD_THERMAL_MODEL           ; None (CONSERVATIVE)                    ; --            ; --          ; --             ;
-; POWER_PRESET_COOLING_SOLUTION       ; 23 MM HEAT SINK WITH 200 LFPM AIRFLOW  ; --            ; --          ; --             ;
-; PROJECT_OUTPUT_DIRECTORY            ; output_files                           ; --            ; --          ; --             ;
-; TOP_LEVEL_ENTITY                    ; MyDE0_Nano                             ; SPI_test      ; --          ; --             ;
-+-------------------------------------+----------------------------------------+---------------+-------------+----------------+
-
-
-+--------------------------------------------------------------------------------------------------------------------------+
-; Flow Elapsed Time                                                                                                        ;
-+----------------------+--------------+-------------------------+---------------------+------------------------------------+
-; Module Name          ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
-+----------------------+--------------+-------------------------+---------------------+------------------------------------+
-; Analysis & Synthesis ; 00:00:11     ; 1.0                     ; 4777 MB             ; 00:00:23                           ;
-; Fitter               ; 00:00:07     ; 1.0                     ; 5515 MB             ; 00:00:08                           ;
-; Assembler            ; 00:00:01     ; 1.0                     ; 4674 MB             ; 00:00:01                           ;
-; Timing Analyzer      ; 00:00:02     ; 1.0                     ; 4775 MB             ; 00:00:02                           ;
-; EDA Netlist Writer   ; 00:00:01     ; 1.0                     ; 4635 MB             ; 00:00:01                           ;
-; Total                ; 00:00:22     ; --                      ; --                  ; 00:00:35                           ;
-+----------------------+--------------+-------------------------+---------------------+------------------------------------+
-
-
-+------------------------------------------------------------------------------------+
-; Flow OS Summary                                                                    ;
-+----------------------+------------------+------------+------------+----------------+
-; Module Name          ; Machine Hostname ; OS Name    ; OS Version ; Processor type ;
-+----------------------+------------------+------------+------------+----------------+
-; Analysis & Synthesis ; DESKTOP-5JFKADR  ; Windows 10 ; 10.0       ; x86_64         ;
-; Fitter               ; DESKTOP-5JFKADR  ; Windows 10 ; 10.0       ; x86_64         ;
-; Assembler            ; DESKTOP-5JFKADR  ; Windows 10 ; 10.0       ; x86_64         ;
-; Timing Analyzer      ; DESKTOP-5JFKADR  ; Windows 10 ; 10.0       ; x86_64         ;
-; EDA Netlist Writer   ; DESKTOP-5JFKADR  ; Windows 10 ; 10.0       ; x86_64         ;
-+----------------------+------------------+------------+------------+----------------+
-
-
-------------
-; Flow Log ;
-------------
-quartus_map --read_settings_files=on --write_settings_files=off SPI_test -c SPI_test
-quartus_fit --read_settings_files=off --write_settings_files=off SPI_test -c SPI_test
-quartus_asm --read_settings_files=off --write_settings_files=off SPI_test -c SPI_test
-quartus_sta SPI_test -c SPI_test
-quartus_eda --read_settings_files=off --write_settings_files=off SPI_test -c SPI_test
-
-
-
diff --git a/SPI/output_files/SPI_test.jdi b/SPI/output_files/SPI_test.jdi
deleted file mode 100644
index 0999639..0000000
--- a/SPI/output_files/SPI_test.jdi
+++ /dev/null
@@ -1,8 +0,0 @@
-<sld_project_info>
-  <project>
-    <hash md5_digest_80b="874873a42d5e601c8b01"/>
-  </project>
-  <file_info>
-    <file device="EP4CE22F17C6" path="SPI_test.sof" usercode="0xFFFFFFFF"/>
-  </file_info>
-</sld_project_info>
diff --git a/SPI/output_files/SPI_test.map.rpt b/SPI/output_files/SPI_test.map.rpt
deleted file mode 100644
index e907d87..0000000
--- a/SPI/output_files/SPI_test.map.rpt
+++ /dev/null
@@ -1,416 +0,0 @@
-Analysis & Synthesis report for SPI_test
-Wed Nov 16 16:51:32 2022
-Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
-
-
----------------------
-; Table of Contents ;
----------------------
-  1. Legal Notice
-  2. Analysis & Synthesis Summary
-  3. Analysis & Synthesis Settings
-  4. Parallel Compilation
-  5. Analysis & Synthesis Source Files Read
-  6. Analysis & Synthesis Resource Usage Summary
-  7. Analysis & Synthesis Resource Utilization by Entity
-  8. General Register Statistics
-  9. Port Connectivity Checks: "spi_slave:spi_slave_instance"
- 10. Post-Synthesis Netlist Statistics for Top Partition
- 11. Elapsed Time Per Partition
- 12. Analysis & Synthesis Messages
-
-
-
-----------------
-; Legal Notice ;
-----------------
-Copyright (C) 2018  Intel Corporation. All rights reserved.
-Your use of Intel Corporation's design tools, logic functions 
-and other software and tools, and its AMPP partner logic 
-functions, and any output files from any of the foregoing 
-(including device programming or simulation files), and any 
-associated documentation or information are expressly subject 
-to the terms and conditions of the Intel Program License 
-Subscription Agreement, the Intel Quartus Prime License Agreement,
-the Intel FPGA IP License Agreement, or other applicable license
-agreement, including, without limitation, that your use is for
-the sole purpose of programming logic devices manufactured by
-Intel and sold by Intel or its authorized distributors.  Please
-refer to the applicable agreement for further details.
-
-
-
-+----------------------------------------------------------------------------------+
-; Analysis & Synthesis Summary                                                     ;
-+------------------------------------+---------------------------------------------+
-; Analysis & Synthesis Status        ; Successful - Wed Nov 16 16:51:32 2022       ;
-; Quartus Prime Version              ; 18.1.0 Build 625 09/12/2018 SJ Lite Edition ;
-; Revision Name                      ; SPI_test                                    ;
-; Top-level Entity Name              ; MyDE0_Nano                                  ;
-; Family                             ; Cyclone IV E                                ;
-; Total logic elements               ; 41                                          ;
-;     Total combinational functions  ; 39                                          ;
-;     Dedicated logic registers      ; 38                                          ;
-; Total registers                    ; 38                                          ;
-; Total pins                         ; 99                                          ;
-; Total virtual pins                 ; 0                                           ;
-; Total memory bits                  ; 0                                           ;
-; Embedded Multiplier 9-bit elements ; 0                                           ;
-; Total PLLs                         ; 0                                           ;
-+------------------------------------+---------------------------------------------+
-
-
-+------------------------------------------------------------------------------------------------------------+
-; Analysis & Synthesis Settings                                                                              ;
-+------------------------------------------------------------------+--------------------+--------------------+
-; Option                                                           ; Setting            ; Default Value      ;
-+------------------------------------------------------------------+--------------------+--------------------+
-; Device                                                           ; EP4CE22F17C6       ;                    ;
-; Top-level entity name                                            ; MyDE0_Nano         ; SPI_test           ;
-; Family name                                                      ; Cyclone IV E       ; Cyclone V          ;
-; Use smart compilation                                            ; Off                ; Off                ;
-; Enable parallel Assembler and Timing Analyzer during compilation ; On                 ; On                 ;
-; Enable compact report table                                      ; Off                ; Off                ;
-; Restructure Multiplexers                                         ; Auto               ; Auto               ;
-; Create Debugging Nodes for IP Cores                              ; Off                ; Off                ;
-; Preserve fewer node names                                        ; On                 ; On                 ;
-; Intel FPGA IP Evaluation Mode                                    ; Enable             ; Enable             ;
-; Verilog Version                                                  ; Verilog_2001       ; Verilog_2001       ;
-; VHDL Version                                                     ; VHDL_1993          ; VHDL_1993          ;
-; State Machine Processing                                         ; Auto               ; Auto               ;
-; Safe State Machine                                               ; Off                ; Off                ;
-; Extract Verilog State Machines                                   ; On                 ; On                 ;
-; Extract VHDL State Machines                                      ; On                 ; On                 ;
-; Ignore Verilog initial constructs                                ; Off                ; Off                ;
-; Iteration limit for constant Verilog loops                       ; 5000               ; 5000               ;
-; Iteration limit for non-constant Verilog loops                   ; 250                ; 250                ;
-; Add Pass-Through Logic to Inferred RAMs                          ; On                 ; On                 ;
-; Infer RAMs from Raw Logic                                        ; On                 ; On                 ;
-; Parallel Synthesis                                               ; On                 ; On                 ;
-; DSP Block Balancing                                              ; Auto               ; Auto               ;
-; NOT Gate Push-Back                                               ; On                 ; On                 ;
-; Power-Up Don't Care                                              ; On                 ; On                 ;
-; Remove Redundant Logic Cells                                     ; Off                ; Off                ;
-; Remove Duplicate Registers                                       ; On                 ; On                 ;
-; Ignore CARRY Buffers                                             ; Off                ; Off                ;
-; Ignore CASCADE Buffers                                           ; Off                ; Off                ;
-; Ignore GLOBAL Buffers                                            ; Off                ; Off                ;
-; Ignore ROW GLOBAL Buffers                                        ; Off                ; Off                ;
-; Ignore LCELL Buffers                                             ; Off                ; Off                ;
-; Ignore SOFT Buffers                                              ; On                 ; On                 ;
-; Limit AHDL Integers to 32 Bits                                   ; Off                ; Off                ;
-; Optimization Technique                                           ; Balanced           ; Balanced           ;
-; Carry Chain Length                                               ; 70                 ; 70                 ;
-; Auto Carry Chains                                                ; On                 ; On                 ;
-; Auto Open-Drain Pins                                             ; On                 ; On                 ;
-; Perform WYSIWYG Primitive Resynthesis                            ; Off                ; Off                ;
-; Auto ROM Replacement                                             ; On                 ; On                 ;
-; Auto RAM Replacement                                             ; On                 ; On                 ;
-; Auto DSP Block Replacement                                       ; On                 ; On                 ;
-; Auto Shift Register Replacement                                  ; Auto               ; Auto               ;
-; Allow Shift Register Merging across Hierarchies                  ; Auto               ; Auto               ;
-; Auto Clock Enable Replacement                                    ; On                 ; On                 ;
-; Strict RAM Replacement                                           ; Off                ; Off                ;
-; Allow Synchronous Control Signals                                ; On                 ; On                 ;
-; Force Use of Synchronous Clear Signals                           ; Off                ; Off                ;
-; Auto RAM Block Balancing                                         ; On                 ; On                 ;
-; Auto RAM to Logic Cell Conversion                                ; Off                ; Off                ;
-; Auto Resource Sharing                                            ; Off                ; Off                ;
-; Allow Any RAM Size For Recognition                               ; Off                ; Off                ;
-; Allow Any ROM Size For Recognition                               ; Off                ; Off                ;
-; Allow Any Shift Register Size For Recognition                    ; Off                ; Off                ;
-; Use LogicLock Constraints during Resource Balancing              ; On                 ; On                 ;
-; Ignore translate_off and synthesis_off directives                ; Off                ; Off                ;
-; Timing-Driven Synthesis                                          ; On                 ; On                 ;
-; Report Parameter Settings                                        ; On                 ; On                 ;
-; Report Source Assignments                                        ; On                 ; On                 ;
-; Report Connectivity Checks                                       ; On                 ; On                 ;
-; Ignore Maximum Fan-Out Assignments                               ; Off                ; Off                ;
-; Synchronization Register Chain Length                            ; 2                  ; 2                  ;
-; Power Optimization During Synthesis                              ; Normal compilation ; Normal compilation ;
-; HDL message level                                                ; Level2             ; Level2             ;
-; Suppress Register Optimization Related Messages                  ; Off                ; Off                ;
-; Number of Removed Registers Reported in Synthesis Report         ; 5000               ; 5000               ;
-; Number of Swept Nodes Reported in Synthesis Report               ; 5000               ; 5000               ;
-; Number of Inverted Registers Reported in Synthesis Report        ; 100                ; 100                ;
-; Clock MUX Protection                                             ; On                 ; On                 ;
-; Auto Gated Clock Conversion                                      ; Off                ; Off                ;
-; Block Design Naming                                              ; Auto               ; Auto               ;
-; SDC constraint protection                                        ; Off                ; Off                ;
-; Synthesis Effort                                                 ; Auto               ; Auto               ;
-; Shift Register Replacement - Allow Asynchronous Clear Signal     ; On                 ; On                 ;
-; Pre-Mapping Resynthesis Optimization                             ; Off                ; Off                ;
-; Analysis & Synthesis Message Level                               ; Medium             ; Medium             ;
-; Disable Register Merging Across Hierarchies                      ; Auto               ; Auto               ;
-; Resource Aware Inference For Block RAM                           ; On                 ; On                 ;
-+------------------------------------------------------------------+--------------------+--------------------+
-
-
-+------------------------------------------+
-; Parallel Compilation                     ;
-+----------------------------+-------------+
-; Processors                 ; Number      ;
-+----------------------------+-------------+
-; Number detected on machine ; 8           ;
-; Maximum allowed            ; 4           ;
-;                            ;             ;
-; Average used               ; 1.00        ;
-; Maximum used               ; 4           ;
-;                            ;             ;
-; Usage by Processor         ; % Time Used ;
-;     Processor 1            ; 100.0%      ;
-;     Processor 2            ;   0.0%      ;
-;     Processors 3-4         ;   0.0%      ;
-+----------------------------+-------------+
-
-
-+--------------------------------------------------------------------------------------------------------------------------------------------------+
-; Analysis & Synthesis Source Files Read                                                                                                           ;
-+----------------------------------+-----------------+------------------------------+----------------------------------------------------+---------+
-; File Name with User-Entered Path ; Used in Netlist ; File Type                    ; File Name with Absolute Path                       ; Library ;
-+----------------------------------+-----------------+------------------------------+----------------------------------------------------+---------+
-; MySPI.sv                         ; yes             ; User SystemVerilog HDL File  ; C:/Users/damie/Documents/Minibot/SPI/MySPI.sv      ;         ;
-; MyDE0_Nano.sv                    ; yes             ; User SystemVerilog HDL File  ; C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv ;         ;
-+----------------------------------+-----------------+------------------------------+----------------------------------------------------+---------+
-
-
-+------------------------------------------------------------------+
-; Analysis & Synthesis Resource Usage Summary                      ;
-+---------------------------------------------+--------------------+
-; Resource                                    ; Usage              ;
-+---------------------------------------------+--------------------+
-; Estimated Total logic elements              ; 41                 ;
-;                                             ;                    ;
-; Total combinational functions               ; 39                 ;
-; Logic element usage by number of LUT inputs ;                    ;
-;     -- 4 input functions                    ; 3                  ;
-;     -- 3 input functions                    ; 32                 ;
-;     -- <=2 input functions                  ; 4                  ;
-;                                             ;                    ;
-; Logic elements by mode                      ;                    ;
-;     -- normal mode                          ; 36                 ;
-;     -- arithmetic mode                      ; 3                  ;
-;                                             ;                    ;
-; Total registers                             ; 38                 ;
-;     -- Dedicated logic registers            ; 38                 ;
-;     -- I/O registers                        ; 0                  ;
-;                                             ;                    ;
-; I/O pins                                    ; 99                 ;
-;                                             ;                    ;
-; Embedded Multiplier 9-bit elements          ; 0                  ;
-;                                             ;                    ;
-; Maximum fan-out node                        ; GPIO_0_PI[8]~input ;
-; Maximum fan-out                             ; 38                 ;
-; Total fan-out                               ; 372                ;
-; Average fan-out                             ; 1.04               ;
-+---------------------------------------------+--------------------+
-
-
-+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Analysis & Synthesis Resource Utilization by Entity                                                                                                                                                                                  ;
-+-----------------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+------------------------------------------+-------------+--------------+
-; Compilation Hierarchy Node        ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name                      ; Entity Name ; Library Name ;
-+-----------------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+------------------------------------------+-------------+--------------+
-; |MyDE0_Nano                       ; 39 (0)              ; 38 (0)                    ; 0           ; 0            ; 0       ; 0         ; 99   ; 0            ; |MyDE0_Nano                              ; MyDE0_Nano  ; work         ;
-;    |spi_slave:spi_slave_instance| ; 39 (39)             ; 38 (38)                   ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |MyDE0_Nano|spi_slave:spi_slave_instance ; spi_slave   ; work         ;
-+-----------------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+------------------------------------------+-------------+--------------+
-Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
-
-
-+------------------------------------------------------+
-; General Register Statistics                          ;
-+----------------------------------------------+-------+
-; Statistic                                    ; Value ;
-+----------------------------------------------+-------+
-; Total registers                              ; 38    ;
-; Number of registers using Synchronous Clear  ; 0     ;
-; Number of registers using Synchronous Load   ; 0     ;
-; Number of registers using Asynchronous Clear ; 0     ;
-; Number of registers using Asynchronous Load  ; 0     ;
-; Number of registers using Clock Enable       ; 0     ;
-; Number of registers using Preset             ; 0     ;
-+----------------------------------------------+-------+
-
-
-+--------------------------------------------------------------------------------------------------------------------+
-; Port Connectivity Checks: "spi_slave:spi_slave_instance"                                                           ;
-+----------+--------+----------+-------------------------------------------------------------------------------------+
-; Port     ; Type   ; Severity ; Details                                                                             ;
-+----------+--------+----------+-------------------------------------------------------------------------------------+
-; reset    ; Input  ; Info     ; Explicitly unconnected                                                              ;
-; d[31..1] ; Input  ; Info     ; Stuck at GND                                                                        ;
-; q        ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
-+----------+--------+----------+-------------------------------------------------------------------------------------+
-
-
-+-----------------------------------------------------+
-; Post-Synthesis Netlist Statistics for Top Partition ;
-+-----------------------+-----------------------------+
-; Type                  ; Count                       ;
-+-----------------------+-----------------------------+
-; boundary_port         ; 99                          ;
-; cycloneiii_ff         ; 38                          ;
-;     plain             ; 38                          ;
-; cycloneiii_io_obuf    ; 81                          ;
-; cycloneiii_lcell_comb ; 40                          ;
-;     arith             ; 3                           ;
-;         2 data inputs ; 2                           ;
-;         3 data inputs ; 1                           ;
-;     normal            ; 37                          ;
-;         0 data inputs ; 1                           ;
-;         1 data inputs ; 2                           ;
-;         3 data inputs ; 31                          ;
-;         4 data inputs ; 3                           ;
-;                       ;                             ;
-; Max LUT depth         ; 2.00                        ;
-; Average LUT depth     ; 0.73                        ;
-+-----------------------+-----------------------------+
-
-
-+-------------------------------+
-; Elapsed Time Per Partition    ;
-+----------------+--------------+
-; Partition Name ; Elapsed Time ;
-+----------------+--------------+
-; Top            ; 00:00:00     ;
-+----------------+--------------+
-
-
-+-------------------------------+
-; Analysis & Synthesis Messages ;
-+-------------------------------+
-Info: *******************************************************************
-Info: Running Quartus Prime Analysis & Synthesis
-    Info: Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
-    Info: Processing started: Wed Nov 16 16:51:21 2022
-Info: Command: quartus_map --read_settings_files=on --write_settings_files=off SPI_test -c SPI_test
-Warning (18236): Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
-Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected
-Info (12021): Found 1 design units, including 1 entities, in source file mytestbench.sv
-    Info (12023): Found entity 1: MyTestbench File: C:/Users/damie/Documents/Minibot/SPI/MyTestbench.sv Line: 1
-Info (12021): Found 1 design units, including 1 entities, in source file myspi.sv
-    Info (12023): Found entity 1: spi_slave File: C:/Users/damie/Documents/Minibot/SPI/MySPI.sv Line: 1
-Info (12021): Found 1 design units, including 1 entities, in source file myde0_nano.sv
-    Info (12023): Found entity 1: MyDE0_Nano File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 1
-Info (12127): Elaborating entity "MyDE0_Nano" for the top level hierarchy
-Warning (10036): Verilog HDL or VHDL warning at MyDE0_Nano.sv(47): object "ENC_1B" assigned a value but never read File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 47
-Warning (10036): Verilog HDL or VHDL warning at MyDE0_Nano.sv(47): object "ENC_2A" assigned a value but never read File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 47
-Warning (10036): Verilog HDL or VHDL warning at MyDE0_Nano.sv(47): object "ENC_2B" assigned a value but never read File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 47
-Warning (10034): Output port "LED" at MyDE0_Nano.sv(7) has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 7
-Info (12128): Elaborating entity "spi_slave" for hierarchy "spi_slave:spi_slave_instance" File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 39
-Warning (13039): The following bidirectional pins have no drivers
-    Warning (13040): bidirectional pin "GPIO_0_PI[8]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[9]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[10]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[31]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_2[0]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Warning (13040): bidirectional pin "GPIO_2[1]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Warning (13040): bidirectional pin "GPIO_2[2]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Warning (13040): bidirectional pin "GPIO_2[3]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Warning (13040): bidirectional pin "GPIO_2[4]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Warning (13040): bidirectional pin "GPIO_2[5]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Warning (13040): bidirectional pin "GPIO_2[6]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Warning (13040): bidirectional pin "GPIO_2[7]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Warning (13040): bidirectional pin "GPIO_2[8]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Warning (13040): bidirectional pin "GPIO_2[9]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Warning (13040): bidirectional pin "GPIO_2[10]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Warning (13040): bidirectional pin "GPIO_2[11]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Warning (13040): bidirectional pin "GPIO_2[12]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 13
-    Warning (13040): bidirectional pin "GPIO_0_PI[0]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[1]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[2]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[3]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[4]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[5]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[6]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[7]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[12]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[13]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[14]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[15]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[16]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[17]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[18]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[19]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[20]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[21]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[22]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[23]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[24]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[25]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[26]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[27]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[28]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[29]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[30]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[32]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_0_PI[33]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 17
-    Warning (13040): bidirectional pin "GPIO_1[0]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[1]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[2]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[3]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[4]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[5]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[6]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[7]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[8]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[9]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[10]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[11]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[12]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[13]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[14]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[15]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[16]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[17]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[18]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[19]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[20]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[21]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[22]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[23]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[24]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[25]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[26]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[27]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[28]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[29]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[30]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[31]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[32]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-    Warning (13040): bidirectional pin "GPIO_1[33]" has no driver File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 21
-Warning (13024): Output pins are stuck at VCC or GND
-    Warning (13410): Pin "LED[0]" is stuck at GND File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 7
-    Warning (13410): Pin "LED[1]" is stuck at GND File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 7
-    Warning (13410): Pin "LED[2]" is stuck at GND File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 7
-    Warning (13410): Pin "LED[3]" is stuck at GND File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 7
-    Warning (13410): Pin "LED[4]" is stuck at GND File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 7
-    Warning (13410): Pin "LED[5]" is stuck at GND File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 7
-    Warning (13410): Pin "LED[6]" is stuck at GND File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 7
-    Warning (13410): Pin "LED[7]" is stuck at GND File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 7
-Info (286030): Timing-Driven Synthesis is running
-Info (16010): Generating hard_block partition "hard_block:auto_generated_inst"
-    Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL
-Warning (21074): Design contains 10 input pin(s) that do not drive logic
-    Warning (15610): No output dependent on input pin "CLOCK_50" File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 4
-    Warning (15610): No output dependent on input pin "KEY[0]" File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 10
-    Warning (15610): No output dependent on input pin "KEY[1]" File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 10
-    Warning (15610): No output dependent on input pin "GPIO_2_IN[0]" File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 14
-    Warning (15610): No output dependent on input pin "GPIO_2_IN[1]" File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 14
-    Warning (15610): No output dependent on input pin "GPIO_2_IN[2]" File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 14
-    Warning (15610): No output dependent on input pin "GPIO_0_PI_IN[0]" File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 18
-    Warning (15610): No output dependent on input pin "GPIO_0_PI_IN[1]" File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 18
-    Warning (15610): No output dependent on input pin "GPIO_1_IN[0]" File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 23
-    Warning (15610): No output dependent on input pin "GPIO_1_IN[1]" File: C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv Line: 23
-Info (21057): Implemented 140 device resources after synthesis - the final resource count might be different
-    Info (21058): Implemented 10 input pins
-    Info (21059): Implemented 8 output pins
-    Info (21060): Implemented 81 bidirectional pins
-    Info (21061): Implemented 41 logic cells
-Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 106 warnings
-    Info: Peak virtual memory: 4777 megabytes
-    Info: Processing ended: Wed Nov 16 16:51:32 2022
-    Info: Elapsed time: 00:00:11
-    Info: Total CPU time (on all processors): 00:00:23
-
-
diff --git a/SPI/output_files/SPI_test.map.summary b/SPI/output_files/SPI_test.map.summary
deleted file mode 100644
index fc7cf2d..0000000
--- a/SPI/output_files/SPI_test.map.summary
+++ /dev/null
@@ -1,14 +0,0 @@
-Analysis & Synthesis Status : Successful - Wed Nov 16 16:51:32 2022
-Quartus Prime Version : 18.1.0 Build 625 09/12/2018 SJ Lite Edition
-Revision Name : SPI_test
-Top-level Entity Name : MyDE0_Nano
-Family : Cyclone IV E
-Total logic elements : 41
-    Total combinational functions : 39
-    Dedicated logic registers : 38
-Total registers : 38
-Total pins : 99
-Total virtual pins : 0
-Total memory bits : 0
-Embedded Multiplier 9-bit elements : 0
-Total PLLs : 0
diff --git a/SPI/output_files/SPI_test.pin b/SPI/output_files/SPI_test.pin
deleted file mode 100644
index 1c69754..0000000
--- a/SPI/output_files/SPI_test.pin
+++ /dev/null
@@ -1,326 +0,0 @@
- -- Copyright (C) 2018  Intel Corporation. All rights reserved.
- -- Your use of Intel Corporation's design tools, logic functions 
- -- and other software and tools, and its AMPP partner logic 
- -- functions, and any output files from any of the foregoing 
- -- (including device programming or simulation files), and any 
- -- associated documentation or information are expressly subject 
- -- to the terms and conditions of the Intel Program License 
- -- Subscription Agreement, the Intel Quartus Prime License Agreement,
- -- the Intel FPGA IP License Agreement, or other applicable license
- -- agreement, including, without limitation, that your use is for
- -- the sole purpose of programming logic devices manufactured by
- -- Intel and sold by Intel or its authorized distributors.  Please
- -- refer to the applicable agreement for further details.
- -- 
- -- This is a Quartus Prime output file. It is for reporting purposes only, and is
- -- not intended for use as a Quartus Prime input file. This file cannot be used
- -- to make Quartus Prime pin assignments - for instructions on how to make pin
- -- assignments, please see Quartus Prime help.
- ---------------------------------------------------------------------------------
-
-
-
- ---------------------------------------------------------------------------------
- -- NC            : No Connect. This pin has no internal connection to the device.
- -- DNU           : Do Not Use. This pin MUST NOT be connected.
- -- VCCINT        : Dedicated power pin, which MUST be connected to VCC  (1.2V).
- -- VCCIO         : Dedicated power pin, which MUST be connected to VCC
- --                 of its bank.
- --                  Bank 1:       2.5V
- --                  Bank 2:       2.5V
- --                  Bank 3:       2.5V
- --                  Bank 4:       2.5V
- --                  Bank 5:       2.5V
- --                  Bank 6:       2.5V
- --                  Bank 7:       2.5V
- --                  Bank 8:       2.5V
- -- GND           : Dedicated ground pin. Dedicated GND pins MUST be connected to GND.
- --                  It can also be used to report unused dedicated pins. The connection
- --                  on the board for unused dedicated pins depends on whether this will
- --                  be used in a future design. One example is device migration. When
- --                  using device migration, refer to the device pin-tables. If it is a
- --                  GND pin in the pin table or if it will not be used in a future design
- --                  for another purpose the it MUST be connected to GND. If it is an unused
- --                  dedicated pin, then it can be connected to a valid signal on the board
- --                  (low, high, or toggling) if that signal is required for a different
- --                  revision of the design.
- -- GND+          : Unused input pin. It can also be used to report unused dual-purpose pins.
- --                  This pin should be connected to GND. It may also be connected  to a
- --                  valid signal  on the board  (low, high, or toggling)  if that signal
- --                  is required for a different revision of the design.
- -- GND*          : Unused  I/O  pin. Connect each pin marked GND* directly to GND
- --                  or leave it unconnected.
- -- RESERVED      : Unused I/O pin, which MUST be left unconnected.
- -- RESERVED_INPUT    : Pin is tri-stated and should be connected to the board.
- -- RESERVED_INPUT_WITH_WEAK_PULLUP    : Pin is tri-stated with internal weak pull-up resistor.
- -- RESERVED_INPUT_WITH_BUS_HOLD       : Pin is tri-stated with bus-hold circuitry.
- -- RESERVED_OUTPUT_DRIVEN_HIGH        : Pin is output driven high.
- ---------------------------------------------------------------------------------
-
-
-
- ---------------------------------------------------------------------------------
- -- Pin directions (input, output or bidir) are based on device operating in user mode.
- ---------------------------------------------------------------------------------
-
-Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
-CHIP  "SPI_test"  ASSIGNED TO AN: EP4CE22F17C6
-
-Pin Name/Usage               : Location  : Dir.   : I/O Standard      : Voltage : I/O Bank  : User Assignment
--------------------------------------------------------------------------------------------------------------
-VCCIO8                       : A1        : power  :                   : 2.5V    : 8         :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : A2        :        :                   :         : 8         :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : A3        :        :                   :         : 8         :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : A4        :        :                   :         : 8         :                
-GPIO_0_PI[5]                 : A5        : bidir  : 2.5 V             :         : 8         : Y              
-GPIO_0_PI[11]                : A6        : bidir  : 2.5 V             :         : 8         : Y              
-GPIO_0_PI[23]                : A7        : bidir  : 2.5 V             :         : 8         : N              
-GND+                         : A8        :        :                   :         : 8         :                
-GND+                         : A9        :        :                   :         : 7         :                
-GPIO_0_PI[0]                 : A10       : bidir  : 2.5 V             :         : 7         : N              
-LED[3]                       : A11       : output : 2.5 V             :         : 7         : Y              
-GPIO_1_IN[0]                 : A12       : input  : 2.5 V             :         : 7         : N              
-LED[1]                       : A13       : output : 2.5 V             :         : 7         : Y              
-GPIO_0_PI[21]                : A14       : bidir  : 2.5 V             :         : 7         : N              
-LED[0]                       : A15       : output : 2.5 V             :         : 7         : Y              
-VCCIO7                       : A16       : power  :                   : 2.5V    : 7         :                
-LED[6]                       : B1        : output : 2.5 V             :         : 1         : Y              
-GND                          : B2        : gnd    :                   :         :           :                
-GPIO_2[6]                    : B3        : bidir  : 2.5 V             :         : 8         : N              
-GPIO_1[4]                    : B4        : bidir  : 2.5 V             :         : 8         : N              
-GPIO_1[22]                   : B5        : bidir  : 2.5 V             :         : 8         : N              
-GPIO_0_PI[17]                : B6        : bidir  : 2.5 V             :         : 8         : Y              
-GPIO_0_PI[30]                : B7        : bidir  : 2.5 V             :         : 8         : N              
-GND+                         : B8        :        :                   :         : 8         :                
-GND+                         : B9        :        :                   :         : 7         :                
-GPIO_0_PI[15]                : B10       : bidir  : 2.5 V             :         : 7         : N              
-GPIO_0_PI[29]                : B11       : bidir  : 2.5 V             :         : 7         : Y              
-GPIO_2[10]                   : B12       : bidir  : 2.5 V             :         : 7         : N              
-LED[2]                       : B13       : output : 2.5 V             :         : 7         : Y              
-GPIO_0_PI[24]                : B14       : bidir  : 2.5 V             :         : 7         : N              
-GND                          : B15       : gnd    :                   :         :           :                
-GPIO_0_PI[33]                : B16       : bidir  : 2.5 V             :         : 6         : N              
-~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C1        : input  : 2.5 V             :         : 1         : N              
-GPIO_1[20]                   : C2        : bidir  : 2.5 V             :         : 1         : N              
-GPIO_0_PI[14]                : C3        : bidir  : 2.5 V             :         : 8         : N              
-VCCIO8                       : C4        : power  :                   : 2.5V    : 8         :                
-GND                          : C5        : gnd    :                   :         :           :                
-GPIO_0_PI[3]                 : C6        : bidir  : 2.5 V             :         : 8         : N              
-VCCIO8                       : C7        : power  :                   : 2.5V    : 8         :                
-GPIO_2[11]                   : C8        : bidir  : 2.5 V             :         : 8         : N              
-GPIO_1[32]                   : C9        : bidir  : 2.5 V             :         : 7         : N              
-VCCIO7                       : C10       : power  :                   : 2.5V    : 7         :                
-GPIO_0_PI[28]                : C11       : bidir  : 2.5 V             :         : 7         : Y              
-GND                          : C12       : gnd    :                   :         :           :                
-VCCIO7                       : C13       : power  :                   : 2.5V    : 7         :                
-GPIO_0_PI_IN[1]              : C14       : input  : 2.5 V             :         : 7         : N              
-GPIO_1[15]                   : C15       : bidir  : 2.5 V             :         : 6         : N              
-GPIO_1[19]                   : C16       : bidir  : 2.5 V             :         : 6         : N              
-LED[4]                       : D1        : output : 2.5 V             :         : 1         : Y              
-~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : D2        : input  : 2.5 V             :         : 1         : N              
-GPIO_2[7]                    : D3        : bidir  : 2.5 V             :         : 8         : N              
-VCCD_PLL3                    : D4        : power  :                   : 1.2V    :           :                
-GPIO_0_PI[9]                 : D5        : bidir  : 2.5 V             :         : 8         : Y              
-GPIO_2_IN[2]                 : D6        : input  : 2.5 V             :         : 8         : N              
-GND                          : D7        : gnd    :                   :         :           :                
-GPIO_0_PI[32]                : D8        : bidir  : 2.5 V             :         : 8         : N              
-RESERVED_INPUT_WITH_WEAK_PULLUP : D9        :        :                   :         : 7         :                
-GND                          : D10       : gnd    :                   :         :           :                
-GPIO_0_PI[31]                : D11       : bidir  : 2.5 V             :         : 7         : Y              
-GPIO_2[1]                    : D12       : bidir  : 2.5 V             :         : 7         : N              
-VCCD_PLL2                    : D13       : power  :                   : 1.2V    :           :                
-GPIO_1[6]                    : D14       : bidir  : 2.5 V             :         : 7         : N              
-GPIO_1[12]                   : D15       : bidir  : 2.5 V             :         : 6         : N              
-RESERVED_INPUT_WITH_WEAK_PULLUP : D16       :        :                   :         : 6         :                
-KEY[1]                       : E1        : input  : 2.5 V             :         : 1         : Y              
-GND                          : E2        : gnd    :                   :         :           :                
-VCCIO1                       : E3        : power  :                   : 2.5V    : 1         :                
-GND                          : E4        : gnd    :                   :         :           :                
-GNDA3                        : E5        : gnd    :                   :         :           :                
-GPIO_0_PI_IN[0]              : E6        : input  : 2.5 V             :         : 8         : N              
-GPIO_0_PI[10]                : E7        : bidir  : 2.5 V             :         : 8         : N              
-GPIO_2[12]                   : E8        : bidir  : 2.5 V             :         : 8         : N              
-RESERVED_INPUT_WITH_WEAK_PULLUP : E9        :        :                   :         : 7         :                
-GPIO_0_PI[27]                : E10       : bidir  : 2.5 V             :         : 7         : Y              
-GPIO_1[11]                   : E11       : bidir  : 2.5 V             :         : 7         : N              
-GNDA2                        : E12       : gnd    :                   :         :           :                
-GND                          : E13       : gnd    :                   :         :           :                
-VCCIO6                       : E14       : power  :                   : 2.5V    : 6         :                
-GPIO_2_IN[1]                 : E15       : input  : 2.5 V             :         : 6         : N              
-GPIO_2_IN[0]                 : E16       : input  : 2.5 V             :         : 6         : N              
-GPIO_1[3]                    : F1        : bidir  : 2.5 V             :         : 1         : N              
-GPIO_2[2]                    : F2        : bidir  : 2.5 V             :         : 1         : N              
-LED[5]                       : F3        : output : 2.5 V             :         : 1         : Y              
-nSTATUS                      : F4        :        :                   :         : 1         :                
-VCCA3                        : F5        : power  :                   : 2.5V    :           :                
-GND                          : F6        : gnd    :                   :         :           :                
-VCCINT                       : F7        : power  :                   : 1.2V    :           :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : F8        :        :                   :         : 8         :                
-GPIO_0_PI[16]                : F9        : bidir  : 2.5 V             :         : 7         : N              
-GND                          : F10       : gnd    :                   :         :           :                
-VCCINT                       : F11       : power  :                   : 1.2V    :           :                
-VCCA2                        : F12       : power  :                   : 2.5V    :           :                
-GPIO_1[25]                   : F13       : bidir  : 2.5 V             :         : 6         : N              
-RESERVED_INPUT_WITH_WEAK_PULLUP : F14       :        :                   :         : 6         :                
-GPIO_1[8]                    : F15       : bidir  : 2.5 V             :         : 6         : N              
-~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : F16       : output : 2.5 V             :         : 6         : N              
-GPIO_1[28]                   : G1        : bidir  : 2.5 V             :         : 1         : N              
-GPIO_0_PI[8]                 : G2        : bidir  : 2.5 V             :         : 1         : N              
-VCCIO1                       : G3        : power  :                   : 2.5V    : 1         :                
-GND                          : G4        : gnd    :                   :         :           :                
-GPIO_2[3]                    : G5        : bidir  : 2.5 V             :         : 1         : N              
-VCCINT                       : G6        : power  :                   : 1.2V    :           :                
-VCCINT                       : G7        : power  :                   : 1.2V    :           :                
-VCCINT                       : G8        : power  :                   : 1.2V    :           :                
-VCCINT                       : G9        : power  :                   : 1.2V    :           :                
-VCCINT                       : G10       : power  :                   : 1.2V    :           :                
-GND                          : G11       : gnd    :                   :         :           :                
-MSEL2                        : G12       :        :                   :         : 6         :                
-GND                          : G13       : gnd    :                   :         :           :                
-VCCIO6                       : G14       : power  :                   : 2.5V    : 6         :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : G15       :        :                   :         : 6         :                
-GPIO_1[2]                    : G16       : bidir  : 2.5 V             :         : 6         : N              
-~ALTERA_DCLK~                : H1        : output : 2.5 V             :         : 1         : N              
-~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : H2        : input  : 2.5 V             :         : 1         : N              
-TCK                          : H3        : input  :                   :         : 1         :                
-TDI                          : H4        : input  :                   :         : 1         :                
-nCONFIG                      : H5        :        :                   :         : 1         :                
-VCCINT                       : H6        : power  :                   : 1.2V    :           :                
-GND                          : H7        : gnd    :                   :         :           :                
-GND                          : H8        : gnd    :                   :         :           :                
-GND                          : H9        : gnd    :                   :         :           :                
-GND                          : H10       : gnd    :                   :         :           :                
-VCCINT                       : H11       : power  :                   : 1.2V    :           :                
-MSEL1                        : H12       :        :                   :         : 6         :                
-MSEL0                        : H13       :        :                   :         : 6         :                
-CONF_DONE                    : H14       :        :                   :         : 6         :                
-GND                          : H15       : gnd    :                   :         :           :                
-GND                          : H16       : gnd    :                   :         :           :                
-GPIO_0_PI[20]                : J1        : bidir  : 2.5 V             :         : 2         : N              
-GPIO_2[5]                    : J2        : bidir  : 2.5 V             :         : 2         : N              
-nCE                          : J3        :        :                   :         : 1         :                
-TDO                          : J4        : output :                   :         : 1         :                
-TMS                          : J5        : input  :                   :         : 1         :                
-VCCINT                       : J6        : power  :                   : 1.2V    :           :                
-GND                          : J7        : gnd    :                   :         :           :                
-GND                          : J8        : gnd    :                   :         :           :                
-GND                          : J9        : gnd    :                   :         :           :                
-GND                          : J10       : gnd    :                   :         :           :                
-GND                          : J11       : gnd    :                   :         :           :                
-VCCINT                       : J12       : power  :                   : 1.2V    :           :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : J13       :        :                   :         : 5         :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : J14       :        :                   :         : 5         :                
-KEY[0]                       : J15       : input  : 2.5 V             :         : 5         : Y              
-GPIO_1[29]                   : J16       : bidir  : 2.5 V             :         : 5         : N              
-RESERVED_INPUT_WITH_WEAK_PULLUP : K1        :        :                   :         : 2         :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : K2        :        :                   :         : 2         :                
-VCCIO2                       : K3        : power  :                   : 2.5V    : 2         :                
-GND                          : K4        : gnd    :                   :         :           :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : K5        :        :                   :         : 2         :                
-GND                          : K6        : gnd    :                   :         :           :                
-VCCINT                       : K7        : power  :                   : 1.2V    :           :                
-GND                          : K8        : gnd    :                   :         :           :                
-VCCINT                       : K9        : power  :                   : 1.2V    :           :                
-VCCINT                       : K10       : power  :                   : 1.2V    :           :                
-VCCINT                       : K11       : power  :                   : 1.2V    :           :                
-GND                          : K12       : gnd    :                   :         :           :                
-GND                          : K13       : gnd    :                   :         :           :                
-VCCIO5                       : K14       : power  :                   : 2.5V    : 5         :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : K15       :        :                   :         : 5         :                
-GPIO_1[14]                   : K16       : bidir  : 2.5 V             :         : 5         : N              
-GPIO_1[10]                   : L1        : bidir  : 2.5 V             :         : 2         : N              
-RESERVED_INPUT_WITH_WEAK_PULLUP : L2        :        :                   :         : 2         :                
-LED[7]                       : L3        : output : 2.5 V             :         : 2         : Y              
-GPIO_1[33]                   : L4        : bidir  : 2.5 V             :         : 2         : N              
-VCCA1                        : L5        : power  :                   : 2.5V    :           :                
-VCCINT                       : L6        : power  :                   : 1.2V    :           :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : L7        :        :                   :         : 3         :                
-GPIO_0_PI[26]                : L8        : bidir  : 2.5 V             :         : 3         : N              
-GND                          : L9        : gnd    :                   :         :           :                
-GND                          : L10       : gnd    :                   :         :           :                
-GND                          : L11       : gnd    :                   :         :           :                
-VCCA4                        : L12       : power  :                   : 2.5V    :           :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : L13       :        :                   :         : 5         :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : L14       :        :                   :         : 5         :                
-GPIO_1[5]                    : L15       : bidir  : 2.5 V             :         : 5         : N              
-RESERVED_INPUT_WITH_WEAK_PULLUP : L16       :        :                   :         : 5         :                
-GND+                         : M1        :        :                   :         : 2         :                
-GND+                         : M2        :        :                   :         : 2         :                
-VCCIO2                       : M3        : power  :                   : 2.5V    : 2         :                
-GND                          : M4        : gnd    :                   :         :           :                
-GNDA1                        : M5        : gnd    :                   :         :           :                
-GPIO_1[27]                   : M6        : bidir  : 2.5 V             :         : 3         : N              
-GPIO_2[8]                    : M7        : bidir  : 2.5 V             :         : 3         : N              
-GPIO_1[23]                   : M8        : bidir  : 2.5 V             :         : 3         : N              
-VCCINT                       : M9        : power  :                   : 1.2V    :           :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : M10       :        :                   :         : 4         :                
-VCCINT                       : M11       : power  :                   : 1.2V    :           :                
-GNDA4                        : M12       : gnd    :                   :         :           :                
-GND                          : M13       : gnd    :                   :         :           :                
-VCCIO5                       : M14       : power  :                   : 2.5V    : 5         :                
-GND+                         : M15       :        :                   :         : 5         :                
-GND+                         : M16       :        :                   :         : 5         :                
-GPIO_1[18]                   : N1        : bidir  : 2.5 V             :         : 2         : N              
-RESERVED_INPUT_WITH_WEAK_PULLUP : N2        :        :                   :         : 2         :                
-GPIO_1[1]                    : N3        : bidir  : 2.5 V             :         : 3         : N              
-VCCD_PLL1                    : N4        : power  :                   : 1.2V    :           :                
-GPIO_1[7]                    : N5        : bidir  : 2.5 V             :         : 3         : N              
-GPIO_1[24]                   : N6        : bidir  : 2.5 V             :         : 3         : N              
-GND                          : N7        : gnd    :                   :         :           :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : N8        :        :                   :         : 3         :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : N9        :        :                   :         : 4         :                
-GND                          : N10       : gnd    :                   :         :           :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : N11       :        :                   :         : 4         :                
-GPIO_1[21]                   : N12       : bidir  : 2.5 V             :         : 4         : N              
-VCCD_PLL4                    : N13       : power  :                   : 1.2V    :           :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : N14       :        :                   :         : 5         :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : N15       :        :                   :         : 5         :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : N16       :        :                   :         : 5         :                
-GPIO_0_PI[12]                : P1        : bidir  : 2.5 V             :         : 2         : N              
-GPIO_0_PI[1]                 : P2        : bidir  : 2.5 V             :         : 2         : N              
-GPIO_1[26]                   : P3        : bidir  : 2.5 V             :         : 3         : N              
-VCCIO3                       : P4        : power  :                   : 2.5V    : 3         :                
-GND                          : P5        : gnd    :                   :         :           :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : P6        :        :                   :         : 3         :                
-VCCIO3                       : P7        : power  :                   : 2.5V    : 3         :                
-GPIO_1[16]                   : P8        : bidir  : 2.5 V             :         : 3         : N              
-RESERVED_INPUT_WITH_WEAK_PULLUP : P9        :        :                   :         : 4         :                
-VCCIO4                       : P10       : power  :                   : 2.5V    : 4         :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : P11       :        :                   :         : 4         :                
-GND                          : P12       : gnd    :                   :         :           :                
-VCCIO4                       : P13       : power  :                   : 2.5V    : 4         :                
-RESERVED_INPUT_WITH_WEAK_PULLUP : P14       :        :                   :         : 4         :                
-GPIO_0_PI[6]                 : P15       : bidir  : 2.5 V             :         : 5         : N              
-RESERVED_INPUT_WITH_WEAK_PULLUP : P16       :        :                   :         : 5         :                
-GPIO_0_PI[2]                 : R1        : bidir  : 2.5 V             :         : 2         : N              
-GND                          : R2        : gnd    :                   :         :           :                
-GPIO_0_PI[18]                : R3        : bidir  : 2.5 V             :         : 3         : N              
-GPIO_2[0]                    : R4        : bidir  : 2.5 V             :         : 3         : N              
-GPIO_0_PI[7]                 : R5        : bidir  : 2.5 V             :         : 3         : N              
-GPIO_0_PI[22]                : R6        : bidir  : 2.5 V             :         : 3         : N              
-CLOCK_50                     : R7        : input  : 2.5 V             :         : 3         : Y              
-GND+                         : R8        :        :                   :         : 3         :                
-GND+                         : R9        :        :                   :         : 4         :                
-GPIO_1[17]                   : R10       : bidir  : 2.5 V             :         : 4         : N              
-GPIO_1[9]                    : R11       : bidir  : 2.5 V             :         : 4         : N              
-RESERVED_INPUT_WITH_WEAK_PULLUP : R12       :        :                   :         : 4         :                
-GPIO_0_PI[25]                : R13       : bidir  : 2.5 V             :         : 4         : N              
-GPIO_1[31]                   : R14       : bidir  : 2.5 V             :         : 4         : N              
-GND                          : R15       : gnd    :                   :         :           :                
-GPIO_0_PI[13]                : R16       : bidir  : 2.5 V             :         : 5         : N              
-VCCIO3                       : T1        : power  :                   : 2.5V    : 3         :                
-GPIO_2[9]                    : T2        : bidir  : 2.5 V             :         : 3         : N              
-RESERVED_INPUT_WITH_WEAK_PULLUP : T3        :        :                   :         : 3         :                
-GPIO_2[4]                    : T4        : bidir  : 2.5 V             :         : 3         : N              
-GPIO_0_PI[4]                 : T5        : bidir  : 2.5 V             :         : 3         : N              
-GPIO_1[0]                    : T6        : bidir  : 2.5 V             :         : 3         : N              
-RESERVED_INPUT_WITH_WEAK_PULLUP : T7        :        :                   :         : 3         :                
-GND+                         : T8        :        :                   :         : 3         :                
-GND+                         : T9        :        :                   :         : 4         :                
-GPIO_1_IN[1]                 : T10       : input  : 2.5 V             :         : 4         : N              
-RESERVED_INPUT_WITH_WEAK_PULLUP : T11       :        :                   :         : 4         :                
-GPIO_0_PI[19]                : T12       : bidir  : 2.5 V             :         : 4         : N              
-GPIO_1[13]                   : T13       : bidir  : 2.5 V             :         : 4         : N              
-GPIO_1[30]                   : T14       : bidir  : 2.5 V             :         : 4         : N              
-RESERVED_INPUT_WITH_WEAK_PULLUP : T15       :        :                   :         : 4         :                
-VCCIO4                       : T16       : power  :                   : 2.5V    : 4         :                
diff --git a/SPI/output_files/SPI_test.sld b/SPI/output_files/SPI_test.sld
deleted file mode 100644
index f7d3ed7..0000000
--- a/SPI/output_files/SPI_test.sld
+++ /dev/null
@@ -1 +0,0 @@
-<sld_project_info/>
diff --git a/SPI/output_files/SPI_test.sof b/SPI/output_files/SPI_test.sof
deleted file mode 100644
index b05e24c65fa7ede42a70f9111692f0d31cbd60a8..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 704016
zcmeFaO{^?Ob|#jOk7T#VH!`K63ECjwK-+*H0b6~$M?Y;qGL9^_Jhlh$6J>eneyt`Y
zaq!gr++-VC81R|F3`US`fZolL7|g;3yfnCVVE}tS3$MJnuovEXsl@=}^Ho)xs;G!O
z5pi$aTbWho5S3MV;*0OZ`LZ(NRNj2aKm5;re}eszyq-+|sciq?7eD^_m%sQTy!iR&
zfBsqcy`TO0>(5_(_Ve&xeD?D%KL6QI!}oshYv22|oA4j};`3LZhTr`DZ-kqF@4Mgo
z{&&BB^SvL0Km1R^-}(H@&%zHs{rpS8PH#+qLkNHP;@5xghu{DH?|<*Ne(yJ@r`t$>
z^wTdt|MJympH9CzJr~5keCuC)>#zUi*8bn!8@Av2>;FjFriuR=TX_EF^d3Hn1^EvH
z{{Q~3zd8L|(|_{>KG?1u+fP6H;`2ZK>5u;G$6x%}ul@1oKNZhE`rBXp(|`BBeEHFT
zw?+Sp@bjNc)4z^R)Bhu{pZ(&?*T4AkM}H#8e(~KueDNRu=*!Q(`105O?x&wmzx~Cl
zPk;3K=Rf<qpZ(;^AASDQKl$0e|2N(V;kWmm?T+jsQ26stf8$4=e*UM@^G83p`QzXD
z&JTX;*MIO^f9uD;{{2sX<Fntq`QA@{@W(gb`_6ZF{l9xm@Y{%cAQwJ3!l$48*Ps97
zv)}p7v4r0VuYdgIpZ(5vbc|p8>`%TEe(}X;KmW<kJ{9cEU;O^wG}1BoZ-2Lt&aoK(
z>Z};jF&6{b{L1t{eDe;36npc3{UbRpuy14YmAu~EJFtIb{Kj^N_O2~FHorH$Kgn0)
zor#{jh`#1`@P0iB!&~xwknh)9v>Oor(pPW3{CmH2Bb374YTIG%h6*m}_U5*$jO%M*
z=Zr@@UvDwt2ufRq_osc*=p<}=dr#mzg-oe8Cc-!R4c_6l5c&A+X*Znh8~ScN3Y8vh
zw(T0j1{|*^6nj1i(|a_1TSpfC*1M*lTo~zgT<VejN8@`U(f48HZP$j}c46hi?yIwF
zL;G%sO1pDm8+O<&9rpJcMaj^<>w7XtxLa(e%+UeaiFe!;5Ir=<4W-YT0uF9enckY7
z><)Kim)*VXzXz%eX1{>8UD#=-bK&c_@9%F{r~qGK&UZ`MmAad5-fKk)yjc2J>9F1{
zeW>Rf-%<T^zCo-e^1f6aG~Tgng9dd5n&XQ64B<VbDzNw&Q+}ShxdNA|z@pb;42~{S
z_rY0(!F*2V3b+C-3UCYPQ8A1C4XQOa{s!UZ3b+D01!%MokMk>^F>L%g3iyEEaX7<C
zUh-G7-Ji)AJNq9{gwIJs?g6A`3_yF>8lA<ZNeKyqs4;8+_V|ZwlD16}yCz!dKnTrX
zs~U>u&afsYODj0>p6hV%NNYz}WdrM(?8V@?+;n^f2X#7EV4VtJQW<GHlFYf*slf5#
zJ0b)9?J|OFmV?n^mj?<FB*`mXY;zAFrioGvKzmq|Zd(J+b7>(XBn+Z?Xt~%xA6Q3?
z0eDuOKFvIURjo5@m9Ly6zHQG`8QJLw%ZQ1-ZmxhU;0m|`uE5nQz{p%z(rnF7mR~^<
z;K^c}djP2!1JE9}MrUzpX(J>IqQ<ZRSO^j=N!untmrTooCsJGQonbAiZCi3k16*;W
z2W7<B5tcy$LEKycS77xDJY9MQ&z3$wAK&#Vz)0OL4-_Iul2^Le<{m(5#sIX3t<hOr
zhk{|6dcp<-^@^%TGAQQe3b+F2RUo<9VEz{r?MHb!4?oRk=4w8l2%<Br$@!K1q9Y(m
zzwDkJZe0*WPuR!?X0e{G0zVb1<k|9Cn^#YI!&+?9^zz+#p^onH5R#s3PlTE_SP6ew
zUa#fFsJTCq*R8y!Z^`Qi@=}O=zLi(nkb3|z?d1_?TUe8Bnq=7XDQHqcqNy~5RY)cH
zgEr%vInu&NvLRffGaNFgb`qR>_pyM^@zNJzC!V2<on&T`{rBX>@;Wr+9yFUKr%M2!
zJ*-JLeQ0tKIwL8ufK6jqoz>E69Sm-B3hZ^BYj(dYa5n|?Y@DB-6e1Cld22gI=AM>;
zC}&&PDkT9?=F*8&5Itcd8<=4Mo5nd#kQ!D6$~|DLSpc9tY>m$1B6LRDVgZ}Ru&OHh
zxVZvLRABK}^>3D_nvcm9a0R*)(A6*&5RC3x1te=~sUe4=f7Lp}R{02satd0=2%;x!
zWCJrSV3X((5sa2ZGTGO#+K_wDuVw*&_OLZNi;K`1Nr?q)8pEop=;P)JxB{-gH7KxI
ze3Fa5eQEIub`MZj!};<+AwsU@L0oJLp4u2l0Ls}G)}|B=a9&<TMWS**W>Iaz&b(x3
zH4RUKpXVa<1-n?lW*(Y-4+wZSSKxvapwYSP&#lq4&bJ~3FmsNyFp_LhTq{+ov$V-p
z(mPaCIBcC^t4ErED3=;~X-E(~VIv!uVF8=Q8bgp8HcEDrc|cgT07QG(DqoT7Z?p8<
z5IXN?{eaJnVO3T1Ig{a5wvmS0;x5xxI#FHb!SJkH0au``z)B}7T@7Oa!ALJw0qL4r
zYRIAJU$xG#RXzfuoPriIg6Ihw*}x17*fiD{g4D38W$po^X%a2~&>q&L+vY#dMd-ZJ
zifZ)3fuGw#daEno3LF%`q%zVlQM+BY0$49c8jmEK=jy33ghk7PxGonw^TBp4P^vSm
zNy*YmK*Sn|v7;l^LPnfDVIv#JU;&$$Hen|s7&{toKe=ew*s$blR1;FzkZjgkQDNwA
zu7E4x3b+EU!1)yDsWD`fu{_YyqTp#srZa4nl7J{zI9l2Wq9<%*12ZgObB~IuaMcQo
zH46Z=hpo|BT!hX@TP$GH7*<t9A2(OP6<D(ZH%lM<X6Xa;@m;Y3x*Em;f+0p$I1#*J
zSwq3IL)zQUur`^r5)kDSyrP0O2b(XG2q3Sh4pR9iS73z-FvgtC)C6xfMT+mV;U@E-
zr)@$0>dt_)hqVbmHn=v2-+F>#d9{WOz+wX28RM;e!~}SvVD16Lw9N-yBR09Vjo(-)
z=#OH7GT@Eb24D|DS<ha4El7Qm;Vt@!We+_pcy>r*`*wF&gG`#_r@}1|+2bRT!r_6E
z@_GJAlX<q04IJk(8XXwl@<kafYBb%0;qD$M9g=CsK8evYEAk4SlL*<aoG$5XR}Y(U
z96MMB^`1Bw&W!8ek=BlEl?|+Ju7E3WMg<tLvvZr^&CY4@<qf$<U{zxv+QU})3@)8W
zg@i%W7&ZWVTv26uC?dN!61yf1IuJrL*qW>}Y?aez^r}P-xI6_mi%-cP^RoCu<O6gC
zY6>s_jWvcK3Gif%&pm+Di~(p5TcfkMw2%=J22o?!0IXM33>g|F1N4H8FE}?(%@uG3
zdKK_zruQm#`FKW(?F%LWe&32p!ZCQ-!vZ~TL7L{d)?87&FPSB3&!>q?PgVO`5PprS
zDw6|T0Vsg8ZKQ>fWX`op1!(lBu|8a+(deumM=8dz?R8oqf+TsRYkckjq-G32d)OMC
z#kH7<>S=ywM?J3-wQb2-=!}Z$nX(H}M<K_Ei@s;H*MnnkZ;KnuxePiQJ&fat>iF~M
zIG4p2E-Re}%jVl}Zl|IL<G(cG<G-q`J2x6Ve4U*B&G+7T=fkH>*C6`G!04hYX}0Dk
z7c>M(fMaCZkb3~B83WKBwnn$-`tQFr@n`?eo9Vx2Ura@%10kfNhu6vtDko~&l37&S
zHkR%7$Zh_Og8YXABCw9IU_Ez5^#WrUXdI8v*NmIt+}aet|B=S6rT|XC%d*iKvB<N(
z302;(=T=i7;NKlx(quKdINWLz<QaMY+BCiM{u8XF4`2*Vd!1H@AW2^7Vw-yaF-??W
z0NTTvblY!{{G+%h;ZFtk@jFYcsFrk%>L2{dH2u^6X_^9*jdk>zuTde72eI%=BF_&W
z=<Iut5ucM(Dk_(%dI0wIhbv_&g;I(pxkj~HbxiEFH$IQ0)acUo(u95aewg^;Dk?cj
zj3b2^CE!C`(g{1bIDqd3%WDh4d)5BI|NQMK{E5K+K<WY%ql7NtQg->s*l!FQfW^q7
zWdjRnDUQ9^kV65hT4&fQ-+TY?$;8ip`N{OZ{BLih@*k8Dl8&&9m{9f7>_7i}n!d)D
z>A$_S%!cRl-b7EP_vB0P<|Td+2Fmru?g!xC+fPOVnTJs==pP-@HYw&bx5eKOo(|Xd
zmZiYv?_An7D$$prG3MTY55=m4(b7CUZ@K=K5H0r_Rh}j?tXfBDQ{@6+Tdm&w@|)9t
z_+P!5%6~W@0_zCNplv=<0I$e4sHlFpq5+?AsM{aNm-<B*s_WZ0y&g=dZ%;fC#@lcb
z@5d1U-_OHO4--M0nbB(6C(@eykWGFR2lS0xgq@mwB||5GP~LqgFP2vv*R#V<ZgKX6
zje>wotf#BMalnGSG?jt}&8EG2(i_&Kn_j+)A2YjS{X*Uua2=^0266Uedm_}dL5S=T
zi?`y;pKU0<ydn1p%(j>E2fDtnHXpuA^C{>9C55CX>}$cF{jOUa?~xWpk`3V+o#Bu{
zwUgl7yN?BQ#>vod5(`RC`+Oo$4;W38(<K1V9@eDWPUBBxP5QRno<I}h<IzY7o#7D+
z*ffS!LD1)-Os;&AB((Bx{$n1J`<`WwIo5N<x_RTtM88506kgB9<lNRdCpo8O2w6kH
z(=yV|uvJO|;-CEmPw)NjGE-qkN2*h+ID5iIK|lrz*d%&H1fxac0V1YhwITQHkoGp9
zxjn2+hSnd;eF;C<$am`fe{}MLgV2nm!~!;rVO3T1xgg{J@eNt^{&IT$0>{wJQs4={
zG0~F02n)HYAEv*QGwda#By0|LbNJ|=L;>Eaz$9<@Ubz_x$jK-FcMVwBZhbSS!0$hK
zW4ig(w;s5ndV%m*N%J*7SyKg}<w0yHc)(UOoqOBD*61es$A4ccsvk_#SO0`|=c0~O
zlM<4iuyJHDcEE?2Hen|s7&{sd5HSs_4Y_BBw6_7x?O|;)wEp;CnWn$|zrQUN)$g68
zKxjr%VgZ}Ru&OHhT#)fUmwVIyN)md3XE?c83OvDg1uwEt-X;~5i0~3~0l6BM0=#Wx
zk~d7DOSH{W2F3eXTc__hFuBO;cC5hf%Rk4udE-|exS}G2#4*X&{A5iPgq8=fq2K|V
zWh9Nd2)Bi`=(a<re=IBCKl=+w;gYUVk*FMydsLgSGcOrhO_R%0Tdu$SW2vZK{M&D&
zT!g;+b2H#`V^}?y=yPfIS0c!Z{SUxP%WY^rq7%L^C$`kZ@MM_9T`GF-;oP5FZ|o|n
ze|Qh3E9lCH<>->K9eqLsh{KrJx2GSL@FOdnkw>k|{`eqD{gEj0T>k3E@(+_A#&k8r
z+5R{c6%wh3WregPPVfKyY5FIB@!s^_|3Oa}J+$`Iq{OM54cSHr$Y22*d_x;Z1f^l)
zKyES*2&)!=Xb)TEoBrV2PvjcaB-g0El~!j==!~?*0yd3dRS@(!lSwW^O)hs%^nZ#N
ze-)&ndK1U)LTq-Tx?_%EtIHF7S@3<K?XGWT6bKVb%S&iY@^W(pT!FFzvlCSrUiF45
z;+}xrfOR#*N}8|v$(kw%bPDp)#kSx<bIm%#*64P#Q#l1qN=SObMz(1?2cD!&7*Rwp
zb`oV|U&Crc?m<7(ME(Fkav038Cfzpwc`ia{u!{w3=AoKY0zscM+32fC&(D;Hhjj&3
zr~syck%o!d?Yb4fdO6Z~B-uPyPmLig*-BdQ%m>@GK&j5KCM8QN0Z}eBw2%=*PuR!?
zW@H)go)i!f!Dva8k$nvt8<uj7YBq%pArB-a7O<IxrrD~h6YugyUpHHUz0NksTU`NH
zz!h)>)}w%~hV$itLL{qL9_V6Q@U$eaoNZyNlmtYXOG_I;^n{IUu}BCA(O6>$Qp2ib
zxd)6j3jnl-t<hOrgw9A?EMU_ZR#nyB=<MbSxB@Fw;AZJ#-Yk89KE5kfKv%<9KrqD6
zDj-=?OAR>`{j1g)w#r99lvB_`Mi4z=BO91u0h>gRh+woNlF7b?)rQ=Iel-gKw1=(H
zSzLt9NJ=bV(->A&MIU78R#!kCHc$sSLKMK)%dJBJ23DsX`y>`50e&=>;Za$s2fMZf
z`Kvnv(jL|({Mg{y9A+j#vAkNt24Eq`&KPg)BPPI)gvzA@pkkX3x<*`Kljd)%6m$v~
zAcLzh+W_oAsA>90_HW_D4Xe1xbc5FNvkglPIaE*;lYeR58P+Deu~IlBB_uszqab$d
zhi#?N^!S!9%4kue=^hMs_c-a0Ogr{TjGoz~U+|nn$adv)NoTuy*o@=Y!7`}4296^=
z%uIb@86hw-H&?(FII{wb`1U-Z5J8f>(m5vg08%pspgnAj&f?OER7e;^jbQ_@nCzmR
zVMa&j&aiQ`v+q8m&r0JBm#e^L@u~S^UKW3de1NV%O#ud=vBnT20iLY!xd)J%F#zph
zYjhTuS5&EbUQwlb_?|1^3b+DyQD7m@OrIY*F0#5kssfB??WGL?e&32p!ZCQ-!vZ~T
zL7L{d)?88HvC4_s^J$_`s@m6r@QSJ`lLK4<D1ftVq=k`W&b3MfX!NMDK3t^H=&T+`
zDaNqvby^{UBzdK4eC`3HW(+`k*czS1wU~<PX}n*8kWTaA1#rF!Qm&?o3W4Xjp6LM*
zSVvd}?da79oE`SM1KR>U`~Rf3WsrBm(4Bf2otnSN28TDf0<M57aI63w?~M5T&=b{e
zz>J~lN}8?t$?_{m0z6r4a}OXjV*uL2*61v*0TtEmABH4p+hfOgGc60AT3(R9GptF;
z(wZF70C*hf;ed#<BP@gD%oWuOjA5WL@^^CuT!9t^FsY0*9!ch0>r?>i(nx!pf8WU%
zvRxi1M35w}bg|7nfS4vqF#zphO}g#Bgq`O)6byY~1A-nO)Q%b>cGZ6Zj=tA$jp}i}
zw2*i07S^7CkKYw=1zZ7FAXR{|J710@7c>M(fG4Fz?g6A`3_yF>8lA;8prYE<RY}_R
z*fCyoN-C;?r=Hh|+V!wi%H)s+z~e{{2Sl75*)m8lvS|w2t#4cbS75aYEdC!IF8+q_
z)gF2u_`MZiFxcy~LIg?jN*CMQ14zvnfcCI8I*aR2FicZV*nptBS_+>|(zeGAc&t+h
zJE%_z9(ZfkQQFj~w(SpEQ8kUgL83r#6&e-bMx4W<0?7}#`SYFj_|v)UX+ASY=Gpg8
zq@^?LEEgKSl4+0dp)~zHJKVY;h@P;K4a{OaT?KwBRLQgDwKlJw^oF(A<bRO-?z~V(
z_jm|NPqrsQS<haKPb1u5#lzzn#cr`l8gdUHroA*D`IxZp!<uyRSE9McM}lj6=PaJW
zD5j~rmK|-lMN<}5A!t39;hQ<q!bq|qT%$7_GN^VEoO}1NfX-P-S)SVknK$GfFq$T(
zO8}rftVuV0XmSxcW7-i5*ffUKSq**MTme_$j0))47z+r-V6wuA;1$an3Z5O(-gbty
z$)uHlD5szksUUj7Mm8|R0yd3voFFx<N|t-TShD~?d)OMC#YO0hw8a88jbT+)^l@_q
zmZ-quuj=0{Q8gcvE8q%rDWI!iEFc)&wF*eq)KWtZMgOXGhOP1u5akrKkP$>r*vJNE
zSimOHBO(|riDa^`VYMOmpkK`b0PSIGbQTw(Gm;Vu*ffS!Rnf=I6>tSyfoo7;v-l(z
zfBVwn73?0Mu7>mFfkK2_%Y(Ss7Cf~vkN}jkEv!u`9N@gXii$+#fXt%Wgq?ZG&}!N{
z(mKyY=nHnSfXzHK`yLSRZmz%uDL|uh*`HgZX`OFH3Sj0OX<;PUqPSM7RA*_Et)zFT
zsBqXi!&Z+p0Z}eB^wN+ZdcsCFFv9{ijWvcKHEfjZCi8%>Y5|D$uvNZ!t_`8{e%258
z+!$6>MV~VnZtfas_`eokrmb|My3B*&S-AqPKv{v+O;o!9>uMMa2u6Cb3P{(~QbP_!
z|EhI{t@05N<rK7#5kybe$Tm$3@_ScQd5yKDH8)by1OOUK8<Pu-$bX)T09k28^%llH
z(4G(Eaw=Eg!WF=zGSV<nxm~vcShz<Tk0hJt>Zvh=B`dWGp7~(A7AVyj)}&-<B_LuA
z#Msf1-oK&(U3Y1tVdFq9>KYXam;hCh`fOi&!tYj5U|+dbP~PkcxB{+#E3g^`I!l{a
z9_UJXs9fUO+LU@{*eWLhvFwWKF4w3esesI)wuGH>nt(LfJQtz=ex(oiJP*yj2L!zK
z-tG9-6>tSsr@+n9N4#130DXK{tUzaJldYs)QBAumGl??th03l`6+A89y8uvQY16`I
za1r|7ucB%ifrAb@yB1XiFh#j_D8Rrb^U?e)FGvFX=x5qZ<^jOAAQ!C}koK@P;l~Eo
z<}e`&isdyMmI|}(;kT#R^<)Z{ASAD2p-LV=Oxt{5k2nu&(oNGh^Vv(!xN^ux22tbj
z3BVqNnkGD^@D|Sbrx7YdP<T4xYkBFD3=1A<w(afiur{CkRJaAAn8JiRXE*zlHI*V%
zlS<gYd@iHWfiWE{%4kue=^hMs_c-ZrG(Xo)V)TrsJz-~iFmn%@EW<DB&af8U#4?1u
zDvski%uIb@88I=dxw!(az?l_b#BZ!I1WAA=b4>05q-G32d)OM?mg`poU~zgP>?9MZ
z>@%E=VFR#Wi<YEqlc3A|KnTs-q2WW#I>XlJd`7P-<bVrQV6*s~{4p<!KSVx2SD>Z<
z17Md23K1m9YpE606fn5?LPi=Hh{kLKuwGFyWN4HOP&Ze=6*!v$e74AI3rqtB?9WUe
zAmW8lF!E_%FbVMFs!8qv#54)V0JMiS>9&>BJeSs3Lc$=Lhn6qMqYo@e+a>{gzO*AW
zgRN@s3!*cu$@#UkmXqAxSD;Dtg=K+nRmHoTD{v_a;CvWqVI-Mztx^FRJ?f@~<bFX%
zp9gKDS))?2xH7WSl{BjYlI2&B1bDK>=N>?6#sIX3t<hOrTG|K+gQziV0Cwaxs;8N{
zh)}geZF}rhE&!548sLfJdZz5+><G&sLEkgl>%p<NH;N7BTm~JD9tOTCZmxhU;0laX
zfJTym>v1M3MkrlL<JwB_W^1bW@`l_au&OZ-?P05Y2A38LLc$<w3>$zQSyAag2+U1~
z7u8R87y`N%j}#hAV`-hQs1C{qtRpN~w|((`CVMeBE;k*Y!9ktQ6<DVNm{dj@k0f)h
zbt-VY_>RcnasGWLW2lWah9C*>WU<XXfS4vqF#zphO}g!q9p|~U_z)5X(LA(VY@iP;
zN!um?Jga%G;TqNBd}$%?+AXX-0Uy6B;0m|`u0W~)W7jSZ6e38HS6W)+9zbfw0JMj#
z(OFzt+6W1Qs4;8+_P9*GZ$+g8Auxlj$vVSUIm>0^p!=vs|5mML;{aE{6&S0);{Va%
z;%^8adpw+NO$smu+gM`=k^oQE_}l|X%@~08ur)f1OA8qxVGuQj4ZwOu#gL&<GC<wh
z6bNlFN9|5p*P%lJ{5&GylbO1F5)10I;c4apmu;U&b7xqS@GBWQ0Z~ff+2Pg&LG*-;
zY+x4a=_>G3p-P@DueEvgq&KX^Hcc<zofqop9uFbu$@WC3-D3)~M=aiAXxRe`#g{ka
z9)a2Ra{qM1_OLeJBtI4Ax%3f>LbAcSKM&RCHYSit@CR+iH*=(gkz_--MrSx=Q0*i*
z_wHi>owGlCmamQpGH=K|U^GommjFO}Sd(u0(BvX?#<U|AuxSjdvl{xixdN`h85Pj8
zF%}Sv!DNLK!7G+E6g)emz3mKZlSwN9QBFZ8QbF{Djcj0s1#BAUI6-Pyl`Qvwv1S2)
z_OLZNi;K`1X^RDH8pEop=;P)JEKz~QU)8@^qG~=SSHKnMQb1S3SU@nkYZZ{JsilS-
zivCsW3|r+RAj&CdAtQ*Mu#pYSuz*dXM?^4M63Jv=!)inBLBE;>0NTUW=qxTmXCx&S
zuxSjds-lmZE8q&a0@t9xX7Ncb{`RHCE7(0iT@B~U1BD2=mIraMEqH2UAOR?6TUeV?
zIKX*%6%~of0hvX$2|M$Wq1Ci^q;;N)&=>4t0h@Vf_B|lr-CThSQh-M1vOl**(>mXZ
z6u`_m(!xlxMRBcEsm{_STS@OwQQ@$4hOHiH0-{`M=%pb+^n{IUV1@;38fy$eYS<{*
zP38e%)dCRhVXJ)eTpL2?{j4AGxiPG&iauvD+{!l6aHH5|+Da#?%RCsKl`G&1loeR%
zM5U`?EFc)^#VR0OQ%emw6#c8#8MewtK$KI^LPiihVIv!uVF8=Q8bgp8R<+DMU^Gp_
z1pwN^nsnRz=eY=-S6WfMWhdU<`n<b`cW?!+Rsl>ZBMlR^+jT2|^>U=~NV0jZo*F|~
zv^<FGa=|knY}W#%I>VZjEUg4YtbrIiI#MlU#Mu)zvVja1u!(6Cb|QkYqw)5Wi-wI2
zevJw;xw!(afGgk%xB{1{Ku?V!3dHh2ON)Z1MX1iORZ0S)T;XVGBZ!``kqykSfXzKB
zs=`$(FxD&p&>pr%XK@iaBW<yOO=DP96@A=X0aswn3fwGx@SCL%(8qVh3g~JW3kZf7
zS>Z(Rie(K2&kkvCJHy&!(n>&-Q}Buk+8k`YOd^21qB=<BpIm_zD!>?XHd7P4*%T?h
z(}tVOgPyhp`Kvnv(jL|({Mg{y9DeHwisjWBHUNtWaA%CS_7M}{iGsNY5YsjvbdA{L
z+BSY;rJz5G1<HUoW*dM#2sKR~$^I>z*|Qg4i&LLuxP^!#c}yYt7Ci8p_I7tzlWvlq
z3b#NMQ<(G-i-PFMHnM^FTt=e<<6FKcqeYFTdobMH<Io}CV>0d7Co$kzkyr2lXBmE3
zcZRj-CYC{IF`lJxn3?**GGc;F4D4=o1wtL-2v^_^3NZR)=QhEcO(o*X8*-1ps>VRH
zhpqA%Tsn~o34^FHYykGSqRRA8M0Rl`c1;>|AcSVHHCboaD(Az_=+*EJa0Skxz~&r5
zyJGrdUb^JEH~4sNzc<<C5(KT*FE__AnemopyKSs7qywQ-vc~5gKx)PSw1=(HSzKDk
z2nmCzF>C<#_^eCPwn<`Fuc)Aen=9Z7xB{1_fIl<+@{Z|!Ghz_f<$*#3N%FdPMJ3@F
zJndnDp0^-P^IU7LsNR>%It#gZnWW`wdHFReQzCD41)>6&R7P4DN#<OuRDed08tcOY
z+vxM4ZMf71YezkTQnI)*1~gxeB+IWL3Gif%&pm+Di~(p5TcfkM7E@6@#RaeQs#QI&
z6SZy0TIh_5>Y1_&QF4&AAxq)(3(_=%2LQbu%(=ZSZZPLE=xFpX9$}&yJ!I!|1zdrJ
zD?p>i_Xrb}EbokAw=b9kc(T~$9zbfw0JMj#(OFyrDk=>0ByD@_fM>-=!2_ISs8<rT
z>tQXriKR8Efdb%hq=y3{&W^AQlAT8QbQXItI4VEF={I=DPUi{?QQ!`zGA5Og#v{p`
zYn=*UT^eb)n(^?)2w|_&3K1m9D_v}J4<M$AQVc+QSd(sh-DjTbP%!j`4G0<!D#_=L
z8Y6a1u1H<OH7ZFsN(K$?Xrt%nI}T&M8_hShwuDxo<6Hq(z!h)>R-i!Wt1%=aLRLv>
z@w=G^j+!w5^HorC9i_&#ZJ+0Q6HENQJY`_#p-I>PY%CAZlB8{u#I8w2rKKIA8Ej3~
z8Mew<PI4=dCM6^tVHq*ecbdX>>l;_V6<DnTi~mQ5i@6~jbLpau7CpL)92_GnT}fl{
zLGWg4s`&DT+#|56F%a!xt9%BR7BWJ@AZiR7fQ=OuK4*Fac#D!18*(UMRqG5}<$KVI
zN}?Z2Rvpas0zxc8uUCS`%()R)fi3=fiFs*^B|qfm&v)8KJDm`p=2K*KT%QP{Gpxz^
zl}vjCL}~hacDQvx5Itcd8<@p<x(fVMsFG*PYi(XV=?!bKP1DPF=Y=}D$3sYZvON)M
z+Tirb9<g|fp~a^WDnxvFL+%lnZ7-+Zur}W$KNaRv&<9EiiKg;ecC_IZ^jWqFLF>6}
z+}yFtAe<|a*|?)KoDK9a4LJAiV*#CuwI-t_nVDq&bkct>^PpG7<a7yuw1>3`KQy@L
zFo_8&fT%I7&T8o6<_fq1XH-DX##lfw29r!r3Mn<@Q1q{wa<+x7@(~baE}dEh(GxbZ
zff*LCX`JH(sbN*i+ylm%1pwN^*61uQLT98c7O-gytE!^U2t(XO+sccZMQi&&gImm1
z{ki;Z<{I4Z3anm%#m|^norjpNhOvNP&}tQstf{4j9E$!`>kM1vBOuBtXdxqrp0JS(
z%&>q>qDMq9S`x`*U&Crc?m@qr1pwN^*61uQLT4l;7O-gytE!@pn=7zH1%}O-50xA}
z6tVL@lmeTFA|A%O_}iC;Wx5z5T@B~U1BJ+-wLFN6ZNXE^jRc^aZDDOn;Q;65Ra7J@
z2V@r2ChW{hhE~(wk=A)GLSL|p1#IS_+4q2eA7zNUXhZsLm#zSfPH=y2jiz<J6)7-!
z>If^!y>F;1RjRYJ$yU<$GEb9ionfn-1VpjaAiXpsh@P;K4a~5BO=FEANDUhWy2(5s
ztXcq~J#3Y4o@+zsyr1<0J~xI{Rng~6hMT)a8vX~rmuV}Vs4nwhcvh}}D^ONor4yB|
zhOvNPq!+7zbWJTa<WTglT4&fQ9|2KLK?@l{^n{IUV1@;38fy$eYFO1W_khtf2^Rop
z4{OqG^PlG;bY5vi^_HD@ckA=+9^SzfxCjO44q0GQ8EKfP=WTSf`AFV!J_WE|jx-)g
zHqX^lV+f0u2XS34c;<ucTA);CSd)^am4Jvf5MxJ2s)dX=d%{LGkih~rF>S(5L@;(V
z-u`sgu(83fQ9-6A+e_GlJqrlO)Xf!e1zZ7Fz!f-Fpr^)=ez82z(!&4hH%$yd6J=yS
ztEdVd^s89_puLc*(OF!C&L|Vb0yd3d^*e+<ZmxhUux15rmOl8+Vg~3x{T6d57BdiC
z4d*YoC`1OG)D37Tcv^B(&bF{sN&=$HrKOD^dcsCFFv9{ijWvcKHLOaOd%#$;06=@#
z8lA;O=!~?*0yd3dRaNwHa|M>D0M5Qe8x0#BgGr|y`y>`50Ul>No~E131AuKo{_4(v
zw1>3`KQ_2FhnY!GEU(tE0aysKGsau{hzal`p>pW}sMzL%t`S$*r1={w1)ahL$lz+s
zHUN7NYMMTh{aZNapGK$<L1hm;EO@|X+S}b>O*;8v8!1e<b9S>&SyO4qHbU&!58Fzk
z>G3UJl+mI_(>)mO?s3u~nRe`x7(GuX{U@2{guk#Wr%O89)x#E84lb5K<u&krq;DQ(
zroOO@02rB@E8q&8Spi0Td!A5;AW2^79FuzhsTl*%9=1kjap^=VBn+a)umRY8d4Z2<
z+BON`r!#uV16<8I7m^y?{EU92jF5DMWyFLaqwI=)`i+vzc`6EQD(&ZQ_Q$-O{}}iP
zG6h2Bxr>AD(&<tA953~E3(VVY+pS$5C`3Atywb%s_W)8e2B1A`jn3lI(nd%aM2%qs
zu*WiY)EKd={^<gJbs&VDtp^`!))}@&w}y(Unu7yefnEiUPvzdekAla%<o0L^@PJ7E
z%=7^wUKqvpd(~KDNa}?2lQll~0AiYiV*uL2nsiezxwMcG6w9kMYykFn8cx!-#~!=h
zx1xGqh&sa}^sId?Ewg`hI8sJn9bsAETUGHs!u%T52o;^<z6#(ZA8BDEnRBgD0UAAO
zOw<o-qtAo3;Zhr{9re&k@pf^Q?a@k#0wSpblI2$#NnYt<`@5M3j+!w5c2%MfK&v*-
z^=3>;I2b(h&?MUc?5?8P9SUsAh)lBBHEDPn2SR9WKD?b_!EM@n3eQv-A?OIph=;ys
zwAX`UZ~s5OgE^N$N27<){7oJm*!f%mSKwk5pwXE<&P2r+wyvbvnx8Dcf+WC`#Wwc<
zQZojiJ#3B6;#%`HD#)LxZA(^_i3O1y(ts}Us2>i9I6J~JNX}eQy}%d-8Y6!<SHKl$
zQ2>+5NaK-Y&b3Yjc9%28jUCrF9^M!s>~&fpf+TsRi*4=!#57Tg0ca0v(rvG*&2t?J
zhQ6=?L5~k=M~xA?UfngS$NADi-nCm;djdXwSHKl;1zdqt0mklpIg(t^5F`Pfloq)M
zkeV?7?O|(l7T01bDjf(R9f;UuiQ2YgO?=5A4d`+S*QlCOcaSUK3RD$X{69Ke{0-q%
zi5+mU3NSLW*J*_alH}zTm1tl~29;u+LfF~XPq_z8HS>z9rjWP00<OT30?DQE`Cm}9
z?{YeqJ<VsgBl7acPsH6BwoJ75ekIc$;d5#Fdv>^WK@dG*BO92-db$eyRH%|?%WG|3
zJ?RZ=u}#y<cjtvVy2nFEda^wcYWJAJ>=BE%7+QQ9;Rfp)AY!-JBn`O-5Yt}npN`lb
z)})&z`Kd6^wY_r|Phk|(R9?%DHr%2qNh)DO>$wcy%#jvGk`3V+o#Bu{wUgl7yQ|?`
z4CtH{kmb2uka<Jy0i$Vhx&#2)!<ux{hb9-HGg1``*ffUKS#941UEN#(SKu59=-C(x
z2*xO~!inG&%Nh!v9n#)*hPBD0m4GOxpcAPedcsCFFv9{ijdPqJHLOaOd%#$;06=@#
z8lA;O=!~?*0yd3dRaNwHa|M>Dz~Zm!-z-tJ3my}uW4F2jZ|oM=I`HZdSO@!R41sHT
z5Et8mXUkjJ=pr2J7Dk7Ro#1UT0;tTTg^VD2!bUbQ!vZ!jZNg4OFm{r$%f5!yhTMaG
zH46Z=hpo|BT!hX@N-SX07*<t9A2(OP6*!v$yIK8g;a3zG^YT4ybI<+{-+l47FFm{j
zj~zi*!};<+Au={C58`55@YGTu0Vro%SesHfz&TUlXdxqrp0IIbV1@;3V%mhAh+ym_
z%E-Qk)rQ=IewLAh3jnl-wdkhl9g~aD8A*u+Y#PI=s_5h93S5W+G&+y{xiy;B`BtRB
zfI=?GHY9rwvO^ETS|webQnC)pim9vNe0iV{(Ll?CxY!mvRjCA^oNZyNlmtYXOA8r6
z^n{IU`|6^r#*k1NHcEDrc`)Xx1t6l@gq=7r#!h74Lg1*{EZ2t67wlpIn^|ZYR#iox
zGZ}8~8fo|+{9dN5Zlb!(!{AxD0<M6iz)B}7T@B~gX@yAo$pVgsg2z%Rwkc;@*w}6M
zozPO|(n3ZMJz*o;G%?7#Y7BvESd}dIY<aDXlr#bDjirr6wQWQG^IQZB(iRKY%tJM)
z1cE+ivbP4ueLk4a>0E&eRshq$NW(<scHIhKy&P#gl5C!<r^XPLY$Yvt=7a58pj2mA
zlai&CfQU5^V@F4-g^W0R!bUcbfi2)YDIg+((W3G8lZ%Fp4cBmuN|YFV9zfemG<<p7
zTme_W6>tSENP(UjL(-1rftD5pPm4O8VXKq`M7hGz(nb(HVIv!uVF8<aR8)nlR$#1I
z0H8f=jn3jCbl$J@0iPSgs;avl=;`JPxB}}`;AZK=-Yk89KE5kfKv%<9KrqC}3MYbB
zENduuc1U~M8P+C~Rsy1&f>%_~=3w(>5&?9-ipsB19fY<&`9Dgw^*OX+u5jy6fPu|s
zYJxYLBE@&waFcn^)3zXgb!R}@!`g%&8(f>iZ#_Y=yjsHsU@-yijPce!Vgfu-F!umr
z+UA3<5u04w#&4_?^hdEk8Sutz1F#37rU{QJyoGcAX@m+9RPva@?IR9z57<n5yF08&
zH_1<hTOf)lOt^D)vrk!5DMHJSX9V-Pj7A5>w|r4XiyBS$V7R--Nrz<Gu}@<3%!<5%
z=OjY5i(l5AVKa_n2g{(e7|&8T%uIb@86m*t<_fq1XI6j_KRdSx-fSunU*3>=1XeW$
zqCISt&*0LDR7e;^jbQ_@#}!qkha$3zBe84JpaUT^gRRLr!&W(cMz2cbfXh>0v-p(!
zF)xchL_R=Qpr!x=&{$&#k^oQE_}l|X%@~08ur)f1OA8qxVGuQj4ZwOu#gL&<GC<v2
z0aw5kxC#aQndw(?n7fi>pxYNr0{p%em4svPw1)+H-hwpEbFI0edS5b2)Sgchm!7Kj
zwIKW&RaGVjxB^fBXWK{%Bgvd=l?u@4QDc3$NTbnNJ&sb0VcYApLIg?jO4s<@14zvn
zfcCI8I*V&D71h)H&W?IsCu-Z0wa^(A)iY%mqK-n25f^>WXs-vy-rguSm~$C)G<q11
zGf|Bmwez_GuE3HNpwWYSoQaAtY+XsSH9xtaAxHu|S!{C;AT?tE+QZi9EUx*As?M4q
zlC<ryqhF?F!ISV8b|8Oe*aFMJ#qu#ZqycSlq=y3{&Lk{Q%eEJThsVg@%@uG3S`@&f
zGSYY?nRBgE0jx_S?Q#BnCu7KVd7uzMlDyKzHunHxnkdBpw1+k6whsiF=Q<P&r(tc@
ztTm}I=Htc5CDthfBJ^GHH7caw<_fq1u7E4x3b+FE3NV`N@<1ViBzfJtq7n)QPkUIP
zZJXy>Ohu&wA*6yDtI0YGxhm&nUZbj}?EqK66=+gm@&D*>@i&Aw$?TwuRDhAyd^wUV
zzk(#dlQll~08%pspgnAj&f?NSMo1V$8WuYpmZYsD*`=u2Yg9TALQt?ZS!dWPXL3jb
z;4y_nnIvqL)B&!5E3gy=l83g;pYOCE@9A9j^e_?V)KE+969IIFH7UQ6`G<f==Ar#a
zpB-*p5JVCdUD_?_+Aq}6TGA}k^y*1E;(Ay+pO^2>3w3mlhma)M+N4?Q1_@>lRJ;W)
zdtjmX@`l_aFxy`4pN`lb*5;e!r@}m!K4MWwl58uai)hA)G19_FvLRffGaNFgb`qR>
z_pyM^agrhIlqSX<L>lu+CHH{DG&x-Y0PSH-y6Hoci_jTKi3Mz8SbTPK1zdp@D3H_`
z`J5BaY1*f9T6*58q7tsouvH<q<15dwn$&67DrpJ`*iG~(#{)!6!>VMtXNR=60nP1U
zZ8DpS(CjlhRB*dA1r~o*|K`#ZUwA&4j@?=mpcas0_6l^CHd-FUHU174^ANc+Z1r#v
z5akL-3mHKqVbP_-0<bY{!cIA!S5(l(%@uG3T!D*LV6*t_7JvKF;uY*3U{Ygf@sSly
zv?tCQ?od&It269A`plbDHsGA8a5O1FBw^8|!ve66TTzjO>kNxQ&f7cEG@k;YBg#av
zfK7zrvzse$WeU*feD~+pXj<o6kpfsjM_L$3R_3Cz`=PxnR0=C*Qe$ZGkrhr+)U4qS
z6%~#|XV~h&+l~(tR-S@-PQzA7Q$WCOtT6~X<#>RIY1k;)P3GAFE8Bqf_OKN;i)%yZ
zyr1<0KF6^5yl}&<Y$FZ-gWt=vHBMBQc_ch5SHKmRRbZtPRcC1v%Y(yWn|o#@I%?<)
zd(^Z1k>D;@I9kXEA_<Ew9TtF%H3ng)9B;0o%4;le*d|;7i1x5HAM&5)B6MD9MfDcO
zKG2>I<Z>!k;KCKaq%zVlQMp~W0$8|58jmEK=Spe}Ek3d`iS`Ux!yT?sAxdZ1`SD8&
z%ZubSQ{iY*f=I%mONRwu=gW~q89{2;*x=WwAfTHo;0m|`u7E3WnF=H|Mpgx+6@(}d
z%L8pFcv^(&3|plnAj;Q_G$}zOVbP_-0<ia}sPY=CDR^htI_G=}gw89yMpe(-5w3tM
z(4fFg1Lmxd%~_*+a96-mAgM95_{a(;+H+(LUQz8XlBGI`TDg|F$2u^vE#Sj^6_gy1
z*vEXI=OXm;ipo^a8(o2=E5I0FGBM20@`5D5kA98bWF7!)3-VWY2BbZ#P580FwK+_P
zf?|0c!eXb{7|8Y!6W~We<<bFAvCRi3Bc7j+F|xXSW2K-ghww1CG+XR+*hhlB1wMQB
z;%jm0lMJ^IabyoYEO-QF+uPIB8P?{zu~L|D=j;XtLo5lqb1iyHqeq8t`J#*#HJa|h
zaCeWB4#~8mCvkD^K+mk9;5mtq?aJwr&UW>%8OO1MWl(ty97meWOi8xK9QNm6H+!oq
z;0ipb0t|M0o=}J&NnYt3lY0QE83WKBwnk@h=|n0d3?dDSoeoRpI3<bGA%Q7E@HuNJ
zcz~-}<YWNrVQX{`Jfl~`JHQn<hXR{(1nr9Hk9p~m>)v2Y&~7aXVAXMJRDglA*J*_a
zlH{dz17nA<NmhM0YQ_Mxhpo|BTw2Ho34=((VyDBBB$Xs9obVeImNgVSJEXns3~Q4~
z>%;y=u7-DjE8q&a0vDlxKQsLz4&%@y7;JWVpb$Zlywdt9_W)v=gku2O!<uwcFuAml
z5fsbo5EeTf)>+z&sHonT;dO>J6Z%?Oy`nND@<vx6Du4-Uq=k`W&b3MfX!NMDK0L6E
zJ`dW4OKq@r)FUV*iz{P5P%Pj>Rs|%>uK)<BWR1@~fYgiuXb)SXv$!-VAz=_{SnPCI
zlD3i*B?D{L@HF!@1@8=7<xCD~06dQCnI<L9BrH(Nw%3D)x4kWHFy}JpX!J1fO>uJt
zT!Bkf0QaCjzR~EAk1$cm3d$I^uB35oC3urH6%aAPCTYk$fYgiuXb)SXv$(Wi5E2HF
zhQ&^YC21>3Q8KV*4FyjvI;x+}uqGu->wHC(D3gRWNf*)hV(=&!`MbFSu0V?dm{dj@
zk0f)hbt-@rbfi5_VaOP=T^=YzkR-2kjn6%Rm?law0PSH-y6ppj=DD<x5fTQGLb20m
zB)Fu;P?D^0ijzy$a3$BMMxO_bN62BWfGgk%xB{-g`4kAJHAYqiBo{PfpoGCDrA6)m
zq-G3&U6m*V(5lUI4XLQ0Lz4D<yxEFM2SUgOY)#e~w#u0t(g1io>W2d&&Lk{QZmxhU
za19D9{vRDK{)X^t_?CE%Wd#^+yF5^cAW2^7Vw-yasTl*%9=1kjaUBYVX-dP^SrbH3
zW7uOyzgVXbcF?!rfwyLzVQX{`{#QT0MpZoodjP&eZmz&^1?Hc<7!WI&nPmTTE_<4J
z(95<@q`5P!N%)madjv#j`g?Ym!~~IqMVEF<y3YU9q&?%QP`Pvsp<g{oM_dnUlfHa+
zUZ|scJcK04)+WtbH~7+Ik663~E_*zq`0|F_BQV=u?w^j>9@gfY<fp<smp)KZNRn(T
zq>E@Cy_AGSWOY1<(HS0dKf-66d-t(`&dJ1GnizKwLFSW6?g67|a=HWn+QXW3(}yM(
zp)-;a3)sZ4`0VBixB@FsAgM9(IVYaev`=M7MI~IFVXH!J$5)<VHL26ERnim?u$$;n
zjt7XChE>UO&kkvC1De~z+GI8tq1k72sNi;K3M~Gr{>`N+?)kU^SExW|X`|&qT;uO>
zF%K$thOHhL0-{{uXdxqrBrLjgSO7MrP1q^N^NI@ExVZwZfGcp(3Tzgi-QsUwTD*eY
z159cREk3fsiT1=<!yPIraCL^=N1u6<$_AV>6^<q)h$Jk!bXWlPaVsj4aGhZ>$a#B5
zn&wj=bVQjb7O;s>e0FmMu1o<Mo$vnK8cpkbD^dU}=tv7A$ri=6Ql*j_LyM2BaH74|
zS;HMFDo}NX-AA8)BQ#;c%2QB}v?gKErNaWSvBn_ml;Z&+reULGH<@P#tZW0?+rw7a
zEUpcq^M2M3_#DIH^TG|cvW+zS4}LGxRyt8#=E3l+Tme_0tiVbqs-(uq*ZjDq(mv@}
z9vl|i+*8&k^>&6$I~Vo{zH)`5NeLnei!L1&fKBu$#{)!6!>T~JXNNSl!WGcm9@Zd}
zCgeZQMd-ZJis~(leV{!b$mLY7z=bP-NoAyAqH?=#1+ZR@G#*Jd&y~~|`I?_Bzrv#B
zL2M{^=7a585T!G$Ny*YmK$I&SO-c|+Saj*I0BlT~uv3n=pIkI-l+3SDK{Gd3z!h)>
zTme_$G8IT_jI0VsD+o~_mIvBU@U#fk8MaDEK$I&SO-c|+Saj*I0PH;~s=`&Pmgt>f
z>y+~;5IRFdv4Bm4;<KA8;0j!W0yj%fa<lXS`uMI`fuzRJ;v*}ZXfILL;1$(0F~muf
zk^NlD+=G5K3joB@hOkpE906&vc`ibKuc(?-bdW2sR0S9VOXj2bSzeF?_|c?tlX(EJ
zEy!Qp8Ibm{HsQwx*XA%G3X0`*2#cL&V<6i{On@H=l}iUe#Wo+DjCg)N#>ndSjg^9~
z9Kyrk(rmHQVIK+h7WnMhi?7A0Pcqy>#F0Jpu;3AxZEsIgXIPu>#!6wrowJ+$Dw>3~
zNf*&dqep>n`J#*#HJa|haCeWB4#~8mCvkD^K+mk9;5mtq?aJwr&UW>%8OO1MWl(ty
z97meWOi8xK9RArAa0QmD0ApzOJfRRllDyJ6CiehRGX|hNY>m$1(uq_^7(^NtI~|tH
zaY_=WLjqHT;B(ec@Bmk{$jJcK!`A40Mz1R5fD2S$v-q6+F)xchL_R=Qpr!x=V6W2(
z5hTe=>juUSVUw)-aMX+eXb)SXv$(X75fTQGhQ&^YB}pntRyg4|C@gCzcy>s8+Zom-
zlUDzYT$RWHu7E4x3RD&FXQo#rx;g+OW4k<1h#*N`Y5kOY05MI%F#zphO}Z(VTw2Ho
zisf|(i=7VZENw<qRPW31I>VX?eJ!nCQJE5Xqbm><zyvkY!bmdbTBQOsdem4SF4Aap
zR*$0;W7zGb4FR64@wo?(nlS+FVQX|2*J3KFr+JN4&+9~OTe229qoR7I>_U_r<R;>?
zf?f}fzP&AOFy}JpX!I~1XQCQCYUgtWT!AGkK%)ouI1?3P*t(KtYkqP;Ly!b`ve@Px
zKx)PSw1=(HSzL>$sB|ENbeaw?<WJPLB?GT%EUn2Q4S>g^emEfFOu_=SY<n?yc-(Y+
z1_yOIS74nAU{V=rJd(`0?y10;=0dDXBMtvJ@qtZ3Fhbbtv_b?)@=6!m+yjVdq7(zr
z9@eDWJ`iZ0>rgP9hP7FfR+7D>#+Z*6Czn{KpzF5pm0Y75eI7tQx9e8m5$3`R5tuF{
z4^P7tSf>JvVcX?_LIg?jN=u8}14zvnfcCI8I*V&aMTG&}x1!R4P-Me<)jGpg`7ZMs
zRW<De0&ryAT!E!2u=sy;IOc|M|LM21FWyCrhml2lX+wY~Ykckjq-G32d)OMC#ifOe
zkT8feEOt69Nn1&>OHs4esB|ENpv}u^XV_{&UQtyga)2waW(DS-y%>;IGG)pB>0I_S
z^Prb)pGb3OSd;K8nf3^X()9Q2aO;8~lCbE~W-PFz>-@w>d&X0ta_RO!>+RK(-mn(i
zG`)OxUZ|scJcK04)+S9`<rr-6rO6(#cne(ict-K%4Y@~Pw!Q2QYx7O=Q(-;@eW0X}
zB-vI-7txGw=12=8$%<T5^v*j+XE-0}L0WL`-PLgF1$0g(?$X4#g9tL8%W@AGO_S3l
z0MH)Rq?<l8xd@$+lvuzfhQ(($SHKlmfdWa5k<U5toThy$Ln<oa>I_>Iay!2A468|<
zhOLsOfPmdZk8(Uf#5Al*mV0(cdmGT)9@Zwaxd_cZqeBI^B`LrI1~cZW{(ynZQQXWm
zbouwEZ&x1&y8@O1WHox*u>!JCca}C<9>g{N4j1z<g3hqVgD!q1c*_-z7BYfJ!lFxu
z1z=;^gq?CcK*ThxN|t+eNP8R5+#c2@v$+V(UQs~>H&?(Fa0P4yHg@v@S{Hx&(gHLZ
zI0P21q{hhC{A4K?xRwX8q2Q^Fkov>glu`tMbEd-4qy&*<i!QAuAp)>5ZNd(U4`ol3
zDK_L#kXS|%E&$LT)}ouHcT6rqXCx&Su!&*u+07L=n*#VEKEBcDko$9MG_CX9MFC95
zXE3Z2BQ1<1TNKwyl}c)ie9ez*D($sS>IU?^Lq&yNong_%G(u~+!qKDzk%UE;4hz60
zdX(esXMYVFCA-NyO~E_E);VWW*bqAJXZ?WB5sJ?XH{8lL((pg{y-Zu_M0J@5!?SV)
z7Ow!GXM`)9s7RDbYK(l%k83KRuvi`(7TesjL)zQUur`^r5)kDIN0SmnPuR!?W>~-`
z(IX-lEr|}YuVJ+z_n@C?5-tGH9@eDW=0DFx=!~Sq0ygtdO)7z)&zbD4!Ev7t=5sn%
z;DQyvG%(UIQM)Zdf&GH;_+!C(InsC}S&3^m;GP;oShAJ0;3)+=_91X**yHZmXBH2^
zTdr`lkP$>r*vPgem%IZ)Bn3o7Fj^92WM9L^h816<A|yDUt*Q#x?rVilZk7U&)6Eqa
zsQ`^6yJ#-H=<GbXGb*5~VcUWk%L6Sf(n<nsP1YH<%1J<!Q_#{z5Itcd8<;^I@P3bq
zDzC9XShWB|d)O+U!A0ndw8a88jbT+)^l@_q#wy@7`dB?{Y&SK)x!X7A9>7(_-!BMP
zmGga))zvT-5Dd1ga3XlcvW9|ZhqSkyVQn&LB_PTv45_GuJs?D5jUh-4tCHm&FxD&p
z&>pr%XK@ia?@yqB&y8XAV4@GQbZb$7T0o9*1$q@=bkJ$XK8XcMfFI4BH<<?j+k*Vn
zodIbNYZHEKaBU7Vlb~2$tziSO5M*bJxAqYe;73B`(g9Gh%?Dj0uCPh-H&zNdg$t0u
z)tGGnb|(~iOw&j5y2Xd=*^95msZTQ8Ld20h^swL&m~C%&hqd``tQ02PIlI|^H+r&-
zf}C^dBRlc_R(#7BWwfZ#bPtBRd+a;Jj>)uRpTy{yP5K4TKEmvqoG$5XR}VYOg$9;E
zp*m#jWLyW2w02~xY}-ztc5?+>f%7Q92%DYT1aEdui!X1;Jp!v51JNF~%4cxtL@Fc<
zqQ<ZR*nN3{kGUQJ-fZH=j0wvc3Z5O(-e!j(0H3kPur`^rKIDvE4ekI};5-U!&J(sH
z>VU_*U}|$~QJ{IcY$0=uD<BH+R)y`hvBr=NgigsCpL+nQ83WKBwnk@hY4IT>45G%c
z0oYwdg@jMiwn+fbYMz1zxSAzu*TdH6yrQZq<N#N|6>tUW3S7xE)9XSwLKR?qve#*a
z2$JM=?}|#oF?ia;0zGd*n&!E*kP#Hi%Yc?I-|Tvvq&*)m{`whFQN1t29a&L*Eqvu9
zaofs48Ts51mSf*@{?!j-aB~GlDu9!Gq=k`WB`%z_Zi`lcMvv~Hk9in9;8s3r1-9ZI
zTB+SQ7;koYpb$Zlywb%s_W)8e2B1A`jn3j4P*Lr|<ABVUBLq-{;B!_{J<U9TRV{Kd
zkhWx1E&%3Jc&6+E>j=xBMc*^p>%p<Nx5W+STm~JD9tOTCZmxhUaH$Gxe{Mf|ax^;P
zBTQ7X3NnVRD`~doC(ExO3GigG%{_qBi~(p5TcfkMw6qZt22o?!04xNFmZWWypi8D@
z!4s+H-XVWy*g2}LpJkgI(g1fH=|LHBc7$b+K#()qi@~uO`MbFSu0V?dm{dj@k0f)h
zbt-VY_>RcnaSB7mQ0?+SA%Y}%rHgIu0mL*>iUDX3Ytn5W2sF=iC>Z*}1_X@<mE?0r
zjS;)*KLJPIYq&-w2}jAGf!nMCVYbB^Tme_W6>tSssQ_ct^W{i#K|_!Pcv4#A9zbfw
z0JMj#(OFzWDykqONYb{)j`5<hNGhs=2e_JbhON<E=ryWp+7569T!AJ92K=MLrZ{&7
zE&hh^J7?w{SFZpg1G_v>h#*N`X=#yr0I3-R&>pr%XK`sEBP0x>#;^g{;|ngGrOmZg
zRL7D*Lbsv<VfRHWwz>0I0Y2XG&kYJBKje}h#R0vOnMw9fC&Z_j2fb|jM4CIpnuK4;
zY)e3tX5D9ppM`?x2^-nKEY{Oi;HN^BJX>CC^Xf@&Sc`3%UcNgo)X_a2Lei7%iBP-8
z6lRZDyv5Ms(+D^CUI8L@i%rsydjK)*<^Ji2?O{zi`72T3DUrA6gOTb3CFQfG^7^>p
zR(8^)l98eHT!wGvNDCv$hH#C}aLAzANpSAn#{xPh6L&mA87;}oB>VZKpL@{HG&x-Y
z0PSH-y6Hoci_jTKi3MyL!|JStK5njnD{w{y^lY4;o)jX(Oy;fa9GQDsvQf^quvJO|
zqRgcesUUj7Mm8|R0yd3voFFx<3Y2@mShD~?d)OMC#YO0hw8a88jbT+)^l@_qmZ-qu
zuj=0{Q8gcvE8q%rDWI!iEFc)&wF*eq)KWtZMgOXGhOP1u5akrKkP$>r*vJNESimOH
zBO(|riDa^`VYMOmpkK`b0PSIGbQTw(Gm;Vu*ffS!Rnf=I6>tSyfoo7;v-l(zfBVwn
z73?0Mu7>mFfkK2_%Y(Ss7Cf~vkN}jkEv!u`9N@gXii$+#fXt%Wgq?ZG&}!N{(mKyY
z=nHnSfXzHK`yLSRZmz%uDL|uh*`HgZX`OFH3Sj0OX<;PUqPSM7RA*_Et)zFTsBqXi
z!&Z+p0Z}eB^wN+ZdcsCFFv9{ijWvcKHEfjZCi8%>Y5|D$uvNZ!t_`8{e%258+!$6>
zMV~Vn?gJZX_#gaUrmb|My3B*&S-AqPKv{v+O;m4AESczP7z+qE^D>Fx6^rG8F17{F
z4ry;Y!`fuhN<frT&_YHKJz*o;wAE?4cSV)gSQxWyQqlw<8cQ3SOj?ouJQtxq(iRKY
z%tN#90RewTduwp)=Y#p2&K0;|1u#>LG)z=(*R22+?vchL$>zCwY7Ak?R?>oJKG?1W
zN_B=cDOp+xh*$$Lc66louc&rx0j!NRh9EU;9LP0XqvBoOP|D3#U|+e~Aa8XATme_W
z6<Ch~ouy4I545y6R4%dDXv)1aY?YIMc&LhMS1bpFh)EK5%JKF;*RqN#ud%>UwE#qW
z*eajFMd-X==>tADhSh_qz0ujt6>tSssKCwA$Glnk0DXK{tbneD^W}j;M5e2(sCHkE
zfVajPLy*^5QTa70d`nvFjfq-dj@e$%b{RSpU|_SEn&8c5dGVb#++-f~v@OVA-5HSf
zur}ex2G`~=4-1Or)fzScyZ^~6pBTICBPPHT1#=G|rfojx4QtX((>GQMx?l+jgQzjv
z0PI1iY5GX^Z{bWHv6wuKP$7cK8VVk;nf7*fSd(s&p9;4?6jPXR=j>*mvZhjm>T??t
zHZY&dXmntF%NJ#|sL^x}hP!*5bV#Nh`y@ustWGU>P9kKx_+{N0Hsd&Uuna1%isMKR
zGgDt!MhFP9%@FTyH3dQq;O(x!ofKg7vZp772$JNL&M~<MkeV?7?O|(l7MD(>Lc$<w
z3>$#mmlyb$rfrh|9_LBI&USguJ!q=g$Qd269&$#n<>KwGz?l@-oGEU5Sbxk*yH<{T
zGzG$=MOu3x-X^f!+U0>lqyxz-EiG~nAT?tE+QZi9EG{i=goHuV7&ZXw6%|8<M#%tm
za|K)hSKulX@Mor9#bNGBl7VhtFbVMFs!8qv#54)V0JMiS>84<Et+}FlUouP7wk5M=
zlGd-~<=3dHGC9B%fC4z%Mp_t2=3J{(fJToR>%&DFjn3+Elwu6qUZ)izNRn5&#^)YD
zYQ_Mxhpo|BT#Kowo-X~be$VuPh~6EA9OLkeUVXsXVXr%|Ezs?aVlBYcVQBP-A7`Q(
zJ!<E31zdq8D?p<M_c#+3W7xWqW@~<OK|_!Pc(T~$9zbfw0JMj#(OF!Jsi<@ygmjt?
zFXT_uwj~3vX)LYDAq{}XqkcFb;_L{^AUShI^#WrUXxwys1_pF0S75ygU{V=rJd(`0
z)~Nv2rIChzU;gmM2w|_&3K1m9D_v}J4<M$AQVc+QSd(u1FJb4o4h2JB*nptN2eqTd
zh+Xv=0qE=3s341*E8q&a0<M57aFGfyvYIbPk_#GwB*2r>BKH7NGX|hNY>m$18d6aO
z89|b^J$8&YUil&Hpl`tgZ_PTx*61$u8dWuI2e<;RK$8NC|3`<5zahLyW(QrQ0*tKe
z@<1ViBzdK!MeYHlW(+`k*czS1rG<=;Fo+t%24F{Cqtby8n71_+KGbY&71gn1wcM_|
z9bZH@S75jT$))k+M{z)`<hP#epH7HRGY@*%_K7rihBXPll4*~CC{2IQ4nGS8(GxbZ
zfmy7ltH4i%DtWfN*5=id-mn(iG`)OxUZ|scJcOht+Y_O7k15O^v3QH2We+SAU*3>=
z1ZLaI{nHWK!`gh4{8X6d(nl-`$p)v$JXD|Cm_RDQAGCS&QW73?w09vNo#9=^aF0GX
z_wHi>os)?>o}rAEWM-26eA3T7=x3UoE&+h{uqNH~p~*$)jHJW@HjQC*RzrqvO$zK~
zZo)dq6=+vL&&K)bNg=|T%v;+zGWWCuSI)MuRZ0S)%%u~lAbP?^HZa2iHjQ(fAT_KC
zlzYHfvj9MQ*czS1Md*yQ#R4{sVO3T1adQQhsKDZ{>fbC;H6PQ}E3jV>u3m?_0NZ+~
ztHuycEf3;iTkzCF_mws+d<0OeffzeFQY~b}scU~38j~UrL<l~^0yaeiqebHZBBo)r
zA@}T%_BNooJ*-V;a}k>NCs4rW#;~d?`nb6Qu7E4BYz1h@@HRtO9+G6h?Mu5l;UNck
zS=_oB#sY$opH>0Mnp$eeq3CZKNo!|VlMh7zIJE|1>}U#F$cVEiY!n1!uz*dXM?^4M
zG#(&g8de)}&ki}iCE*HaZVx+8hL(3sE&>Eei3MyL!>X$2<K_xnhypY^kNvqdn%4PN
zqyUzKkrqahEsAS(N?{%Bt1$$w<w0C*3!W`+WuuGmd{v^53e~omuq|_GAtMOoEJI^b
z3ERH9=&CU!l!lFx-DDm?)hz&092lb|vTq@9RBe`PL+A@-!~!<6&@`;7iauvD+{!l6
zaHH5|+Uh2%%RCI8l`G&1SPHCkqSDo{p~jH(lLZ`o3m!|Q*wz^~cAI@Cw3JiOLPiih
zVI$i#ZOOZ83<;%SRkGY8Fx#Z02|zTKHa3~GBL8_VLVu(!7O<IzYElUVea>WW4UYSK
zFrU-80vD_Rrh$=$iOTJ|6~KBq(s(4<JXcSRAuQQSTJX#V+qFQc&afsWODh2pYaqss
zj#LX7arT6bY#;+$z<W|aL<FNn<LxIG4I3M-;Tn}FG5S1!wwGx5^0>JIu7E4x3S5c;
zGOPF07?O4@545x>cv{ry3|plnAj%bvmNtUu2^-nKjC8~Py@f=e8dfFCJz%U^0HD2)
ztI=6pgwFewKHzg>SXFh`13leb0asw13fwGx*qfyf(8qVh3g~JW3kZf7S_LF)YN;WI
zqJPyo!&dnSh;j;A$Oxh*Y-9s7EMSx95fO}*L^9deu-cG&(643zfcCI8I*W_Y8A*u+
zY#PI=s_26(-RcUwF;N}l2vGoEFSiZ_7+9Tl?2}lK1o+Whc9VGkur0`6-5HSfur}ex
z2G`~=GYN|2)fzSc3qf|qcxxXq0e&P@E*$_B+kDV9;tHEIe`BSfQ@8*bT#eZVU=Kn~
z(?_y@3upH1#n<B0CmC)b;z%A-h`t36yr#X~9oD3qZmbk0eZ-=W^kf?av131MD~+bd
zw|r4XiyBS$V7R--Nrz<Gu}@<3%qIPU=OjY5E2m33+ttHn9LElpL7_TiI5Vz;M_N0w
zRW`7?xdN`h85Ll}&dzOuH#?`rmp9}dfmMxxXb)TEGq`jj6%qzfW7q)faYdEsp$Ppw
z9U-x6(x3w&Gy~dXk&}V8$KJ%}GkTLu4!SG_Hj7VZz++w(pQ8`Z6}WH(82Q=jv_b?)
z@=8ms+yh9>7=ZS$H9Ct+3mG9{5H*Gkz<Nc+kfBjBK;2vcSHKmx3I+U`=~r=>yOLy}
z+ZRj%Jh^I;djK&_!Z85tVNJRzm|SbFsNR>%618o~Y?-9>YkB!Ks;W#5a0Q?M&bE;j
zMv^(#Dixs7qsIDhkw&AldK{$~!?xFHg$R=5m9Fu*2auXE0PSIGbQafQDypZr;FbOz
zu%6e6+O}jZbVfz>OxcB~qmX07Mc*^p>%p<Nx5W+STm~JD9>(KLRHH}je6D~iuw(^j
z^xz(6qGAkNSJG_FPcCQ(k^oN@+uQ?4%@~08ur)f1Yd}S{s}_>9?XhFLnU)1lEicI5
z8P=p^X-y7k06dQLa6rV_5tc!+(+Ho=VlM_qW#sSX3b+C-3Sd$hX*`n5xz?!w)}@j5
zIRCzrF=V?uP>3K&Ug=_+djK&_lwttd!<uy4>pt^bhk~ImY(UU>P)R;_)EKdAaz*MI
zu2D(CQ8H-YHmg9GZSe+Iz!h)>T!B?8z!>#>Ig(t^5F`Pfloq)MkeV?7?O|(l7T1u9
zD#!?uwC%BDyr?XaimKoNu4bKKYjhWSjjEcq16%=Dph<zn|D(gj-w@s;vx6>D0Y+AK
zd7uzMlDyK=BKH7NGX|hNY>m$1(n3Z^7(|U>1F**zTsljeYptk`C4+=+MFqm{i&$)P
z=dl8OyyKr66i9x^B|nM-dM7iJ?4M4EPcsjC+4hMvcZM|yzmnOOfGEwn&kjEe1<?~W
zvVmEwr>nqEg(`Wryw>K`lisiv+cdp=cV4KYdpv}sC)*RDrVYL{*&`NjF|_zJLWPJg
zZ^%6Yv+d>78`kEV<fp=X3i?1vA<<M`%Z@hOf<DVuA!t39;hQ<q!bq|qT%$7_GN^VE
zoO}1NfX>Op9nVlkOENRbem?2v9`rL!PL}{cdsvfh`q1PebVgEQ0h`9KI;)|Nn=9Z7
zoKXQi8|SAdg~%|Id22gI=AM>pl(Q{tm6CucbLm7Xh@P;K4a~5BP2(IVNDZq3<sLBB
zECA3Rwnk@h5jrDnv4BluSXC8$++2YrDzNyg`Zr5d&Bx>lxB^`Y=xP`X2u63U0+Kbg
z)R05bziORft9%4RIR!0b1kn>VvVj>Euu1fY2u4dHne1y=ZOA?7SF->>d)OMC#YO0h
zq{IR?jbT+)^l@_qTme_$8Wh+pKFP)3zO;A+y9cPN;e2_Z5Fyv{ATG8APi+h&0Of28
zYf}mbI4`fFB2hUYv#2&<XI?V2n)Z&g&T|p^f?X_NGY`$a2L!yED{w&y(CA$D=hkRi
z=Ub5im^nvU7)iD$u9Yg)S=wYP=^ZL69JbD|)gw(nluHf0G$e?gu#pYSuz*ctjUh-4
z8zsBRJRq!E0HQr?m2aMFL+HGp^#eXPhE-M3=S+s1yG9!R2fvqTE1jq=^I&*Zu7E30
zR$!$Qm9B=dfMBE-tAKP(Ej8p&^sicH*eV|ZQBFY%8A0@fjcj0s1#B8?3_)sG)iU>h
z(KHDc0B8?u(rxpf=OT1oX+`yxop^Wa^X?wr!4<e#1u&_MG)&ZP*R257%aO(-$>zCw
zY7Ak~@*u9u1<!o2T?>@z3~N%dv=R`p24d{!NVSj=XHVG31~OQ{CZ<i;i3rAy#@kOW
z8a6igH7dyD<_fq1u7E4x3S6cFJvD|X5X%ECEef6%p*q7>DG7*jg`=g7AbP?^HZa2i
zHutEg3RkVbShD~?d)OMC#YO0hw8a88jbT+)^l@_qT!A$!aI^HmZ<anlAKw)#psQgl
zAQ)m~g%iOmmNgVSJEXns3~Q4~D*;hX!7D0gbFld`i2(A7>L8VWas^hX0AtMAOil1+
zQ>6G#8*VZWdfFD`ukH*;dsv(BV}omR_^l@>mRD=o04yfJoiX0pM@)bx3g#X_Oxt|W
zHDZ%%+xU%@g8nELC<ES@Z2<Nl)HHo0`?qk;KaEf!g32CxSnz<&w70v%nsk%=RJaAA
zn8JiRXE*zlHI*W?{CGw%pUY@;V0_CLWwfZ#bPtBRdz^GgrXBkvM$fFsD|k*KWV`rf
z-5ECHICiiMN{jI<g~QC$7nTtMY+_-%^^Ggw3Y<p)M%e7!CU~={M0|Ne?h#nk7>M?;
zRX&4DCsH9{5H*Gk!0yWne9ZL-@MaS?W=vStQ1I-K_BJ~V0r-qPhPBD0^<ii9YIp~@
z0_RX*bB>^0G5s+wU2@$Ud_1?`o9v<quwuG3D!{-s));~$z>_sT_W)8e2B1A`jn3lI
z;zLLnM2%qsu*YXzlD16}yC#2!(t!|~!PaD*VXK^8QB@^!fGe<41$d?7e*9t6fRNYl
z%yb^0(=}0S^|H$Yg@`UBuX|Ti5{|*s9v0|%3(_>trG<>3SY8ISTx_5Zth2Pqt|I9`
zD6-+*wD+a8Gpxz?wX~L#MD2G_Mm~3h<=7YRZmz(kD1b?2q=k`W&b3MfX!NKtQ9rPa
zJ`dW4OKq@r)I%%9+r?G3M=NPo1tiO_APMkfvCTbz)Qka`uYyj)*61uQEo6j*LDU#F
z0K2<JwL26^+BQk-np~rLnyCv|)jGpg`4Vjd;Bj2fG%0a*gk_MR?-}j&;Mm(6#RhXO
zgN{ZIV|R9pW_3PSz!kV=1!#2gk26s*hOH}UTw4j=Y)utk-jI6)Ry78qJ#3ZF;L?IY
zNEk$oVFR$ciVB|!dIWfjk`)_rC}5_c`pFJMK$qFDXq+{cmidb6pp3ve!h&_%7w>1X
z7lY$6@^^Cuu22C?ke!A}Wu&o5HaeOa%p1?8!13ZcVhr2A!;By@IT)>tHHIJw@MN*g
zJ%E@dN-+TKVNJU21A*qbwD=Gb2GKmUTx_5ZEJ@oY0X(aD{2CQxX|cu6nADPmV_X4O
zz!h)>u1Wz$wsv`-5J8f>($eC0GY=d!V*uL2*61v*H)CldBn+a)umM=~#Wu+Hz7<to
zV}ZMBonfnd<+5?meN>?u-T|(FE3jw<7XOb97jr|n|MXk*7x^rMV?=GNF$76~Cu@A}
z0i<ROKzrC4oyDbvjF2#h8p8%)cNG;rouqA(03HiD!VV-Wc;KyBqINxOjqbt!>US(z
zbuiZp2)i%h^$O6OIX5Fs;NriRIJd`Ga{K1|FDTkaJDpUX=2K*KT%QP{Gpxz^l}vjC
zL}~hac9_Hj(GxbZfmy7ltH4i%DtWfN*5=id-mn(iG`)OxUZ|scJcOht+Y_O7k15O^
zv3QH2We+SAU*3>=1ZLaI{nHWK!`gh4{8X6d(nl-`$p(|fJXD|Cm_RDQAGCSg+_4*G
zc+k<_g?w~|cNxPy`rzEVj|FtjO3L!wF38h{_c9OoDki5(0Hi&vP57a~MTbdDPys}Z
zVRcrME||64Tme_$Tngyf7z+r-NV39-;1$an3Z5O(-gbty$)uHlD5s!Ps~~#9Mm8|R
z0yd3voFFx<N|t-TShD~?d)OMC#YO0hw8a88jbT+)^ci7@yJ%Z^akFS`A82rkxvD>x
z-_2Zu`yWIBOvi353Q!BEf?52GIqd78t6?l47}97JkgTbth8&9iRqG5}<s%@<DQF=h
zh@P;K4a~5BO`=CcFj^AHWM9K-L+(Mpngsyb!`A35E<$G{B^I!046CZ5kDDv7L<NS;
zm=Bd4JruF?78Ss(bx+&ev;V_)U;OP$4==%EN6^)9zC2Kfj7`gfxY!mvwG>DI%Gnmy
zrW6ivUS35-qH;iHQEkG`ykuxK?Hy^I=OXk4yI8<x9-4g*2>4NkxQjNV?{?`5(C7sB
z=hkRi=Ub5iqo<DW@VV2&hbTo@p;A~eJ4>5vC4DdRl*Gw=onf>7rw)RnSZa`78WKcL
z*vJNESiq*S#t@{2jRM_d9uQV70MQ<{$~VuoA#~o)`T?IC!>X$2b0)*BY$FXfie09y
zaiY4+BjH)O0<OTU0xO-UbTy0x1S7*(1*B_gsUe4=f7Lp}R{02satd0=2%;x!WCJrS
zVAEJ*2vWnUmbnLvrb)N}Kzmq|Zkzu+7oqb?E2_8b#JgLcclYoPuE0eoKzGOjlgdcL
zL_Ke#qs>S1mh&lq^>U=~NV0jZo*F|~v^<FGa=|knY}W#%I>VZjEUg4YtbrIiI#MlU
z#Mu)zvVja1u!(6Cb|QkYqw)5qyM~PoevJw;HQ8RmChS>2IHqo{fGgk%xB{+#Dj+36
zPmLk{VtJsY#g+WkPuBPV*|63(NG7PPqAGa6ShD~?d)OMC#YO0hGEppG(->9-K_53)
zz!g}t0yj$^{AMu&#00r$^Phf?et2{>oWJ0r5E)!nQ58HbIVfja*eWFfQRdRpMi4z=
zBO91u0h`7eLy#I)CCfcvtXTk{J#3B6;v#fL+F}8l#;~d?`XEcU76qsU<d{JUEdF~r
z?(4viOs5_DBo-tA9%nnArkl(IfNeqk>dt_)hqVbmHn=v2nMqJAuhy^uSO~H+##{S{
z3GgGKa_Io5*ye+-5m(rx`5P+*ox%mk;A+e^0DBN>nm&^KTR5|4FTNJ1KFM$k5l8Zv
zLi8<o;5F^-?yx4Ee6fubCVj-Bko0651+im4Y%7hX$G3b@MvEFv_h7iY$Du>O$7I^E
zPh!ANC;cax2PoU*bm<Li60!^mt1ts~n!=k0kF<7Vt89`X*xl+1ggV3#uD~4>VDvdX
zw<m%(JEz5$H{>3HRgHmY4_oCkxO5^F5(ZIY*Z}NtMV0BH2>m@BA+c-HpaUT^1KMPf
zlYzF!-o!UMqaU#gQAb!tT#Rg#Eq+;`QJFf=V=1tCtUz~;<Bxf{vvharcs#e?iQqaq
zg&}i0Enckqe;s(6<92JW(+ZIeB(HR_%{_qBi~(p5TcfkMw2%=J22o?!0PL~M9W_Sm
z>J=4~aB~IDufXxCeE!iCMu2(AZB+_f!!y%qRY&CfgJle%vBnT20iKi=xd#x_Bpd_K
z9@eCrg2}b!it2sIEK%E*%$7-7zm}I@qpHeO1yB{?fD2FnXWK{%Bgvd=l?u@4QDc30
zU>kiNv<;WqVC|@fR*JWat89Bp8v;C8<9|2vz)>>>z%CCI0%+Cdx!%N&Q(P<(V{GQ3
z<zi!(@JZS>3Bts%gdIrsH1nXPYKhwQuvNZtk`U;bvdd0KSVm0rJ)^xI9D93P++faS
z(9!5&;G5#+3b+EUz(@sXBpJ9KXQE<+(v>t@^ONOQkOX+L*ybKUYQ_Mxhpo|BTw2-)
z34^FHYycL5L`%}PNzf(Jvfzo-bMKJ9GwdAI*3Ys{4rzcpj`X06I6J~JNFc}=?Zx2O
zjQrhP0au_!0Zb|*jYpC>*E$tAUVKMnu)nM_g391vwAtl>LIg?jN*CMQ1Bhv&6a&y6
z)}-5BfSTtz6byY~1A-nO)Q%b>c1`Z1x`u01kMpI4ylc0x_5^(Vu7E4x3b+EP0*u}H
zawNH+AxHu|DJ^mjAT?tE+QZi9EUqCHRge)RY1?DRc;l5H!VdZtJn+`6Gi;6SVXsk*
z{;gWg#sRK?D==1p#s8zj#orJ<_INnkniOCR)-DeeB1n=~T3X~DKx)PSw1=(HSzKDk
z2nmCzF>C;K<TWZC2!VN9W8p*1R#j0+GRKnDa=YU8u=^rju>!5Bb1Tqsdj*n9<H?WW
z07UXzPxenI#HX1Dy=?nLnmfaqgkQ<DM?jROzh{S^g@Wh_8`;1t*3(tsr$UuHTV8AP
z>Pc@{i*1@-zB@0}(LEkQ(v$6pP}2rqn(Psaw-{P{8lghOmp9}df!X$Q>J4l2P4ZJ=
zJ_UWCq>yMTuVqIYZb6@As}QuF%ka${X<;PU5U$Y~4jEKC3C_LySU~4w;*Mu1qa~S{
zWIvzua}WBNCZ|gPpgpWfH+^Vw5jrC&v4BluSe@0-$ITUR1<t5|o{jU<lR{*e$-K3l
zBXdtnHp<x+wn|Arl(}>w6+}<i$OdLuz@~AI6QqV!fpQNRYZd@#4_l+NxCot*wphTX
zF|4YJK5nkS5*1kdRsEYKs^(*I1zdqH1#~rx1q7qJRsqSHT58Cl=wG$YuvI<+qMU*j
zGJ@y{8`;1N3)m!jL<FNHkxceAtTyBx^s89_pgnAj&f+3;Mp9w{o5rxJD*Cv&0<M57
za19D<7N6weZ(mxxg53ku)o{K%P>7Igc@P)df~PhH5`c2Hg|#V#1DuyvQIV(|kXcll
zurn_iT1|ULTIab4eZejku$hNu-va{P%@w#H1!#0G`*UkFt@Eu&0nD5uEsP{v6xT|X
z>MU)tmGll36%Jcx*y@ocAj+kNUK$caPuR!?W>~<cvBnUjhK-WlWF8P!EdbFTw#qlp
zwIOug&-wwM8^fxq=yN8+&0Ql6|AXJlw3SX&mw7NeD_6i3C@ZkiiAq<)SU@n+i&a3n
zrj{CVDEe2eGi;TQfGDS+g^VD2!bUbQ!vZ#qHHIKHtZJEiz-XF;3jnl-HR-nb&vOwv
zue73i%TBzz^?7#>@8Akttpb=-Mj9q+x9e5_>*Yw}k!15+JvD}~Xn7FV<$`BE*scXi
zb%r%5Sy~B*SOYP3bfj9yh_febWCIy2U=!0O>_h}(N8{}$7Y!R5{2CQxa&rY-0aw5k
za0M<?fu0&e6o}=4mKFt1i%^|mtCR#pxx&%XMi4z=BO91u0h@bNRE4WnV60gHpgnAj
z&f+3;M%rQlo5rxJD*Cv&0<OTC6}VaY;5SPjppWm070}f%77z?Evcier70Vh5o*mNO
zc80adq?Ldur{EP8v^m&(nM442MRkzMKe+-cRDdz&Y^El7vnf)1rwuom2R&^I@>h2T
zq&=)n__4vYIsDcW6w9kMYycJ$;LaFt?IR|@69sb*Af|0T=o+!fwQc;yN<n`V3zPwG
z%r*df5Net}lKopa=buKX5J6=RJuG;@X4>1`VNJS8ek$AoQA}aNowJ*L%9=_MT7Enu
zn9pT2IxxQFi!xf&Xu1c(-91h^B-4(45~F8U<P|(85wczUvhEC<aU45X2BpP#mcn6X
z>I=&V0X8>Rz!f;N0*v_CxlQn9Q;GQUhTJ2tsxc7lVXJ%wmrkTY!XRo48-P8os4_hi
zkzE{#U6TeK2%#BlP1YH<%IPzDRU!vmo&uZ2r{s@$dE7&EJhwk?<W)rrUadDCFW%y|
z+r}D0IuJS~Ykckjq-G32d)OMC#ifOekT8fE!v<iF&$=XSn<RGiiV8}&xdN_$E3hO5
z-rTJP_Z-qSJTsl|snNA{-|A(T2MQ5wNM84@s3aVNr#&pt^A@COo@>n&)%%iJXCXH)
zleBy-FTX}*O5}~MKvV#e%18?%$((DI3ef0LV|{pF8+{(M4VT(r?Wl)VinoiaY^`!6
zS$+jcfG2Bw?g6A`48VL9bQ-osXK@XvsCI`UN!uPf#*52a$u+8{nWvtXAUeZVITLLI
z;Bj2fG%0a*gk_MN=d45It-X#P2EA`@6dS~~EE*a;oZZ<mn$`JS0axIf6`;|{Kh8wO
z7`CpYacw1dvo%$Gc|-0ISk)Ma_OMkxgG&nrAz=_Th7G_%kZ7460WC$ziVZmwFw;=|
zbcQwgSX$>Rs)I6pVZplXi}y3xi@|Xjvb(tgu0V?dm{dj@k0f)hbt-VY_>RZ`*Eb&C
z7$F*K3_%j$$r_(~05MIJVgTC1nsnPMLGxT%$Os98XdYTFHqZx_q-~P`o|RgDjS8~3
zxdN_$E8q&a0vD+OBP+W+P>3K&UTJBOdjP2!1JE9}MrUzpX(J>IqQ<ZR*yA$!z7>@Y
zguo28ChH7a<t&$t+xlIV5t5FujF{-_<_fq1t5;z0|LAb>H-xYLE8q#-Sph~*T}iX+
zD#`LINCG@rY;zAFHDdtU!`A35E-hq)ghA98HUR4t6+?zb$pCe81zdsiDv;d1nM~mU
z150Lk**`tIpJpEPvh5RT?hI=ZekF?x0g)^=`;k67+`1r$p0JS(%wj!V1%4`2$+P9P
zHm{!ahPBwH>E*lgLLJ@XAtXK7o(Q#jOkwtj#aj$5dtjmX@`l_aFxy`4pN`lb*5;e!
zr@}m!K4MWwHaJD*q59m$1X2n9pw0MZj<hh6YzWur42KM=odoCJeJr4JGI7T<l+lvR
zOtPO(`nd=FOq0_k0MH)Rq?<l8xd@$+lvu!~F|5vN$k44xfxXO4SO>WR?F#7GI6plp
zL|Bt~Ydc5go|fRs*%r1+NkEjjbRrc*PuR!?W>~<cagGzDhE;)b4;X6}0B8?eqqDdO
zosqU!z@{;*s){~tuD}u%So~G}n<c8|W4d|;_6x$*>rfY9TMu>B7{aOLL0oJLo_grM
z(x!!v0E#sbV@F4-g^W0L?Jq-PQUrns!Dm>&rifs)Xgol~G^{q{o*mNO1~j*awaIKQ
zLi7Fv3i#X@R#inGH&?(FIGX~yS^aF`R}>iY@;z;H&;AeJeet(1J-h^u9YI&aSU@mF
zpjAM!rj{CVDEga5(%Ko;<U<hvPOX6$JDP$PGUDtB8wCLwEMSx95fO|QjR%OBhSi4L
zvqR2rNw@-<+r!S2q2(QuivU4VVgZ}Ru&OHhxVZusq5zG~V}EXqrggp*DS+i*q=k`W
zWiBeaAKJS*rDPqH6;oHk`SL&^BB7QCaj`9UT9j7Kwy;%70;0^Ng^VD2!bY}zb<tI0
zNGJ^(CA-Ny7<1JE5K(QyP8=9xC$euLa8zxUYeVP@cCmoXEHn+Ps-n-C47ak4G~6h5
znYOx#>M{?5XXOgG0+s?Rov3s*oL{FEBIzd!I2sBbOQqPRoNZxax7l|>OPNax8A0@f
zjcj0s1#B8?3_)sGl`Qvw(KHDc0B8?u(rxpf=OT1Q+F}8ld8j6pK+xw*_SWFI&j<54
zohxv`3Sb%-Y1^e`B%yPyP64c!BaKIr&2#nC7{ZdRqy^7>uw4t3>I`dAva}Kqu?Ax7
z=t#AY5ob@>$ObZ4z$PgmB7)JP@%EF8hK&t=jS4ckxdN_$E8q&a0+*>kPmLi8#PUE(
zi-M;`sLrreN&=!>;b>_ih@P;K4a~5B%{?lr!c{9U)+_+f9=1kjaS=KrZLxq&V^~!c
zecW6DS76Nw+$??Yo23uX$9KgF=xP`X2!<G0;Y9F?Weo+-4ry;Y!`fuhN<frT@QMoB
z9BjT!B7nT2I!NW8T!9rTz!-BjQ~&?%T}_W9M-k1QS=+1Km085HTA`Il3k%s6hdJUC
z0gGg~tt^l@&JXZm<v&1zkl=vOnv;+76F6BM`-I?MjD(L|xN$%X@v1tbD?79DV<%_2
zx+g;F+V02~FEU?cWk%Pu%LH$<M6z$U;VkkXGH;gu>h1ukPn#$FFvGRpe%E7^<5z83
z3oI7E(H#D%?=b-$C>VPH$=dp9Q;)M;L*rMuA;_0vhC0A2M{9xI3T4c5{(K2%^z6k~
z?35=N4iI((k10fK!UJ#C-fm8tr8E3h;Sz}C5R5!xkr6GU^=x3?mr?J;nB|KyT2ybE
zJL8%owgDDzgJmaw5d$6-c?l12Im0*W#<V#)<G52&j7LM*u1sxd9dd$=4eV;FfGV&r
z1soDb*EYc$EhX%W8)6T`ispc*Pg~^6aLGc-Bo0KCX)UnRiYn4W5Yf#M9~v}BM+nVe
ztFp$lMb4XD(TnL7pbG3mf%QI;Hs#dEyfn#mZSZt$zc$(N5?HC$k9UlHM&<^Z;aFK?
zZ~!4F*yCdlASH7E)Tb@c<+!AfVG;+T%Cr{P=~WjDJ5S<6wW5L&YN~)La61aP+evc-
zS*XJp^qJ`$L_9JIhw<dg1A#D-_;u}yiqkpp)Tae{-YhrGa~*O;^@K;(Sjf%G#4Q*6
z(tA`{iL_A_@Csm2>1nnnnQ|SZ0@S<L*dK1#dS3^1-K9EMJ?f^Fa>M4z$6PrQY`=^o
zz=J(L_5e~c2f%z4w41g>m*eVCQH`e}7<Rtz=r3+>1^1{<BTso)jA%?-<P5a6fct(u
zl0mVvA*}<+ey%!X9_*EW)9K&gXK|glmPJFoyE9%Ly;+q{6;K84vI5k5@VB#2Idofg
zQopwnywRS@zPKUwFsx_}i2Afez6_TX3{2ucRGHQS3qia^dRVk1B}+EMP{6W=>ZdVn
zmd|nPd_}cYrY$X4hgkf(CwtjBuY>Grs(>m`qW~6_p5}UzDc4~tFx`B6i~#pHZr&VH
zRMr@bB*23`KK1~THCD<2P@gtSH{1!D=aNE(NgRmgq3L1+F|c6Rc@n^*QcLeqK^8St
zKow90Q~_1sC>3xRRlYnB2qTGKVQCS204bRRpgwJhF2^OM4U;$!Ri?GTPRr!$R#egv
z0yEgEtTAnoGhH?g^}8s;Bn@dDaw1ku6;K5ZUV+8`M~935LHNN>0Uf{_E8q|*J85)Z
zCD?u$Nq`5NZR`Q0WDbD(v?aP6mlQHg;y_fH)&i>)m4ghGk^!ow3aA46RUr8JX0U`?
zbSzlq`Sb48eHwWXmA6m1xiM{)@HKBT1VpgeY<l`=`_lzRw50WHU}kFxW%#a8DbK*0
zJAAfkO`Bsg=KSHjP?O(yFiFd3cZ4!)Oq%Esi<jt{e;T1c*cUg%9){)Z+_a|6^BMlC
zFh2x&pd^#XP+su|X}AP^G+Ke6bzg>=+0$%KvMyY`N7$uP^(46Vu45L#!NTpYP=}Ua
zW#Z5Aq91z@mo>gzECBUsvvlTJmWvRKL9tmJD$~lUh8Q(fKo!`d0&+FZFHZvDZU*bt
zutvt7n$ZYnUD_fg0g>jCg_IF3X+0a5VHStVHI9*zRs@PYU@VyhpgwJhF2_X(#<1Be
z4wY#|Rm7;N0!vh2@ptvlmZ+NcNfl59niP=T&=(L6;Zg+zdupm7h9bUbjcJQ~1VnlW
zQphl(C9P)zGtA-;=;09#ErDeGS<*^F>_J?~ECBUsOLRFdLNEr!W^t%YE2<(!O%+fD
zRDrvoz<Tk6T>Q_M7O!A)2W2;$FAoI5<We5^%{JjF^??{5oONmQl*|Fn<EyBMRkj$J
zSDWx*UNW?1?E$yWa}i>}&Sr6#heq>&fLBumjz|IOJ(kV2)tgrN4oCs4oITC<BwG~M
zfhyHl+C)3)8&p&{ZH;M*XPSUWml|?wh!HJmJsX%|7Kh3jgOQTfOLi7{Kv*;jM19&K
z-#piv5WHFSE#6nA6;%<lC&Q1sdK&%*zsG3@x=<bG$<R@$0;)h-fdgHrWH<B$gv0QB
z6%g*JsfHMe_@XtYE%Ff%=^;oV!-$r&o(;?}i$i6N!AMCfTE-qQW{uNX0P53b>4x#o
za}k0Mw4!<|Kk(+(51J!1Koz*N3Sd#`X;`S$?zRHhFMFEnNjA^bQe!ZSlm~uaPI%^%
zjV(~BF>RL8aVr7gYaoXY(o-p9*x8cSvw;j|aqz<?eDDZ|50Y=QxJX*xp!cXClbR}^
z3aA3AfGTjD3bfQ1tbi{Mq_jwQYJ_S`Tcji)(iM)BHjHRV>)F5zvp8I%qDtJg0%OT6
z0QG50bU7|UFow-$ai~lysv<^B6;K5ZS%I^qcYeL}4r>4IumZ9h`U1j1jHqxTc-f+c
zgl9zNkBw>bWZX(Xq=%pt6|~vfe3?W5X+^b_O5dph2dIEUpQDwU;Ek3@_U$&DMIJ=v
z&GKK}9U%2-^MoH}xYpb6dW>@Xs!eNw#R52*!$0*sCcpy)V-Fx%TR&~;ah7Xn{3<sD
z`BKbK2YBUZEwEdmjCszVFX5bj8lgZK6+QGY;Q?FL-fm8tr8E3h;Sz}C5Db1fyWR|0
zhLVJ)AI}KpeHrymj9I=Yqeb<mxihXgVkaP2cJdc7BBLTN;n~R$eZ)8G#<UT~)Zw^O
zQjAAK*se@%X&q94O-&V01@^3fL;mR6CU~Qzgne;C>|t2Z91!(si+mX_SxA}0fv7UA
z1$J6dMS2J#x;f%Qg9hmcp&4vd)|j@)sVjO>A_W|u0_(*ONgwmF_*0}ER0T>3H~=bZ
z3`P>*!5$xb04bRRpgwJhF2^N>43jtzRi?GTYDMKBL#1SZs;L61fGTh&6wqg;--*-Q
zG)M<@{f0?^U$>&-bPhcAX@Q<M%T4oKhg?xT;gJPu&kqx~o{DzC2)##Dlt}@q02IL0
z*3)cHGUYl*1*mtgu|FK8QSVXRj#3WY&hOI#VI=V@+~Z>pASH7E)Tb@c<+v78QJu!W
z>?n_GNA0|1HFTMZ>XEQBQ9~i;kQcFgv{#*T4?h&^%()CY>fMdoS*UvVTKQA~Rba^q
zQ18y&&O+tTZP`hqJwLdi!AJr;*lc4DASH7E)Tb@c<+v78QAtM#!>KyGkUvm6FBy2V
z=D0ODr5131*0(2woegOnNcLP&y+j{6nyWfL9TO^*DsZ?8U{UF5t|yst9i{@)pWO5q
z0e;nd^X8BuzfTK<k;JcXvyDA~WQ~<_0Mw_=(hUy;n&;XU3~gyGl1@)*Lyh4>gI}iW
zJu1kerV6M6s(>n>3LK>Z4x^ecM}iv~j3mH=(jxW%QZffXecBRTj%zU$m2`wK9EezD
zf!cY=s`!FaY7ue__o%9dt{_!F6(}mO`2Xl|@jnPJO00mRRls3n`F&a-j3j<(Ma3HA
zC4)-7P9c04>ZjO)rjltzRZ>XXRRL9CqCjwKeEu60Z9;a}veS5Vn~<m9e#-8~v}vM^
z_cbqjg!ifC@6q<B3yf$<>)F7}))LC_U7=E*fj4*fY}J}J$7am=!+D`5zwuy_meKAA
zH9n>=dc@)-x)wdKkbQAO>|t2m&Noj-tWTTgGyGLyo=YCF$RulQ)$>q!Zesu`B)`#S
z%*>u<dy;kG>OI0PovJ6nwRat}2#yNK^xDqIxFPm{F>8FeSODtNX6ekcEEgdd%MP2x
zp)#$kYGVW<)k+F%bS}ZPT@`3kK(0n#Ksdxlg%iQc7BwV1BQk$%Oq(a;RsteD1X)NK
z(UR7)ff;6Ts9fV1DQQKr*aOCrSpe$OmgsU^gkTJt&Eim*R#ZidnkukF1r~o-|7?k>
zX`fU9RiH@$*$sUG;SerWK(ME#8e%Boi`JO7$VWh=haiOvBU;jWHZa314uKvX;m{ID
z#-AmvG{hdnmCOQApSDDo<01rOP;3^5%Cw>?V$@UtRX`QE3ks|kKgh-Zd};9tHg`~V
z!};<+AWSahf!}Nso>Cu(0m50AHc!bM;5@#Hidbcfk$JTVALb=PYt|lc>pT}B7VK;m
zhk0l;4+wZQRp5vepx$HITwA?qmG6KQz{=UvY)`U9aUG~qjipVrlfFSkh11rUws@uq
zh;*qTw}u$elGd|<8D?>)tT7lVX}x4;kq3lDvq03RE%MECtqH-KRo~)$Wm-`cF?%xn
zl&z=XhhoQR2f9!l=gC;&s9r9yQ`0^X)+IGnKow90RDlWwr~<QJh%V{-)nBvUpaOJ*
z+<mC^^6R6cvZw;8z#ULv`QJg`x&voHho}mu0;+&2(5Qg_qm)_EB=W?KADprH@zjd{
z!QXcHk3tTA(7I=&VdCLtK&x%wMB4lQZ%sbb;Y~XiqQFJN-`w~EdK!3SD88EkR0fsm
z*>Z$hS@W32^Wk`qOB2cv#Kljx<Zp<0dw~NCLeh%=wy>FT7v_V(Ka68&xZuyjxq#o|
zP;C#~2`lr&xRVQGzBE5KPro$ZlIVe5K9%11Il`32JaHpj`sJfXPBKRRT7v%<@s4rZ
z*t3cM+vX#w%>Km^tc-k|p#0kt`@>&M+lf1M{@<(L)6f3&{N%llKR3^=u2!*w$KW_@
zK>Uii81W9K4jlJ?_x0abhI!n-ufA*kcJ*s;y!ZIe-y2Eo27wSDB;<T$9MU2L90(Z@
zBL}kZ%R#=k`L*fTh<KWCc#dc+6H@M&dA;cvx^6aUhs$8n58)UPL5Lsi@C*a)M$)YL
z?TcSdTi!PpJY|3K`PYws^ZNP6X8oV5Z>-M#cXbMvF%KCEm-!1~{&;ou*!=VAM`Yjs
z<lV>j|MA6(dnX_L)r^6x^EcUbDkwyx4ayOkPWiRQ8_xK``~dHm{@$9oFkeVI@l#|3
zYUtPFv0scDx;h4OSI5ACi@Q4XYjB~fr$WCSqj}UkC2_{5Gtq2L_&7)WOq3~s89kX2
za`wzHS21~arsg}C%?R<6S7zdvb|++Y&gkt-G{y#Vm9vOrdl5l?>~@<h+bd%CGD~*7
zFq?NtInRtGu6SNl*PCfHoO;Zxd&B(mr+%1{<80t5NtkTIoFQXBd3T(DJdJiIh(`(6
z3!&f4KlG7sz?96I<<`|7zH>TsV!Q}&si3RFb%9{IXK?kf$V0zYj(tPMm788;+e-yw
K9IJ%y|M>styNYfA

diff --git a/SPI/output_files/SPI_test.sta.rpt b/SPI/output_files/SPI_test.sta.rpt
deleted file mode 100644
index d4dc82e..0000000
--- a/SPI/output_files/SPI_test.sta.rpt
+++ /dev/null
@@ -1,1617 +0,0 @@
-Timing Analyzer report for SPI_test
-Wed Nov 16 16:51:46 2022
-Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
-
-
----------------------
-; Table of Contents ;
----------------------
-  1. Legal Notice
-  2. Timing Analyzer Summary
-  3. Parallel Compilation
-  4. Clocks
-  5. Slow 1200mV 85C Model Fmax Summary
-  6. Timing Closure Recommendations
-  7. Slow 1200mV 85C Model Setup Summary
-  8. Slow 1200mV 85C Model Hold Summary
-  9. Slow 1200mV 85C Model Recovery Summary
- 10. Slow 1200mV 85C Model Removal Summary
- 11. Slow 1200mV 85C Model Minimum Pulse Width Summary
- 12. Slow 1200mV 85C Model Setup: 'GPIO_0_PI[8]'
- 13. Slow 1200mV 85C Model Hold: 'GPIO_0_PI[8]'
- 14. Slow 1200mV 85C Model Metastability Summary
- 15. Slow 1200mV 0C Model Fmax Summary
- 16. Slow 1200mV 0C Model Setup Summary
- 17. Slow 1200mV 0C Model Hold Summary
- 18. Slow 1200mV 0C Model Recovery Summary
- 19. Slow 1200mV 0C Model Removal Summary
- 20. Slow 1200mV 0C Model Minimum Pulse Width Summary
- 21. Slow 1200mV 0C Model Setup: 'GPIO_0_PI[8]'
- 22. Slow 1200mV 0C Model Hold: 'GPIO_0_PI[8]'
- 23. Slow 1200mV 0C Model Metastability Summary
- 24. Fast 1200mV 0C Model Setup Summary
- 25. Fast 1200mV 0C Model Hold Summary
- 26. Fast 1200mV 0C Model Recovery Summary
- 27. Fast 1200mV 0C Model Removal Summary
- 28. Fast 1200mV 0C Model Minimum Pulse Width Summary
- 29. Fast 1200mV 0C Model Setup: 'GPIO_0_PI[8]'
- 30. Fast 1200mV 0C Model Hold: 'GPIO_0_PI[8]'
- 31. Fast 1200mV 0C Model Metastability Summary
- 32. Multicorner Timing Analysis Summary
- 33. Board Trace Model Assignments
- 34. Input Transition Times
- 35. Signal Integrity Metrics (Slow 1200mv 0c Model)
- 36. Signal Integrity Metrics (Slow 1200mv 85c Model)
- 37. Signal Integrity Metrics (Fast 1200mv 0c Model)
- 38. Setup Transfers
- 39. Hold Transfers
- 40. Report TCCS
- 41. Report RSKM
- 42. Unconstrained Paths Summary
- 43. Clock Status Summary
- 44. Unconstrained Input Ports
- 45. Unconstrained Output Ports
- 46. Unconstrained Input Ports
- 47. Unconstrained Output Ports
- 48. Timing Analyzer Messages
-
-
-
-----------------
-; Legal Notice ;
-----------------
-Copyright (C) 2018  Intel Corporation. All rights reserved.
-Your use of Intel Corporation's design tools, logic functions 
-and other software and tools, and its AMPP partner logic 
-functions, and any output files from any of the foregoing 
-(including device programming or simulation files), and any 
-associated documentation or information are expressly subject 
-to the terms and conditions of the Intel Program License 
-Subscription Agreement, the Intel Quartus Prime License Agreement,
-the Intel FPGA IP License Agreement, or other applicable license
-agreement, including, without limitation, that your use is for
-the sole purpose of programming logic devices manufactured by
-Intel and sold by Intel or its authorized distributors.  Please
-refer to the applicable agreement for further details.
-
-
-
-+-----------------------------------------------------------------------------+
-; Timing Analyzer Summary                                                     ;
-+-----------------------+-----------------------------------------------------+
-; Quartus Prime Version ; Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition ;
-; Timing Analyzer       ; Legacy Timing Analyzer                              ;
-; Revision Name         ; SPI_test                                            ;
-; Device Family         ; Cyclone IV E                                        ;
-; Device Name           ; EP4CE22F17C6                                        ;
-; Timing Models         ; Final                                               ;
-; Delay Model           ; Combined                                            ;
-; Rise/Fall Delays      ; Enabled                                             ;
-+-----------------------+-----------------------------------------------------+
-
-
-+------------------------------------------+
-; Parallel Compilation                     ;
-+----------------------------+-------------+
-; Processors                 ; Number      ;
-+----------------------------+-------------+
-; Number detected on machine ; 8           ;
-; Maximum allowed            ; 4           ;
-;                            ;             ;
-; Average used               ; 1.01        ;
-; Maximum used               ; 4           ;
-;                            ;             ;
-; Usage by Processor         ; % Time Used ;
-;     Processor 1            ; 100.0%      ;
-;     Processor 2            ;   0.4%      ;
-;     Processors 3-4         ;   0.3%      ;
-+----------------------------+-------------+
-
-
-+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Clocks                                                                                                                                                                                     ;
-+--------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+------------------+
-; Clock Name   ; Type ; Period ; Frequency  ; Rise  ; Fall  ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets          ;
-+--------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+------------------+
-; GPIO_0_PI[8] ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { GPIO_0_PI[8] } ;
-+--------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+------------------+
-
-
-+-------------------------------------------------------------------------------------------------------------+
-; Slow 1200mV 85C Model Fmax Summary                                                                          ;
-+------------+-----------------+--------------+---------------------------------------------------------------+
-; Fmax       ; Restricted Fmax ; Clock Name   ; Note                                                          ;
-+------------+-----------------+--------------+---------------------------------------------------------------+
-; 273.67 MHz ; 250.0 MHz       ; GPIO_0_PI[8] ; limit due to minimum period restriction (max I/O toggle rate) ;
-+------------+-----------------+--------------+---------------------------------------------------------------+
-This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods.  FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock.  Paths of different clocks, including generated clocks, are ignored.  For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
-
-
-----------------------------------
-; Timing Closure Recommendations ;
-----------------------------------
-HTML report is unavailable in plain text report export.
-
-
-+---------------------------------------+
-; Slow 1200mV 85C Model Setup Summary   ;
-+--------------+--------+---------------+
-; Clock        ; Slack  ; End Point TNS ;
-+--------------+--------+---------------+
-; GPIO_0_PI[8] ; -1.327 ; -40.855       ;
-+--------------+--------+---------------+
-
-
-+--------------------------------------+
-; Slow 1200mV 85C Model Hold Summary   ;
-+--------------+-------+---------------+
-; Clock        ; Slack ; End Point TNS ;
-+--------------+-------+---------------+
-; GPIO_0_PI[8] ; 0.360 ; 0.000         ;
-+--------------+-------+---------------+
-
-
-------------------------------------------
-; Slow 1200mV 85C Model Recovery Summary ;
-------------------------------------------
-No paths to report.
-
-
------------------------------------------
-; Slow 1200mV 85C Model Removal Summary ;
------------------------------------------
-No paths to report.
-
-
-+---------------------------------------------------+
-; Slow 1200mV 85C Model Minimum Pulse Width Summary ;
-+--------------+--------+---------------------------+
-; Clock        ; Slack  ; End Point TNS             ;
-+--------------+--------+---------------------------+
-; GPIO_0_PI[8] ; -3.000 ; -41.000                   ;
-+--------------+--------+---------------------------+
-
-
-+----------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Slow 1200mV 85C Model Setup: 'GPIO_0_PI[8]'                                                                                                              ;
-+--------+-------------------------------------+------------------------------------+--------------+--------------+--------------+------------+------------+
-; Slack  ; From Node                           ; To Node                            ; Launch Clock ; Latch Clock  ; Relationship ; Clock Skew ; Data Delay ;
-+--------+-------------------------------------+------------------------------------+--------------+--------------+--------------+------------+------------+
-; -1.327 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[24] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.591      ;
-; -1.325 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[20] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.589      ;
-; -1.325 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[23] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.589      ;
-; -1.325 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[25] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.589      ;
-; -1.324 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[19] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.588      ;
-; -1.324 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[22] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.588      ;
-; -1.324 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[27] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.588      ;
-; -1.322 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[28] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.586      ;
-; -1.322 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[30] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.586      ;
-; -1.321 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[31] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.585      ;
-; -1.320 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[26] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.584      ;
-; -1.320 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[24] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.584      ;
-; -1.319 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[29] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.583      ;
-; -1.318 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[20] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.582      ;
-; -1.318 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[23] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.582      ;
-; -1.318 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[25] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.582      ;
-; -1.317 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[19] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.581      ;
-; -1.317 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[22] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.581      ;
-; -1.317 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[27] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.581      ;
-; -1.315 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[28] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.579      ;
-; -1.315 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[30] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.579      ;
-; -1.314 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[31] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.578      ;
-; -1.313 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[26] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.577      ;
-; -1.312 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[29] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.576      ;
-; -1.269 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[7]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.534      ;
-; -1.269 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[4]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.534      ;
-; -1.269 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[3]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.534      ;
-; -1.268 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[6]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.533      ;
-; -1.266 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[2]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.531      ;
-; -1.265 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[1]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.530      ;
-; -1.264 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[13] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.529      ;
-; -1.264 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[5]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.529      ;
-; -1.262 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[7]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.527      ;
-; -1.262 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[4]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.527      ;
-; -1.262 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[3]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.527      ;
-; -1.261 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[6]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.526      ;
-; -1.259 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[2]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.524      ;
-; -1.258 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[1]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.523      ;
-; -1.257 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[13] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.522      ;
-; -1.257 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[5]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.522      ;
-; -1.206 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[21] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.470      ;
-; -1.196 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[21] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.460      ;
-; -1.148 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[24] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.412      ;
-; -1.146 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[20] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.410      ;
-; -1.146 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[23] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.410      ;
-; -1.146 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[25] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.410      ;
-; -1.145 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[19] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.409      ;
-; -1.145 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[22] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.409      ;
-; -1.145 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[27] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.409      ;
-; -1.143 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[28] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.407      ;
-; -1.143 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[30] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.407      ;
-; -1.142 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[31] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.406      ;
-; -1.141 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[26] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.405      ;
-; -1.140 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[16] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.405      ;
-; -1.140 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[14] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.405      ;
-; -1.140 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[8]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.405      ;
-; -1.140 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[15] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.405      ;
-; -1.140 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[17] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.405      ;
-; -1.140 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[29] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.404      ;
-; -1.139 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[18] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.404      ;
-; -1.139 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[11] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.404      ;
-; -1.139 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[10] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.404      ;
-; -1.138 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[9]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.403      ;
-; -1.138 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[12] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.403      ;
-; -1.133 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[16] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.398      ;
-; -1.133 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[14] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.398      ;
-; -1.133 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[8]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.398      ;
-; -1.133 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[15] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.398      ;
-; -1.133 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[17] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.398      ;
-; -1.132 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[18] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.397      ;
-; -1.132 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[11] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.397      ;
-; -1.132 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[10] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.397      ;
-; -1.131 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[9]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.396      ;
-; -1.131 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[12] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.396      ;
-; -1.090 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[7]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.355      ;
-; -1.090 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[4]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.355      ;
-; -1.090 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[3]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.355      ;
-; -1.089 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[6]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.354      ;
-; -1.087 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[2]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.352      ;
-; -1.086 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[1]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.351      ;
-; -1.085 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[13] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.350      ;
-; -1.085 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[5]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.350      ;
-; -1.061 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[24] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.325      ;
-; -1.059 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[20] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.323      ;
-; -1.059 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[23] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.323      ;
-; -1.059 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[25] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.323      ;
-; -1.058 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[19] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.322      ;
-; -1.058 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[22] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.322      ;
-; -1.058 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[27] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.322      ;
-; -1.056 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[28] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.320      ;
-; -1.056 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[30] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.320      ;
-; -1.055 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[31] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.319      ;
-; -1.054 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[26] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.318      ;
-; -1.053 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[29] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.317      ;
-; -1.003 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[7]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.268      ;
-; -1.003 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[4]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.268      ;
-; -1.003 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[3]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.268      ;
-; -1.002 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[6]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.267      ;
-; -1.000 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[2]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.230     ; 1.265      ;
-; -0.999 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[19] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.231     ; 1.263      ;
-+--------+-------------------------------------+------------------------------------+--------------+--------------+--------------+------------+------------+
-
-
-+------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Slow 1200mV 85C Model Hold: 'GPIO_0_PI[8]'                                                                                                                 ;
-+-------+-------------------------------------+---------------------------------------+--------------+--------------+--------------+------------+------------+
-; Slack ; From Node                           ; To Node                               ; Launch Clock ; Latch Clock  ; Relationship ; Clock Skew ; Data Delay ;
-+-------+-------------------------------------+---------------------------------------+--------------+--------------+--------------+------------+------------+
-; 0.360 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|cnt[0]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.063      ; 0.580      ;
-; 0.478 ; spi_slave:spi_slave_instance|q[1]   ; spi_slave:spi_slave_instance|q[2]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.062      ; 0.697      ;
-; 0.479 ; spi_slave:spi_slave_instance|q[10]  ; spi_slave:spi_slave_instance|q[11]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.697      ;
-; 0.479 ; spi_slave:spi_slave_instance|q[4]   ; spi_slave:spi_slave_instance|q[5]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.062      ; 0.698      ;
-; 0.480 ; spi_slave:spi_slave_instance|q[3]   ; spi_slave:spi_slave_instance|q[4]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.062      ; 0.699      ;
-; 0.480 ; spi_slave:spi_slave_instance|q[0]   ; spi_slave:spi_slave_instance|q[1]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.062      ; 0.699      ;
-; 0.481 ; spi_slave:spi_slave_instance|q[17]  ; spi_slave:spi_slave_instance|q[18]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.699      ;
-; 0.553 ; spi_slave:spi_slave_instance|q[25]  ; spi_slave:spi_slave_instance|q[26]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.771      ;
-; 0.553 ; spi_slave:spi_slave_instance|q[23]  ; spi_slave:spi_slave_instance|q[24]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.771      ;
-; 0.553 ; spi_slave:spi_slave_instance|q[22]  ; spi_slave:spi_slave_instance|q[23]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.771      ;
-; 0.553 ; spi_slave:spi_slave_instance|q[2]   ; spi_slave:spi_slave_instance|q[3]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.062      ; 0.772      ;
-; 0.554 ; spi_slave:spi_slave_instance|q[5]   ; spi_slave:spi_slave_instance|q[6]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.062      ; 0.773      ;
-; 0.555 ; spi_slave:spi_slave_instance|q[21]  ; spi_slave:spi_slave_instance|q[22]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.773      ;
-; 0.556 ; spi_slave:spi_slave_instance|q[20]  ; spi_slave:spi_slave_instance|q[21]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.774      ;
-; 0.556 ; spi_slave:spi_slave_instance|q[11]  ; spi_slave:spi_slave_instance|q[12]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.774      ;
-; 0.556 ; spi_slave:spi_slave_instance|q[9]   ; spi_slave:spi_slave_instance|q[10]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.774      ;
-; 0.556 ; spi_slave:spi_slave_instance|q[6]   ; spi_slave:spi_slave_instance|q[7]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.062      ; 0.775      ;
-; 0.557 ; spi_slave:spi_slave_instance|q[30]  ; spi_slave:spi_slave_instance|q[31]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.775      ;
-; 0.557 ; spi_slave:spi_slave_instance|q[29]  ; spi_slave:spi_slave_instance|q[30]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.775      ;
-; 0.557 ; spi_slave:spi_slave_instance|q[28]  ; spi_slave:spi_slave_instance|q[29]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.775      ;
-; 0.557 ; spi_slave:spi_slave_instance|q[27]  ; spi_slave:spi_slave_instance|q[28]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.775      ;
-; 0.557 ; spi_slave:spi_slave_instance|q[26]  ; spi_slave:spi_slave_instance|q[27]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.775      ;
-; 0.557 ; spi_slave:spi_slave_instance|q[16]  ; spi_slave:spi_slave_instance|q[17]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.775      ;
-; 0.558 ; spi_slave:spi_slave_instance|q[19]  ; spi_slave:spi_slave_instance|q[20]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.776      ;
-; 0.558 ; spi_slave:spi_slave_instance|q[14]  ; spi_slave:spi_slave_instance|q[15]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.776      ;
-; 0.558 ; spi_slave:spi_slave_instance|q[8]   ; spi_slave:spi_slave_instance|q[9]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.776      ;
-; 0.559 ; spi_slave:spi_slave_instance|q[24]  ; spi_slave:spi_slave_instance|q[25]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.777      ;
-; 0.576 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|cnt[3]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.063      ; 0.796      ;
-; 0.588 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|cnt[1]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.063      ; 0.808      ;
-; 0.592 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|cnt[1]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.063      ; 0.812      ;
-; 0.617 ; spi_slave:spi_slave_instance|q[13]  ; spi_slave:spi_slave_instance|q[14]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.835      ;
-; 0.618 ; spi_slave:spi_slave_instance|q[7]   ; spi_slave:spi_slave_instance|q[8]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.836      ;
-; 0.620 ; spi_slave:spi_slave_instance|q[15]  ; spi_slave:spi_slave_instance|q[16]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.061      ; 0.838      ;
-; 0.636 ; spi_slave:spi_slave_instance|q[12]  ; spi_slave:spi_slave_instance|q[13]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.062      ; 0.855      ;
-; 0.653 ; spi_slave:spi_slave_instance|q[18]  ; spi_slave:spi_slave_instance|q[19]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.060      ; 0.870      ;
-; 0.670 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|cnt[4]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.063      ; 0.890      ;
-; 0.711 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|cnt[2]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.063      ; 0.931      ;
-; 0.830 ; spi_slave:spi_slave_instance|q[31]  ; spi_slave:spi_slave_instance|qdelayed ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; 0.230      ; 0.737      ;
-; 0.864 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|cnt[4]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.063      ; 1.084      ;
-; 0.866 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|cnt[2]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.063      ; 1.086      ;
-; 0.866 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|cnt[2]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.063      ; 1.086      ;
-; 0.868 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|cnt[3]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.063      ; 1.088      ;
-; 0.868 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|cnt[3]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.063      ; 1.088      ;
-; 0.978 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|cnt[4]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.063      ; 1.198      ;
-; 0.978 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|cnt[4]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.063      ; 1.198      ;
-; 0.985 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|cnt[3]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.063      ; 1.205      ;
-; 1.095 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|cnt[4]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.063      ; 1.315      ;
-; 1.234 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[15]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 0.807      ;
-; 1.238 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[17]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 0.811      ;
-; 1.240 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[9]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 0.813      ;
-; 1.240 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[12]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 0.813      ;
-; 1.241 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[10]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 0.814      ;
-; 1.312 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[18]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 0.885      ;
-; 1.314 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[21]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.105     ; 0.886      ;
-; 1.318 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[14]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 0.891      ;
-; 1.320 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[11]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 0.893      ;
-; 1.321 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[8]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 0.894      ;
-; 1.325 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[16]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 0.898      ;
-; 1.413 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[20]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.105     ; 0.985      ;
-; 1.415 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[23]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.105     ; 0.987      ;
-; 1.416 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[24]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.105     ; 0.988      ;
-; 1.418 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[22]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.105     ; 0.990      ;
-; 1.420 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[27]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.105     ; 0.992      ;
-; 1.423 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[4]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.103     ; 0.997      ;
-; 1.424 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[7]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.103     ; 0.998      ;
-; 1.424 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[31]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.105     ; 0.996      ;
-; 1.425 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[30]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.105     ; 0.997      ;
-; 1.427 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[25]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.105     ; 0.999      ;
-; 1.427 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[28]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.105     ; 0.999      ;
-; 1.427 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[29]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.105     ; 0.999      ;
-; 1.428 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[26]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.105     ; 1.000      ;
-; 1.433 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[5]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.103     ; 1.007      ;
-; 1.433 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[2]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.103     ; 1.007      ;
-; 1.434 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[13]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.103     ; 1.008      ;
-; 1.434 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[6]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.103     ; 1.008      ;
-; 1.435 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[3]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.103     ; 1.009      ;
-; 1.446 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[1]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.103     ; 1.020      ;
-; 1.452 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[21]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.105     ; 1.024      ;
-; 1.472 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[12]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 1.045      ;
-; 1.472 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[11]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 1.045      ;
-; 1.473 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[17]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 1.046      ;
-; 1.473 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[9]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 1.046      ;
-; 1.473 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[10]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 1.046      ;
-; 1.473 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[21]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.105     ; 1.045      ;
-; 1.475 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[18]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 1.048      ;
-; 1.475 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[8]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 1.048      ;
-; 1.475 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[16]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 1.048      ;
-; 1.477 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[15]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 1.050      ;
-; 1.478 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[14]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 1.051      ;
-; 1.515 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[19]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.105     ; 1.087      ;
-; 1.560 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[1]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.103     ; 1.134      ;
-; 1.567 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[12]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 1.140      ;
-; 1.567 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[11]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 1.140      ;
-; 1.568 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[17]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 1.141      ;
-; 1.568 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[9]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 1.141      ;
-; 1.568 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[10]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 1.141      ;
-; 1.570 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[5]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.103     ; 1.144      ;
-; 1.570 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[2]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.103     ; 1.144      ;
-; 1.570 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[6]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.103     ; 1.144      ;
-; 1.570 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[18]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.104     ; 1.143      ;
-+-------+-------------------------------------+---------------------------------------+--------------+--------------+--------------+------------+------------+
-
-
------------------------------------------------
-; Slow 1200mV 85C Model Metastability Summary ;
------------------------------------------------
-No synchronizer chains to report.
-
-
-+-------------------------------------------------------------------------------------------------------------+
-; Slow 1200mV 0C Model Fmax Summary                                                                           ;
-+------------+-----------------+--------------+---------------------------------------------------------------+
-; Fmax       ; Restricted Fmax ; Clock Name   ; Note                                                          ;
-+------------+-----------------+--------------+---------------------------------------------------------------+
-; 304.69 MHz ; 250.0 MHz       ; GPIO_0_PI[8] ; limit due to minimum period restriction (max I/O toggle rate) ;
-+------------+-----------------+--------------+---------------------------------------------------------------+
-This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods.  FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock.  Paths of different clocks, including generated clocks, are ignored.  For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
-
-
-+---------------------------------------+
-; Slow 1200mV 0C Model Setup Summary    ;
-+--------------+--------+---------------+
-; Clock        ; Slack  ; End Point TNS ;
-+--------------+--------+---------------+
-; GPIO_0_PI[8] ; -1.141 ; -34.310       ;
-+--------------+--------+---------------+
-
-
-+--------------------------------------+
-; Slow 1200mV 0C Model Hold Summary    ;
-+--------------+-------+---------------+
-; Clock        ; Slack ; End Point TNS ;
-+--------------+-------+---------------+
-; GPIO_0_PI[8] ; 0.320 ; 0.000         ;
-+--------------+-------+---------------+
-
-
------------------------------------------
-; Slow 1200mV 0C Model Recovery Summary ;
------------------------------------------
-No paths to report.
-
-
-----------------------------------------
-; Slow 1200mV 0C Model Removal Summary ;
-----------------------------------------
-No paths to report.
-
-
-+--------------------------------------------------+
-; Slow 1200mV 0C Model Minimum Pulse Width Summary ;
-+--------------+--------+--------------------------+
-; Clock        ; Slack  ; End Point TNS            ;
-+--------------+--------+--------------------------+
-; GPIO_0_PI[8] ; -3.000 ; -41.000                  ;
-+--------------+--------+--------------------------+
-
-
-+----------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Slow 1200mV 0C Model Setup: 'GPIO_0_PI[8]'                                                                                                               ;
-+--------+-------------------------------------+------------------------------------+--------------+--------------+--------------+------------+------------+
-; Slack  ; From Node                           ; To Node                            ; Launch Clock ; Latch Clock  ; Relationship ; Clock Skew ; Data Delay ;
-+--------+-------------------------------------+------------------------------------+--------------+--------------+--------------+------------+------------+
-; -1.141 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[20] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.441      ;
-; -1.141 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[23] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.441      ;
-; -1.139 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[22] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.439      ;
-; -1.139 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[24] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.439      ;
-; -1.138 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[27] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.438      ;
-; -1.136 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[19] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.436      ;
-; -1.136 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[25] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.436      ;
-; -1.134 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[30] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.434      ;
-; -1.134 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[20] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.434      ;
-; -1.134 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[23] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.434      ;
-; -1.133 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[28] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.433      ;
-; -1.133 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[31] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.433      ;
-; -1.132 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[26] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.432      ;
-; -1.132 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[29] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.432      ;
-; -1.132 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[22] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.432      ;
-; -1.132 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[24] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.432      ;
-; -1.131 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[27] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.431      ;
-; -1.129 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[19] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.429      ;
-; -1.129 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[25] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.429      ;
-; -1.127 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[30] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.427      ;
-; -1.126 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[28] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.426      ;
-; -1.126 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[31] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.426      ;
-; -1.125 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[26] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.425      ;
-; -1.125 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[29] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.425      ;
-; -1.078 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[7]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.380      ;
-; -1.078 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[4]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.380      ;
-; -1.078 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[3]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.380      ;
-; -1.077 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[6]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.379      ;
-; -1.077 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[1]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.379      ;
-; -1.076 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[2]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.378      ;
-; -1.074 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[13] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.376      ;
-; -1.074 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[5]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.376      ;
-; -1.071 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[7]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.373      ;
-; -1.071 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[4]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.373      ;
-; -1.071 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[3]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.373      ;
-; -1.070 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[6]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.372      ;
-; -1.070 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[1]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.372      ;
-; -1.069 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[2]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.371      ;
-; -1.067 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[13] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.369      ;
-; -1.067 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[5]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.369      ;
-; -0.998 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[21] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.298      ;
-; -0.991 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[21] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.291      ;
-; -0.989 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[20] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.289      ;
-; -0.989 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[23] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.289      ;
-; -0.987 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[22] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.287      ;
-; -0.987 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[24] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.287      ;
-; -0.986 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[27] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.286      ;
-; -0.984 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[19] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.284      ;
-; -0.984 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[25] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.284      ;
-; -0.982 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[30] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.282      ;
-; -0.981 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[28] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.281      ;
-; -0.981 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[31] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.281      ;
-; -0.980 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[26] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.280      ;
-; -0.980 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[29] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.280      ;
-; -0.956 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[16] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.257      ;
-; -0.955 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[14] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.256      ;
-; -0.955 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[8]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.256      ;
-; -0.955 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[15] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.256      ;
-; -0.955 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[17] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.256      ;
-; -0.954 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[18] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.255      ;
-; -0.954 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[11] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.255      ;
-; -0.954 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[9]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.255      ;
-; -0.954 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[10] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.255      ;
-; -0.953 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[12] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.254      ;
-; -0.949 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[16] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.250      ;
-; -0.948 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[14] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.249      ;
-; -0.948 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[8]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.249      ;
-; -0.948 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[15] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.249      ;
-; -0.948 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[17] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.249      ;
-; -0.947 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[18] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.248      ;
-; -0.947 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[11] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.248      ;
-; -0.947 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[9]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.248      ;
-; -0.947 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[10] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.248      ;
-; -0.946 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[12] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.194     ; 1.247      ;
-; -0.926 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[7]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.228      ;
-; -0.926 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[4]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.228      ;
-; -0.926 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[3]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.228      ;
-; -0.925 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[6]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.227      ;
-; -0.925 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[1]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.227      ;
-; -0.924 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[2]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.226      ;
-; -0.922 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[13] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.224      ;
-; -0.922 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[5]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.224      ;
-; -0.908 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[20] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.208      ;
-; -0.908 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[23] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.208      ;
-; -0.906 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[22] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.206      ;
-; -0.906 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[24] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.206      ;
-; -0.905 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[27] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.205      ;
-; -0.903 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[19] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.203      ;
-; -0.903 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[25] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.203      ;
-; -0.901 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[30] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.201      ;
-; -0.900 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[28] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.200      ;
-; -0.900 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[31] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.200      ;
-; -0.899 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[26] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.199      ;
-; -0.899 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[29] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.195     ; 1.199      ;
-; -0.845 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[7]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.147      ;
-; -0.845 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[4]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.147      ;
-; -0.845 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[3]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.147      ;
-; -0.844 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[6]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.146      ;
-; -0.844 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[1]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.146      ;
-; -0.843 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[2]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.193     ; 1.145      ;
-+--------+-------------------------------------+------------------------------------+--------------+--------------+--------------+------------+------------+
-
-
-+------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Slow 1200mV 0C Model Hold: 'GPIO_0_PI[8]'                                                                                                                  ;
-+-------+-------------------------------------+---------------------------------------+--------------+--------------+--------------+------------+------------+
-; Slack ; From Node                           ; To Node                               ; Launch Clock ; Latch Clock  ; Relationship ; Clock Skew ; Data Delay ;
-+-------+-------------------------------------+---------------------------------------+--------------+--------------+--------------+------------+------------+
-; 0.320 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|cnt[0]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.519      ;
-; 0.431 ; spi_slave:spi_slave_instance|q[10]  ; spi_slave:spi_slave_instance|q[11]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.630      ;
-; 0.432 ; spi_slave:spi_slave_instance|q[1]   ; spi_slave:spi_slave_instance|q[2]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.630      ;
-; 0.433 ; spi_slave:spi_slave_instance|q[17]  ; spi_slave:spi_slave_instance|q[18]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.632      ;
-; 0.434 ; spi_slave:spi_slave_instance|q[4]   ; spi_slave:spi_slave_instance|q[5]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.632      ;
-; 0.434 ; spi_slave:spi_slave_instance|q[3]   ; spi_slave:spi_slave_instance|q[4]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.632      ;
-; 0.434 ; spi_slave:spi_slave_instance|q[0]   ; spi_slave:spi_slave_instance|q[1]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.632      ;
-; 0.496 ; spi_slave:spi_slave_instance|q[23]  ; spi_slave:spi_slave_instance|q[24]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.694      ;
-; 0.497 ; spi_slave:spi_slave_instance|q[25]  ; spi_slave:spi_slave_instance|q[26]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.695      ;
-; 0.497 ; spi_slave:spi_slave_instance|q[22]  ; spi_slave:spi_slave_instance|q[23]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.695      ;
-; 0.498 ; spi_slave:spi_slave_instance|q[2]   ; spi_slave:spi_slave_instance|q[3]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.696      ;
-; 0.499 ; spi_slave:spi_slave_instance|q[21]  ; spi_slave:spi_slave_instance|q[22]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.697      ;
-; 0.499 ; spi_slave:spi_slave_instance|q[11]  ; spi_slave:spi_slave_instance|q[12]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.698      ;
-; 0.499 ; spi_slave:spi_slave_instance|q[9]   ; spi_slave:spi_slave_instance|q[10]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.698      ;
-; 0.499 ; spi_slave:spi_slave_instance|q[5]   ; spi_slave:spi_slave_instance|q[6]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.697      ;
-; 0.500 ; spi_slave:spi_slave_instance|q[16]  ; spi_slave:spi_slave_instance|q[17]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.699      ;
-; 0.501 ; spi_slave:spi_slave_instance|q[30]  ; spi_slave:spi_slave_instance|q[31]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.699      ;
-; 0.501 ; spi_slave:spi_slave_instance|q[29]  ; spi_slave:spi_slave_instance|q[30]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.699      ;
-; 0.501 ; spi_slave:spi_slave_instance|q[20]  ; spi_slave:spi_slave_instance|q[21]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.699      ;
-; 0.501 ; spi_slave:spi_slave_instance|q[14]  ; spi_slave:spi_slave_instance|q[15]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.700      ;
-; 0.501 ; spi_slave:spi_slave_instance|q[8]   ; spi_slave:spi_slave_instance|q[9]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.700      ;
-; 0.501 ; spi_slave:spi_slave_instance|q[6]   ; spi_slave:spi_slave_instance|q[7]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.699      ;
-; 0.502 ; spi_slave:spi_slave_instance|q[28]  ; spi_slave:spi_slave_instance|q[29]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.700      ;
-; 0.502 ; spi_slave:spi_slave_instance|q[27]  ; spi_slave:spi_slave_instance|q[28]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.700      ;
-; 0.502 ; spi_slave:spi_slave_instance|q[26]  ; spi_slave:spi_slave_instance|q[27]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.700      ;
-; 0.503 ; spi_slave:spi_slave_instance|q[24]  ; spi_slave:spi_slave_instance|q[25]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.701      ;
-; 0.503 ; spi_slave:spi_slave_instance|q[19]  ; spi_slave:spi_slave_instance|q[20]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.701      ;
-; 0.519 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|cnt[3]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.718      ;
-; 0.530 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|cnt[1]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.729      ;
-; 0.534 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|cnt[1]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.733      ;
-; 0.566 ; spi_slave:spi_slave_instance|q[15]  ; spi_slave:spi_slave_instance|q[16]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.765      ;
-; 0.566 ; spi_slave:spi_slave_instance|q[13]  ; spi_slave:spi_slave_instance|q[14]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.764      ;
-; 0.566 ; spi_slave:spi_slave_instance|q[7]   ; spi_slave:spi_slave_instance|q[8]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.054      ; 0.764      ;
-; 0.583 ; spi_slave:spi_slave_instance|q[12]  ; spi_slave:spi_slave_instance|q[13]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.782      ;
-; 0.592 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|cnt[4]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.791      ;
-; 0.600 ; spi_slave:spi_slave_instance|q[18]  ; spi_slave:spi_slave_instance|q[19]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.053      ; 0.797      ;
-; 0.647 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|cnt[2]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.846      ;
-; 0.768 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|cnt[4]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.967      ;
-; 0.769 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|cnt[2]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.968      ;
-; 0.769 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|cnt[2]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.968      ;
-; 0.776 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|cnt[3]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.975      ;
-; 0.776 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|cnt[3]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 0.975      ;
-; 0.808 ; spi_slave:spi_slave_instance|q[31]  ; spi_slave:spi_slave_instance|qdelayed ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; 0.194      ; 0.666      ;
-; 0.865 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|cnt[4]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 1.064      ;
-; 0.865 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|cnt[4]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 1.064      ;
-; 0.892 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|cnt[3]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 1.091      ;
-; 0.981 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|cnt[4]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.055      ; 1.180      ;
-; 1.137 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[15]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.717      ;
-; 1.141 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[17]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.721      ;
-; 1.144 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[9]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.724      ;
-; 1.144 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[10]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.724      ;
-; 1.144 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[12]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.724      ;
-; 1.208 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[18]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.788      ;
-; 1.215 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[14]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.795      ;
-; 1.215 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[8]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.795      ;
-; 1.216 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[11]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.796      ;
-; 1.217 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[16]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.797      ;
-; 1.237 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[21]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.086     ; 0.815      ;
-; 1.308 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[20]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.086     ; 0.886      ;
-; 1.309 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[23]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.086     ; 0.887      ;
-; 1.310 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[24]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.086     ; 0.888      ;
-; 1.312 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[22]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.086     ; 0.890      ;
-; 1.314 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[27]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.086     ; 0.892      ;
-; 1.317 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[31]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.086     ; 0.895      ;
-; 1.318 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[30]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.086     ; 0.896      ;
-; 1.319 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[28]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.086     ; 0.897      ;
-; 1.320 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[25]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.086     ; 0.898      ;
-; 1.320 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[29]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.086     ; 0.898      ;
-; 1.321 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[26]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.086     ; 0.899      ;
-; 1.328 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[7]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.908      ;
-; 1.328 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[4]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.908      ;
-; 1.335 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[5]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.915      ;
-; 1.336 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[13]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.916      ;
-; 1.336 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[2]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.916      ;
-; 1.337 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[6]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.917      ;
-; 1.338 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[3]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.918      ;
-; 1.350 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[1]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.930      ;
-; 1.358 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[21]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.086     ; 0.936      ;
-; 1.361 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[9]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.941      ;
-; 1.361 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[12]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.941      ;
-; 1.361 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[11]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.941      ;
-; 1.362 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[17]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.942      ;
-; 1.362 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[10]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.942      ;
-; 1.363 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[18]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.943      ;
-; 1.363 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[8]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.943      ;
-; 1.363 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[16]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.943      ;
-; 1.366 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[15]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.946      ;
-; 1.366 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[14]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 0.946      ;
-; 1.383 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[21]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.086     ; 0.961      ;
-; 1.395 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[19]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.086     ; 0.973      ;
-; 1.438 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[1]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 1.018      ;
-; 1.445 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[9]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 1.025      ;
-; 1.445 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[12]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 1.025      ;
-; 1.445 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[11]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 1.025      ;
-; 1.446 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[17]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 1.026      ;
-; 1.446 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[10]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 1.026      ;
-; 1.447 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[18]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 1.027      ;
-; 1.447 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[8]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 1.027      ;
-; 1.447 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[16]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 1.027      ;
-; 1.450 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[15]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.084     ; 1.030      ;
-+-------+-------------------------------------+---------------------------------------+--------------+--------------+--------------+------------+------------+
-
-
-----------------------------------------------
-; Slow 1200mV 0C Model Metastability Summary ;
-----------------------------------------------
-No synchronizer chains to report.
-
-
-+---------------------------------------+
-; Fast 1200mV 0C Model Setup Summary    ;
-+--------------+--------+---------------+
-; Clock        ; Slack  ; End Point TNS ;
-+--------------+--------+---------------+
-; GPIO_0_PI[8] ; -0.818 ; -23.965       ;
-+--------------+--------+---------------+
-
-
-+--------------------------------------+
-; Fast 1200mV 0C Model Hold Summary    ;
-+--------------+-------+---------------+
-; Clock        ; Slack ; End Point TNS ;
-+--------------+-------+---------------+
-; GPIO_0_PI[8] ; 0.192 ; 0.000         ;
-+--------------+-------+---------------+
-
-
------------------------------------------
-; Fast 1200mV 0C Model Recovery Summary ;
------------------------------------------
-No paths to report.
-
-
-----------------------------------------
-; Fast 1200mV 0C Model Removal Summary ;
-----------------------------------------
-No paths to report.
-
-
-+--------------------------------------------------+
-; Fast 1200mV 0C Model Minimum Pulse Width Summary ;
-+--------------+--------+--------------------------+
-; Clock        ; Slack  ; End Point TNS            ;
-+--------------+--------+--------------------------+
-; GPIO_0_PI[8] ; -3.000 ; -43.505                  ;
-+--------------+--------+--------------------------+
-
-
-+----------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Fast 1200mV 0C Model Setup: 'GPIO_0_PI[8]'                                                                                                               ;
-+--------+-------------------------------------+------------------------------------+--------------+--------------+--------------+------------+------------+
-; Slack  ; From Node                           ; To Node                            ; Launch Clock ; Latch Clock  ; Relationship ; Clock Skew ; Data Delay ;
-+--------+-------------------------------------+------------------------------------+--------------+--------------+--------------+------------+------------+
-; -0.818 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[20] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.874      ;
-; -0.818 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[23] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.874      ;
-; -0.818 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[24] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.874      ;
-; -0.817 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[22] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.873      ;
-; -0.817 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[27] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.873      ;
-; -0.817 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[28] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.873      ;
-; -0.816 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[26] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.872      ;
-; -0.816 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[29] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.872      ;
-; -0.816 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[30] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.872      ;
-; -0.816 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[31] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.872      ;
-; -0.815 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[19] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.871      ;
-; -0.815 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[25] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.871      ;
-; -0.813 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[20] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.869      ;
-; -0.813 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[23] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.869      ;
-; -0.813 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[24] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.869      ;
-; -0.812 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[22] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.868      ;
-; -0.812 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[27] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.868      ;
-; -0.812 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[28] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.868      ;
-; -0.811 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[26] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.867      ;
-; -0.811 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[29] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.867      ;
-; -0.811 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[30] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.867      ;
-; -0.811 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[31] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.867      ;
-; -0.810 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[19] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.866      ;
-; -0.810 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[25] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.866      ;
-; -0.779 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[13] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.836      ;
-; -0.779 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[7]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.836      ;
-; -0.779 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[5]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.836      ;
-; -0.779 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[4]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.836      ;
-; -0.779 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[2]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.836      ;
-; -0.779 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[1]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.836      ;
-; -0.778 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[6]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.835      ;
-; -0.778 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[3]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.835      ;
-; -0.775 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[21] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.831      ;
-; -0.774 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[13] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.831      ;
-; -0.774 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[7]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.831      ;
-; -0.774 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[5]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.831      ;
-; -0.774 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[4]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.831      ;
-; -0.774 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[2]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.831      ;
-; -0.774 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[1]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.831      ;
-; -0.773 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[6]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.830      ;
-; -0.773 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[3]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.830      ;
-; -0.751 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[21] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.807      ;
-; -0.718 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[18] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.775      ;
-; -0.717 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[10] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.774      ;
-; -0.716 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[16] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.773      ;
-; -0.716 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[11] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.773      ;
-; -0.716 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[9]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.773      ;
-; -0.716 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[12] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.773      ;
-; -0.716 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[15] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.773      ;
-; -0.716 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[17] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.773      ;
-; -0.716 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[24] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.772      ;
-; -0.715 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[14] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.772      ;
-; -0.715 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[8]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.772      ;
-; -0.715 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[20] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.771      ;
-; -0.715 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[23] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.771      ;
-; -0.714 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[22] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.770      ;
-; -0.714 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[27] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.770      ;
-; -0.713 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[26] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.769      ;
-; -0.713 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[28] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.769      ;
-; -0.713 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[29] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.769      ;
-; -0.713 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[31] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.769      ;
-; -0.713 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[18] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.770      ;
-; -0.712 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[19] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.768      ;
-; -0.712 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[25] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.768      ;
-; -0.712 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[30] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.768      ;
-; -0.712 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[10] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.769      ;
-; -0.711 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[16] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.768      ;
-; -0.711 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[11] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.768      ;
-; -0.711 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[9]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.768      ;
-; -0.711 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[12] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.768      ;
-; -0.711 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[15] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.768      ;
-; -0.711 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[17] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.768      ;
-; -0.710 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[14] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.767      ;
-; -0.710 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|q[8]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.767      ;
-; -0.673 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[19] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.729      ;
-; -0.670 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[13] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.727      ;
-; -0.670 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[7]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.727      ;
-; -0.670 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[5]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.727      ;
-; -0.670 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[4]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.727      ;
-; -0.670 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[2]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.727      ;
-; -0.670 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[1]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.727      ;
-; -0.669 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[6]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.726      ;
-; -0.669 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[3]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.726      ;
-; -0.665 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[20] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.721      ;
-; -0.665 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[23] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.721      ;
-; -0.665 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[24] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.721      ;
-; -0.664 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[22] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.720      ;
-; -0.664 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[27] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.720      ;
-; -0.664 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[28] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.720      ;
-; -0.663 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[26] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.719      ;
-; -0.663 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[29] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.719      ;
-; -0.663 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[30] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.719      ;
-; -0.663 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[31] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.719      ;
-; -0.662 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[19] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.718      ;
-; -0.662 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[25] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.431     ; 0.718      ;
-; -0.626 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[13] ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.683      ;
-; -0.626 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[7]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.683      ;
-; -0.626 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[5]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.683      ;
-; -0.626 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[4]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.683      ;
-; -0.626 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[2]  ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.500        ; -0.430     ; 0.683      ;
-+--------+-------------------------------------+------------------------------------+--------------+--------------+--------------+------------+------------+
-
-
-+------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Fast 1200mV 0C Model Hold: 'GPIO_0_PI[8]'                                                                                                                  ;
-+-------+-------------------------------------+---------------------------------------+--------------+--------------+--------------+------------+------------+
-; Slack ; From Node                           ; To Node                               ; Launch Clock ; Latch Clock  ; Relationship ; Clock Skew ; Data Delay ;
-+-------+-------------------------------------+---------------------------------------+--------------+--------------+--------------+------------+------------+
-; 0.192 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|cnt[0]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.038      ; 0.314      ;
-; 0.252 ; spi_slave:spi_slave_instance|q[1]   ; spi_slave:spi_slave_instance|q[2]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.373      ;
-; 0.253 ; spi_slave:spi_slave_instance|q[10]  ; spi_slave:spi_slave_instance|q[11]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.036      ; 0.373      ;
-; 0.253 ; spi_slave:spi_slave_instance|q[4]   ; spi_slave:spi_slave_instance|q[5]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.374      ;
-; 0.254 ; spi_slave:spi_slave_instance|q[3]   ; spi_slave:spi_slave_instance|q[4]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.375      ;
-; 0.254 ; spi_slave:spi_slave_instance|q[0]   ; spi_slave:spi_slave_instance|q[1]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.375      ;
-; 0.255 ; spi_slave:spi_slave_instance|q[17]  ; spi_slave:spi_slave_instance|q[18]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.036      ; 0.375      ;
-; 0.294 ; spi_slave:spi_slave_instance|q[25]  ; spi_slave:spi_slave_instance|q[26]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.415      ;
-; 0.294 ; spi_slave:spi_slave_instance|q[23]  ; spi_slave:spi_slave_instance|q[24]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.415      ;
-; 0.294 ; spi_slave:spi_slave_instance|q[22]  ; spi_slave:spi_slave_instance|q[23]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.415      ;
-; 0.294 ; spi_slave:spi_slave_instance|q[2]   ; spi_slave:spi_slave_instance|q[3]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.415      ;
-; 0.295 ; spi_slave:spi_slave_instance|q[30]  ; spi_slave:spi_slave_instance|q[31]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.416      ;
-; 0.295 ; spi_slave:spi_slave_instance|q[28]  ; spi_slave:spi_slave_instance|q[29]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.416      ;
-; 0.295 ; spi_slave:spi_slave_instance|q[27]  ; spi_slave:spi_slave_instance|q[28]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.416      ;
-; 0.295 ; spi_slave:spi_slave_instance|q[21]  ; spi_slave:spi_slave_instance|q[22]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.416      ;
-; 0.295 ; spi_slave:spi_slave_instance|q[9]   ; spi_slave:spi_slave_instance|q[10]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.036      ; 0.415      ;
-; 0.295 ; spi_slave:spi_slave_instance|q[5]   ; spi_slave:spi_slave_instance|q[6]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.416      ;
-; 0.296 ; spi_slave:spi_slave_instance|q[29]  ; spi_slave:spi_slave_instance|q[30]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.417      ;
-; 0.296 ; spi_slave:spi_slave_instance|q[26]  ; spi_slave:spi_slave_instance|q[27]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.417      ;
-; 0.296 ; spi_slave:spi_slave_instance|q[20]  ; spi_slave:spi_slave_instance|q[21]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.417      ;
-; 0.296 ; spi_slave:spi_slave_instance|q[16]  ; spi_slave:spi_slave_instance|q[17]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.036      ; 0.416      ;
-; 0.296 ; spi_slave:spi_slave_instance|q[11]  ; spi_slave:spi_slave_instance|q[12]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.036      ; 0.416      ;
-; 0.296 ; spi_slave:spi_slave_instance|q[6]   ; spi_slave:spi_slave_instance|q[7]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.417      ;
-; 0.297 ; spi_slave:spi_slave_instance|q[19]  ; spi_slave:spi_slave_instance|q[20]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.418      ;
-; 0.297 ; spi_slave:spi_slave_instance|q[14]  ; spi_slave:spi_slave_instance|q[15]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.036      ; 0.417      ;
-; 0.298 ; spi_slave:spi_slave_instance|q[24]  ; spi_slave:spi_slave_instance|q[25]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.419      ;
-; 0.298 ; spi_slave:spi_slave_instance|q[8]   ; spi_slave:spi_slave_instance|q[9]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.036      ; 0.418      ;
-; 0.308 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|cnt[3]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.038      ; 0.430      ;
-; 0.314 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|cnt[1]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.038      ; 0.436      ;
-; 0.315 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|cnt[1]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.038      ; 0.437      ;
-; 0.321 ; spi_slave:spi_slave_instance|q[13]  ; spi_slave:spi_slave_instance|q[14]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.036      ; 0.441      ;
-; 0.322 ; spi_slave:spi_slave_instance|q[15]  ; spi_slave:spi_slave_instance|q[16]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.036      ; 0.442      ;
-; 0.322 ; spi_slave:spi_slave_instance|q[7]   ; spi_slave:spi_slave_instance|q[8]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.036      ; 0.442      ;
-; 0.328 ; spi_slave:spi_slave_instance|q[12]  ; spi_slave:spi_slave_instance|q[13]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.037      ; 0.449      ;
-; 0.338 ; spi_slave:spi_slave_instance|q[18]  ; spi_slave:spi_slave_instance|q[19]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.036      ; 0.458      ;
-; 0.355 ; spi_slave:spi_slave_instance|q[31]  ; spi_slave:spi_slave_instance|qdelayed ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; 0.430      ; 0.389      ;
-; 0.362 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|cnt[4]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.038      ; 0.484      ;
-; 0.375 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|cnt[2]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.038      ; 0.497      ;
-; 0.466 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|cnt[4]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.038      ; 0.588      ;
-; 0.467 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|cnt[2]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.038      ; 0.589      ;
-; 0.467 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|cnt[2]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.038      ; 0.589      ;
-; 0.470 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|cnt[3]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.038      ; 0.592      ;
-; 0.470 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|cnt[3]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.038      ; 0.592      ;
-; 0.524 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|cnt[3]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.038      ; 0.646      ;
-; 0.533 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|cnt[4]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.038      ; 0.655      ;
-; 0.533 ; spi_slave:spi_slave_instance|cnt[1] ; spi_slave:spi_slave_instance|cnt[4]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.038      ; 0.655      ;
-; 0.587 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|cnt[4]   ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 0.000        ; 0.038      ; 0.709      ;
-; 1.189 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[15]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.438      ;
-; 1.194 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[17]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.443      ;
-; 1.195 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[12]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.444      ;
-; 1.196 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[9]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.445      ;
-; 1.196 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[10]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.445      ;
-; 1.218 ; spi_slave:spi_slave_instance|cnt[0] ; spi_slave:spi_slave_instance|q[21]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.467      ;
-; 1.234 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[18]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.483      ;
-; 1.237 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[8]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.486      ;
-; 1.239 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[14]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.488      ;
-; 1.240 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[16]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.489      ;
-; 1.242 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[11]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.491      ;
-; 1.290 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[23]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.539      ;
-; 1.290 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[24]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.539      ;
-; 1.291 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[7]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.354     ; 0.541      ;
-; 1.291 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[20]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.540      ;
-; 1.292 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[4]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.354     ; 0.542      ;
-; 1.292 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[22]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.541      ;
-; 1.293 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[27]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.542      ;
-; 1.294 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[28]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.543      ;
-; 1.295 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[5]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.354     ; 0.545      ;
-; 1.295 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[26]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.544      ;
-; 1.295 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[29]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.544      ;
-; 1.296 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[13]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.354     ; 0.546      ;
-; 1.296 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[30]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.545      ;
-; 1.296 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[31]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.545      ;
-; 1.297 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[6]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.354     ; 0.547      ;
-; 1.297 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[2]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.354     ; 0.547      ;
-; 1.298 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[3]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.354     ; 0.548      ;
-; 1.298 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[25]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.547      ;
-; 1.298 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[21]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.547      ;
-; 1.299 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[21]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.548      ;
-; 1.303 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[1]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.354     ; 0.553      ;
-; 1.325 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[17]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.574      ;
-; 1.325 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[16]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.574      ;
-; 1.325 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[11]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.574      ;
-; 1.326 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[12]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.575      ;
-; 1.326 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[9]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.575      ;
-; 1.326 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[8]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.575      ;
-; 1.326 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[14]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.575      ;
-; 1.327 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[15]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.576      ;
-; 1.327 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[10]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.576      ;
-; 1.328 ; spi_slave:spi_slave_instance|cnt[3] ; spi_slave:spi_slave_instance|q[18]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.577      ;
-; 1.349 ; spi_slave:spi_slave_instance|cnt[4] ; spi_slave:spi_slave_instance|q[19]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.598      ;
-; 1.375 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[17]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.624      ;
-; 1.375 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[16]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.624      ;
-; 1.375 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[11]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.624      ;
-; 1.376 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[12]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.625      ;
-; 1.376 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[9]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.625      ;
-; 1.376 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[8]     ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.625      ;
-; 1.376 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[14]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.625      ;
-; 1.377 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[15]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.626      ;
-; 1.377 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[10]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.626      ;
-; 1.378 ; spi_slave:spi_slave_instance|cnt[2] ; spi_slave:spi_slave_instance|q[18]    ; GPIO_0_PI[8] ; GPIO_0_PI[8] ; -0.500       ; -0.355     ; 0.627      ;
-+-------+-------------------------------------+---------------------------------------+--------------+--------------+--------------+------------+------------+
-
-
-----------------------------------------------
-; Fast 1200mV 0C Model Metastability Summary ;
-----------------------------------------------
-No synchronizer chains to report.
-
-
-+-------------------------------------------------------------------------------+
-; Multicorner Timing Analysis Summary                                           ;
-+------------------+---------+-------+----------+---------+---------------------+
-; Clock            ; Setup   ; Hold  ; Recovery ; Removal ; Minimum Pulse Width ;
-+------------------+---------+-------+----------+---------+---------------------+
-; Worst-case Slack ; -1.327  ; 0.192 ; N/A      ; N/A     ; -3.000              ;
-;  GPIO_0_PI[8]    ; -1.327  ; 0.192 ; N/A      ; N/A     ; -3.000              ;
-; Design-wide TNS  ; -40.855 ; 0.0   ; 0.0      ; 0.0     ; -43.505             ;
-;  GPIO_0_PI[8]    ; -40.855 ; 0.000 ; N/A      ; N/A     ; -43.505             ;
-+------------------+---------+-------+----------+---------+---------------------+
-
-
-+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Board Trace Model Assignments                                                                                                                                                                                                                                                                                                                                                                                    ;
-+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
-; Pin           ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ;
-+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
-; LED[0]        ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; LED[1]        ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; LED[2]        ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; LED[3]        ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; LED[4]        ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; LED[5]        ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; LED[6]        ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; LED[7]        ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_2[0]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_2[1]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_2[2]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_2[3]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_2[4]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_2[5]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_2[6]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_2[7]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_2[8]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_2[9]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_2[10]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_2[11]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_2[12]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[0]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[1]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[2]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[3]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[4]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[5]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[6]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[7]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[12] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[13] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[14] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[15] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[16] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[17] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[18] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[19] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[20] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[21] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[22] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[23] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[24] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[25] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[26] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[27] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[28] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[29] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[30] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[32] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[33] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[0]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[1]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[2]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[3]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[4]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[5]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[6]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[7]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[8]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[9]     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[10]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[11]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[12]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[13]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[14]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[15]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[16]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[17]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[18]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[19]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[20]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[21]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[22]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[23]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[24]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[25]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[26]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[27]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[28]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[29]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[30]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[31]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[32]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_1[33]    ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[8]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[9]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[10] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[11] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; GPIO_0_PI[31] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; ~ALTERA_DCLK~ ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-; ~ALTERA_nCEO~ ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
-+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
-
-
-+----------------------------------------------------------------------------+
-; Input Transition Times                                                     ;
-+-------------------------+--------------+-----------------+-----------------+
-; Pin                     ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ;
-+-------------------------+--------------+-----------------+-----------------+
-; CLOCK_50                ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; KEY[0]                  ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; KEY[1]                  ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_2_IN[0]            ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_2_IN[1]            ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_2_IN[2]            ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI_IN[0]         ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI_IN[1]         ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1_IN[0]            ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1_IN[1]            ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_2[0]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_2[1]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_2[2]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_2[3]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_2[4]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_2[5]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_2[6]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_2[7]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_2[8]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_2[9]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_2[10]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_2[11]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_2[12]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[0]            ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[1]            ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[2]            ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[3]            ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[4]            ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[5]            ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[6]            ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[7]            ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[12]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[13]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[14]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[15]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[16]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[17]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[18]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[19]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[20]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[21]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[22]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[23]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[24]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[25]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[26]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[27]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[28]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[29]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[30]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[32]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[33]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[0]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[1]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[2]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[3]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[4]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[5]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[6]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[7]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[8]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[9]               ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[10]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[11]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[12]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[13]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[14]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[15]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[16]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[17]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[18]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[19]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[20]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[21]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[22]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[23]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[24]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[25]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[26]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[27]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[28]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[29]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[30]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[31]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[32]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_1[33]              ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[8]            ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[9]            ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[10]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[11]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; GPIO_0_PI[31]           ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; ~ALTERA_ASDO_DATA1~     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; ~ALTERA_FLASH_nCE_nCSO~ ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-; ~ALTERA_DATA0~          ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
-+-------------------------+--------------+-----------------+-----------------+
-
-
-+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Signal Integrity Metrics (Slow 1200mv 0c Model)                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                            ;
-+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
-; Pin           ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
-+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
-; LED[0]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; LED[1]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; LED[2]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; LED[3]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; LED[4]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; LED[5]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.33 V              ; -0.00624 V          ; 0.185 V                              ; 0.097 V                              ; 2.82e-09 s                  ; 2.56e-09 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.33 V             ; -0.00624 V         ; 0.185 V                             ; 0.097 V                             ; 2.82e-09 s                 ; 2.56e-09 s                 ; No                        ; Yes                       ;
-; LED[6]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.39 V              ; -0.0265 V           ; 0.2 V                                ; 0.033 V                              ; 2.94e-10 s                  ; 3.12e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.39 V             ; -0.0265 V          ; 0.2 V                               ; 0.033 V                             ; 2.94e-10 s                 ; 3.12e-10 s                 ; Yes                       ; Yes                       ;
-; LED[7]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.33 V              ; -0.00624 V          ; 0.185 V                              ; 0.097 V                              ; 2.82e-09 s                  ; 2.56e-09 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.33 V             ; -0.00624 V         ; 0.185 V                             ; 0.097 V                             ; 2.82e-09 s                 ; 2.56e-09 s                 ; No                        ; Yes                       ;
-; GPIO_2[0]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_2[1]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_2[2]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[3]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.39 V              ; -0.0265 V           ; 0.2 V                                ; 0.033 V                              ; 2.94e-10 s                  ; 3.12e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.39 V             ; -0.0265 V          ; 0.2 V                               ; 0.033 V                             ; 2.94e-10 s                 ; 3.12e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[4]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_2[5]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[6]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_2[7]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_2[8]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_2[9]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_2[10]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_2[11]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_2[12]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.39 V              ; -0.0265 V           ; 0.2 V                                ; 0.033 V                              ; 2.94e-10 s                  ; 3.12e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.39 V             ; -0.0265 V          ; 0.2 V                               ; 0.033 V                             ; 2.94e-10 s                 ; 3.12e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[3]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.33 V              ; -0.00616 V          ; 0.191 V                              ; 0.099 V                              ; 2.83e-09 s                  ; 2.56e-09 s                  ; No                         ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.33 V             ; -0.00616 V         ; 0.191 V                             ; 0.099 V                             ; 2.83e-09 s                 ; 2.56e-09 s                 ; No                        ; Yes                       ;
-; GPIO_0_PI[4]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[5]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[6]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.39 V              ; -0.0265 V           ; 0.2 V                                ; 0.033 V                              ; 2.94e-10 s                  ; 3.12e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.39 V             ; -0.0265 V          ; 0.2 V                               ; 0.033 V                             ; 2.94e-10 s                 ; 3.12e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[7]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[12] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[13] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[14] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[15] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[16] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[17] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[18] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[19] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[20] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[21] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[22] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[23] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[24] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[25] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[26] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[27] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[28] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.33 V              ; -0.00616 V          ; 0.191 V                              ; 0.099 V                              ; 2.83e-09 s                  ; 2.56e-09 s                  ; No                         ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.33 V             ; -0.00616 V         ; 0.191 V                             ; 0.099 V                             ; 2.83e-09 s                 ; 2.56e-09 s                 ; No                        ; Yes                       ;
-; GPIO_0_PI[29] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[30] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[32] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[33] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.39 V              ; -0.0265 V           ; 0.2 V                                ; 0.033 V                              ; 2.94e-10 s                  ; 3.12e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.39 V             ; -0.0265 V          ; 0.2 V                               ; 0.033 V                             ; 2.94e-10 s                 ; 3.12e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[0]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_1[1]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_1[2]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[3]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[4]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_1[5]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[6]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_1[7]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_1[8]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[9]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_1[10]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[11]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_1[12]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.39 V              ; -0.0265 V           ; 0.2 V                                ; 0.033 V                              ; 2.94e-10 s                  ; 3.12e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.39 V             ; -0.0265 V          ; 0.2 V                               ; 0.033 V                             ; 2.94e-10 s                 ; 3.12e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[13]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_1[14]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[15]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[16]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_1[17]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_1[18]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[19]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[20]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[21]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_1[22]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_1[23]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_1[24]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_1[25]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.39 V              ; -0.0265 V           ; 0.2 V                                ; 0.033 V                              ; 2.94e-10 s                  ; 3.12e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.39 V             ; -0.0265 V          ; 0.2 V                               ; 0.033 V                             ; 2.94e-10 s                 ; 3.12e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[26]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_1[27]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_1[28]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[29]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[30]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_1[31]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_1[32]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_1[33]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.39 V              ; -0.0265 V           ; 0.2 V                                ; 0.033 V                              ; 2.94e-10 s                  ; 3.12e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.39 V             ; -0.0265 V          ; 0.2 V                               ; 0.033 V                             ; 2.94e-10 s                 ; 3.12e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[8]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[9]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[10] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[11] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; GPIO_0_PI[31] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
-; ~ALTERA_DCLK~ ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 3.45e-09 V                   ; 2.38 V              ; -0.0609 V           ; 0.148 V                              ; 0.095 V                              ; 2.82e-10 s                  ; 2.59e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 3.45e-09 V                  ; 2.38 V             ; -0.0609 V          ; 0.148 V                             ; 0.095 V                             ; 2.82e-10 s                 ; 2.59e-10 s                 ; Yes                       ; Yes                       ;
-; ~ALTERA_nCEO~ ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.61e-09 V                   ; 2.38 V              ; -0.00274 V          ; 0.141 V                              ; 0.006 V                              ; 4.7e-10 s                   ; 6.02e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 5.61e-09 V                  ; 2.38 V             ; -0.00274 V         ; 0.141 V                             ; 0.006 V                             ; 4.7e-10 s                  ; 6.02e-10 s                 ; Yes                       ; Yes                       ;
-+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
-
-
-+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Signal Integrity Metrics (Slow 1200mv 85c Model)                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                           ;
-+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
-; Pin           ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
-+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
-; LED[0]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; LED[1]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; LED[2]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; LED[3]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; LED[4]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; LED[5]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.33 V              ; -0.00349 V          ; 0.163 V                              ; 0.074 V                              ; 3.33e-09 s                  ; 3.14e-09 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.33 V             ; -0.00349 V         ; 0.163 V                             ; 0.074 V                             ; 3.33e-09 s                 ; 3.14e-09 s                 ; Yes                       ; Yes                       ;
-; LED[6]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.36 V              ; -0.00476 V          ; 0.096 V                              ; 0.013 V                              ; 4.39e-10 s                  ; 4.15e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.36 V             ; -0.00476 V         ; 0.096 V                             ; 0.013 V                             ; 4.39e-10 s                 ; 4.15e-10 s                 ; Yes                       ; Yes                       ;
-; LED[7]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.33 V              ; -0.00349 V          ; 0.163 V                              ; 0.074 V                              ; 3.33e-09 s                  ; 3.14e-09 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.33 V             ; -0.00349 V         ; 0.163 V                             ; 0.074 V                             ; 3.33e-09 s                 ; 3.14e-09 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[0]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[1]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[2]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; GPIO_2[3]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.36 V              ; -0.00476 V          ; 0.096 V                              ; 0.013 V                              ; 4.39e-10 s                  ; 4.15e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.36 V             ; -0.00476 V         ; 0.096 V                             ; 0.013 V                             ; 4.39e-10 s                 ; 4.15e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[4]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[5]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; GPIO_2[6]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[7]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[8]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[9]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[10]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[11]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[12]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; GPIO_0_PI[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.36 V              ; -0.00476 V          ; 0.096 V                              ; 0.013 V                              ; 4.39e-10 s                  ; 4.15e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.36 V             ; -0.00476 V         ; 0.096 V                             ; 0.013 V                             ; 4.39e-10 s                 ; 4.15e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[3]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.33 V              ; -0.00344 V          ; 0.134 V                              ; 0.075 V                              ; 3.33e-09 s                  ; 3.16e-09 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.33 V             ; -0.00344 V         ; 0.134 V                             ; 0.075 V                             ; 3.33e-09 s                 ; 3.16e-09 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[4]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[5]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[6]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.36 V              ; -0.00476 V          ; 0.096 V                              ; 0.013 V                              ; 4.39e-10 s                  ; 4.15e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.36 V             ; -0.00476 V         ; 0.096 V                             ; 0.013 V                             ; 4.39e-10 s                 ; 4.15e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[7]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[12] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; GPIO_0_PI[13] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; GPIO_0_PI[14] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[15] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[16] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[17] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[18] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[19] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[20] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; GPIO_0_PI[21] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[22] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[23] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[24] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[25] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[26] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[27] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[28] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.33 V              ; -0.00344 V          ; 0.134 V                              ; 0.075 V                              ; 3.33e-09 s                  ; 3.16e-09 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.33 V             ; -0.00344 V         ; 0.134 V                             ; 0.075 V                             ; 3.33e-09 s                 ; 3.16e-09 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[29] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[30] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[32] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[33] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.36 V              ; -0.00476 V          ; 0.096 V                              ; 0.013 V                              ; 4.39e-10 s                  ; 4.15e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.36 V             ; -0.00476 V         ; 0.096 V                             ; 0.013 V                             ; 4.39e-10 s                 ; 4.15e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[0]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[1]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[2]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; GPIO_1[3]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; GPIO_1[4]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[5]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; GPIO_1[6]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[7]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[8]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; GPIO_1[9]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[10]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; GPIO_1[11]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[12]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.36 V              ; -0.00476 V          ; 0.096 V                              ; 0.013 V                              ; 4.39e-10 s                  ; 4.15e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.36 V             ; -0.00476 V         ; 0.096 V                             ; 0.013 V                             ; 4.39e-10 s                 ; 4.15e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[13]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[14]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; GPIO_1[15]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; GPIO_1[16]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[17]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[18]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; GPIO_1[19]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; GPIO_1[20]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; GPIO_1[21]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[22]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[23]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[24]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[25]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.36 V              ; -0.00476 V          ; 0.096 V                              ; 0.013 V                              ; 4.39e-10 s                  ; 4.15e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.36 V             ; -0.00476 V         ; 0.096 V                             ; 0.013 V                             ; 4.39e-10 s                 ; 4.15e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[26]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[27]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[28]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; GPIO_1[29]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; GPIO_1[30]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[31]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[32]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[33]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.36 V              ; -0.00476 V          ; 0.096 V                              ; 0.013 V                              ; 4.39e-10 s                  ; 4.15e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.36 V             ; -0.00476 V         ; 0.096 V                             ; 0.013 V                             ; 4.39e-10 s                 ; 4.15e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[8]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
-; GPIO_0_PI[9]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[10] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[11] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[31] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
-; ~ALTERA_DCLK~ ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.74e-07 V                   ; 2.36 V              ; -0.0201 V           ; 0.072 V                              ; 0.033 V                              ; 4.04e-10 s                  ; 3.29e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 5.74e-07 V                  ; 2.36 V             ; -0.0201 V          ; 0.072 V                             ; 0.033 V                             ; 4.04e-10 s                 ; 3.29e-10 s                 ; Yes                       ; Yes                       ;
-; ~ALTERA_nCEO~ ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 9.45e-07 V                   ; 2.35 V              ; -0.00643 V          ; 0.081 V                              ; 0.031 V                              ; 5.31e-10 s                  ; 7.59e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 9.45e-07 V                  ; 2.35 V             ; -0.00643 V         ; 0.081 V                             ; 0.031 V                             ; 5.31e-10 s                 ; 7.59e-10 s                 ; Yes                       ; Yes                       ;
-+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
-
-
-+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Signal Integrity Metrics (Fast 1200mv 0c Model)                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                            ;
-+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
-; Pin           ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
-+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
-; LED[0]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; LED[1]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; LED[2]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; LED[3]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; LED[4]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; LED[5]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.64 V              ; -0.0117 V           ; 0.202 V                              ; 0.176 V                              ; 2.38e-09 s                  ; 2.22e-09 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.64 V             ; -0.0117 V          ; 0.202 V                             ; 0.176 V                             ; 2.38e-09 s                 ; 2.22e-09 s                 ; No                        ; Yes                       ;
-; LED[6]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.73 V              ; -0.0384 V           ; 0.169 V                              ; 0.089 V                              ; 2.7e-10 s                   ; 2.62e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.73 V             ; -0.0384 V          ; 0.169 V                             ; 0.089 V                             ; 2.7e-10 s                  ; 2.62e-10 s                 ; Yes                       ; Yes                       ;
-; LED[7]        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.64 V              ; -0.0117 V           ; 0.202 V                              ; 0.176 V                              ; 2.38e-09 s                  ; 2.22e-09 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.64 V             ; -0.0117 V          ; 0.202 V                             ; 0.176 V                             ; 2.38e-09 s                 ; 2.22e-09 s                 ; No                        ; Yes                       ;
-; GPIO_2[0]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[1]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[2]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; GPIO_2[3]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.73 V              ; -0.0384 V           ; 0.169 V                              ; 0.089 V                              ; 2.7e-10 s                   ; 2.62e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.73 V             ; -0.0384 V          ; 0.169 V                             ; 0.089 V                             ; 2.7e-10 s                  ; 2.62e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[4]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[5]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; GPIO_2[6]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[7]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[8]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[9]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[10]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[11]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_2[12]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; GPIO_0_PI[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.73 V              ; -0.0384 V           ; 0.169 V                              ; 0.089 V                              ; 2.7e-10 s                   ; 2.62e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.73 V             ; -0.0384 V          ; 0.169 V                             ; 0.089 V                             ; 2.7e-10 s                  ; 2.62e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[3]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.64 V              ; -0.0113 V           ; 0.208 V                              ; 0.179 V                              ; 2.38e-09 s                  ; 2.23e-09 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.64 V             ; -0.0113 V          ; 0.208 V                             ; 0.179 V                             ; 2.38e-09 s                 ; 2.23e-09 s                 ; No                        ; Yes                       ;
-; GPIO_0_PI[4]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[5]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[6]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.73 V              ; -0.0384 V           ; 0.169 V                              ; 0.089 V                              ; 2.7e-10 s                   ; 2.62e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.73 V             ; -0.0384 V          ; 0.169 V                             ; 0.089 V                             ; 2.7e-10 s                  ; 2.62e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[7]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[12] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; GPIO_0_PI[13] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; GPIO_0_PI[14] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[15] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[16] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[17] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[18] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[19] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[20] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; GPIO_0_PI[21] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[22] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[23] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[24] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[25] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[26] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[27] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[28] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.64 V              ; -0.0113 V           ; 0.208 V                              ; 0.179 V                              ; 2.38e-09 s                  ; 2.23e-09 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.64 V             ; -0.0113 V          ; 0.208 V                             ; 0.179 V                             ; 2.38e-09 s                 ; 2.23e-09 s                 ; No                        ; Yes                       ;
-; GPIO_0_PI[29] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[30] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[32] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[33] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.73 V              ; -0.0384 V           ; 0.169 V                              ; 0.089 V                              ; 2.7e-10 s                   ; 2.62e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.73 V             ; -0.0384 V          ; 0.169 V                             ; 0.089 V                             ; 2.7e-10 s                  ; 2.62e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[0]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[1]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[2]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; GPIO_1[3]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; GPIO_1[4]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[5]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; GPIO_1[6]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[7]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[8]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; GPIO_1[9]     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[10]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; GPIO_1[11]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[12]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.73 V              ; -0.0384 V           ; 0.169 V                              ; 0.089 V                              ; 2.7e-10 s                   ; 2.62e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.73 V             ; -0.0384 V          ; 0.169 V                             ; 0.089 V                             ; 2.7e-10 s                  ; 2.62e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[13]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[14]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; GPIO_1[15]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; GPIO_1[16]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[17]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[18]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; GPIO_1[19]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; GPIO_1[20]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; GPIO_1[21]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[22]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[23]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[24]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[25]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.73 V              ; -0.0384 V           ; 0.169 V                              ; 0.089 V                              ; 2.7e-10 s                   ; 2.62e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.73 V             ; -0.0384 V          ; 0.169 V                             ; 0.089 V                             ; 2.7e-10 s                  ; 2.62e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[26]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[27]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[28]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; GPIO_1[29]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; GPIO_1[30]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[31]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[32]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_1[33]    ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.73 V              ; -0.0384 V           ; 0.169 V                              ; 0.089 V                              ; 2.7e-10 s                   ; 2.62e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.73 V             ; -0.0384 V          ; 0.169 V                             ; 0.089 V                             ; 2.7e-10 s                  ; 2.62e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[8]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
-; GPIO_0_PI[9]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[10] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[11] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; GPIO_0_PI[31] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
-; ~ALTERA_DCLK~ ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.22e-08 V                   ; 2.74 V              ; -0.06 V             ; 0.158 V                              ; 0.08 V                               ; 2.68e-10 s                  ; 2.19e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 2.22e-08 V                  ; 2.74 V             ; -0.06 V            ; 0.158 V                             ; 0.08 V                              ; 2.68e-10 s                 ; 2.19e-10 s                 ; Yes                       ; Yes                       ;
-; ~ALTERA_nCEO~ ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.54e-08 V                   ; 2.7 V               ; -0.00943 V          ; 0.276 V                              ; 0.035 V                              ; 3.19e-10 s                  ; 4.99e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 3.54e-08 V                  ; 2.7 V              ; -0.00943 V         ; 0.276 V                             ; 0.035 V                             ; 3.19e-10 s                 ; 4.99e-10 s                 ; No                        ; Yes                       ;
-+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
-
-
-+-------------------------------------------------------------------------+
-; Setup Transfers                                                         ;
-+--------------+--------------+----------+----------+----------+----------+
-; From Clock   ; To Clock     ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
-+--------------+--------------+----------+----------+----------+----------+
-; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 31       ; 155      ; 1        ; 15       ;
-+--------------+--------------+----------+----------+----------+----------+
-Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
-
-
-+-------------------------------------------------------------------------+
-; Hold Transfers                                                          ;
-+--------------+--------------+----------+----------+----------+----------+
-; From Clock   ; To Clock     ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
-+--------------+--------------+----------+----------+----------+----------+
-; GPIO_0_PI[8] ; GPIO_0_PI[8] ; 31       ; 155      ; 1        ; 15       ;
-+--------------+--------------+----------+----------+----------+----------+
-Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
-
-
----------------
-; Report TCCS ;
----------------
-No dedicated SERDES Transmitter circuitry present in device or used in design
-
-
----------------
-; Report RSKM ;
----------------
-No non-DPA dedicated SERDES Receiver circuitry present in device or used in design
-
-
-+------------------------------------------------+
-; Unconstrained Paths Summary                    ;
-+---------------------------------+-------+------+
-; Property                        ; Setup ; Hold ;
-+---------------------------------+-------+------+
-; Illegal Clocks                  ; 0     ; 0    ;
-; Unconstrained Clocks            ; 0     ; 0    ;
-; Unconstrained Input Ports       ; 3     ; 3    ;
-; Unconstrained Input Port Paths  ; 3     ; 3    ;
-; Unconstrained Output Ports      ; 1     ; 1    ;
-; Unconstrained Output Port Paths ; 7     ; 7    ;
-+---------------------------------+-------+------+
-
-
-+--------------------------------------------------+
-; Clock Status Summary                             ;
-+--------------+--------------+------+-------------+
-; Target       ; Clock        ; Type ; Status      ;
-+--------------+--------------+------+-------------+
-; GPIO_0_PI[8] ; GPIO_0_PI[8] ; Base ; Constrained ;
-+--------------+--------------+------+-------------+
-
-
-+------------------------------------------------------------------------------------------------------+
-; Unconstrained Input Ports                                                                            ;
-+---------------+--------------------------------------------------------------------------------------+
-; Input Port    ; Comment                                                                              ;
-+---------------+--------------------------------------------------------------------------------------+
-; GPIO_0_PI[9]  ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
-; GPIO_0_PI[10] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
-; GPIO_0_PI[31] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
-+---------------+--------------------------------------------------------------------------------------+
-
-
-+-------------------------------------------------------------------------------------------------------+
-; Unconstrained Output Ports                                                                            ;
-+---------------+---------------------------------------------------------------------------------------+
-; Output Port   ; Comment                                                                               ;
-+---------------+---------------------------------------------------------------------------------------+
-; GPIO_0_PI[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
-+---------------+---------------------------------------------------------------------------------------+
-
-
-+------------------------------------------------------------------------------------------------------+
-; Unconstrained Input Ports                                                                            ;
-+---------------+--------------------------------------------------------------------------------------+
-; Input Port    ; Comment                                                                              ;
-+---------------+--------------------------------------------------------------------------------------+
-; GPIO_0_PI[9]  ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
-; GPIO_0_PI[10] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
-; GPIO_0_PI[31] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
-+---------------+--------------------------------------------------------------------------------------+
-
-
-+-------------------------------------------------------------------------------------------------------+
-; Unconstrained Output Ports                                                                            ;
-+---------------+---------------------------------------------------------------------------------------+
-; Output Port   ; Comment                                                                               ;
-+---------------+---------------------------------------------------------------------------------------+
-; GPIO_0_PI[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
-+---------------+---------------------------------------------------------------------------------------+
-
-
-+--------------------------+
-; Timing Analyzer Messages ;
-+--------------------------+
-Info: *******************************************************************
-Info: Running Quartus Prime Timing Analyzer
-    Info: Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
-    Info: Processing started: Wed Nov 16 16:51:44 2022
-Info: Command: quartus_sta SPI_test -c SPI_test
-Info: qsta_default_script.tcl version: #1
-Warning (18236): Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
-Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected
-Info (21077): Low junction temperature is 0 degrees C
-Info (21077): High junction temperature is 85 degrees C
-Critical Warning (332012): Synopsys Design Constraints File file not found: 'SPI_test.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
-Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0"
-Info (332105): Deriving Clocks
-    Info (332105): create_clock -period 1.000 -name GPIO_0_PI[8] GPIO_0_PI[8]
-Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty"
-Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
-Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
-Info: Analyzing Slow 1200mV 85C Model
-Critical Warning (332148): Timing requirements not met
-    Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer.
-Info (332146): Worst-case setup slack is -1.327
-    Info (332119):     Slack       End Point TNS Clock 
-    Info (332119): ========= =================== =====================
-    Info (332119):    -1.327             -40.855 GPIO_0_PI[8] 
-Info (332146): Worst-case hold slack is 0.360
-    Info (332119):     Slack       End Point TNS Clock 
-    Info (332119): ========= =================== =====================
-    Info (332119):     0.360               0.000 GPIO_0_PI[8] 
-Info (332140): No Recovery paths to report
-Info (332140): No Removal paths to report
-Info (332146): Worst-case minimum pulse width slack is -3.000
-    Info (332119):     Slack       End Point TNS Clock 
-    Info (332119): ========= =================== =====================
-    Info (332119):    -3.000             -41.000 GPIO_0_PI[8] 
-Info: Analyzing Slow 1200mV 0C Model
-Info (334003): Started post-fitting delay annotation
-Info (334004): Delay annotation completed successfully
-Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
-Critical Warning (332148): Timing requirements not met
-    Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer.
-Info (332146): Worst-case setup slack is -1.141
-    Info (332119):     Slack       End Point TNS Clock 
-    Info (332119): ========= =================== =====================
-    Info (332119):    -1.141             -34.310 GPIO_0_PI[8] 
-Info (332146): Worst-case hold slack is 0.320
-    Info (332119):     Slack       End Point TNS Clock 
-    Info (332119): ========= =================== =====================
-    Info (332119):     0.320               0.000 GPIO_0_PI[8] 
-Info (332140): No Recovery paths to report
-Info (332140): No Removal paths to report
-Info (332146): Worst-case minimum pulse width slack is -3.000
-    Info (332119):     Slack       End Point TNS Clock 
-    Info (332119): ========= =================== =====================
-    Info (332119):    -3.000             -41.000 GPIO_0_PI[8] 
-Info: Analyzing Fast 1200mV 0C Model
-Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
-Critical Warning (332148): Timing requirements not met
-    Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer.
-Info (332146): Worst-case setup slack is -0.818
-    Info (332119):     Slack       End Point TNS Clock 
-    Info (332119): ========= =================== =====================
-    Info (332119):    -0.818             -23.965 GPIO_0_PI[8] 
-Info (332146): Worst-case hold slack is 0.192
-    Info (332119):     Slack       End Point TNS Clock 
-    Info (332119): ========= =================== =====================
-    Info (332119):     0.192               0.000 GPIO_0_PI[8] 
-Info (332140): No Recovery paths to report
-Info (332140): No Removal paths to report
-Info (332146): Worst-case minimum pulse width slack is -3.000
-    Info (332119):     Slack       End Point TNS Clock 
-    Info (332119): ========= =================== =====================
-    Info (332119):    -3.000             -43.505 GPIO_0_PI[8] 
-Info (332102): Design is not fully constrained for setup requirements
-Info (332102): Design is not fully constrained for hold requirements
-Info: Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings
-    Info: Peak virtual memory: 4775 megabytes
-    Info: Processing ended: Wed Nov 16 16:51:46 2022
-    Info: Elapsed time: 00:00:02
-    Info: Total CPU time (on all processors): 00:00:02
-
-
diff --git a/SPI/output_files/SPI_test.sta.summary b/SPI/output_files/SPI_test.sta.summary
deleted file mode 100644
index 478ffeb..0000000
--- a/SPI/output_files/SPI_test.sta.summary
+++ /dev/null
@@ -1,41 +0,0 @@
-------------------------------------------------------------
-Timing Analyzer Summary
-------------------------------------------------------------
-
-Type  : Slow 1200mV 85C Model Setup 'GPIO_0_PI[8]'
-Slack : -1.327
-TNS   : -40.855
-
-Type  : Slow 1200mV 85C Model Hold 'GPIO_0_PI[8]'
-Slack : 0.360
-TNS   : 0.000
-
-Type  : Slow 1200mV 85C Model Minimum Pulse Width 'GPIO_0_PI[8]'
-Slack : -3.000
-TNS   : -41.000
-
-Type  : Slow 1200mV 0C Model Setup 'GPIO_0_PI[8]'
-Slack : -1.141
-TNS   : -34.310
-
-Type  : Slow 1200mV 0C Model Hold 'GPIO_0_PI[8]'
-Slack : 0.320
-TNS   : 0.000
-
-Type  : Slow 1200mV 0C Model Minimum Pulse Width 'GPIO_0_PI[8]'
-Slack : -3.000
-TNS   : -41.000
-
-Type  : Fast 1200mV 0C Model Setup 'GPIO_0_PI[8]'
-Slack : -0.818
-TNS   : -23.965
-
-Type  : Fast 1200mV 0C Model Hold 'GPIO_0_PI[8]'
-Slack : 0.192
-TNS   : 0.000
-
-Type  : Fast 1200mV 0C Model Minimum Pulse Width 'GPIO_0_PI[8]'
-Slack : -3.000
-TNS   : -43.505
-
-------------------------------------------------------------
diff --git a/SPI/output_files/output_file.jic b/SPI/output_files/output_file.jic
deleted file mode 100644
index 2a7835f3b03fc2f75eedbc40cab4d0d7f85af4b7..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 8388829
zcmeF)53nrRSs3=7tLODy1+T8;;5=k287+>Hu?wEW9|MWY^WI8<5E6^1EU-hOWsPuT
z0RjOrF~l_Z?yjv;WD6)cP6#0}*aQUvRsvM)1eB62E2LbMqBvzmNo?icCKbED2^a~C
zbH1KAJ<~JYGv9spzU96<zf;njKIeSX)BWqOdwOTioBPH8{1Z)-)AIjW^DmaiFa74P
zeCs!T^Eb|4`_?yo?HkMETmHyfzw&Fp_Kk0yzy6JH{l+)F<?H6J{JhV2<!9WP-}~k_
zea%<RKlfFiGr#lspZUsHedep~yz=wrulwTpm%Qnl-Z+2FSH0<*N^En|t@t&s{p=@R
z^Qu=hFK8YuFYpJx?wj89O<(iIuWEj4^BYU^HLrc*b)Wm$&2MWyscB1dRc_6ie{`Vy
z(SOjD|Myq^{x5&x=YQejAOD9R|M(|<<yU_7<K=0e_|<>-%fDL6FaO#v|LP|`@rQr)
zz?yFQaG18GY}T=?+@HX$Rf%WE;{B~<{8^`^#7M2jqn5p!+YvpBQeIt3?T<Rz7cH@#
z&9ZMBVywPijf>~TYu5g#FS-p8zqt*uT-QHU*Vn7#@tjys?Z4l;t}k2H*QxQb9Z~8z
zSo;svQ}NMX%l0~=Kl-AM?Km%`wqqN9rj%$upJmFUZo?Op@*Snr_VZC6+NRis@cN=s
zqRy7>_;{UISDeqaL_5mnShW9CDUq+SjyQgwR7&(mJNl!%rj*TXiN4y7v1muBeRoTV
z<yg<Gl&GV`a+DXB679&7DA5<~c+UGvd3sf1?0xr9Vm(n}JEFvX#rnrejMd|ER!YQ_
zbp4swpXiU5k2*?hPc6%y<zw{Kb{&s;`}OK}#QsLv9N*rKf4r2~j@XZgi{lh^wEuc3
z|8*&KJo=(+wqv=L7`s<W)KOwvV_i{BHcGT(EVd=e3rdN;f3B2R*LRijpOx~qQeqqa
zS}FDL)YpnS`fB@sE2YMCFTQ$svXuF%#A|Gp=#KV(Qp(#)d3h<}70>x^OR4=a7VVF&
zN-Wn>`^M_mZk6vTC64`eiEW7ESkIvtkG|NSI6tC4UayYF_S6!6w^t?lVln#ac+|13
z%~FqX?F*{ETFQ@?Qv0HgxHwKxq8)LYeX;I1W-%Vif1{MBqaAr2CAK@-k!RmqO0**;
z*0;$M`}~qpY8_*-TuVGRO0>VClsl#T*-|2ZzQ2^ngIJEfx*Y9Tt|i*FL|-h&YreIV
z*tf4QCAMp`ME_<x_CHGW#X4f&!uy4#L|u>9r<YQfYk#z(#8~u2iFVYFmlCfP<*8Dl
zFJ2@1qr^5wJ4%fG#Zn??qWyoA66=h(Iv(qZ<yVwaUnAPF?ih={XoqL4FWRw=XvcUh
z@j4L~<yECDmzLWVWAXkL&yW7dz1Ws`Zmj1gN{Q#hYu0n~!===9$1#p})N$RYF;Ul;
zsAKGRmr~Eyc&)gctWN9sXO!||s}cr(xs<OfrJnoo+~|+?*OU@tQL06}#+Q^5b@at@
zEz!4GB9G(sYl;1fbw~R*loGk|!=*%DjD2+}|92_T_oJo!7o|k|@0Idvr9>U=PpnGx
zMLVv0ajwL5CF<CQaH{2>E~Re6cKzE+iF}L_V{uK3>*E)f5`CXp%1cZ6S4s(ox2(z^
z+9=;%N{mO>pV%n%^=tjkQsP+GQm^Ilx^aHR`+SsW|6`^6d?{a5N}M-8P|9yCC9V^3
z%>Rc{qK@_#u1fSpJ4)nAoTI;`lvv*fN{RT`me;My6Q#uK#&~Q~)L~b*FRl;2sg$}+
zk-O0s+Y=>j34X__#Q7EPJ<%7YvE6Sh<^L<?vrCC%@Sm6Rzn2pG68RW8_LZeX9nXpP
zlv-jtVtb;*YlTNWcVg_>QlcFtwlB`fy8Qb~i9D|7^S@q7^u>6T7nKs%#oty+9E0Ep
zi_O=p$0qt>xt6#dM~UqU=cpr(Yx!U)bvg3#-zg>9u^eNOuaV!;7w_58_d}(GM_9x$
zi}E{5sTOhlj=rd0S<2^?67Tup8SN->J&E_sC~@tN>q%XX^WxtwC5}<#K$JL7q8%m1
z;@paJF7_w(IquQo{Eq9~r<M}uXOur&%4d}leK8i-wJ6c9@qcMm{?k(8wc<6xEM7C}
zXh(mP|EH8_M~Sf-6WbAa5!bUQF&=&4RBw;sx)JY5?<ys>C9bd07w7FWr9@n`V>#9l
z%ge1@zaPXpqeR{=mzMVzu?zJzqraXX(HGlLV{09KF&6ptyGn`pI41vRDc@L1_{4a`
zhi~*R1>X0*Fs&u}VmwML$9u$PUl_+&T~~em=!^Y}cAPJ<9gmh01~op6Vw>XrC9ZGL
zen%;hACWUL7T2(EDJ4EO3(vUr{a2;bnAop+J&QVWEczl><F(_pqK>}U#@LQH4`Vyx
z7(`zjpXiI@9p`OaV}G`kcwXdvY)ib}kCYPaH<j|`r9@wB<L8%BWB$uhBLBakl)7E<
zz7wzin@fp0`lF8ZhfSP&QKB8&Tl?buCeDF4SKnRAW<JJw9Q{!uN8|a?S7Ty(>iX(-
z#PucmYKi_>j$DbcxRyo<yV#Dnj>Yvj`eMCt&-5Rb633|CFMek!f2Wifi|vT<h>zF*
zyQPFjU0<v-O2pJ{h_SfNL>+zcdhxnZ;uyv8ioP(3_vkoYu^c6qBNwBN_P<d|yk|#W
zw4=oN6*>Epr9?hPzSi7+PbtwCrCv+wa*X}HQX&^(yJ9<Hzha&7-Vk{f$2Cg4e!OPX
zF&@|9DA5<~Pb($P-)KintgmiE)c;H=^%@p+^u_rZCC=S=Zj@;Mucbs^tTWafPVri?
z9Pf>B?-PB|j{Ydo7wsr97VW6xJ*2+R#BmAd`o0o%ybndr#`2#mCEoA<iBh8P%S!q8
zN{Qtt-(5<L52v3iCF;oYf4P*%wdjj>?0>vg<V@7jj@Pdx-Vft7qd!XYM>|T4#cM^0
zc5MG<-+xfbe^*M3$MXMDO4QMg*N+nCZERcI|3scfJL>vcbvxo1cN?&Jh}Vk}%{Y#c
z6LBA~?0vxt{#+@)wUp@lGo}0sr9?Ykzm{m%5`D28*N}MqTH-w<oN9^pi_Pt*%ke%P
z?=`g@adC}}GS(N{^aocZmTOr)WBC|;wOz-fuIp11AVA<E0&y=D_iYz>&PHszvHY+s
z&Mvc|Kl>?{dG;|q_shQYnD+KE`${USM~YNdAR8yANM!}Gaa%dn{a5w)b59n{teFqT
z#%@qb$Z9ROO7LR&gq`*7bS&fU`|)J^nL8cZ0j0gz?%R6Ot)}akcVS<?4BiUaUPG!K
zF!xce;-$;WF3M+h%a2c%+c2_RLi(c^N2&{%N9SglZ#FiTzwO6LV`Ht0#l~P+Jh``+
z&F1q#=WH{TZY_aI$Z9Rov0BTzXQ$)jWU$KeLc@;LY9na9mS_!L=;YoaI!ZZPCi2O>
zMQPNh#A5m0x~sZ}v!-pkjk{AexAc_%myUSp<yyL+TerGxS@$fr<@MjOUQaB=^QTJk
z^ea!_Sj%F$wvC>Nj%D}NJr3o^*Spy6-g#2jF^{m{>%V5UwI<qISM-S}-AzL(n+2pu
zWd*Wva*9+|AR8yANM!}GadL`ORv;TEr$}W5vT<^XR8}AxC#Ohd1+sB+id0r08z-ko
zWd*Wva*9+|AR8yANM!}GadPTnQf)T;SmS0*^RW*e>&{<vzny(qF;b+m0@*k@MJg+h
zjGMjdoyo|f4|Db<Q$S87p;mM9+I0BQ2F{wt-ZtF%ZjSG2qVKGkH%<4@#hffk)jgED
z{Hyc+p=%BvZr)m&=3Cz}*hP&=0ax@%nyrDQRJ+xyxhwFV{#PV+$MkFpIQup=_AQgz
zr?WXWBDMLCf!6m3-IGoho6lX|C=7bmjT^U?zw(Lo&aIYi+&*sp)3A;E!)|v^7OTBp
zR^3hFpr>z;>M3I3fYe!Y^2FWwy!?y9t}ch5)OYTb=RI@p?pdjye)g#+<KH7b{q*Be
zpM2o`tLUyie`fjYVcncX`(av3fjW7j>`}Mhi=Fx#em*Fb!vZ5$^utd&%7{*?J<46b
z;7-5jet%Wzg0J)NhdcYS$B-1MtUxwSPLawAWaH!%sjNUYPEL`^3S{G!In`#vk2P*)
z_G13fyG~`TNRi44WaH!%sjNUYPEL`^3S{Ht)P<zlZ1nNQnZ1~uk7@Q|{w#5w%33X@
z+HCl-#`!Ga0!QB2m&GD=38^wssxM|Q_7vvi^%SviU{2m3cJPDw_dhH0YUWm6r?o^*
znZ4M}$1DkB_TtuAb6?GP?`!YtnaB2|m%Y2}F{*=yefP73gHJiy0C%3*Lr;oSRv;TE
zr$}W5vT<^XR8}AxC#Ohd1+sC=oNBY-M;zz(60!&1b&6D0AR8yANM!}GagkGPHu$LH
zy3ah1S|Gdgaw;n&r?OJrdtaN4KIXXX-%IEwC9Gy9bdwU+GZRi01*&@}_3Df1iEpQG
z-dfCF><)VB!JTJ{sRS*h+HCl-#+ki%fg|ti%VLqbgp}Efqj+X74p=7c4<ja|+ClJj
znlX5dwq<tbXUtw){hDOSmqW7`w{mKjVOmRp*+<`uA}7$@CA7KNV~#R=F@N;kd1kFh
zk;)2W<Kz^ntUxwSPLawAWaH!%sjNUYZkbbUHvCxQeB*F|Bkwwu#Ue#2E0B$oQx}nH
zv%$w4=hNZz(T}rFP9?bHR03%k(p}Ld)j_Y_Zyavkyu+*6BeNF|CQ~2aeyXQ9u&|VB
z4@4dPu+I`MkOI!WEEcItNSVDjif8uXfaSpK#X+p!UfklSO~1X^|1Io7a%lEqRa5U1
z@ZPsiXLGERYBzf<j|uF4mM|8jF9e)@**qXcDl3qUlT)O!0@*k@MJg+hjgwQPvI5z-
zWlpu(@FR}%+l$$Q?>a>)E0B$oQ>3y2**G~xDl3qUlT)O!0@=7Or`l}vF~|As#gj!n
z{@qvYli#<0>pN<kdcS}x`hK7F=u)a(cUT$|m^HIceROO<->!hOZ`WkJht#;sPVekp
z@7(D#dvS*(I?P@iuuR@fkvdsiM9N=|_Lrjv`Etp|O?&S<xunPR)Bk|K96gP*G4gQF
zUVL!*vq97^{<UxK7cJ}+xM|m^y*qoUK~h;hQlzp1**G~xDl3qUlT)O!0{i01KmPB_
z4}VuIwtd^esDR%~7-bpnbe$S^+3h8@Yck$LYTRYFm(;Gwcn_&@m!00R@B4OwbcBGM
zO6Gx_N<yu2s!fL<ZQ$-V4p;L_g*R_4etR*0lDML0t(H=4HvEX={7cB}!FTqN$_ixT
zMsmvR#Zf%77Y8h6FRnRe&3xS`zahBTY&8d@%w9BmagZ;UY>ZsdFS*8>`t(k!y{UV5
zmHV~*FCnjT-8XAp&c5vNBt<GKkd2d5q_P6pI5|ZsE0B$oQ>3y2**G~xDl3qUlT)O!
z0@*k@MJg+hjgwQPvI5ySIYlZfkd2d5_bYYt<{e(m9+|zE%_#HHN#zAnk>y`PKC=7k
zZ>gsqUy%2{>@g>G2`RG|NAb*F9IzailQ)R<m!r2hYSUki_Lrk;E?iaZy)P;0-;8FV
zn%BQK8G7_#&c194kRp{8$i~SjQdxm)oSY(+70AZPDN<R1Y}_)Z+HCl-#`*2V3mkdZ
zsVo*LQdxm)oSY(+70AZPDN<R1Y@D1*N-e)}Xp_-L9OkzdH-7`C`>K8N`*wdhI(wqH
zPF+l@&4wRqoX-+2aO9nRSu9eQkTQF56wmC%0n6mw)bcM!w}aqDP7OU)tzRg$-(JlA
z_F_9~sB<XrviN(*N<yH!OK1~;M;@~KS;CQn?Ad*Ho_lZxL!<^Adm5zn;0%UH4LJ5R
zNbSKH43Qde>}inNgEJT+HQ?COAhic)Fhpv=G1c&U2~#p7{c>sqWweXbD9cDEsS%XX
zE>fc`Bb}s1P)55*jk1h%k{Ur7?IJbGvfJtR5_U~SduBVomvA+|RCx2&;<pz^6KJ&4
zb!wDlq?6PL%5Im>5_U}{d*sw4&DH>^Es)9Ph?JRTqgrM!4p<J%UL3^w?ZqvQRde>o
zzrgIpGJEp_@1M^L$;lI4eg4e7MYIR?H~jp{OtoLYe<APp*%%#p@7ox)SOs=JOQ<92
zZ2>v8Ei%<6H6^p%Pik9as!eK2X1kx%w#ZbQ)RfG2KdEhzsWz!8neBd3+agnKQd2U6
ze&09@IQBQ>)PA4!D5<r@{v}fTeb%F-))xDhNbUDokCIwj>|Y|a-)B8aYHhK1$#*k*
zdDesdHx8$@?|$bUb}P8iYx$+;s*!rXfa}zLpY`Zcs$F+jnh<!;qZ0$Vw*;JhTOgB7
zQj;`W1D#a=?%oaF@9f1JzLrmZNzu9C`*`2KkvMP(sdmtFHS4{3Yk9+SW%lB&$DX)5
zpWnWH>yfBWyZX+Z)6+70@9tTtpMLhK^7oZX{q*BezcA+dMICef&d%Qcj(FDD*?Cvr
zzJ318axGCmtZRwci?K&h#~zjX{39i&wys^8V*-AAaZF{buY2!n$42xG1zz;hVOwiT
zz@6um%yvJiZIP)qsVSN5ep1^aQ*BaHGTZ&6wne7eq^4xH`$=t!Otnc($!zy8rP}Ro
z?HvK%IP8#2bU6DaV0OAm?T}1#keYzm=_a*9GSNY50%oV1)DFo+2dN2|o$ioocY1Z~
z3UuGipxTAm=~;c_(0_|;_F^UKNH$!|UaT^QYFG3_LUnv8)z+qZQov^klQdfc&b}>>
z$tI~unyrCOs(&x+2F+fqMgteK7b{CwpATB3HaJce8;$ZCf`itMl-Y|r^D!r8FAf|v
zCIr0qO~CAQcT(+6w~ieFvln+rCOVvb6EHj7q;^OqI!H~x>~xdbA(`kPH375JO=^c^
zqJz`~%uY9{9g>L-QWG#c-Ak!<r(egmfNvbOMW))$zA2gQep1^aQ*BaHGTZ&6wne7e
zA=OR|>faFXZ`(I8_Abh)y*wL(a%ux(?_zgFZ})~6>;%kSJXzFK=)OSizeVo8K<>Xq
zK5NWgtWJk&_fv<2>iANstxff~z^rLL_QCNXz1srLzHO1IHmNC@?fy=xe=qDt&0efX
z0~fOwD@#}RcT-(!N6K$6_F8+S{PyCLW4|UjF?+G?Ni9qZ_$*=CW;oKl_qD@5tzCgx
z^Vr*Vd-aS7xbqxS8S5i8rZUz?YD{IUkJOmTSRbh|m9aijV=7~Pq{dXn`bdqbjP;Qk
zQyJ?cHKsDwM`}!EtdG=~%2*$%F_p1CQe!G(eWb=z#`;K&sf_iJ8dDkT+mZ6UXk{9F
z+t-|Z=^v{D>iq&cIki9hh(}3f4?ZbUS%GYvoFbJK$i~SjQdxm)oSY(+70AZPDN<R1
zY@D1Tl@-Xw$*GG;wb}4vjq`7@E^y?XeOWA0q_P6pI5|ZsE0B$oQ>3y2**H0MA*nVS
zeY|n~zs2gmgZEeIYBqEv8!mp=rOF(tU8fES)$yfNTbt@}0iPv|yNvca`$kztI!TS7
zjCOTW{d-{-V*X;48o2mfm&(%Bdw#8i)J3HHRXTr_ZjdjRY<TZW0?yv`&Jx)sqmMYO
z|19B%4YIrL>?4&G$i~SjQdxm)oSY(+70AZPDN<R1Y@D3Bm{gk$Kh`+kI9%Y!JNvR&
zq)252vT<^XR8}AvxBSdA8GPJf&c5XFms3fors;C3O@|+CVE>In{|&s^i!~WKk_{KL
z7pu&n+7<nfP#s@NwY8}p7w}oaxXWm-vu~7Tq?6PL%4k<7)xQ^ZA!aXDsey|*d6lKB
z&0bt{%$oVS(KIKE%~mrlHT&>~n#Sx!vlj>Xa=C`j64HL%dtaLlKia_lvxK7+$nUs2
z&#YCInwKZE@dnyGG|jv%9jiCg(mS@i?C!>%kB3{`i)=i0iyQ4`e0kAzFS=(hBBm_f
ziihQ6*AXGzSob(vE-z*gUH;p6Ir?vXaS3Xg)3eewzjg9O(U_gh<3{7O`JQMrk1tAf
z{^I5prD{$V=cVs-e)8IAHRo{~`;xoO4|J{NTF!64S{Ca)YMObsN56P)y^r6&lKPI2
z`pyeTmGu_-*~<H&mzp&%Su{Vmaw$8ul=}Uj*7bNeC{V9c2Lq2jfK)aGNRi44WaH!%
zsjNUYPEL`^3S{G!In`#vk2S8`sekN)$GY<u-EY^atQaX$S%GYvoFbJKNXGdVYd=)E
zJE-M#KT?;}dj-0jYWId1TnfDG-KB1691`fi8SQ5ZnPpwgI+6_+v#hJkq1ye_A)z|H
zlxk~JJucw0gmIVAUT5DZ%XVkUsYl}1-;{(vms9<FVfO^HtgGAFrR3DB%Z%7&-4ijS
zcBM*>`RJ9UtIL$9jWWofkTT2KEbEQ@xb$LN(c4R}<rr4rvxJGGyzv=cM9i8uymTV+
z$h)_oipC>8^GxQ1|C&icEq_@e8+_Dpaw>cHZ=BTbr8rA*AnsRQdmzB@O5n!j)B`)R
zH%`jg_rP*x|BBb}kHo*XQVt0eFrN+|LaO68?mAU>?8<9WSyED@vI5ySIYlZfkd2d5
zq_P6pI60M&DtG9!=FQ9hu<5@oHG8qjbtD@uW-nHm>3W$=uWzR#jBE<HPHk#TF8eHD
zl4f^6PVJhE_mCQQ+3oG5`uD<a+?>41G;lE|ud;OYfjN2RdG=Zdq|9D4d$G@zD_HQ}
zmqhgMkVl}F|D87+m^IJ*reTlPlz_8uL}o0hnlEfeB6W=j$f*&O(JoS>EF+zyMo>n(
zNR6_LbY5Sn(Kn!xJ$SylUid@L*DF2juXBBK>bl7;rP}MZS=ViQ%B8?>FJ=#lvyW6(
zAR8yANM!}GadL`ORv;TEr!FGZW`mD9&hI7U4!_@C-26+U-51FHx5(Y3gnnkiS!4EM
zE~gs50+5ATNww+tBMtOf!UYbz`>8AzsS8Q<?}gp7%wDW`0~fOwD@#}RcT-(!N2(q4
z_?61uZqsG<eXsD_i)W|V*^6zDXkk)d_R%*_ju{vTbax5upi5&!p#LmkWK7pkz@6vN
zW4c9Z+GaTN;H9qH?4IHN=Ubos@qFz4MUuKdw}<yx5B}_Xcx{GTPHN~e-6Az@GaR`V
zQV+#N{|#Ria_x}s3R)98Khb>!FZf_rQMUV!{Pd+?Vm2$k53?eOYWx4o7ufEt?QS$0
z*S-9fEBh>=?Cr=7k91vkl8Nnm_HR!Nq`SkSder63dby(76Ro-&b(C5!Ti5mR=YH4K
zZrNb32aT(Jo#%gT|Iwd(0IxYNw9&h<_yAu1!L0J9p18vGCICvvM%2dQ6>i1@S=H>X
zzWS=xd(pkVX#7=e=l!ndC$CIuYYWC9qPLFp%e7-`4cDtV`zL?w3O1<Q)$*{~_kPb;
z?bRzRyEh-N;H96xRebONTwbfa<m;7t&#p|>2S)4DF1ZyC^y#zar~muz1^RDG@8`D{
zXQjjnW{)oSc{V!>y0hqyM4NXHWjT6J?v~z@MRdjg&P&(q>GIH(ZZj^mEwP#hvzA+5
zzud;FeGHO8={lCZOU*LcFAO5DZR0~fdUczY+x1-3)os;Pt?3nSjWxAbwYtQ59!jZl
zI{dq@Pwd=*kmdC#PN$_pd0d`ttM>Bg+r1@Zc{)BMr{NEN@Bl+<csoy41Y6Ht_V%&6
z3tREmtNHc6`SROSce$iehfy9^bsdS<=zD8apHr9Lg6CrmZ+TI9mHvIXd&Mi5y;z_|
z*0Rj0W_GgZUZ*m~jl#NI`L>i*k8DeQ!IMQ@>gqk)@)y3QL_F}Vo6WoJSUsF9He1d4
zN^sfvz_+EDZ@&7;VzbrV-mN<Z+wz?y@_C-USaYgw(VpXYHQ8`AYx&5B&0c(XW-per
zZ*T(EWV>GV=YHk&+MesXHQhI(?St9AokXb}QE&af4n3GHx%^sZ&0qP;mtV_qtl>d^
z+rE=0wPP!v`uw`q<bUgTT<gudMjQ7ab85MpHIc@4df(Vs{I6%<Pkrx$e)WAC`^Fyh
zc3pJ+4_<1xMGuqBaLd2!r-p5<DS-!notk>(hpqp4mwISVzt8!(2YGChEXlA3xuu8K
z{WCvvIOYNo55+!TV1H_8*{^T=_iN+I>w(&!v3{wE?sdTRS$gjGUUpkzXXAtO)lM%z
z^X;3py9=rhyPvW=1Y-Z=GnbW`etvHEb5YZ;@_dc_$A9Y5uN1pF_WxM%nxQVOvu*vt
zb!^>p4VPZ`e_ei~`W>wcwZ8nSuhAO*)>|If#vJfEF#Nza>wZ`KX?O1TnTMa3v&cmq
zezgZ3arx_1?CJLZqa*$o{mW99YF&Ek;zm@BzK-=<9=+^Vl^u?KE&uN>)~n^(S3M#k
zUTIZ#%eAYHU3N<z?DOC9yRTsVH7lm-<xA9=FDs?~b?dYGj_bHEYyQp8@3yP|Ub*`U
zfAu~7teMAQFArTgS(K`KDD`sQ;qu$*m<<#wSkGR3acGpa1VUX)ESGX}x6~(#=#Nsq
zK%PAuvlsv93%U({&<{(N)vK(`rgH2-Z_9nI|8M?=`+VYkJZ&Y^Wm<XqLnigiRsL&`
z1A0|z8P=y+zi{B`zn;To8{haNm*0T6PQ_Zb>*!gpy)M_fj+A%Iji}mt{p#=k`m5Zg
zy3gBnyhiO?FV&t}U*(ou{ks0uuf6;_*SWM@*VLXb`}^pX-9wR3Wyc=-OXZ=zN9gu+
zb&t?3&zgBxuO3boo2`8_*t&a!8oXLd6<n=lb<Q4IOI^vv{YuxhT1y>%;Pcf!_}9xz
zJt(P~E|>DI6u;h5fBuyZPHJ^?SuRYM$;|5_^$UO8?8W@-#r~*0_=Wy_TrJc~*MooM
zOTWT@^E)rSisM+p1N+O-HF<UzCkJ*SuT{sa`SQ=bRvUDUH|9ajOPEZZ$$@K()NR@y
z{EJt%h1&+(?Tr`OGqe$Py%s<I=PtcH594m%$4<ZUtMB7UqfglZTXpR8$FoN}M^}4o
zI{)^MU7JnGZpcYC@M`0}`E^&jzMH$Y2ld|9i#>$rS-<Urg`fVGLGxi~+>EpDLK$?S
z;9vJ+_@{p&Hle-h?bwg7fAp%i<to-!w)m?*=PFh-SjWTXFxdR5#{abWS@2ZQef8fo
zu+O`?|C+fk&xhwpm%mGh+^JI%m&?mr@bR3g5f6`0-O^wC6Lskl^%lxjb8E%>N4J8n
zQS;^Bc=?Ul%#*rY>)o|akL<=?r=Gv}cdpacT<2}M{B>&cs<fP!x6GP)?b_b^WlYx_
zfe%gj<?ffdF15Mw{Yqu&kh=B~aCgNUP`iWIx#vaCm91+pxrP0AQL7}W?NxJY?R9jk
z`+7HL&G-CM-4^!Ww|6(9tGm%zGmp$D4_!G~l&X6u^<eg5iRxBxy8MK$|Lw&tZhI|v
zOZ3U28!8Xobb@|P!D=n5!TZ^kc<O^$%d_P><f|*Q<*I7dteV^FpXlBWyJqd*{=Um^
zP}%e0&JSB#FR*uTEA~1yzvS=7*0k4Yldj{ol*9Y6EBHmhI(Mw3R+lifT~ET{uGi6F
z@AYr}p)38({ft!gy#6-BE&P{$aoE0}*2S`9Jk##yb)VnoJn?7B>-F~t-D_`tVl`{#
zo7;1;*lay4^A4}(ck-T}zZ^ZAZwj9*Hd_yi_fN#XLzYwZ(DnDgUB{-&?86^2d-3_2
zy|}uQTvh!9{fP_rW^`Gu&#K4t`qs1OzTJIi?(n?q-6gKg#U68%zZ{)E`d9IpXa3b5
z=15Z6qeqHVRv;TEr$}W5vT<^XR8}AxC#Ohd1+sB+id0r08z-mkSIXB7Rc`a6;rNND
zU+Dhb%xT{pQfDi!_+n-@o6lFzJz10q9QVq@vcyNu=l$o-v4WeumQVWh)sCwU2*h>j
zbR!!g&uUJ^$J{Sp=TuAyI=Q!K`f|RJQ#XGtZ~Bzj@&4rN6kMxnGHkm1$ajOPE~W0T
zyItQVRI~gJd3`M|5ohbOuUyby-e1wn(8;}fi&E8czP_TDuG5>nmgn9ayFI|Wny>M1
z+*-P`ujYt)kAQphJvf6QQUi`XjgWfz$y0Z`+oqGnt>%%%$*XUj+*_3AoR=G|^XBcR
z%iE^Ya-((H+&=4WzGi1HZ{{za-I>SD*Q|Mb@q)$1TF#qi7ANmJe|Xo@ls!6G%uk+L
zH1m0RBU_X``simh>vFPKFPEh~Z<#mldL4J%n`OS)I9Zg|@A-=IaI%=4JXxxHuP%#C
zbE`RdbyJ|;-eHyZ#j?<x-tOKa%lgW~?Cefib@}hYS#zh{Nj&~!S#0{Xyss?AQ%~O4
zJe+GODfML2?NPIIi9P!950t%85(2L138XHB6sfF0Hcn2F$_ixT<P@o_KsHWJk;)2W
z<Kz^ntUxwSPLawAWaH!%sjNUYPEL`^3S{Ht6sfF0Hcn2F$_ixT<P@o_KsHWJk;)2W
z<Kz^ntUxwSPLawAWaH!%sjNUYPEL`^3S{Ht6sfF0Hcn2F$_ixT<P@o_KsHWJk;)2W
z<Kz^ntUxwSPLawAWaH!%sjNUYPEL`^3S{Ht6sfF0Hcn2F$_ixT<P@o_KsHWJk;)2W
z<Kz^ntUxwSPLawAWaH!%sjNUYPEL`^3S{Ht6sfF0Hcn2F$_ixT<P@o_KsHWJk;)2W
z<Kz^ntUxwSPLawAWaH!%sjNUYPEL`^3S{Ht6sfF0Hcn2F$_ixT<P@o_KsHWJk;)2W
z<Kz^ntUxwSPLawAWaH!%sjNUYPEL`^3S{Ht6sfF0Hcn2F$_ixT<P@o_KsHWJk;)2W
z<Kz^ntUxwSPLawAWaH!%sjNUYPEL`^3S{Ht6sfF0Hcn2F$_ixT<P@o_KsHWJk;)2W
z<Kz^ntUxwSPLawAWaH!%sjNUYPEL`^3S{Ht6sfF0Hcn2F$_ixT<P@o_KsHWJk;)2W
z<Kz^ntUxwSPLawAWaH!%sjNUYPEL`^3S{Ht6sfF0Hcn2F$_ixT<P@o_KsHWJk;)2W
z<Kz^ntUxwSPLawAWaH!%sjNUYPEL`^3S{Ht6sfF0Hcn2F$_ixT<P@o_KsHWJk;)2W
z<Kz^ntUxwSPLawAWaH!%sjNUYPEL`^3S{Ht6sfF0Hcn2F$_ixT<P@o_KsHWJk;)2W
z<Kz^ntUxwSPLawAWaH!%sjNUYPEL`^3S{Ht6sfF0Hcn2F$_ixT<P@o_KsHWJk;)2W
z<Kz^ntUxwSPLawAWaH!%sjNUYPEL`^3S{Ht6sfF0Hcn2F$_ixT<P@o_KsHWJk;)2W
z<Kz^ntUxwSPLawAWaH!%sjNUYPEL`^3S{Ht6sfF0Hcn2F$_ixT<P@o_KsHWJk;)2W
z<Kz^ntUxwSPLawAWaH!%sjNUYPEL`^3S{Ht6sfF0Hcn2F$_ixT<P@o_KsHWJk;)2W
z<Kz^ntUxwSPLawAWaH!%sjNUYPEL`^3S{Ht6sfF0Hcn2F$_ixT<P@o_KsHWJk;)2W
z<Kz^ntUxwSPLawAWaH!%sjNUYPEL`^3S{Ht6sfF0DsI*^kGwM#DX4MwWs`susjNUY
zPEL`^3S{Ht6sfF0Hcn2F$_nJ-X3gv0+eMz%__J8(9-3y>%vTTH=*gl~-9xFH=DdH1
zg>D5mdM&^7T<oWESip7au+cJtR7%Qc2`MHybpfUl>g>yUbyEGid-tr>JwmtK-6*Wr
zb+TA5m!<ATq3^ljYx(4tls&!S`&jP}yJLFa$DUMwPQ=2Vvm~U>ZawzI-TD0X?OTsT
zecIJ`?wp>UKXdQyS*f3X_Ngb&&Px6C<59ox1Mi>Dqkf{R-~Ntx*4f#4SKq#U{>*YM
zQ9rC}xwlyEQPi<Vr9S`2z*J*Wz<b{$&DH>^Es%+3|5?JsxbC%poLXD#Um~^NXFW=4
zZLxoe)PA4!D5<r@{v}fTeb%F-))xDhNbUDokCIwj>|a_+wflpN&YI?9AH<LoaQ5Y#
zeB+RHB1I}Mkd2J%RGSSx=D68M-+auwf02EcQ%Nm3m4sTo_qFNpqYa!jkG-wi`TqNM
zvlnYJbR-)tW-nHmL$&{!IV4oamr`wQs>cO<mN4!z+Ux8aWf|!tHG(qQ)k*d5g<Xi*
zi&bjiVoqLV>FNV>^4fvj)y#^#ach}<-z&^s9GQ<fF?+EcB%sEqfX@;}S;jiM_r7*)
zMBk=B|5?Ij=Y1@foBbPKzT~TK{4QS64!TFrLXskt70AZPDN<R1Y@D1Tl@-Xv`FArp
zrxG=LmtPc2V!2Kwq5KL!7RuR2Dl3qVbDhdkks_59$i~SjQdxm)oSeF#RR1lq*^5=K
zBk5gU-28)YsUzyW0`AfG@@x(+uT$-2m*r7`_dGh<t#ezz*|#k+)h0D1v(w*Qr~3E8
zZrJR_sx)vhd$F=~^?})oex<V4Iw0k@7k7S5a%cA9-TBjB+<RKsE8xG7_wuX<mv;&6
zy2H|xz>8iwHKKnY;LdZvv8O?556*DN6@BP2-6Az@GaMl`^q6junzk8^kQ#bSw@6Lf
z3`a-}J*Hcvrfr5Jq=p_-EpvybWOn-f*US#dREM)~N@lyC)V9b(+u1h(v)e7Fc1^~6
zNR7Mf^g8=?NTxdE)RfG2|4OR=2Hxz&D%6o|xcKeGDs!lI_8k(c<D{y@{@Q;b@Augp
zl~bD<`<6-V)7cnX-g)-#h24nRixp_#V)kNX>FNV>^2}cBwWg(JAO4WvUQGS=;>%xS
z{#2h(`xm_T?e|%al3H8rUm~^NXFW=4ZLxoe)PA4!D5<r@{v}fTeb%F-))xDhNbUDo
zkCIwj>|g4n+Wj#`Lm>X$Op78R@UnL&f`k+S*Qsn8gjAaiKI*tQ`$%O{z;!C46fv$-
zq_P6pI5|ZsE0B$oQ>3y2*|;vJ+V!`{W-nH`?b(Yz`WM`JR-65`JJ0<->rq$qwZ;CW
zkZSjb80`f77jiZcT&J>9-F0d(OYg@0ecm!bY(rz#%r{!i$zrqBoDW(97qb@!Hl)@Z
zm&&QL^T(dJJD=aaee02^PrLfgozv6vXYSoSEA`XQKK10;S*f3XJnEfaDvW2Hot?j9
zxt8;1mTQUnVO`74Z!a$9AN6;!*7>$~$^Fz`o{d3w2^$!D7q1|vW_w@Y#teSz_xi7y
z+`)AA<(zzrm31OTDld?Y?EVWm8+_Dpq_T&f6sfF0Hcn2Zq{@GXJQANJw5jML4>ET+
zn*jc6CM)GSbrGpH8+_Dp&c5W~_d9t>sO4t~Z94jh17{z7^AYd;g?8P0UyiH)O|$+R
zc(WI)-1h86zr9#x4%N=SLqc`DlWJ>AJt0s&OZeA5GBKumOTgK;1v1$TsdjSIz*?aD
z@4o&Xq3gerxqeqOWAHky#q7m()|90Kvlm-ARk^06X5aUUrunnC%wBwd%)dN+;S*i`
z_IHfTUi=&L%BkKf;Pa`yJez~=r#3aFm;28WrbCRZ1msjU6-bfF3S{Ht6sfF0Hcn2F
z$_ixT<P@o_KsIifQ*Ac<SmVk!4j=pAvF`ju_uF+UD@KY`Rv;TEr!FGZW`mD8&P=oP
z(T}rFP9?bHR03%k(p}Ld)j_XW^Vr+Ep#EEAvllB`N3!8!_F|PeRJ)%#Bvi+jQf+Oj
z#|3<rFzzzi>+Bn48R;Z7f->6GN%ilAU5MF>Rchd3_F`q}>ghRoO-Px&*lX>Pdc$-6
za`ecrNsi23JTL#oq3ua6ObhsT$kR51k>xzkcF?CWBG7-9FfyiVDB#X>=rP?QHElB-
zAvN@vZjqX{8IF(|dQ7)SP1_7dNDV!vTcoCKh9jhg9@DL*R68ADBm{ipaDhB<_GPh1
zk;)2W<K)yuq}puoF~^x{mOlD%_Q|OPmz+u<Ekn91x}-Yj)qmsAe*<s!VnypnHeAeJ
ztTKmc_fv<2>iANstxff~fX@=fT}FGIeWNTRouo!kM!Pzx{=KjZF?+E}4P4A#tSnu<
zXHFieq}1%gA2NF}KYMYYsxdCm&B<%W$Mg;ax_O?c1}0;T{<DO!L45-Ocb)@|Jq=QO
za0Wx91{`}Dr1szphDZ%K_B2TC!5IvZ8gT4sklKSY7$P;`*wa`_wR<8A6#?HkTp$gc
zeOWA0q_P6pI5~9@sWuyY%yDL#rH_7`eR3+nC8rWd%aHDhE~yTB_1`%3-@u!_SkXF?
z4HvT)tIVO={nR0$I=+-@Yg0Wb@SaB}hYSn^oP7h1Jq=QOa0Wx2RR3Ps4Vk@Ig$6F>
z<W-igJ}`UHZ!h**d!+pK;*;?gqd#!?FGu_B#Xg@7Ecork13>+7_ukj`O$(C(FM8?Z
zkb!}KJI?{fo(8EsID;Wl1CBinQhRU)L!<^Adm5zn;0%UH4LJ5RNbSKH43Qde>}inN
zgR>qgvlkzE=elWWpMad&r?WXmYExt1GO2w!n`5LlHTEr&+NZNQMru=I-!iFvI-6sp
zHZ}GvFaO%po&ZBjfm!qV_jXJDH}GaJ*4*ewHeAeJtTKmc*QrB7b(~a{*jxK7VK2{c
zP)-d!rdy<@ZH6PARR3PsjhVezi3ToaFIJYW-ZLkU)J3GuZawzI-TD0X?OTsTecIJ`
z?wp>UKXdQyS*f3X_NkcTclz|xkB`pvi)Wpkoxfwbmh)$pYl-?{UCX`2a*v|EeY-d;
z<}rIw$q0Dw%P2(*shm{*Swb%SsH5am_VAM;l@-Xw$thA<foz<dB9#@$#>pvCS%GYv
zoFbJK$i~SjQdxm)+;&d+x}nMqzHVsFnqPQbjGXq}A$7Lmnl<xgHk;2QqLh<G`TxE0
zuq^T6{CWQgeXQVSujP|IeYNAN0|IgOoo-}9$*4Z3;)jV}zRs!0s*`(*rZ49kId${b
z@}^IT9q&)RPQkURCc~!7k9;?%>Qd_dy4&?_LN&{8M%UNk5^+|q=w*2}dwG9FFU^yC
z_ZFq9<$QfbFI}fMdo9nsId*%1SFTj+67PQ-<z%tZ*n0?W+*-P`Z?N|o;{w}vp5sw^
zeKVTRfyk+spFDN9yKOpI+-e?KoV@zh$-PBc#d*2WI&a>7y1Z>VEjL=H&F!=9=4*EL
z@@D?x*`0aZe9fB27cW?BtmV9UW^wYq^M`jWP1&Q9#r)){MKhn5H?l?9qmO=8vo0r#
z^>SI-^OkwzuGewLy;<g)jgv)b{hqHV4=0P+$&;nJ_v*6PG`E_QS2qRf?HyKmUn~pF
z>Fw?<vaGKx%+BtVRhRe0S#zh{Nj&~!S#0{Xyss?AQ%~O4Je+GODfML2?NPIIi9P!9
z50t%85(3`)5=dPLDN<R1Y@D1Tl@-Xw$thA<foz<dB9#@$#>pvCS%GYvoFbJK$i~Sj
zQdxm)oSY(+70AZPDN<R1Y@D1Tl@-Xw$thA<foz<dB9#@$#>pvCS%GYvoFbJK$i~Sj
zQdxm)oSY(+70AZPDN<R1Y@D1Tl@-Xw$thA<foz<dB9#@$#>pvCS%GYvoFbJK$i~Sj
zQdxm)oSY(+70AZPDN<R1Y@D1Tl@-Xw$thA<foz<dB9#@$#>pvCS%GYvoFbJK$i~Sj
zQdxm)oSY(+70AZPDN<R1Y@D1Tl@-Xw$thA<foz<dB9#@$#>pvCS%GYvoFbJK$i~Sj
zQdxm)oSY(+70AZPDN<R1Y@D1Tl@-Xw$thA<foz<dB9#@$#>pvCS%GYvoFbJK$i~Sj
zQdxm)oSY(+70AZPDN<R1Y@D1Tl@-Xw$thA<foz<dB9#@$#>pvCS%GYvoFbJK$i~Sj
zQdxm)oSY(+70AZPDN<R1Y@D1Tl@-Xw$thA<foz<dB9#@$#>pvCS%GYvoFbJK$i~Sj
zQdxm)oSY(+70AZPDN<R1Y@D1Tl@-Xw$thA<foz<dB9#@$#>pvCS%GYvoFbJK$i~Sj
zQdxm)oSY(+70AZPDN<R1Y@D1Tl@-Xw$thA<foz<dB9#@$#>pvCS%GYvoFbJK$i~Sj
zQdxm)oSY(+70AZPDN<R1Y@D1Tl@-Xw$thA<foz<dB9#@$#>pvCS%GYvoFbJK$i~Sj
zQdxm)oSY(+70AZPDN<R1Y@D1Tl@-Xw$thA<foz<dB9#@$#>pvCS%GYvoFbJK$i~Sj
zQdxm)oSY(+70AZPDN<R1Y@D1Tl@-Xw$thA<foz<dB9#@$#>pvCS%GYvoFbJK$i~Sj
zQdxm)oSY(+70AZPDN<R1Y@D1Tl@-Xw$thA<foz<dB9#@$#>pvCS%GYvoFbJK$i~Sj
zQdxm)oSY(+70AZPDN<R1Y@D1Tl@-Xw$thA<foz<dB9#@$#>pvCS%GYvoFbJK$i~Sj
zQdxm)oSY(+70AZPDN<R1Y@D1Tl@-Xw$thA<foz<dB9#@$#>pvCS%GYvoFbJK$i~Sj
zQdxm)oSY(+70AZPDN<R1Y@D1Tl@-Xw$thA<foz<dB9#@$#>pvCS%GYvoFbJK$i~Sj
zQdxm)oSY(+70AZPsf$Uq+3+Kdd(Wdsyz>{@b!T6eixjD>KsHWJk;)2W<Kz^ntUxwS
zPF+Z<%|;({+>2h??fq#rIE#hup=oB#eD%<co-9h$J(Rj>&ijX0=vHu}*YZox#eOP>
z1ze{N8!aQ2Qf)T;SmS0*^RW*e>&{<vzny(qF;W+j>fhbFXRYoLy5;UhVZE-C#d^6c
zbvFuq&kbM8C%>fZ=?&k<dVkm*)B8U5r22Cr7WSMaA$4}^u_x}%=eKX)dL-)8uD)~U
z^z{6hdw0)D{q(a>J$ZIk>Zc!%`h_2O|9l?x6J7oGcf_;K&d$5~_U-d$mTQUnVO`6;
z#d43Ljy)>%`9}t(8j}L?-q%i!8dwXw?A>L#UAHZb3(T6w-Znm>cU!=n=eEdHo79xd
zc0Z|Yk*PMRDVgnlQrjX^ZBkP*+x?`rMW))MrewDJNo|WvwMk9M4EkqH^T;~~JsOh&
za%z%hYk<@i$Yhh$B+b?UsV$JnCaFo9tpQS7Ad^i}lQdfcq_#jNo1`Xb1_QI^_3!OE
z`tRG#UaUYJ$%c#Bi&f@O?d&@wRL7T6ZEdQ@1<Gd$W-pG@?DjhQc1^~6NR7Mf_I6VJ
zdto<j_F`okxR{eyS-SeboILY9d#wXfW-so{$25DfPo9e}c<;-aby96M`gr5aUd$hR
zXJ6Ke6sfF0Hcn2F$_ixT<P@o_KsHWJk;)2W<CZzqX2Xv)&NmJhIP$JjSu9edvI5yS
zIYlZfkc^wX%gp^Gm9sAi)#X&13_k8Kzn73Z{{1%&{WtJtFIKsZWW&Ym#VT{Cc11rV
zRL7T6ZEdQ@1$>q;?lRiz>>Fhn=_ECRGTPNi_3wpUh}nx(YT#n_VrA*-19S4sUhK7|
zrDh-gkl$V$`87$i7yIP7@Pf}0(qukM$Wis5CFH`7I?A1A_VAM;l@-Xw$thA<foz<d
zB9#@$#>pvCS%GZaGN;;X__4<M#^C}--gPRAMT%5bAR8yANM!|*ab_<jp_<0om!y(Y
zNvKs$wdwGq4eY;h=)ZwCd$A@%N3!8!_F|PeRJ)=d5~|}%skS!N;{rZQ7<U=%b@q+2
zjC7J3K^g7pr26;5F2wA`Dm8F1d$F=~_4J&)CZyVd-PQa>>KnI~+4sG|?8W@-#deT@
z8lwWUkG^@dU+0cM_ukj;bnDm>=s!!?>et*AaOb&eGTu|A=4H5T%47G?H1oD}M0@&i
zIoL%!9}l;>7uk617B||>`0}FbUUbi1L`+${6%WhDt|LOavF>rUTwcr~y8O3sH+t*a
z{&Wdyn$xq=HNSQ8MbVg@&70<AaoT)OG@8d3r8<9cQ|>3C`@Hm>&QD$&t>!###a?o^
z`GKyrT+8_lSj%F)M@=*D_UISyt@rW!S5n^*Qr~&JQnThIi{=McE+wayQeW`Tc0C>r
z3e@Y=!N8*rAeBu4Qlzp1**G~xDl3qUlT)O!0@=7_PPN(aV~s0!>i#NS_Taltk;)2W
z<Kz^ntUxv{a;nV+A9I{<vC>ChP9>@2R1(T8>nv3N&1ihZ(iO9;t3*e#;bN9`l{r+q
z^E@O}$CpxVZK}rwe3mfoGTQ6x8)X^kBsGFE+SN(*?}c56S=Lo*;9{0_W$EfNk!Pdq
zNVS8W8#h0?Ope~z`!e}yqt%=&He1aesW&`lmbF>dgKW8M!)FO;!S21UO@|+CVE<Xd
z(F){u+?{9EiWI4=KsHWJk;)2W<Kz^ntUxwSPLawAWaE}O)n>zwIPN`<9`Vj!XxCk*
zvRtG{Wd*Wva*9+|AR8yANM!}GagkGPHu$LH{8hT_;eXLfyU6}qWV08mTt~9uV)kN{
zIaGV^J0w)cmr`wQs>cP)UL1EB?REBzvW#?+8bKND>ZJPj!Y<@W=4D;Y?5^vy7PA)z
z3AATU9;u5+o!xruiM#Xp?c28=iTbpw@7y^(J%8rj-Lq0Z{p?duo}HEY>Bpmf;RoJ7
zpGW;fSHJxo@vO76^RB*q`}~>ZTB3ef*K%*M+@q*tk4k<1k%6hkq(EHJ+sRP_YXP4n
ztS$B}nZ3AAXE^50bLcVMA~kI@93eIIm~N4pwi%9)8hT8(NKM-eM@S7lrdy<@ZH6PH
zh91+crBpi|U}Pm=_F^^_oPDIS0@*k@MJg+hjgwQPvI5ySIYlZfkd5nds?A0pbDY_W
zCyRRgyRX{&@7v8@tS%R=UD2~*OQ|*+e#CJ;OUNF4XCJAoKsK(+DYF+VSAUPtbqrk0
z$*V|RO=`_?-@m;WIc4_Z&U{R>7uUIU;U#woS+Y*5%|;({-0HK0V<t%MyR$C|)sP~U
z70AZPDN<R1Y@D1Tl@-Xw$thA<fo$9|r`l}zvBu5(<>>sOcb&>wks_59$i~U3i%7NE
z;G>S~{>AoD3uJdb&b~GqeAIDrDtq|5E4rjQ$kqQ|LjMiC-(IX}W-nHWi`MR^vSLfA
zHXDAdaXw49z>#<MWwA(INUFa_=$_@b7c1Vt#q7n(($xoMFZ#>Tz1AKne>r;e*CZ!q
zFSb3Yg-HQ_IeL<2d!V~ZXt#T{w*~so61E4lcLdyd?vPA$keYzm=_a*9GSNY50%oV1
z)DFo+2dN2|oo-S)BoiH^CSZ2DN$rqKbdZ{W+36;=Lo(4pY651bo74`;L<gw}n4NA?
zJ0uewq$Xf?x=HPjOmyr>`Chb24Zas`&c5`I)dBT>ft{S%AAZE6q_PK}6sfF0Hcn2F
z$_ixT<P@o_KsHWJk;)2W<Kz^ntUxwSPLawAWaH%2#iZJ7__4<Mw^$cA^3J|27AaC$
zfoz<dB9#@$#>pvCS%GX^<W!ptKI*vc7jRG=%z^&jV)fs_`(2lc){$(u{L*uEM7>|Y
zd*6Pa_2^QnU3XX-6YyEWn94+-vu^@sr<>Fc$wWsd)xQ^ZLFO-3tAUH(b*U^}z310T
zNL@tAU#0U`=?3|7$wpk!+e@zFD4xFj1sqfd4|e}q!of%Z?mV+OK#EjWAR8yANM!}G
zadL`ORv;TEr$}W5vT@6tYO~=-9QU3_k9g-VwCk=@SuRqfvI5ySIdu`KHXD4@asGl>
z_VCBqC#N!6aw?+~G2Iot%|;({+>2h??S20ZyxEI28#<B=7qb_u%%R%-)FGidzLaWf
zQ#~o*vxG^StpR7>7RY3i)FjQ;Kqu9|7j}bYFIJ<0i#d6frK=CjUi4S#daXTD{wkfn
zO4sMf6)pHIAq&~P_qEyRV~$&WmT=4j$$fX{nS@$?l9vrW>Nq)-J^Z9dWd*Wva*9+|
zAR8yANM!}Gam$=)v*E`Y=l2pWaO7R5vRI@@Wd*Wva*9+|AQ?A%*E^GuM<3?wOQwLF
zN<x{vn1$+pFQNYi-t5I{*O6?vn7vqK4%M#chlJ|*QmU;@^|*k~62@Idd!2owEF+zy
zMo>n(I;sA>unRGJu}TeG%wDW4UA<>c9;u5+`Rzr&y*S91OE%()-d=JY$MJN3$02u|
z`_B?`;YS_i&NF-XNs-D5WaH!%sjNUYPEL`^3S{Ht6sfF0Hcn2Zq{=rAkC?%cqFR01
zo{T;2FlS%(_>&@)70AZPDN<R1Y@D1Tl@-Xw$*G*wta<%=yU6|<c(WI)Tt~9uV)kN{
zIaE9Q4hhw9QdMGa?X!fvJi|dbHT0Nnk(#y{j&xG}dto<b_F^R(xR{eyS-RTn#WlyQ
znXem7bF$cMH3y{3UNn1gkS~{Pc<;*slFCW-pC#nNk2*?DWe-0oQdxm)oSY(+70AZP
zDN<R1Y@D1Tl@-XwEpw{Ph97HO`NrX6A3WBbzvzCuPG!YNk;)2W<Kz^ntUxwSPLawA
zWaH%2g{0bS^zp{^zn9Q|18??X&4!L-!^P~yDs!lIojN2`$CpxVZK@{){N?CLnymq6
z-xkPZlhh>5)<7rKzZZ6cW-nHwfs5ITm8Gi>%*peYqkFAAQvPzZzZ~7?$rUa5%h6fL
z?!B+gMjvyWzZ{)C`tCeQWd*Wva*9+|AR8yANM!}GadL`ORv;TEr$}W5vT<^XR8}Ax
zC#Ohd1+sB+id0r08z-koWd*Wva*9+|ARD*Jss0;yvlpveN3!8!_F|PeRJ%?c5~|~*
zs>Gq1)FGidPO3^As!1IZs^gth|6bVjn!Q+Y1}<hVR+g^bGbfMKMWp=pqTgN|<jW-+
z-utqEq)252vT<^XR8}AxC#Ohd1+sB+id0r08z-koWd*Wva*9+|AR8yANM!}GadL`O
zRv;TEr$}W5vT<^XR8}AxC#Ohd1+sCgoa(=UH+!+lbtD@uW-nHmL$&MFA)z`>s!ANH
zNgWcZ<D{y@p_<eop*r44_3wpUui1+gXW(K^US;WOvlrJK{&Msa@1M`l&fflxC+<dl
z-qp8npFb1r)2_aA=k)aanR|E7O8xY+PsML8o<9Bb;}`ku#WlF4X#wwj(>B8qQbUjF
z7O82Q;Rvar$8?L-w9RmY)X-zPMQYk+I6`XZG2J3HZ8IDpHT0Nnk(#y{j*uF9Ot+R&
z?R0>V5b&3yFOUb$zAP3gQdxm)oSY(+70AZPDN<R1WZdjs?`)bj8GPJf-QUGRbubD1
z<>;n4SyZO(tM>l;cC#0&%SCJNeOa-kRGSSy);OOfT;Rw%`?6T1E+jRWrPr9fSn&of
zW-nHjuI}%qx)!MooRh^yqiGIE`Rzr&y*S91%Qd>s5-zujV|jLWm(U)|-roOy_Mau(
z|0<5=neIHZhnf_rtUxwSPLawAWaH!%sjNUYPEL`^3S{HtR7$FR<M2rNwq3~x$f=A{
z#E>GD70AZPDN<R1Y@D1Tl@-Xw$thA<fn40IdHs94$o_j}zr9%HI+6_+zr9#x4%Mzx
zhlJ|*QmU;@^|-*SnSJV`<3oD41)P1`B2#TrQ!-oq%egN7dto<f_F_dExR||IS-Seb
zoV<2mXGUyqH*k5wb4_FRVs`do+ap?-7U=$c-}LZ(j+8HbZe015pDer7-niYm@*OgJ
zF;DtD-Fe=C)A7%LV_x;RBcG?7x&g?fvImhAsjNUYPEL`^3S{Ht)IO=r+rS_Gu6>cl
zRsx00?8R(0IQvLt1+sB+id0r08z-koWd*Wva*9+|AR8yAa#Ch5`t8MBrd%Y-*_YK?
zO10VWV~s1HC4B6I$GY<u-EU`KR*ckzqy{sp%rxum1}<hV_Aaqt_Trl3zW;Kx*^3wX
z%hByx+|s1LgPFZJ`En2Mz;fn(`|$3-^R?w>FXpA)d1kFhk;)2W<Kz^ntUxwSPLawA
zWaH!%sjNUYPEL`^3S{Ht6sfF0Hcn2F$_ixT<P@o_KsHWJk;)2W<Kz^ntUxwyPfjhi
z)^W1co@&mg21@^}?XjJXt$^huij9{Z%&Hj7HraaS!DibuixM<1KLJ##QrhzWMfv}_
zY;N5P|L(fKX--e>Et>hfX>Ol2r`<N4oHVmp^lX&bY@=~&xwdskbS#!@Ia_W*=_wsi
z)*W4Q>Y;z>E}%RqI@T`~YgvZW7b<b9j@?xbU%G9(Z7G|(T1)9zujTCYcK<^C)^@M_
z+SmTr-|SxHBwk@2536Gq)#)qB!{#rXtwJI!TNV?~8Ll?Dw$+oC$7Z>?{WHr8t;%W-
zYICPP^wO)t**$M#`S*R{&YD&oi>-kUbE|KxIUnm>^{w`C9kJ7~9_p5sd%f|}Lxw{;
zy|uPMvt6EQ^FA3$N3$sZUzfqwefkfr2Q2Lq*mFhS_p~D%Bb7bqq)252vT<^XR8}Ax
zci%bHW?$hN#mz3WEkFAy|41P~fB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0{=fdbRYl#0002w|Jn!%4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede
z;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQq
zIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?
z4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!
z0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`
zz<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!K
zaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)
z95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c
z2M!!KaNxj!0|yQqIB?*=fddB)95`^`z<~n?4jede;J|?c2M!!KaNxj!0|yQqIB?*=
zfddB)95`^`z<~qWp+g%80RRSo1%*VvASg6~V342pBn*LK2S#KhNFa!x;i}rC>Ie`Z
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U
zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7
z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N
z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+
z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly
zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF
z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk
z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs
z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ
zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkL{PoPPaJ8PYM(mg9Vu2y9G&EI7l+WyH~
KrYhz2nD-L`PbrK5

diff --git a/SPI/output_files/output_file.map b/SPI/output_files/output_file.map
deleted file mode 100644
index d3126bd..0000000
--- a/SPI/output_files/output_file.map
+++ /dev/null
@@ -1,15 +0,0 @@
-BLOCK		START ADDRESS		END ADDRESS
-
-Page_0		0x00000000		0x000AF6E8
-
-
-Configuration device: EP4CE22
-Configuration mode: Active Serial
-Quad-Serial configuration device dummy clock cycle: 8
-
-
-Notes:
-
-- Data checksum for this conversion is 0x74B52A0B
-
-- All the addresses in this file are byte addresses
\ No newline at end of file
diff --git a/SPI/simulation/modelsim/SPI_test.sft b/SPI/simulation/modelsim/SPI_test.sft
deleted file mode 100644
index 8852575..0000000
--- a/SPI/simulation/modelsim/SPI_test.sft
+++ /dev/null
@@ -1,6 +0,0 @@
-set tool_name "ModelSim-Altera (SystemVerilog)"
-set corner_file_list {
-    {{"Slow -6 1.2V 85 Model"} {SPI_test_6_1200mv_85c_slow.svo SPI_test_6_1200mv_85c_v_slow.sdo}}
-    {{"Slow -6 1.2V 0 Model"} {SPI_test_6_1200mv_0c_slow.svo SPI_test_6_1200mv_0c_v_slow.sdo}}
-    {{"Fast -M 1.2V 0 Model"} {SPI_test_min_1200mv_0c_fast.svo SPI_test_min_1200mv_0c_v_fast.sdo}}
-}
diff --git a/SPI/simulation/modelsim/SPI_test.svo b/SPI/simulation/modelsim/SPI_test.svo
deleted file mode 100644
index 400cf1f..0000000
--- a/SPI/simulation/modelsim/SPI_test.svo
+++ /dev/null
@@ -1,4083 +0,0 @@
-// Copyright (C) 2018  Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions 
-// and other software and tools, and its AMPP partner logic 
-// functions, and any output files from any of the foregoing 
-// (including device programming or simulation files), and any 
-// associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License 
-// Subscription Agreement, the Intel Quartus Prime License Agreement,
-// the Intel FPGA IP License Agreement, or other applicable license
-// agreement, including, without limitation, that your use is for
-// the sole purpose of programming logic devices manufactured by
-// Intel and sold by Intel or its authorized distributors.  Please
-// refer to the applicable agreement for further details.
-
-// VENDOR "Altera"
-// PROGRAM "Quartus Prime"
-// VERSION "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition"
-
-// DATE "11/16/2022 16:51:48"
-
-// 
-// Device: Altera EP4CE22F17C6 Package FBGA256
-// 
-
-// 
-// This Verilog file should be used for ModelSim-Altera (SystemVerilog) only
-// 
-
-`timescale 1 ps/ 1 ps
-
-module MyDE0_Nano (
-	CLOCK_50,
-	LED,
-	KEY,
-	GPIO_2,
-	GPIO_2_IN,
-	GPIO_0_PI,
-	GPIO_0_PI_IN,
-	GPIO_1,
-	GPIO_1_IN);
-input 	CLOCK_50;
-output 	[7:0] LED;
-input 	[1:0] KEY;
-output 	[12:0] GPIO_2;
-input 	[2:0] GPIO_2_IN;
-output 	[33:0] GPIO_0_PI;
-input 	[1:0] GPIO_0_PI_IN;
-output 	[33:0] GPIO_1;
-input 	[1:0] GPIO_1_IN;
-
-// Design Ports Information
-// CLOCK_50	=>  Location: PIN_R7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[0]	=>  Location: PIN_A15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[1]	=>  Location: PIN_A13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[2]	=>  Location: PIN_B13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[3]	=>  Location: PIN_A11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[4]	=>  Location: PIN_D1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[5]	=>  Location: PIN_F3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[6]	=>  Location: PIN_B1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[7]	=>  Location: PIN_L3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// KEY[0]	=>  Location: PIN_J15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// KEY[1]	=>  Location: PIN_E1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2_IN[0]	=>  Location: PIN_E16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2_IN[1]	=>  Location: PIN_E15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2_IN[2]	=>  Location: PIN_D6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI_IN[0]	=>  Location: PIN_E6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI_IN[1]	=>  Location: PIN_C14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1_IN[0]	=>  Location: PIN_A12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1_IN[1]	=>  Location: PIN_T10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[0]	=>  Location: PIN_R4,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[1]	=>  Location: PIN_D12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[2]	=>  Location: PIN_F2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[3]	=>  Location: PIN_G5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[4]	=>  Location: PIN_T4,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[5]	=>  Location: PIN_J2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[6]	=>  Location: PIN_B3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[7]	=>  Location: PIN_D3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[8]	=>  Location: PIN_M7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[9]	=>  Location: PIN_T2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[10]	=>  Location: PIN_B12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[11]	=>  Location: PIN_C8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[12]	=>  Location: PIN_E8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[0]	=>  Location: PIN_A10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[1]	=>  Location: PIN_P2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[2]	=>  Location: PIN_R1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[3]	=>  Location: PIN_C6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[4]	=>  Location: PIN_T5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[5]	=>  Location: PIN_A5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[6]	=>  Location: PIN_P15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[7]	=>  Location: PIN_R5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[12]	=>  Location: PIN_P1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[13]	=>  Location: PIN_R16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[14]	=>  Location: PIN_C3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[15]	=>  Location: PIN_B10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[16]	=>  Location: PIN_F9,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[17]	=>  Location: PIN_B6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[18]	=>  Location: PIN_R3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[19]	=>  Location: PIN_T12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[20]	=>  Location: PIN_J1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[21]	=>  Location: PIN_A14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[22]	=>  Location: PIN_R6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[23]	=>  Location: PIN_A7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[24]	=>  Location: PIN_B14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[25]	=>  Location: PIN_R13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[26]	=>  Location: PIN_L8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[27]	=>  Location: PIN_E10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[28]	=>  Location: PIN_C11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[29]	=>  Location: PIN_B11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[30]	=>  Location: PIN_B7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[32]	=>  Location: PIN_D8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[33]	=>  Location: PIN_B16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[0]	=>  Location: PIN_T6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[1]	=>  Location: PIN_N3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[2]	=>  Location: PIN_G16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[3]	=>  Location: PIN_F1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[4]	=>  Location: PIN_B4,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[5]	=>  Location: PIN_L15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[6]	=>  Location: PIN_D14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[7]	=>  Location: PIN_N5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[8]	=>  Location: PIN_F15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[9]	=>  Location: PIN_R11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[10]	=>  Location: PIN_L1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[11]	=>  Location: PIN_E11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[12]	=>  Location: PIN_D15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[13]	=>  Location: PIN_T13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[14]	=>  Location: PIN_K16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[15]	=>  Location: PIN_C15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[16]	=>  Location: PIN_P8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[17]	=>  Location: PIN_R10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[18]	=>  Location: PIN_N1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[19]	=>  Location: PIN_C16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[20]	=>  Location: PIN_C2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[21]	=>  Location: PIN_N12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[22]	=>  Location: PIN_B5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[23]	=>  Location: PIN_M8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[24]	=>  Location: PIN_N6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[25]	=>  Location: PIN_F13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[26]	=>  Location: PIN_P3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[27]	=>  Location: PIN_M6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[28]	=>  Location: PIN_G1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[29]	=>  Location: PIN_J16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[30]	=>  Location: PIN_T14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[31]	=>  Location: PIN_R14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[32]	=>  Location: PIN_C9,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[33]	=>  Location: PIN_L4,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[8]	=>  Location: PIN_G2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[9]	=>  Location: PIN_D5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[10]	=>  Location: PIN_E7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[11]	=>  Location: PIN_A6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[31]	=>  Location: PIN_D11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-
-
-wire gnd;
-wire vcc;
-wire unknown;
-
-assign gnd = 1'b0;
-assign vcc = 1'b1;
-assign unknown = 1'bx;
-
-tri1 devclrn;
-tri1 devpor;
-tri1 devoe;
-// synopsys translate_off
-initial $sdf_annotate("SPI_test_v.sdo");
-// synopsys translate_on
-
-wire \CLOCK_50~input_o ;
-wire \KEY[0]~input_o ;
-wire \KEY[1]~input_o ;
-wire \GPIO_2_IN[0]~input_o ;
-wire \GPIO_2_IN[1]~input_o ;
-wire \GPIO_2_IN[2]~input_o ;
-wire \GPIO_0_PI_IN[0]~input_o ;
-wire \GPIO_0_PI_IN[1]~input_o ;
-wire \GPIO_1_IN[0]~input_o ;
-wire \GPIO_1_IN[1]~input_o ;
-wire \GPIO_2[0]~input_o ;
-wire \GPIO_2[1]~input_o ;
-wire \GPIO_2[2]~input_o ;
-wire \GPIO_2[3]~input_o ;
-wire \GPIO_2[4]~input_o ;
-wire \GPIO_2[5]~input_o ;
-wire \GPIO_2[6]~input_o ;
-wire \GPIO_2[7]~input_o ;
-wire \GPIO_2[8]~input_o ;
-wire \GPIO_2[9]~input_o ;
-wire \GPIO_2[10]~input_o ;
-wire \GPIO_2[11]~input_o ;
-wire \GPIO_2[12]~input_o ;
-wire \GPIO_0_PI[0]~input_o ;
-wire \GPIO_0_PI[1]~input_o ;
-wire \GPIO_0_PI[2]~input_o ;
-wire \GPIO_0_PI[3]~input_o ;
-wire \GPIO_0_PI[4]~input_o ;
-wire \GPIO_0_PI[5]~input_o ;
-wire \GPIO_0_PI[6]~input_o ;
-wire \GPIO_0_PI[7]~input_o ;
-wire \GPIO_0_PI[12]~input_o ;
-wire \GPIO_0_PI[13]~input_o ;
-wire \GPIO_0_PI[14]~input_o ;
-wire \GPIO_0_PI[15]~input_o ;
-wire \GPIO_0_PI[16]~input_o ;
-wire \GPIO_0_PI[17]~input_o ;
-wire \GPIO_0_PI[18]~input_o ;
-wire \GPIO_0_PI[19]~input_o ;
-wire \GPIO_0_PI[20]~input_o ;
-wire \GPIO_0_PI[21]~input_o ;
-wire \GPIO_0_PI[22]~input_o ;
-wire \GPIO_0_PI[23]~input_o ;
-wire \GPIO_0_PI[24]~input_o ;
-wire \GPIO_0_PI[25]~input_o ;
-wire \GPIO_0_PI[26]~input_o ;
-wire \GPIO_0_PI[27]~input_o ;
-wire \GPIO_0_PI[28]~input_o ;
-wire \GPIO_0_PI[29]~input_o ;
-wire \GPIO_0_PI[30]~input_o ;
-wire \GPIO_0_PI[32]~input_o ;
-wire \GPIO_0_PI[33]~input_o ;
-wire \GPIO_1[0]~input_o ;
-wire \GPIO_1[1]~input_o ;
-wire \GPIO_1[2]~input_o ;
-wire \GPIO_1[3]~input_o ;
-wire \GPIO_1[4]~input_o ;
-wire \GPIO_1[5]~input_o ;
-wire \GPIO_1[6]~input_o ;
-wire \GPIO_1[7]~input_o ;
-wire \GPIO_1[8]~input_o ;
-wire \GPIO_1[9]~input_o ;
-wire \GPIO_1[10]~input_o ;
-wire \GPIO_1[11]~input_o ;
-wire \GPIO_1[12]~input_o ;
-wire \GPIO_1[13]~input_o ;
-wire \GPIO_1[14]~input_o ;
-wire \GPIO_1[15]~input_o ;
-wire \GPIO_1[16]~input_o ;
-wire \GPIO_1[17]~input_o ;
-wire \GPIO_1[18]~input_o ;
-wire \GPIO_1[19]~input_o ;
-wire \GPIO_1[20]~input_o ;
-wire \GPIO_1[21]~input_o ;
-wire \GPIO_1[22]~input_o ;
-wire \GPIO_1[23]~input_o ;
-wire \GPIO_1[24]~input_o ;
-wire \GPIO_1[25]~input_o ;
-wire \GPIO_1[26]~input_o ;
-wire \GPIO_1[27]~input_o ;
-wire \GPIO_1[28]~input_o ;
-wire \GPIO_1[29]~input_o ;
-wire \GPIO_1[30]~input_o ;
-wire \GPIO_1[31]~input_o ;
-wire \GPIO_1[32]~input_o ;
-wire \GPIO_1[33]~input_o ;
-wire \GPIO_0_PI[11]~input_o ;
-wire \GPIO_2[0]~output_o ;
-wire \GPIO_2[1]~output_o ;
-wire \GPIO_2[2]~output_o ;
-wire \GPIO_2[3]~output_o ;
-wire \GPIO_2[4]~output_o ;
-wire \GPIO_2[5]~output_o ;
-wire \GPIO_2[6]~output_o ;
-wire \GPIO_2[7]~output_o ;
-wire \GPIO_2[8]~output_o ;
-wire \GPIO_2[9]~output_o ;
-wire \GPIO_2[10]~output_o ;
-wire \GPIO_2[11]~output_o ;
-wire \GPIO_2[12]~output_o ;
-wire \GPIO_0_PI[0]~output_o ;
-wire \GPIO_0_PI[1]~output_o ;
-wire \GPIO_0_PI[2]~output_o ;
-wire \GPIO_0_PI[3]~output_o ;
-wire \GPIO_0_PI[4]~output_o ;
-wire \GPIO_0_PI[5]~output_o ;
-wire \GPIO_0_PI[6]~output_o ;
-wire \GPIO_0_PI[7]~output_o ;
-wire \GPIO_0_PI[12]~output_o ;
-wire \GPIO_0_PI[13]~output_o ;
-wire \GPIO_0_PI[14]~output_o ;
-wire \GPIO_0_PI[15]~output_o ;
-wire \GPIO_0_PI[16]~output_o ;
-wire \GPIO_0_PI[17]~output_o ;
-wire \GPIO_0_PI[18]~output_o ;
-wire \GPIO_0_PI[19]~output_o ;
-wire \GPIO_0_PI[20]~output_o ;
-wire \GPIO_0_PI[21]~output_o ;
-wire \GPIO_0_PI[22]~output_o ;
-wire \GPIO_0_PI[23]~output_o ;
-wire \GPIO_0_PI[24]~output_o ;
-wire \GPIO_0_PI[25]~output_o ;
-wire \GPIO_0_PI[26]~output_o ;
-wire \GPIO_0_PI[27]~output_o ;
-wire \GPIO_0_PI[28]~output_o ;
-wire \GPIO_0_PI[29]~output_o ;
-wire \GPIO_0_PI[30]~output_o ;
-wire \GPIO_0_PI[32]~output_o ;
-wire \GPIO_0_PI[33]~output_o ;
-wire \GPIO_1[0]~output_o ;
-wire \GPIO_1[1]~output_o ;
-wire \GPIO_1[2]~output_o ;
-wire \GPIO_1[3]~output_o ;
-wire \GPIO_1[4]~output_o ;
-wire \GPIO_1[5]~output_o ;
-wire \GPIO_1[6]~output_o ;
-wire \GPIO_1[7]~output_o ;
-wire \GPIO_1[8]~output_o ;
-wire \GPIO_1[9]~output_o ;
-wire \GPIO_1[10]~output_o ;
-wire \GPIO_1[11]~output_o ;
-wire \GPIO_1[12]~output_o ;
-wire \GPIO_1[13]~output_o ;
-wire \GPIO_1[14]~output_o ;
-wire \GPIO_1[15]~output_o ;
-wire \GPIO_1[16]~output_o ;
-wire \GPIO_1[17]~output_o ;
-wire \GPIO_1[18]~output_o ;
-wire \GPIO_1[19]~output_o ;
-wire \GPIO_1[20]~output_o ;
-wire \GPIO_1[21]~output_o ;
-wire \GPIO_1[22]~output_o ;
-wire \GPIO_1[23]~output_o ;
-wire \GPIO_1[24]~output_o ;
-wire \GPIO_1[25]~output_o ;
-wire \GPIO_1[26]~output_o ;
-wire \GPIO_1[27]~output_o ;
-wire \GPIO_1[28]~output_o ;
-wire \GPIO_1[29]~output_o ;
-wire \GPIO_1[30]~output_o ;
-wire \GPIO_1[31]~output_o ;
-wire \GPIO_1[32]~output_o ;
-wire \GPIO_1[33]~output_o ;
-wire \GPIO_0_PI[8]~output_o ;
-wire \GPIO_0_PI[9]~output_o ;
-wire \GPIO_0_PI[10]~output_o ;
-wire \GPIO_0_PI[11]~output_o ;
-wire \GPIO_0_PI[31]~output_o ;
-wire \LED[0]~output_o ;
-wire \LED[1]~output_o ;
-wire \LED[2]~output_o ;
-wire \LED[3]~output_o ;
-wire \LED[4]~output_o ;
-wire \LED[5]~output_o ;
-wire \LED[6]~output_o ;
-wire \LED[7]~output_o ;
-wire \GPIO_0_PI[8]~input_o ;
-wire \GPIO_0_PI[8]~inputclkctrl_outclk ;
-wire \spi_slave_instance|cnt[0]~12_combout ;
-wire \spi_slave_instance|cnt[1]~4_combout ;
-wire \spi_slave_instance|cnt[1]~5 ;
-wire \spi_slave_instance|cnt[2]~6_combout ;
-wire \spi_slave_instance|cnt[2]~7 ;
-wire \spi_slave_instance|cnt[3]~8_combout ;
-wire \spi_slave_instance|cnt[3]~9 ;
-wire \spi_slave_instance|cnt[4]~10_combout ;
-wire \GPIO_0_PI[31]~input_o ;
-wire \GPIO_0_PI[9]~input_o ;
-wire \spi_slave_instance|Equal0~0_combout ;
-wire \spi_slave_instance|q~31_combout ;
-wire \spi_slave_instance|q~30_combout ;
-wire \spi_slave_instance|q~29_combout ;
-wire \spi_slave_instance|q~28_combout ;
-wire \spi_slave_instance|q~27_combout ;
-wire \spi_slave_instance|q~26_combout ;
-wire \spi_slave_instance|q~25_combout ;
-wire \spi_slave_instance|q~24_combout ;
-wire \spi_slave_instance|q~23_combout ;
-wire \spi_slave_instance|q~22_combout ;
-wire \spi_slave_instance|q~21_combout ;
-wire \spi_slave_instance|q~20_combout ;
-wire \spi_slave_instance|q~19_combout ;
-wire \spi_slave_instance|q~18_combout ;
-wire \spi_slave_instance|q~17_combout ;
-wire \spi_slave_instance|q~16_combout ;
-wire \spi_slave_instance|q~15_combout ;
-wire \spi_slave_instance|q~14_combout ;
-wire \spi_slave_instance|q~13_combout ;
-wire \spi_slave_instance|q~12_combout ;
-wire \spi_slave_instance|q~10_combout ;
-wire \spi_slave_instance|q~11_combout ;
-wire \spi_slave_instance|q~9_combout ;
-wire \spi_slave_instance|q~8_combout ;
-wire \spi_slave_instance|q~7_combout ;
-wire \spi_slave_instance|q~6_combout ;
-wire \spi_slave_instance|q~5_combout ;
-wire \spi_slave_instance|q~4_combout ;
-wire \spi_slave_instance|q~3_combout ;
-wire \spi_slave_instance|q~2_combout ;
-wire \spi_slave_instance|q~1_combout ;
-wire \spi_slave_instance|q~0_combout ;
-wire \spi_slave_instance|qdelayed~q ;
-wire \spi_slave_instance|miso~0_combout ;
-wire \GPIO_0_PI[10]~input_o ;
-wire [4:0] \spi_slave_instance|cnt ;
-wire [31:0] \spi_slave_instance|q ;
-
-
-hard_block auto_generated_inst(
-	.devpor(devpor),
-	.devclrn(devclrn),
-	.devoe(devoe));
-
-// Location: IOOBUF_X5_Y0_N23
-cycloneive_io_obuf \GPIO_2[0]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[0]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[0]~output .bus_hold = "false";
-defparam \GPIO_2[0]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X51_Y34_N23
-cycloneive_io_obuf \GPIO_2[1]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[1]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[1]~output .bus_hold = "false";
-defparam \GPIO_2[1]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y24_N23
-cycloneive_io_obuf \GPIO_2[2]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[2]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[2]~output .bus_hold = "false";
-defparam \GPIO_2[2]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y24_N16
-cycloneive_io_obuf \GPIO_2[3]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[3]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[3]~output .bus_hold = "false";
-defparam \GPIO_2[3]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X5_Y0_N16
-cycloneive_io_obuf \GPIO_2[4]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[4]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[4]~output .bus_hold = "false";
-defparam \GPIO_2[4]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y15_N2
-cycloneive_io_obuf \GPIO_2[5]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[5]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[5]~output .bus_hold = "false";
-defparam \GPIO_2[5]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X3_Y34_N2
-cycloneive_io_obuf \GPIO_2[6]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[6]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[6]~output .bus_hold = "false";
-defparam \GPIO_2[6]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y34_N9
-cycloneive_io_obuf \GPIO_2[7]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[7]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[7]~output .bus_hold = "false";
-defparam \GPIO_2[7]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X11_Y0_N16
-cycloneive_io_obuf \GPIO_2[8]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[8]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[8]~output .bus_hold = "false";
-defparam \GPIO_2[8]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X3_Y0_N2
-cycloneive_io_obuf \GPIO_2[9]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[9]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[9]~output .bus_hold = "false";
-defparam \GPIO_2[9]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X43_Y34_N23
-cycloneive_io_obuf \GPIO_2[10]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[10]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[10]~output .bus_hold = "false";
-defparam \GPIO_2[10]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X23_Y34_N16
-cycloneive_io_obuf \GPIO_2[11]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[11]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[11]~output .bus_hold = "false";
-defparam \GPIO_2[11]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X20_Y34_N9
-cycloneive_io_obuf \GPIO_2[12]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[12]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[12]~output .bus_hold = "false";
-defparam \GPIO_2[12]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y34_N9
-cycloneive_io_obuf \GPIO_0_PI[0]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[0]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[0]~output .bus_hold = "false";
-defparam \GPIO_0_PI[0]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y4_N16
-cycloneive_io_obuf \GPIO_0_PI[1]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[1]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[1]~output .bus_hold = "false";
-defparam \GPIO_0_PI[1]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y5_N23
-cycloneive_io_obuf \GPIO_0_PI[2]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[2]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[2]~output .bus_hold = "false";
-defparam \GPIO_0_PI[2]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X18_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[3]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[3]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[3]~output .bus_hold = "false";
-defparam \GPIO_0_PI[3]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y0_N16
-cycloneive_io_obuf \GPIO_0_PI[4]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[4]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[4]~output .bus_hold = "false";
-defparam \GPIO_0_PI[4]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[5]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[5]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[5]~output .bus_hold = "false";
-defparam \GPIO_0_PI[5]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y6_N16
-cycloneive_io_obuf \GPIO_0_PI[6]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[6]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[6]~output .bus_hold = "false";
-defparam \GPIO_0_PI[6]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y0_N23
-cycloneive_io_obuf \GPIO_0_PI[7]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[7]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[7]~output .bus_hold = "false";
-defparam \GPIO_0_PI[7]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y4_N23
-cycloneive_io_obuf \GPIO_0_PI[12]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[12]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[12]~output .bus_hold = "false";
-defparam \GPIO_0_PI[12]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y8_N23
-cycloneive_io_obuf \GPIO_0_PI[13]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[13]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[13]~output .bus_hold = "false";
-defparam \GPIO_0_PI[13]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[14]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[14]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[14]~output .bus_hold = "false";
-defparam \GPIO_0_PI[14]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[15]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[15]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[15]~output .bus_hold = "false";
-defparam \GPIO_0_PI[15]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[16]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[16]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[16]~output .bus_hold = "false";
-defparam \GPIO_0_PI[16]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X16_Y34_N9
-cycloneive_io_obuf \GPIO_0_PI[17]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[17]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[17]~output .bus_hold = "false";
-defparam \GPIO_0_PI[17]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y0_N9
-cycloneive_io_obuf \GPIO_0_PI[18]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[18]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[18]~output .bus_hold = "false";
-defparam \GPIO_0_PI[18]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X36_Y0_N9
-cycloneive_io_obuf \GPIO_0_PI[19]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[19]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[19]~output .bus_hold = "false";
-defparam \GPIO_0_PI[19]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y15_N9
-cycloneive_io_obuf \GPIO_0_PI[20]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[20]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[20]~output .bus_hold = "false";
-defparam \GPIO_0_PI[20]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X47_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[21]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[21]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[21]~output .bus_hold = "false";
-defparam \GPIO_0_PI[21]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y0_N9
-cycloneive_io_obuf \GPIO_0_PI[22]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[22]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[22]~output .bus_hold = "false";
-defparam \GPIO_0_PI[22]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X20_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[23]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[23]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[23]~output .bus_hold = "false";
-defparam \GPIO_0_PI[23]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X45_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[24]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[24]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[24]~output .bus_hold = "false";
-defparam \GPIO_0_PI[24]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X40_Y0_N23
-cycloneive_io_obuf \GPIO_0_PI[25]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[25]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[25]~output .bus_hold = "false";
-defparam \GPIO_0_PI[25]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X18_Y0_N9
-cycloneive_io_obuf \GPIO_0_PI[26]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[26]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[26]~output .bus_hold = "false";
-defparam \GPIO_0_PI[26]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X45_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[27]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[27]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[27]~output .bus_hold = "false";
-defparam \GPIO_0_PI[27]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X38_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[28]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[28]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[28]~output .bus_hold = "false";
-defparam \GPIO_0_PI[28]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X40_Y34_N9
-cycloneive_io_obuf \GPIO_0_PI[29]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[29]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[29]~output .bus_hold = "false";
-defparam \GPIO_0_PI[29]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X18_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[30]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[30]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[30]~output .bus_hold = "false";
-defparam \GPIO_0_PI[30]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X23_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[32]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[32]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[32]~output .bus_hold = "false";
-defparam \GPIO_0_PI[32]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y22_N2
-cycloneive_io_obuf \GPIO_0_PI[33]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[33]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[33]~output .bus_hold = "false";
-defparam \GPIO_0_PI[33]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y0_N2
-cycloneive_io_obuf \GPIO_1[0]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[0]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[0]~output .bus_hold = "false";
-defparam \GPIO_1[0]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y0_N23
-cycloneive_io_obuf \GPIO_1[1]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[1]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[1]~output .bus_hold = "false";
-defparam \GPIO_1[1]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y20_N23
-cycloneive_io_obuf \GPIO_1[2]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[2]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[2]~output .bus_hold = "false";
-defparam \GPIO_1[2]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y23_N2
-cycloneive_io_obuf \GPIO_1[3]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[3]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[3]~output .bus_hold = "false";
-defparam \GPIO_1[3]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X7_Y34_N2
-cycloneive_io_obuf \GPIO_1[4]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[4]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[4]~output .bus_hold = "false";
-defparam \GPIO_1[4]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y11_N2
-cycloneive_io_obuf \GPIO_1[5]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[5]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[5]~output .bus_hold = "false";
-defparam \GPIO_1[5]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X51_Y34_N9
-cycloneive_io_obuf \GPIO_1[6]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[6]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[6]~output .bus_hold = "false";
-defparam \GPIO_1[6]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X5_Y0_N9
-cycloneive_io_obuf \GPIO_1[7]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[7]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[7]~output .bus_hold = "false";
-defparam \GPIO_1[7]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y22_N9
-cycloneive_io_obuf \GPIO_1[8]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[8]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[8]~output .bus_hold = "false";
-defparam \GPIO_1[8]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y0_N2
-cycloneive_io_obuf \GPIO_1[9]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[9]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[9]~output .bus_hold = "false";
-defparam \GPIO_1[9]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y11_N9
-cycloneive_io_obuf \GPIO_1[10]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[10]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[10]~output .bus_hold = "false";
-defparam \GPIO_1[10]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X45_Y34_N9
-cycloneive_io_obuf \GPIO_1[11]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[11]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[11]~output .bus_hold = "false";
-defparam \GPIO_1[11]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y26_N23
-cycloneive_io_obuf \GPIO_1[12]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[12]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[12]~output .bus_hold = "false";
-defparam \GPIO_1[12]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X40_Y0_N16
-cycloneive_io_obuf \GPIO_1[13]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[13]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[13]~output .bus_hold = "false";
-defparam \GPIO_1[13]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y12_N2
-cycloneive_io_obuf \GPIO_1[14]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[14]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[14]~output .bus_hold = "false";
-defparam \GPIO_1[14]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y30_N2
-cycloneive_io_obuf \GPIO_1[15]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[15]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[15]~output .bus_hold = "false";
-defparam \GPIO_1[15]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X25_Y0_N16
-cycloneive_io_obuf \GPIO_1[16]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[16]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[16]~output .bus_hold = "false";
-defparam \GPIO_1[16]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y0_N23
-cycloneive_io_obuf \GPIO_1[17]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[17]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[17]~output .bus_hold = "false";
-defparam \GPIO_1[17]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y7_N2
-cycloneive_io_obuf \GPIO_1[18]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[18]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[18]~output .bus_hold = "false";
-defparam \GPIO_1[18]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y30_N9
-cycloneive_io_obuf \GPIO_1[19]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[19]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[19]~output .bus_hold = "false";
-defparam \GPIO_1[19]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y27_N2
-cycloneive_io_obuf \GPIO_1[20]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[20]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[20]~output .bus_hold = "false";
-defparam \GPIO_1[20]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X47_Y0_N23
-cycloneive_io_obuf \GPIO_1[21]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[21]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[21]~output .bus_hold = "false";
-defparam \GPIO_1[21]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X11_Y34_N2
-cycloneive_io_obuf \GPIO_1[22]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[22]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[22]~output .bus_hold = "false";
-defparam \GPIO_1[22]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X20_Y0_N9
-cycloneive_io_obuf \GPIO_1[23]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[23]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[23]~output .bus_hold = "false";
-defparam \GPIO_1[23]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X5_Y0_N2
-cycloneive_io_obuf \GPIO_1[24]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[24]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[24]~output .bus_hold = "false";
-defparam \GPIO_1[24]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y21_N23
-cycloneive_io_obuf \GPIO_1[25]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[25]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[25]~output .bus_hold = "false";
-defparam \GPIO_1[25]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y0_N16
-cycloneive_io_obuf \GPIO_1[26]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[26]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[26]~output .bus_hold = "false";
-defparam \GPIO_1[26]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X7_Y0_N9
-cycloneive_io_obuf \GPIO_1[27]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[27]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[27]~output .bus_hold = "false";
-defparam \GPIO_1[27]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y23_N23
-cycloneive_io_obuf \GPIO_1[28]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[28]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[28]~output .bus_hold = "false";
-defparam \GPIO_1[28]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y14_N9
-cycloneive_io_obuf \GPIO_1[29]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[29]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[29]~output .bus_hold = "false";
-defparam \GPIO_1[29]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X45_Y0_N23
-cycloneive_io_obuf \GPIO_1[30]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[30]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[30]~output .bus_hold = "false";
-defparam \GPIO_1[30]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X49_Y0_N2
-cycloneive_io_obuf \GPIO_1[31]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[31]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[31]~output .bus_hold = "false";
-defparam \GPIO_1[31]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X31_Y34_N2
-cycloneive_io_obuf \GPIO_1[32]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[32]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[32]~output .bus_hold = "false";
-defparam \GPIO_1[32]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y6_N16
-cycloneive_io_obuf \GPIO_1[33]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[33]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[33]~output .bus_hold = "false";
-defparam \GPIO_1[33]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y23_N16
-cycloneive_io_obuf \GPIO_0_PI[8]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[8]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[8]~output .bus_hold = "false";
-defparam \GPIO_0_PI[8]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X5_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[9]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[9]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[9]~output .bus_hold = "false";
-defparam \GPIO_0_PI[9]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X16_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[10]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[10]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[10]~output .bus_hold = "false";
-defparam \GPIO_0_PI[10]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X16_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[11]~output (
-	.i(\spi_slave_instance|miso~0_combout ),
-	.oe(!\GPIO_0_PI[10]~input_o ),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[11]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[11]~output .bus_hold = "false";
-defparam \GPIO_0_PI[11]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X51_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[31]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[31]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[31]~output .bus_hold = "false";
-defparam \GPIO_0_PI[31]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X38_Y34_N16
-cycloneive_io_obuf \LED[0]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[0]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[0]~output .bus_hold = "false";
-defparam \LED[0]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X49_Y34_N2
-cycloneive_io_obuf \LED[1]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[1]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[1]~output .bus_hold = "false";
-defparam \LED[1]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X49_Y34_N9
-cycloneive_io_obuf \LED[2]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[2]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[2]~output .bus_hold = "false";
-defparam \LED[2]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X40_Y34_N2
-cycloneive_io_obuf \LED[3]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[3]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[3]~output .bus_hold = "false";
-defparam \LED[3]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y25_N9
-cycloneive_io_obuf \LED[4]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[4]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[4]~output .bus_hold = "false";
-defparam \LED[4]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y26_N16
-cycloneive_io_obuf \LED[5]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[5]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[5]~output .bus_hold = "false";
-defparam \LED[5]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y28_N9
-cycloneive_io_obuf \LED[6]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[6]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[6]~output .bus_hold = "false";
-defparam \LED[6]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y10_N23
-cycloneive_io_obuf \LED[7]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[7]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[7]~output .bus_hold = "false";
-defparam \LED[7]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y23_N15
-cycloneive_io_ibuf \GPIO_0_PI[8]~input (
-	.i(GPIO_0_PI[8]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[8]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[8]~input .bus_hold = "false";
-defparam \GPIO_0_PI[8]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: CLKCTRL_G0
-cycloneive_clkctrl \GPIO_0_PI[8]~inputclkctrl (
-	.ena(vcc),
-	.inclk({vcc,vcc,vcc,\GPIO_0_PI[8]~input_o }),
-	.clkselect(2'b00),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.outclk(\GPIO_0_PI[8]~inputclkctrl_outclk ));
-// synopsys translate_off
-defparam \GPIO_0_PI[8]~inputclkctrl .clock_type = "global clock";
-defparam \GPIO_0_PI[8]~inputclkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N12
-cycloneive_lcell_comb \spi_slave_instance|cnt[0]~12 (
-// Equation(s):
-// \spi_slave_instance|cnt[0]~12_combout  = !\spi_slave_instance|cnt [0]
-
-	.dataa(gnd),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [0]),
-	.datad(gnd),
-	.cin(gnd),
-	.combout(\spi_slave_instance|cnt[0]~12_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[0]~12 .lut_mask = 16'h0F0F;
-defparam \spi_slave_instance|cnt[0]~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N13
-dffeas \spi_slave_instance|cnt[0] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[0]~12_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [0]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[0] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N2
-cycloneive_lcell_comb \spi_slave_instance|cnt[1]~4 (
-// Equation(s):
-// \spi_slave_instance|cnt[1]~4_combout  = (\spi_slave_instance|cnt [0] & (\spi_slave_instance|cnt [1] $ (VCC))) # (!\spi_slave_instance|cnt [0] & (\spi_slave_instance|cnt [1] & VCC))
-// \spi_slave_instance|cnt[1]~5  = CARRY((\spi_slave_instance|cnt [0] & \spi_slave_instance|cnt [1]))
-
-	.dataa(\spi_slave_instance|cnt [0]),
-	.datab(\spi_slave_instance|cnt [1]),
-	.datac(gnd),
-	.datad(vcc),
-	.cin(gnd),
-	.combout(\spi_slave_instance|cnt[1]~4_combout ),
-	.cout(\spi_slave_instance|cnt[1]~5 ));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[1]~4 .lut_mask = 16'h6688;
-defparam \spi_slave_instance|cnt[1]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N3
-dffeas \spi_slave_instance|cnt[1] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[1]~4_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [1]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[1] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N4
-cycloneive_lcell_comb \spi_slave_instance|cnt[2]~6 (
-// Equation(s):
-// \spi_slave_instance|cnt[2]~6_combout  = (\spi_slave_instance|cnt [2] & (!\spi_slave_instance|cnt[1]~5 )) # (!\spi_slave_instance|cnt [2] & ((\spi_slave_instance|cnt[1]~5 ) # (GND)))
-// \spi_slave_instance|cnt[2]~7  = CARRY((!\spi_slave_instance|cnt[1]~5 ) # (!\spi_slave_instance|cnt [2]))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [2]),
-	.datac(gnd),
-	.datad(vcc),
-	.cin(\spi_slave_instance|cnt[1]~5 ),
-	.combout(\spi_slave_instance|cnt[2]~6_combout ),
-	.cout(\spi_slave_instance|cnt[2]~7 ));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[2]~6 .lut_mask = 16'h3C3F;
-defparam \spi_slave_instance|cnt[2]~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N5
-dffeas \spi_slave_instance|cnt[2] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[2]~6_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [2]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[2] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N6
-cycloneive_lcell_comb \spi_slave_instance|cnt[3]~8 (
-// Equation(s):
-// \spi_slave_instance|cnt[3]~8_combout  = (\spi_slave_instance|cnt [3] & (\spi_slave_instance|cnt[2]~7  $ (GND))) # (!\spi_slave_instance|cnt [3] & (!\spi_slave_instance|cnt[2]~7  & VCC))
-// \spi_slave_instance|cnt[3]~9  = CARRY((\spi_slave_instance|cnt [3] & !\spi_slave_instance|cnt[2]~7 ))
-
-	.dataa(\spi_slave_instance|cnt [3]),
-	.datab(gnd),
-	.datac(gnd),
-	.datad(vcc),
-	.cin(\spi_slave_instance|cnt[2]~7 ),
-	.combout(\spi_slave_instance|cnt[3]~8_combout ),
-	.cout(\spi_slave_instance|cnt[3]~9 ));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[3]~8 .lut_mask = 16'hA50A;
-defparam \spi_slave_instance|cnt[3]~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N7
-dffeas \spi_slave_instance|cnt[3] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[3]~8_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [3]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[3] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N8
-cycloneive_lcell_comb \spi_slave_instance|cnt[4]~10 (
-// Equation(s):
-// \spi_slave_instance|cnt[4]~10_combout  = \spi_slave_instance|cnt [4] $ (\spi_slave_instance|cnt[3]~9 )
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(gnd),
-	.datad(gnd),
-	.cin(\spi_slave_instance|cnt[3]~9 ),
-	.combout(\spi_slave_instance|cnt[4]~10_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[4]~10 .lut_mask = 16'h3C3C;
-defparam \spi_slave_instance|cnt[4]~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N9
-dffeas \spi_slave_instance|cnt[4] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[4]~10_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [4]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[4] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: IOIBUF_X51_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[31]~input (
-	.i(GPIO_0_PI[31]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[31]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[31]~input .bus_hold = "false";
-defparam \GPIO_0_PI[31]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[9]~input (
-	.i(GPIO_0_PI[9]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[9]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[9]~input .bus_hold = "false";
-defparam \GPIO_0_PI[9]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N5
-dffeas \spi_slave_instance|q[0] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(gnd),
-	.asdata(\GPIO_0_PI[9]~input_o ),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(vcc),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [0]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[0] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N18
-cycloneive_lcell_comb \spi_slave_instance|Equal0~0 (
-// Equation(s):
-// \spi_slave_instance|Equal0~0_combout  = (!\spi_slave_instance|cnt [0] & (!\spi_slave_instance|cnt [1] & (!\spi_slave_instance|cnt [2] & !\spi_slave_instance|cnt [3])))
-
-	.dataa(\spi_slave_instance|cnt [0]),
-	.datab(\spi_slave_instance|cnt [1]),
-	.datac(\spi_slave_instance|cnt [2]),
-	.datad(\spi_slave_instance|cnt [3]),
-	.cin(gnd),
-	.combout(\spi_slave_instance|Equal0~0_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|Equal0~0 .lut_mask = 16'h0001;
-defparam \spi_slave_instance|Equal0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N22
-cycloneive_lcell_comb \spi_slave_instance|q~31 (
-// Equation(s):
-// \spi_slave_instance|q~31_combout  = (\spi_slave_instance|cnt [4] & (((\spi_slave_instance|q [0])))) # (!\spi_slave_instance|cnt [4] & ((\spi_slave_instance|Equal0~0_combout  & (\GPIO_0_PI[31]~input_o )) # (!\spi_slave_instance|Equal0~0_combout  & 
-// ((\spi_slave_instance|q [0])))))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(\GPIO_0_PI[31]~input_o ),
-	.datac(\spi_slave_instance|q [0]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~31_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~31 .lut_mask = 16'hE4F0;
-defparam \spi_slave_instance|q~31 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N23
-dffeas \spi_slave_instance|q[1] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~31_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [1]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[1] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N24
-cycloneive_lcell_comb \spi_slave_instance|q~30 (
-// Equation(s):
-// \spi_slave_instance|q~30_combout  = (\spi_slave_instance|q [1] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|q [1]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~30_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~30 .lut_mask = 16'hA0F0;
-defparam \spi_slave_instance|q~30 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N25
-dffeas \spi_slave_instance|q[2] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~30_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [2]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[2] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N30
-cycloneive_lcell_comb \spi_slave_instance|q~29 (
-// Equation(s):
-// \spi_slave_instance|q~29_combout  = (\spi_slave_instance|q [2] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(\spi_slave_instance|q [2]),
-	.datac(gnd),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~29_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~29 .lut_mask = 16'h88CC;
-defparam \spi_slave_instance|q~29 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N31
-dffeas \spi_slave_instance|q[3] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~29_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [3]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[3] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N8
-cycloneive_lcell_comb \spi_slave_instance|q~28 (
-// Equation(s):
-// \spi_slave_instance|q~28_combout  = (\spi_slave_instance|q [3] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|q [3]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~28_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~28 .lut_mask = 16'hA0F0;
-defparam \spi_slave_instance|q~28 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N9
-dffeas \spi_slave_instance|q[4] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~28_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [4]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[4] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N18
-cycloneive_lcell_comb \spi_slave_instance|q~27 (
-// Equation(s):
-// \spi_slave_instance|q~27_combout  = (\spi_slave_instance|q [4] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|q [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~27_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~27 .lut_mask = 16'hA0F0;
-defparam \spi_slave_instance|q~27 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N19
-dffeas \spi_slave_instance|q[5] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~27_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [5]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[5] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N28
-cycloneive_lcell_comb \spi_slave_instance|q~26 (
-// Equation(s):
-// \spi_slave_instance|q~26_combout  = (\spi_slave_instance|q [5] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(\spi_slave_instance|q [5]),
-	.datac(gnd),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~26_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~26 .lut_mask = 16'h88CC;
-defparam \spi_slave_instance|q~26 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N29
-dffeas \spi_slave_instance|q[6] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~26_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [6]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[6] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N10
-cycloneive_lcell_comb \spi_slave_instance|q~25 (
-// Equation(s):
-// \spi_slave_instance|q~25_combout  = (\spi_slave_instance|q [6] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(\spi_slave_instance|q [6]),
-	.datac(gnd),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~25_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~25 .lut_mask = 16'h88CC;
-defparam \spi_slave_instance|q~25 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N11
-dffeas \spi_slave_instance|q[7] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~25_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [7]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[7] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N14
-cycloneive_lcell_comb \spi_slave_instance|q~24 (
-// Equation(s):
-// \spi_slave_instance|q~24_combout  = (\spi_slave_instance|q [7] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [7]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~24_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~24 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~24 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N15
-dffeas \spi_slave_instance|q[8] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~24_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [8]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[8] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N28
-cycloneive_lcell_comb \spi_slave_instance|q~23 (
-// Equation(s):
-// \spi_slave_instance|q~23_combout  = (\spi_slave_instance|q [8] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [8]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~23_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~23 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~23 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N29
-dffeas \spi_slave_instance|q[9] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~23_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [9]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[9] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N30
-cycloneive_lcell_comb \spi_slave_instance|q~22 (
-// Equation(s):
-// \spi_slave_instance|q~22_combout  = (\spi_slave_instance|q [9] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [9]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~22_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~22 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~22 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N31
-dffeas \spi_slave_instance|q[10] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~22_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [10]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[10] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N24
-cycloneive_lcell_comb \spi_slave_instance|q~21 (
-// Equation(s):
-// \spi_slave_instance|q~21_combout  = (\spi_slave_instance|q [10] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [10]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~21_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~21 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~21 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N25
-dffeas \spi_slave_instance|q[11] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~21_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [11]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[11] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[11] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N26
-cycloneive_lcell_comb \spi_slave_instance|q~20 (
-// Equation(s):
-// \spi_slave_instance|q~20_combout  = (\spi_slave_instance|q [11] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [11]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~20_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~20 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~20 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N27
-dffeas \spi_slave_instance|q[12] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~20_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [12]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[12] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[12] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N20
-cycloneive_lcell_comb \spi_slave_instance|q~19 (
-// Equation(s):
-// \spi_slave_instance|q~19_combout  = (\spi_slave_instance|q [12] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|q [12]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~19_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~19 .lut_mask = 16'hA0F0;
-defparam \spi_slave_instance|q~19 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N21
-dffeas \spi_slave_instance|q[13] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~19_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [13]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[13] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[13] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N16
-cycloneive_lcell_comb \spi_slave_instance|q~18 (
-// Equation(s):
-// \spi_slave_instance|q~18_combout  = (\spi_slave_instance|q [13] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [13]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~18_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~18 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~18 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N17
-dffeas \spi_slave_instance|q[14] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~18_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [14]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[14] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[14] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N10
-cycloneive_lcell_comb \spi_slave_instance|q~17 (
-// Equation(s):
-// \spi_slave_instance|q~17_combout  = (\spi_slave_instance|q [14] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [14]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~17_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~17 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~17 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N11
-dffeas \spi_slave_instance|q[15] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~17_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [15]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[15] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[15] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N20
-cycloneive_lcell_comb \spi_slave_instance|q~16 (
-// Equation(s):
-// \spi_slave_instance|q~16_combout  = (\spi_slave_instance|q [15] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [15]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~16_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~16 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N21
-dffeas \spi_slave_instance|q[16] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~16_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [16]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[16] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[16] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N22
-cycloneive_lcell_comb \spi_slave_instance|q~15 (
-// Equation(s):
-// \spi_slave_instance|q~15_combout  = (\spi_slave_instance|q [16] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [16]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~15_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~15 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N23
-dffeas \spi_slave_instance|q[17] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~15_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [17]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[17] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[17] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N0
-cycloneive_lcell_comb \spi_slave_instance|q~14 (
-// Equation(s):
-// \spi_slave_instance|q~14_combout  = (\spi_slave_instance|q [17] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [17]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~14_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~14 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N1
-dffeas \spi_slave_instance|q[18] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~14_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [18]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[18] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[18] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N28
-cycloneive_lcell_comb \spi_slave_instance|q~13 (
-// Equation(s):
-// \spi_slave_instance|q~13_combout  = (\spi_slave_instance|q [18] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [18]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~13_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~13 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N29
-dffeas \spi_slave_instance|q[19] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~13_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [19]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[19] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[19] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N8
-cycloneive_lcell_comb \spi_slave_instance|q~12 (
-// Equation(s):
-// \spi_slave_instance|q~12_combout  = (\spi_slave_instance|q [19] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [19]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~12_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~12 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N9
-dffeas \spi_slave_instance|q[20] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~12_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [20]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[20] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[20] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N10
-cycloneive_lcell_comb \spi_slave_instance|q~10 (
-// Equation(s):
-// \spi_slave_instance|q~10_combout  = (\spi_slave_instance|cnt [1]) # ((\spi_slave_instance|cnt [4]) # (\spi_slave_instance|cnt [3]))
-
-	.dataa(\spi_slave_instance|cnt [1]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|cnt [3]),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~10_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~10 .lut_mask = 16'hFFFA;
-defparam \spi_slave_instance|q~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N26
-cycloneive_lcell_comb \spi_slave_instance|q~11 (
-// Equation(s):
-// \spi_slave_instance|q~11_combout  = (\spi_slave_instance|q [20] & ((\spi_slave_instance|cnt [2]) # ((\spi_slave_instance|cnt [0]) # (\spi_slave_instance|q~10_combout ))))
-
-	.dataa(\spi_slave_instance|cnt [2]),
-	.datab(\spi_slave_instance|q [20]),
-	.datac(\spi_slave_instance|cnt [0]),
-	.datad(\spi_slave_instance|q~10_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~11_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~11 .lut_mask = 16'hCCC8;
-defparam \spi_slave_instance|q~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N27
-dffeas \spi_slave_instance|q[21] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~11_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [21]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[21] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[21] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N12
-cycloneive_lcell_comb \spi_slave_instance|q~9 (
-// Equation(s):
-// \spi_slave_instance|q~9_combout  = (\spi_slave_instance|q [21] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|q [21]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~9_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~9 .lut_mask = 16'hA0AA;
-defparam \spi_slave_instance|q~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N13
-dffeas \spi_slave_instance|q[22] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~9_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [22]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[22] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[22] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N6
-cycloneive_lcell_comb \spi_slave_instance|q~8 (
-// Equation(s):
-// \spi_slave_instance|q~8_combout  = (\spi_slave_instance|q [22] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|q [22]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~8_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~8 .lut_mask = 16'hA0AA;
-defparam \spi_slave_instance|q~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N7
-dffeas \spi_slave_instance|q[23] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~8_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [23]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[23] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[23] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N4
-cycloneive_lcell_comb \spi_slave_instance|q~7 (
-// Equation(s):
-// \spi_slave_instance|q~7_combout  = (\spi_slave_instance|q [23] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|q [23]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~7_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~7 .lut_mask = 16'hA0AA;
-defparam \spi_slave_instance|q~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N5
-dffeas \spi_slave_instance|q[24] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~7_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [24]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[24] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[24] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N30
-cycloneive_lcell_comb \spi_slave_instance|q~6 (
-// Equation(s):
-// \spi_slave_instance|q~6_combout  = (\spi_slave_instance|q [24] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [24]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~6_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~6 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N31
-dffeas \spi_slave_instance|q[25] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~6_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [25]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[25] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[25] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N20
-cycloneive_lcell_comb \spi_slave_instance|q~5 (
-// Equation(s):
-// \spi_slave_instance|q~5_combout  = (\spi_slave_instance|q [25] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|q [25]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~5_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~5 .lut_mask = 16'hA0AA;
-defparam \spi_slave_instance|q~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N21
-dffeas \spi_slave_instance|q[26] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~5_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [26]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[26] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[26] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N14
-cycloneive_lcell_comb \spi_slave_instance|q~4 (
-// Equation(s):
-// \spi_slave_instance|q~4_combout  = (\spi_slave_instance|q [26] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [26]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~4_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~4 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N15
-dffeas \spi_slave_instance|q[27] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~4_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [27]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[27] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[27] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N16
-cycloneive_lcell_comb \spi_slave_instance|q~3 (
-// Equation(s):
-// \spi_slave_instance|q~3_combout  = (\spi_slave_instance|q [27] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [27]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~3_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~3 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N17
-dffeas \spi_slave_instance|q[28] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~3_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [28]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[28] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[28] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N18
-cycloneive_lcell_comb \spi_slave_instance|q~2 (
-// Equation(s):
-// \spi_slave_instance|q~2_combout  = (\spi_slave_instance|q [28] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [28]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~2_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~2 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N19
-dffeas \spi_slave_instance|q[29] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~2_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [29]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[29] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[29] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N24
-cycloneive_lcell_comb \spi_slave_instance|q~1 (
-// Equation(s):
-// \spi_slave_instance|q~1_combout  = (\spi_slave_instance|q [29] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [29]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~1_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~1 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N25
-dffeas \spi_slave_instance|q[30] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~1_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [30]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[30] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[30] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N22
-cycloneive_lcell_comb \spi_slave_instance|q~0 (
-// Equation(s):
-// \spi_slave_instance|q~0_combout  = (\spi_slave_instance|q [30] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [30]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~0_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~0 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N23
-dffeas \spi_slave_instance|q[31] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~0_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [31]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[31] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[31] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N1
-dffeas \spi_slave_instance|qdelayed (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(gnd),
-	.asdata(\spi_slave_instance|q [31]),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(vcc),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|qdelayed~q ),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|qdelayed .is_wysiwyg = "true";
-defparam \spi_slave_instance|qdelayed .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N0
-cycloneive_lcell_comb \spi_slave_instance|miso~0 (
-// Equation(s):
-// \spi_slave_instance|miso~0_combout  = (\spi_slave_instance|qdelayed~q  & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|qdelayed~q ),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|miso~0_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|miso~0 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|miso~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOIBUF_X16_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[10]~input (
-	.i(GPIO_0_PI[10]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[10]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[10]~input .bus_hold = "false";
-defparam \GPIO_0_PI[10]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X16_Y0_N15
-cycloneive_io_ibuf \CLOCK_50~input (
-	.i(CLOCK_50),
-	.ibar(gnd),
-	.o(\CLOCK_50~input_o ));
-// synopsys translate_off
-defparam \CLOCK_50~input .bus_hold = "false";
-defparam \CLOCK_50~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y14_N1
-cycloneive_io_ibuf \KEY[0]~input (
-	.i(KEY[0]),
-	.ibar(gnd),
-	.o(\KEY[0]~input_o ));
-// synopsys translate_off
-defparam \KEY[0]~input .bus_hold = "false";
-defparam \KEY[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y16_N8
-cycloneive_io_ibuf \KEY[1]~input (
-	.i(KEY[1]),
-	.ibar(gnd),
-	.o(\KEY[1]~input_o ));
-// synopsys translate_off
-defparam \KEY[1]~input .bus_hold = "false";
-defparam \KEY[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y17_N8
-cycloneive_io_ibuf \GPIO_2_IN[0]~input (
-	.i(GPIO_2_IN[0]),
-	.ibar(gnd),
-	.o(\GPIO_2_IN[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2_IN[0]~input .bus_hold = "false";
-defparam \GPIO_2_IN[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y17_N1
-cycloneive_io_ibuf \GPIO_2_IN[1]~input (
-	.i(GPIO_2_IN[1]),
-	.ibar(gnd),
-	.o(\GPIO_2_IN[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2_IN[1]~input .bus_hold = "false";
-defparam \GPIO_2_IN[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X9_Y34_N8
-cycloneive_io_ibuf \GPIO_2_IN[2]~input (
-	.i(GPIO_2_IN[2]),
-	.ibar(gnd),
-	.o(\GPIO_2_IN[2]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2_IN[2]~input .bus_hold = "false";
-defparam \GPIO_2_IN[2]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI_IN[0]~input (
-	.i(GPIO_0_PI_IN[0]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI_IN[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI_IN[0]~input .bus_hold = "false";
-defparam \GPIO_0_PI_IN[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X51_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI_IN[1]~input (
-	.i(GPIO_0_PI_IN[1]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI_IN[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI_IN[1]~input .bus_hold = "false";
-defparam \GPIO_0_PI_IN[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X43_Y34_N15
-cycloneive_io_ibuf \GPIO_1_IN[0]~input (
-	.i(GPIO_1_IN[0]),
-	.ibar(gnd),
-	.o(\GPIO_1_IN[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1_IN[0]~input .bus_hold = "false";
-defparam \GPIO_1_IN[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y0_N15
-cycloneive_io_ibuf \GPIO_1_IN[1]~input (
-	.i(GPIO_1_IN[1]),
-	.ibar(gnd),
-	.o(\GPIO_1_IN[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1_IN[1]~input .bus_hold = "false";
-defparam \GPIO_1_IN[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y0_N22
-cycloneive_io_ibuf \GPIO_2[0]~input (
-	.i(GPIO_2[0]),
-	.ibar(gnd),
-	.o(\GPIO_2[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[0]~input .bus_hold = "false";
-defparam \GPIO_2[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X51_Y34_N22
-cycloneive_io_ibuf \GPIO_2[1]~input (
-	.i(GPIO_2[1]),
-	.ibar(gnd),
-	.o(\GPIO_2[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[1]~input .bus_hold = "false";
-defparam \GPIO_2[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y24_N22
-cycloneive_io_ibuf \GPIO_2[2]~input (
-	.i(GPIO_2[2]),
-	.ibar(gnd),
-	.o(\GPIO_2[2]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[2]~input .bus_hold = "false";
-defparam \GPIO_2[2]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y24_N15
-cycloneive_io_ibuf \GPIO_2[3]~input (
-	.i(GPIO_2[3]),
-	.ibar(gnd),
-	.o(\GPIO_2[3]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[3]~input .bus_hold = "false";
-defparam \GPIO_2[3]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y0_N15
-cycloneive_io_ibuf \GPIO_2[4]~input (
-	.i(GPIO_2[4]),
-	.ibar(gnd),
-	.o(\GPIO_2[4]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[4]~input .bus_hold = "false";
-defparam \GPIO_2[4]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y15_N1
-cycloneive_io_ibuf \GPIO_2[5]~input (
-	.i(GPIO_2[5]),
-	.ibar(gnd),
-	.o(\GPIO_2[5]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[5]~input .bus_hold = "false";
-defparam \GPIO_2[5]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X3_Y34_N1
-cycloneive_io_ibuf \GPIO_2[6]~input (
-	.i(GPIO_2[6]),
-	.ibar(gnd),
-	.o(\GPIO_2[6]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[6]~input .bus_hold = "false";
-defparam \GPIO_2[6]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y34_N8
-cycloneive_io_ibuf \GPIO_2[7]~input (
-	.i(GPIO_2[7]),
-	.ibar(gnd),
-	.o(\GPIO_2[7]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[7]~input .bus_hold = "false";
-defparam \GPIO_2[7]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X11_Y0_N15
-cycloneive_io_ibuf \GPIO_2[8]~input (
-	.i(GPIO_2[8]),
-	.ibar(gnd),
-	.o(\GPIO_2[8]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[8]~input .bus_hold = "false";
-defparam \GPIO_2[8]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X3_Y0_N1
-cycloneive_io_ibuf \GPIO_2[9]~input (
-	.i(GPIO_2[9]),
-	.ibar(gnd),
-	.o(\GPIO_2[9]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[9]~input .bus_hold = "false";
-defparam \GPIO_2[9]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X43_Y34_N22
-cycloneive_io_ibuf \GPIO_2[10]~input (
-	.i(GPIO_2[10]),
-	.ibar(gnd),
-	.o(\GPIO_2[10]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[10]~input .bus_hold = "false";
-defparam \GPIO_2[10]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X23_Y34_N15
-cycloneive_io_ibuf \GPIO_2[11]~input (
-	.i(GPIO_2[11]),
-	.ibar(gnd),
-	.o(\GPIO_2[11]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[11]~input .bus_hold = "false";
-defparam \GPIO_2[11]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X20_Y34_N8
-cycloneive_io_ibuf \GPIO_2[12]~input (
-	.i(GPIO_2[12]),
-	.ibar(gnd),
-	.o(\GPIO_2[12]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[12]~input .bus_hold = "false";
-defparam \GPIO_2[12]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y34_N8
-cycloneive_io_ibuf \GPIO_0_PI[0]~input (
-	.i(GPIO_0_PI[0]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[0]~input .bus_hold = "false";
-defparam \GPIO_0_PI[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y4_N15
-cycloneive_io_ibuf \GPIO_0_PI[1]~input (
-	.i(GPIO_0_PI[1]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[1]~input .bus_hold = "false";
-defparam \GPIO_0_PI[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y5_N22
-cycloneive_io_ibuf \GPIO_0_PI[2]~input (
-	.i(GPIO_0_PI[2]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[2]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[2]~input .bus_hold = "false";
-defparam \GPIO_0_PI[2]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X18_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[3]~input (
-	.i(GPIO_0_PI[3]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[3]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[3]~input .bus_hold = "false";
-defparam \GPIO_0_PI[3]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y0_N15
-cycloneive_io_ibuf \GPIO_0_PI[4]~input (
-	.i(GPIO_0_PI[4]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[4]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[4]~input .bus_hold = "false";
-defparam \GPIO_0_PI[4]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[5]~input (
-	.i(GPIO_0_PI[5]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[5]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[5]~input .bus_hold = "false";
-defparam \GPIO_0_PI[5]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y6_N15
-cycloneive_io_ibuf \GPIO_0_PI[6]~input (
-	.i(GPIO_0_PI[6]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[6]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[6]~input .bus_hold = "false";
-defparam \GPIO_0_PI[6]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y0_N22
-cycloneive_io_ibuf \GPIO_0_PI[7]~input (
-	.i(GPIO_0_PI[7]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[7]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[7]~input .bus_hold = "false";
-defparam \GPIO_0_PI[7]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y4_N22
-cycloneive_io_ibuf \GPIO_0_PI[12]~input (
-	.i(GPIO_0_PI[12]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[12]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[12]~input .bus_hold = "false";
-defparam \GPIO_0_PI[12]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y8_N22
-cycloneive_io_ibuf \GPIO_0_PI[13]~input (
-	.i(GPIO_0_PI[13]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[13]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[13]~input .bus_hold = "false";
-defparam \GPIO_0_PI[13]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[14]~input (
-	.i(GPIO_0_PI[14]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[14]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[14]~input .bus_hold = "false";
-defparam \GPIO_0_PI[14]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[15]~input (
-	.i(GPIO_0_PI[15]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[15]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[15]~input .bus_hold = "false";
-defparam \GPIO_0_PI[15]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[16]~input (
-	.i(GPIO_0_PI[16]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[16]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[16]~input .bus_hold = "false";
-defparam \GPIO_0_PI[16]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X16_Y34_N8
-cycloneive_io_ibuf \GPIO_0_PI[17]~input (
-	.i(GPIO_0_PI[17]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[17]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[17]~input .bus_hold = "false";
-defparam \GPIO_0_PI[17]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y0_N8
-cycloneive_io_ibuf \GPIO_0_PI[18]~input (
-	.i(GPIO_0_PI[18]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[18]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[18]~input .bus_hold = "false";
-defparam \GPIO_0_PI[18]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X36_Y0_N8
-cycloneive_io_ibuf \GPIO_0_PI[19]~input (
-	.i(GPIO_0_PI[19]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[19]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[19]~input .bus_hold = "false";
-defparam \GPIO_0_PI[19]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y15_N8
-cycloneive_io_ibuf \GPIO_0_PI[20]~input (
-	.i(GPIO_0_PI[20]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[20]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[20]~input .bus_hold = "false";
-defparam \GPIO_0_PI[20]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X47_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[21]~input (
-	.i(GPIO_0_PI[21]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[21]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[21]~input .bus_hold = "false";
-defparam \GPIO_0_PI[21]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y0_N8
-cycloneive_io_ibuf \GPIO_0_PI[22]~input (
-	.i(GPIO_0_PI[22]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[22]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[22]~input .bus_hold = "false";
-defparam \GPIO_0_PI[22]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X20_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[23]~input (
-	.i(GPIO_0_PI[23]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[23]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[23]~input .bus_hold = "false";
-defparam \GPIO_0_PI[23]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X45_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[24]~input (
-	.i(GPIO_0_PI[24]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[24]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[24]~input .bus_hold = "false";
-defparam \GPIO_0_PI[24]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X40_Y0_N22
-cycloneive_io_ibuf \GPIO_0_PI[25]~input (
-	.i(GPIO_0_PI[25]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[25]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[25]~input .bus_hold = "false";
-defparam \GPIO_0_PI[25]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X18_Y0_N8
-cycloneive_io_ibuf \GPIO_0_PI[26]~input (
-	.i(GPIO_0_PI[26]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[26]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[26]~input .bus_hold = "false";
-defparam \GPIO_0_PI[26]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X45_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[27]~input (
-	.i(GPIO_0_PI[27]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[27]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[27]~input .bus_hold = "false";
-defparam \GPIO_0_PI[27]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X38_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[28]~input (
-	.i(GPIO_0_PI[28]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[28]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[28]~input .bus_hold = "false";
-defparam \GPIO_0_PI[28]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X40_Y34_N8
-cycloneive_io_ibuf \GPIO_0_PI[29]~input (
-	.i(GPIO_0_PI[29]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[29]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[29]~input .bus_hold = "false";
-defparam \GPIO_0_PI[29]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X18_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[30]~input (
-	.i(GPIO_0_PI[30]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[30]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[30]~input .bus_hold = "false";
-defparam \GPIO_0_PI[30]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X23_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[32]~input (
-	.i(GPIO_0_PI[32]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[32]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[32]~input .bus_hold = "false";
-defparam \GPIO_0_PI[32]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y22_N1
-cycloneive_io_ibuf \GPIO_0_PI[33]~input (
-	.i(GPIO_0_PI[33]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[33]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[33]~input .bus_hold = "false";
-defparam \GPIO_0_PI[33]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y0_N1
-cycloneive_io_ibuf \GPIO_1[0]~input (
-	.i(GPIO_1[0]),
-	.ibar(gnd),
-	.o(\GPIO_1[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[0]~input .bus_hold = "false";
-defparam \GPIO_1[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y0_N22
-cycloneive_io_ibuf \GPIO_1[1]~input (
-	.i(GPIO_1[1]),
-	.ibar(gnd),
-	.o(\GPIO_1[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[1]~input .bus_hold = "false";
-defparam \GPIO_1[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y20_N22
-cycloneive_io_ibuf \GPIO_1[2]~input (
-	.i(GPIO_1[2]),
-	.ibar(gnd),
-	.o(\GPIO_1[2]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[2]~input .bus_hold = "false";
-defparam \GPIO_1[2]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y23_N1
-cycloneive_io_ibuf \GPIO_1[3]~input (
-	.i(GPIO_1[3]),
-	.ibar(gnd),
-	.o(\GPIO_1[3]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[3]~input .bus_hold = "false";
-defparam \GPIO_1[3]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X7_Y34_N1
-cycloneive_io_ibuf \GPIO_1[4]~input (
-	.i(GPIO_1[4]),
-	.ibar(gnd),
-	.o(\GPIO_1[4]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[4]~input .bus_hold = "false";
-defparam \GPIO_1[4]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y11_N1
-cycloneive_io_ibuf \GPIO_1[5]~input (
-	.i(GPIO_1[5]),
-	.ibar(gnd),
-	.o(\GPIO_1[5]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[5]~input .bus_hold = "false";
-defparam \GPIO_1[5]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X51_Y34_N8
-cycloneive_io_ibuf \GPIO_1[6]~input (
-	.i(GPIO_1[6]),
-	.ibar(gnd),
-	.o(\GPIO_1[6]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[6]~input .bus_hold = "false";
-defparam \GPIO_1[6]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y0_N8
-cycloneive_io_ibuf \GPIO_1[7]~input (
-	.i(GPIO_1[7]),
-	.ibar(gnd),
-	.o(\GPIO_1[7]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[7]~input .bus_hold = "false";
-defparam \GPIO_1[7]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y22_N8
-cycloneive_io_ibuf \GPIO_1[8]~input (
-	.i(GPIO_1[8]),
-	.ibar(gnd),
-	.o(\GPIO_1[8]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[8]~input .bus_hold = "false";
-defparam \GPIO_1[8]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y0_N1
-cycloneive_io_ibuf \GPIO_1[9]~input (
-	.i(GPIO_1[9]),
-	.ibar(gnd),
-	.o(\GPIO_1[9]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[9]~input .bus_hold = "false";
-defparam \GPIO_1[9]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y11_N8
-cycloneive_io_ibuf \GPIO_1[10]~input (
-	.i(GPIO_1[10]),
-	.ibar(gnd),
-	.o(\GPIO_1[10]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[10]~input .bus_hold = "false";
-defparam \GPIO_1[10]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X45_Y34_N8
-cycloneive_io_ibuf \GPIO_1[11]~input (
-	.i(GPIO_1[11]),
-	.ibar(gnd),
-	.o(\GPIO_1[11]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[11]~input .bus_hold = "false";
-defparam \GPIO_1[11]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y26_N22
-cycloneive_io_ibuf \GPIO_1[12]~input (
-	.i(GPIO_1[12]),
-	.ibar(gnd),
-	.o(\GPIO_1[12]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[12]~input .bus_hold = "false";
-defparam \GPIO_1[12]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X40_Y0_N15
-cycloneive_io_ibuf \GPIO_1[13]~input (
-	.i(GPIO_1[13]),
-	.ibar(gnd),
-	.o(\GPIO_1[13]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[13]~input .bus_hold = "false";
-defparam \GPIO_1[13]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y12_N1
-cycloneive_io_ibuf \GPIO_1[14]~input (
-	.i(GPIO_1[14]),
-	.ibar(gnd),
-	.o(\GPIO_1[14]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[14]~input .bus_hold = "false";
-defparam \GPIO_1[14]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y30_N1
-cycloneive_io_ibuf \GPIO_1[15]~input (
-	.i(GPIO_1[15]),
-	.ibar(gnd),
-	.o(\GPIO_1[15]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[15]~input .bus_hold = "false";
-defparam \GPIO_1[15]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X25_Y0_N15
-cycloneive_io_ibuf \GPIO_1[16]~input (
-	.i(GPIO_1[16]),
-	.ibar(gnd),
-	.o(\GPIO_1[16]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[16]~input .bus_hold = "false";
-defparam \GPIO_1[16]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y0_N22
-cycloneive_io_ibuf \GPIO_1[17]~input (
-	.i(GPIO_1[17]),
-	.ibar(gnd),
-	.o(\GPIO_1[17]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[17]~input .bus_hold = "false";
-defparam \GPIO_1[17]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y7_N1
-cycloneive_io_ibuf \GPIO_1[18]~input (
-	.i(GPIO_1[18]),
-	.ibar(gnd),
-	.o(\GPIO_1[18]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[18]~input .bus_hold = "false";
-defparam \GPIO_1[18]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y30_N8
-cycloneive_io_ibuf \GPIO_1[19]~input (
-	.i(GPIO_1[19]),
-	.ibar(gnd),
-	.o(\GPIO_1[19]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[19]~input .bus_hold = "false";
-defparam \GPIO_1[19]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y27_N1
-cycloneive_io_ibuf \GPIO_1[20]~input (
-	.i(GPIO_1[20]),
-	.ibar(gnd),
-	.o(\GPIO_1[20]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[20]~input .bus_hold = "false";
-defparam \GPIO_1[20]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X47_Y0_N22
-cycloneive_io_ibuf \GPIO_1[21]~input (
-	.i(GPIO_1[21]),
-	.ibar(gnd),
-	.o(\GPIO_1[21]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[21]~input .bus_hold = "false";
-defparam \GPIO_1[21]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X11_Y34_N1
-cycloneive_io_ibuf \GPIO_1[22]~input (
-	.i(GPIO_1[22]),
-	.ibar(gnd),
-	.o(\GPIO_1[22]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[22]~input .bus_hold = "false";
-defparam \GPIO_1[22]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X20_Y0_N8
-cycloneive_io_ibuf \GPIO_1[23]~input (
-	.i(GPIO_1[23]),
-	.ibar(gnd),
-	.o(\GPIO_1[23]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[23]~input .bus_hold = "false";
-defparam \GPIO_1[23]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y0_N1
-cycloneive_io_ibuf \GPIO_1[24]~input (
-	.i(GPIO_1[24]),
-	.ibar(gnd),
-	.o(\GPIO_1[24]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[24]~input .bus_hold = "false";
-defparam \GPIO_1[24]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y21_N22
-cycloneive_io_ibuf \GPIO_1[25]~input (
-	.i(GPIO_1[25]),
-	.ibar(gnd),
-	.o(\GPIO_1[25]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[25]~input .bus_hold = "false";
-defparam \GPIO_1[25]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y0_N15
-cycloneive_io_ibuf \GPIO_1[26]~input (
-	.i(GPIO_1[26]),
-	.ibar(gnd),
-	.o(\GPIO_1[26]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[26]~input .bus_hold = "false";
-defparam \GPIO_1[26]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X7_Y0_N8
-cycloneive_io_ibuf \GPIO_1[27]~input (
-	.i(GPIO_1[27]),
-	.ibar(gnd),
-	.o(\GPIO_1[27]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[27]~input .bus_hold = "false";
-defparam \GPIO_1[27]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y23_N22
-cycloneive_io_ibuf \GPIO_1[28]~input (
-	.i(GPIO_1[28]),
-	.ibar(gnd),
-	.o(\GPIO_1[28]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[28]~input .bus_hold = "false";
-defparam \GPIO_1[28]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y14_N8
-cycloneive_io_ibuf \GPIO_1[29]~input (
-	.i(GPIO_1[29]),
-	.ibar(gnd),
-	.o(\GPIO_1[29]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[29]~input .bus_hold = "false";
-defparam \GPIO_1[29]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X45_Y0_N22
-cycloneive_io_ibuf \GPIO_1[30]~input (
-	.i(GPIO_1[30]),
-	.ibar(gnd),
-	.o(\GPIO_1[30]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[30]~input .bus_hold = "false";
-defparam \GPIO_1[30]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X49_Y0_N1
-cycloneive_io_ibuf \GPIO_1[31]~input (
-	.i(GPIO_1[31]),
-	.ibar(gnd),
-	.o(\GPIO_1[31]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[31]~input .bus_hold = "false";
-defparam \GPIO_1[31]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X31_Y34_N1
-cycloneive_io_ibuf \GPIO_1[32]~input (
-	.i(GPIO_1[32]),
-	.ibar(gnd),
-	.o(\GPIO_1[32]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[32]~input .bus_hold = "false";
-defparam \GPIO_1[32]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y6_N15
-cycloneive_io_ibuf \GPIO_1[33]~input (
-	.i(GPIO_1[33]),
-	.ibar(gnd),
-	.o(\GPIO_1[33]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[33]~input .bus_hold = "false";
-defparam \GPIO_1[33]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X16_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[11]~input (
-	.i(GPIO_0_PI[11]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[11]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[11]~input .bus_hold = "false";
-defparam \GPIO_0_PI[11]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-assign LED[0] = \LED[0]~output_o ;
-
-assign LED[1] = \LED[1]~output_o ;
-
-assign LED[2] = \LED[2]~output_o ;
-
-assign LED[3] = \LED[3]~output_o ;
-
-assign LED[4] = \LED[4]~output_o ;
-
-assign LED[5] = \LED[5]~output_o ;
-
-assign LED[6] = \LED[6]~output_o ;
-
-assign LED[7] = \LED[7]~output_o ;
-
-assign GPIO_2[0] = \GPIO_2[0]~output_o ;
-
-assign GPIO_2[1] = \GPIO_2[1]~output_o ;
-
-assign GPIO_2[2] = \GPIO_2[2]~output_o ;
-
-assign GPIO_2[3] = \GPIO_2[3]~output_o ;
-
-assign GPIO_2[4] = \GPIO_2[4]~output_o ;
-
-assign GPIO_2[5] = \GPIO_2[5]~output_o ;
-
-assign GPIO_2[6] = \GPIO_2[6]~output_o ;
-
-assign GPIO_2[7] = \GPIO_2[7]~output_o ;
-
-assign GPIO_2[8] = \GPIO_2[8]~output_o ;
-
-assign GPIO_2[9] = \GPIO_2[9]~output_o ;
-
-assign GPIO_2[10] = \GPIO_2[10]~output_o ;
-
-assign GPIO_2[11] = \GPIO_2[11]~output_o ;
-
-assign GPIO_2[12] = \GPIO_2[12]~output_o ;
-
-assign GPIO_0_PI[0] = \GPIO_0_PI[0]~output_o ;
-
-assign GPIO_0_PI[1] = \GPIO_0_PI[1]~output_o ;
-
-assign GPIO_0_PI[2] = \GPIO_0_PI[2]~output_o ;
-
-assign GPIO_0_PI[3] = \GPIO_0_PI[3]~output_o ;
-
-assign GPIO_0_PI[4] = \GPIO_0_PI[4]~output_o ;
-
-assign GPIO_0_PI[5] = \GPIO_0_PI[5]~output_o ;
-
-assign GPIO_0_PI[6] = \GPIO_0_PI[6]~output_o ;
-
-assign GPIO_0_PI[7] = \GPIO_0_PI[7]~output_o ;
-
-assign GPIO_0_PI[12] = \GPIO_0_PI[12]~output_o ;
-
-assign GPIO_0_PI[13] = \GPIO_0_PI[13]~output_o ;
-
-assign GPIO_0_PI[14] = \GPIO_0_PI[14]~output_o ;
-
-assign GPIO_0_PI[15] = \GPIO_0_PI[15]~output_o ;
-
-assign GPIO_0_PI[16] = \GPIO_0_PI[16]~output_o ;
-
-assign GPIO_0_PI[17] = \GPIO_0_PI[17]~output_o ;
-
-assign GPIO_0_PI[18] = \GPIO_0_PI[18]~output_o ;
-
-assign GPIO_0_PI[19] = \GPIO_0_PI[19]~output_o ;
-
-assign GPIO_0_PI[20] = \GPIO_0_PI[20]~output_o ;
-
-assign GPIO_0_PI[21] = \GPIO_0_PI[21]~output_o ;
-
-assign GPIO_0_PI[22] = \GPIO_0_PI[22]~output_o ;
-
-assign GPIO_0_PI[23] = \GPIO_0_PI[23]~output_o ;
-
-assign GPIO_0_PI[24] = \GPIO_0_PI[24]~output_o ;
-
-assign GPIO_0_PI[25] = \GPIO_0_PI[25]~output_o ;
-
-assign GPIO_0_PI[26] = \GPIO_0_PI[26]~output_o ;
-
-assign GPIO_0_PI[27] = \GPIO_0_PI[27]~output_o ;
-
-assign GPIO_0_PI[28] = \GPIO_0_PI[28]~output_o ;
-
-assign GPIO_0_PI[29] = \GPIO_0_PI[29]~output_o ;
-
-assign GPIO_0_PI[30] = \GPIO_0_PI[30]~output_o ;
-
-assign GPIO_0_PI[32] = \GPIO_0_PI[32]~output_o ;
-
-assign GPIO_0_PI[33] = \GPIO_0_PI[33]~output_o ;
-
-assign GPIO_1[0] = \GPIO_1[0]~output_o ;
-
-assign GPIO_1[1] = \GPIO_1[1]~output_o ;
-
-assign GPIO_1[2] = \GPIO_1[2]~output_o ;
-
-assign GPIO_1[3] = \GPIO_1[3]~output_o ;
-
-assign GPIO_1[4] = \GPIO_1[4]~output_o ;
-
-assign GPIO_1[5] = \GPIO_1[5]~output_o ;
-
-assign GPIO_1[6] = \GPIO_1[6]~output_o ;
-
-assign GPIO_1[7] = \GPIO_1[7]~output_o ;
-
-assign GPIO_1[8] = \GPIO_1[8]~output_o ;
-
-assign GPIO_1[9] = \GPIO_1[9]~output_o ;
-
-assign GPIO_1[10] = \GPIO_1[10]~output_o ;
-
-assign GPIO_1[11] = \GPIO_1[11]~output_o ;
-
-assign GPIO_1[12] = \GPIO_1[12]~output_o ;
-
-assign GPIO_1[13] = \GPIO_1[13]~output_o ;
-
-assign GPIO_1[14] = \GPIO_1[14]~output_o ;
-
-assign GPIO_1[15] = \GPIO_1[15]~output_o ;
-
-assign GPIO_1[16] = \GPIO_1[16]~output_o ;
-
-assign GPIO_1[17] = \GPIO_1[17]~output_o ;
-
-assign GPIO_1[18] = \GPIO_1[18]~output_o ;
-
-assign GPIO_1[19] = \GPIO_1[19]~output_o ;
-
-assign GPIO_1[20] = \GPIO_1[20]~output_o ;
-
-assign GPIO_1[21] = \GPIO_1[21]~output_o ;
-
-assign GPIO_1[22] = \GPIO_1[22]~output_o ;
-
-assign GPIO_1[23] = \GPIO_1[23]~output_o ;
-
-assign GPIO_1[24] = \GPIO_1[24]~output_o ;
-
-assign GPIO_1[25] = \GPIO_1[25]~output_o ;
-
-assign GPIO_1[26] = \GPIO_1[26]~output_o ;
-
-assign GPIO_1[27] = \GPIO_1[27]~output_o ;
-
-assign GPIO_1[28] = \GPIO_1[28]~output_o ;
-
-assign GPIO_1[29] = \GPIO_1[29]~output_o ;
-
-assign GPIO_1[30] = \GPIO_1[30]~output_o ;
-
-assign GPIO_1[31] = \GPIO_1[31]~output_o ;
-
-assign GPIO_1[32] = \GPIO_1[32]~output_o ;
-
-assign GPIO_1[33] = \GPIO_1[33]~output_o ;
-
-assign GPIO_0_PI[8] = \GPIO_0_PI[8]~output_o ;
-
-assign GPIO_0_PI[9] = \GPIO_0_PI[9]~output_o ;
-
-assign GPIO_0_PI[10] = \GPIO_0_PI[10]~output_o ;
-
-assign GPIO_0_PI[11] = \GPIO_0_PI[11]~output_o ;
-
-assign GPIO_0_PI[31] = \GPIO_0_PI[31]~output_o ;
-
-endmodule
-
-module hard_block (
-
-	devpor,
-	devclrn,
-	devoe);
-
-// Design Ports Information
-// ~ALTERA_ASDO_DATA1~	=>  Location: PIN_C1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// ~ALTERA_FLASH_nCE_nCSO~	=>  Location: PIN_D2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// ~ALTERA_DCLK~	=>  Location: PIN_H1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// ~ALTERA_DATA0~	=>  Location: PIN_H2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// ~ALTERA_nCEO~	=>  Location: PIN_F16,	 I/O Standard: 2.5 V,	 Current Strength: 8mA
-
-input 	devpor;
-input 	devclrn;
-input 	devoe;
-
-wire gnd;
-wire vcc;
-wire unknown;
-
-assign gnd = 1'b0;
-assign vcc = 1'b1;
-assign unknown = 1'bx;
-
-wire \~ALTERA_ASDO_DATA1~~padout ;
-wire \~ALTERA_FLASH_nCE_nCSO~~padout ;
-wire \~ALTERA_DATA0~~padout ;
-wire \~ALTERA_ASDO_DATA1~~ibuf_o ;
-wire \~ALTERA_FLASH_nCE_nCSO~~ibuf_o ;
-wire \~ALTERA_DATA0~~ibuf_o ;
-
-
-endmodule
diff --git a/SPI/simulation/modelsim/SPI_test_6_1200mv_0c_slow.svo b/SPI/simulation/modelsim/SPI_test_6_1200mv_0c_slow.svo
deleted file mode 100644
index 7c4b3b0..0000000
--- a/SPI/simulation/modelsim/SPI_test_6_1200mv_0c_slow.svo
+++ /dev/null
@@ -1,4083 +0,0 @@
-// Copyright (C) 2018  Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions 
-// and other software and tools, and its AMPP partner logic 
-// functions, and any output files from any of the foregoing 
-// (including device programming or simulation files), and any 
-// associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License 
-// Subscription Agreement, the Intel Quartus Prime License Agreement,
-// the Intel FPGA IP License Agreement, or other applicable license
-// agreement, including, without limitation, that your use is for
-// the sole purpose of programming logic devices manufactured by
-// Intel and sold by Intel or its authorized distributors.  Please
-// refer to the applicable agreement for further details.
-
-// VENDOR "Altera"
-// PROGRAM "Quartus Prime"
-// VERSION "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition"
-
-// DATE "11/16/2022 16:51:48"
-
-// 
-// Device: Altera EP4CE22F17C6 Package FBGA256
-// 
-
-// 
-// This Verilog file should be used for ModelSim-Altera (SystemVerilog) only
-// 
-
-`timescale 1 ps/ 1 ps
-
-module MyDE0_Nano (
-	CLOCK_50,
-	LED,
-	KEY,
-	GPIO_2,
-	GPIO_2_IN,
-	GPIO_0_PI,
-	GPIO_0_PI_IN,
-	GPIO_1,
-	GPIO_1_IN);
-input 	CLOCK_50;
-output 	[7:0] LED;
-input 	[1:0] KEY;
-output 	[12:0] GPIO_2;
-input 	[2:0] GPIO_2_IN;
-output 	[33:0] GPIO_0_PI;
-input 	[1:0] GPIO_0_PI_IN;
-output 	[33:0] GPIO_1;
-input 	[1:0] GPIO_1_IN;
-
-// Design Ports Information
-// CLOCK_50	=>  Location: PIN_R7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[0]	=>  Location: PIN_A15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[1]	=>  Location: PIN_A13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[2]	=>  Location: PIN_B13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[3]	=>  Location: PIN_A11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[4]	=>  Location: PIN_D1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[5]	=>  Location: PIN_F3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[6]	=>  Location: PIN_B1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[7]	=>  Location: PIN_L3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// KEY[0]	=>  Location: PIN_J15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// KEY[1]	=>  Location: PIN_E1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2_IN[0]	=>  Location: PIN_E16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2_IN[1]	=>  Location: PIN_E15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2_IN[2]	=>  Location: PIN_D6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI_IN[0]	=>  Location: PIN_E6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI_IN[1]	=>  Location: PIN_C14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1_IN[0]	=>  Location: PIN_A12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1_IN[1]	=>  Location: PIN_T10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[0]	=>  Location: PIN_R4,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[1]	=>  Location: PIN_D12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[2]	=>  Location: PIN_F2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[3]	=>  Location: PIN_G5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[4]	=>  Location: PIN_T4,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[5]	=>  Location: PIN_J2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[6]	=>  Location: PIN_B3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[7]	=>  Location: PIN_D3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[8]	=>  Location: PIN_M7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[9]	=>  Location: PIN_T2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[10]	=>  Location: PIN_B12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[11]	=>  Location: PIN_C8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[12]	=>  Location: PIN_E8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[0]	=>  Location: PIN_A10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[1]	=>  Location: PIN_P2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[2]	=>  Location: PIN_R1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[3]	=>  Location: PIN_C6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[4]	=>  Location: PIN_T5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[5]	=>  Location: PIN_A5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[6]	=>  Location: PIN_P15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[7]	=>  Location: PIN_R5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[12]	=>  Location: PIN_P1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[13]	=>  Location: PIN_R16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[14]	=>  Location: PIN_C3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[15]	=>  Location: PIN_B10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[16]	=>  Location: PIN_F9,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[17]	=>  Location: PIN_B6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[18]	=>  Location: PIN_R3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[19]	=>  Location: PIN_T12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[20]	=>  Location: PIN_J1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[21]	=>  Location: PIN_A14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[22]	=>  Location: PIN_R6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[23]	=>  Location: PIN_A7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[24]	=>  Location: PIN_B14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[25]	=>  Location: PIN_R13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[26]	=>  Location: PIN_L8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[27]	=>  Location: PIN_E10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[28]	=>  Location: PIN_C11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[29]	=>  Location: PIN_B11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[30]	=>  Location: PIN_B7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[32]	=>  Location: PIN_D8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[33]	=>  Location: PIN_B16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[0]	=>  Location: PIN_T6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[1]	=>  Location: PIN_N3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[2]	=>  Location: PIN_G16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[3]	=>  Location: PIN_F1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[4]	=>  Location: PIN_B4,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[5]	=>  Location: PIN_L15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[6]	=>  Location: PIN_D14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[7]	=>  Location: PIN_N5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[8]	=>  Location: PIN_F15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[9]	=>  Location: PIN_R11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[10]	=>  Location: PIN_L1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[11]	=>  Location: PIN_E11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[12]	=>  Location: PIN_D15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[13]	=>  Location: PIN_T13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[14]	=>  Location: PIN_K16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[15]	=>  Location: PIN_C15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[16]	=>  Location: PIN_P8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[17]	=>  Location: PIN_R10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[18]	=>  Location: PIN_N1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[19]	=>  Location: PIN_C16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[20]	=>  Location: PIN_C2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[21]	=>  Location: PIN_N12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[22]	=>  Location: PIN_B5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[23]	=>  Location: PIN_M8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[24]	=>  Location: PIN_N6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[25]	=>  Location: PIN_F13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[26]	=>  Location: PIN_P3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[27]	=>  Location: PIN_M6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[28]	=>  Location: PIN_G1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[29]	=>  Location: PIN_J16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[30]	=>  Location: PIN_T14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[31]	=>  Location: PIN_R14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[32]	=>  Location: PIN_C9,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[33]	=>  Location: PIN_L4,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[8]	=>  Location: PIN_G2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[9]	=>  Location: PIN_D5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[10]	=>  Location: PIN_E7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[11]	=>  Location: PIN_A6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[31]	=>  Location: PIN_D11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-
-
-wire gnd;
-wire vcc;
-wire unknown;
-
-assign gnd = 1'b0;
-assign vcc = 1'b1;
-assign unknown = 1'bx;
-
-tri1 devclrn;
-tri1 devpor;
-tri1 devoe;
-// synopsys translate_off
-initial $sdf_annotate("SPI_test_6_1200mv_0c_v_slow.sdo");
-// synopsys translate_on
-
-wire \CLOCK_50~input_o ;
-wire \KEY[0]~input_o ;
-wire \KEY[1]~input_o ;
-wire \GPIO_2_IN[0]~input_o ;
-wire \GPIO_2_IN[1]~input_o ;
-wire \GPIO_2_IN[2]~input_o ;
-wire \GPIO_0_PI_IN[0]~input_o ;
-wire \GPIO_0_PI_IN[1]~input_o ;
-wire \GPIO_1_IN[0]~input_o ;
-wire \GPIO_1_IN[1]~input_o ;
-wire \GPIO_2[0]~input_o ;
-wire \GPIO_2[1]~input_o ;
-wire \GPIO_2[2]~input_o ;
-wire \GPIO_2[3]~input_o ;
-wire \GPIO_2[4]~input_o ;
-wire \GPIO_2[5]~input_o ;
-wire \GPIO_2[6]~input_o ;
-wire \GPIO_2[7]~input_o ;
-wire \GPIO_2[8]~input_o ;
-wire \GPIO_2[9]~input_o ;
-wire \GPIO_2[10]~input_o ;
-wire \GPIO_2[11]~input_o ;
-wire \GPIO_2[12]~input_o ;
-wire \GPIO_0_PI[0]~input_o ;
-wire \GPIO_0_PI[1]~input_o ;
-wire \GPIO_0_PI[2]~input_o ;
-wire \GPIO_0_PI[3]~input_o ;
-wire \GPIO_0_PI[4]~input_o ;
-wire \GPIO_0_PI[5]~input_o ;
-wire \GPIO_0_PI[6]~input_o ;
-wire \GPIO_0_PI[7]~input_o ;
-wire \GPIO_0_PI[12]~input_o ;
-wire \GPIO_0_PI[13]~input_o ;
-wire \GPIO_0_PI[14]~input_o ;
-wire \GPIO_0_PI[15]~input_o ;
-wire \GPIO_0_PI[16]~input_o ;
-wire \GPIO_0_PI[17]~input_o ;
-wire \GPIO_0_PI[18]~input_o ;
-wire \GPIO_0_PI[19]~input_o ;
-wire \GPIO_0_PI[20]~input_o ;
-wire \GPIO_0_PI[21]~input_o ;
-wire \GPIO_0_PI[22]~input_o ;
-wire \GPIO_0_PI[23]~input_o ;
-wire \GPIO_0_PI[24]~input_o ;
-wire \GPIO_0_PI[25]~input_o ;
-wire \GPIO_0_PI[26]~input_o ;
-wire \GPIO_0_PI[27]~input_o ;
-wire \GPIO_0_PI[28]~input_o ;
-wire \GPIO_0_PI[29]~input_o ;
-wire \GPIO_0_PI[30]~input_o ;
-wire \GPIO_0_PI[32]~input_o ;
-wire \GPIO_0_PI[33]~input_o ;
-wire \GPIO_1[0]~input_o ;
-wire \GPIO_1[1]~input_o ;
-wire \GPIO_1[2]~input_o ;
-wire \GPIO_1[3]~input_o ;
-wire \GPIO_1[4]~input_o ;
-wire \GPIO_1[5]~input_o ;
-wire \GPIO_1[6]~input_o ;
-wire \GPIO_1[7]~input_o ;
-wire \GPIO_1[8]~input_o ;
-wire \GPIO_1[9]~input_o ;
-wire \GPIO_1[10]~input_o ;
-wire \GPIO_1[11]~input_o ;
-wire \GPIO_1[12]~input_o ;
-wire \GPIO_1[13]~input_o ;
-wire \GPIO_1[14]~input_o ;
-wire \GPIO_1[15]~input_o ;
-wire \GPIO_1[16]~input_o ;
-wire \GPIO_1[17]~input_o ;
-wire \GPIO_1[18]~input_o ;
-wire \GPIO_1[19]~input_o ;
-wire \GPIO_1[20]~input_o ;
-wire \GPIO_1[21]~input_o ;
-wire \GPIO_1[22]~input_o ;
-wire \GPIO_1[23]~input_o ;
-wire \GPIO_1[24]~input_o ;
-wire \GPIO_1[25]~input_o ;
-wire \GPIO_1[26]~input_o ;
-wire \GPIO_1[27]~input_o ;
-wire \GPIO_1[28]~input_o ;
-wire \GPIO_1[29]~input_o ;
-wire \GPIO_1[30]~input_o ;
-wire \GPIO_1[31]~input_o ;
-wire \GPIO_1[32]~input_o ;
-wire \GPIO_1[33]~input_o ;
-wire \GPIO_0_PI[11]~input_o ;
-wire \GPIO_2[0]~output_o ;
-wire \GPIO_2[1]~output_o ;
-wire \GPIO_2[2]~output_o ;
-wire \GPIO_2[3]~output_o ;
-wire \GPIO_2[4]~output_o ;
-wire \GPIO_2[5]~output_o ;
-wire \GPIO_2[6]~output_o ;
-wire \GPIO_2[7]~output_o ;
-wire \GPIO_2[8]~output_o ;
-wire \GPIO_2[9]~output_o ;
-wire \GPIO_2[10]~output_o ;
-wire \GPIO_2[11]~output_o ;
-wire \GPIO_2[12]~output_o ;
-wire \GPIO_0_PI[0]~output_o ;
-wire \GPIO_0_PI[1]~output_o ;
-wire \GPIO_0_PI[2]~output_o ;
-wire \GPIO_0_PI[3]~output_o ;
-wire \GPIO_0_PI[4]~output_o ;
-wire \GPIO_0_PI[5]~output_o ;
-wire \GPIO_0_PI[6]~output_o ;
-wire \GPIO_0_PI[7]~output_o ;
-wire \GPIO_0_PI[12]~output_o ;
-wire \GPIO_0_PI[13]~output_o ;
-wire \GPIO_0_PI[14]~output_o ;
-wire \GPIO_0_PI[15]~output_o ;
-wire \GPIO_0_PI[16]~output_o ;
-wire \GPIO_0_PI[17]~output_o ;
-wire \GPIO_0_PI[18]~output_o ;
-wire \GPIO_0_PI[19]~output_o ;
-wire \GPIO_0_PI[20]~output_o ;
-wire \GPIO_0_PI[21]~output_o ;
-wire \GPIO_0_PI[22]~output_o ;
-wire \GPIO_0_PI[23]~output_o ;
-wire \GPIO_0_PI[24]~output_o ;
-wire \GPIO_0_PI[25]~output_o ;
-wire \GPIO_0_PI[26]~output_o ;
-wire \GPIO_0_PI[27]~output_o ;
-wire \GPIO_0_PI[28]~output_o ;
-wire \GPIO_0_PI[29]~output_o ;
-wire \GPIO_0_PI[30]~output_o ;
-wire \GPIO_0_PI[32]~output_o ;
-wire \GPIO_0_PI[33]~output_o ;
-wire \GPIO_1[0]~output_o ;
-wire \GPIO_1[1]~output_o ;
-wire \GPIO_1[2]~output_o ;
-wire \GPIO_1[3]~output_o ;
-wire \GPIO_1[4]~output_o ;
-wire \GPIO_1[5]~output_o ;
-wire \GPIO_1[6]~output_o ;
-wire \GPIO_1[7]~output_o ;
-wire \GPIO_1[8]~output_o ;
-wire \GPIO_1[9]~output_o ;
-wire \GPIO_1[10]~output_o ;
-wire \GPIO_1[11]~output_o ;
-wire \GPIO_1[12]~output_o ;
-wire \GPIO_1[13]~output_o ;
-wire \GPIO_1[14]~output_o ;
-wire \GPIO_1[15]~output_o ;
-wire \GPIO_1[16]~output_o ;
-wire \GPIO_1[17]~output_o ;
-wire \GPIO_1[18]~output_o ;
-wire \GPIO_1[19]~output_o ;
-wire \GPIO_1[20]~output_o ;
-wire \GPIO_1[21]~output_o ;
-wire \GPIO_1[22]~output_o ;
-wire \GPIO_1[23]~output_o ;
-wire \GPIO_1[24]~output_o ;
-wire \GPIO_1[25]~output_o ;
-wire \GPIO_1[26]~output_o ;
-wire \GPIO_1[27]~output_o ;
-wire \GPIO_1[28]~output_o ;
-wire \GPIO_1[29]~output_o ;
-wire \GPIO_1[30]~output_o ;
-wire \GPIO_1[31]~output_o ;
-wire \GPIO_1[32]~output_o ;
-wire \GPIO_1[33]~output_o ;
-wire \GPIO_0_PI[8]~output_o ;
-wire \GPIO_0_PI[9]~output_o ;
-wire \GPIO_0_PI[10]~output_o ;
-wire \GPIO_0_PI[11]~output_o ;
-wire \GPIO_0_PI[31]~output_o ;
-wire \LED[0]~output_o ;
-wire \LED[1]~output_o ;
-wire \LED[2]~output_o ;
-wire \LED[3]~output_o ;
-wire \LED[4]~output_o ;
-wire \LED[5]~output_o ;
-wire \LED[6]~output_o ;
-wire \LED[7]~output_o ;
-wire \GPIO_0_PI[8]~input_o ;
-wire \GPIO_0_PI[8]~inputclkctrl_outclk ;
-wire \spi_slave_instance|cnt[0]~12_combout ;
-wire \spi_slave_instance|cnt[1]~4_combout ;
-wire \spi_slave_instance|cnt[1]~5 ;
-wire \spi_slave_instance|cnt[2]~6_combout ;
-wire \spi_slave_instance|cnt[2]~7 ;
-wire \spi_slave_instance|cnt[3]~8_combout ;
-wire \spi_slave_instance|cnt[3]~9 ;
-wire \spi_slave_instance|cnt[4]~10_combout ;
-wire \GPIO_0_PI[31]~input_o ;
-wire \GPIO_0_PI[9]~input_o ;
-wire \spi_slave_instance|Equal0~0_combout ;
-wire \spi_slave_instance|q~31_combout ;
-wire \spi_slave_instance|q~30_combout ;
-wire \spi_slave_instance|q~29_combout ;
-wire \spi_slave_instance|q~28_combout ;
-wire \spi_slave_instance|q~27_combout ;
-wire \spi_slave_instance|q~26_combout ;
-wire \spi_slave_instance|q~25_combout ;
-wire \spi_slave_instance|q~24_combout ;
-wire \spi_slave_instance|q~23_combout ;
-wire \spi_slave_instance|q~22_combout ;
-wire \spi_slave_instance|q~21_combout ;
-wire \spi_slave_instance|q~20_combout ;
-wire \spi_slave_instance|q~19_combout ;
-wire \spi_slave_instance|q~18_combout ;
-wire \spi_slave_instance|q~17_combout ;
-wire \spi_slave_instance|q~16_combout ;
-wire \spi_slave_instance|q~15_combout ;
-wire \spi_slave_instance|q~14_combout ;
-wire \spi_slave_instance|q~13_combout ;
-wire \spi_slave_instance|q~12_combout ;
-wire \spi_slave_instance|q~10_combout ;
-wire \spi_slave_instance|q~11_combout ;
-wire \spi_slave_instance|q~9_combout ;
-wire \spi_slave_instance|q~8_combout ;
-wire \spi_slave_instance|q~7_combout ;
-wire \spi_slave_instance|q~6_combout ;
-wire \spi_slave_instance|q~5_combout ;
-wire \spi_slave_instance|q~4_combout ;
-wire \spi_slave_instance|q~3_combout ;
-wire \spi_slave_instance|q~2_combout ;
-wire \spi_slave_instance|q~1_combout ;
-wire \spi_slave_instance|q~0_combout ;
-wire \spi_slave_instance|qdelayed~q ;
-wire \spi_slave_instance|miso~0_combout ;
-wire \GPIO_0_PI[10]~input_o ;
-wire [4:0] \spi_slave_instance|cnt ;
-wire [31:0] \spi_slave_instance|q ;
-
-
-hard_block auto_generated_inst(
-	.devpor(devpor),
-	.devclrn(devclrn),
-	.devoe(devoe));
-
-// Location: IOOBUF_X5_Y0_N23
-cycloneive_io_obuf \GPIO_2[0]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[0]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[0]~output .bus_hold = "false";
-defparam \GPIO_2[0]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X51_Y34_N23
-cycloneive_io_obuf \GPIO_2[1]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[1]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[1]~output .bus_hold = "false";
-defparam \GPIO_2[1]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y24_N23
-cycloneive_io_obuf \GPIO_2[2]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[2]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[2]~output .bus_hold = "false";
-defparam \GPIO_2[2]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y24_N16
-cycloneive_io_obuf \GPIO_2[3]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[3]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[3]~output .bus_hold = "false";
-defparam \GPIO_2[3]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X5_Y0_N16
-cycloneive_io_obuf \GPIO_2[4]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[4]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[4]~output .bus_hold = "false";
-defparam \GPIO_2[4]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y15_N2
-cycloneive_io_obuf \GPIO_2[5]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[5]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[5]~output .bus_hold = "false";
-defparam \GPIO_2[5]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X3_Y34_N2
-cycloneive_io_obuf \GPIO_2[6]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[6]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[6]~output .bus_hold = "false";
-defparam \GPIO_2[6]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y34_N9
-cycloneive_io_obuf \GPIO_2[7]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[7]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[7]~output .bus_hold = "false";
-defparam \GPIO_2[7]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X11_Y0_N16
-cycloneive_io_obuf \GPIO_2[8]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[8]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[8]~output .bus_hold = "false";
-defparam \GPIO_2[8]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X3_Y0_N2
-cycloneive_io_obuf \GPIO_2[9]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[9]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[9]~output .bus_hold = "false";
-defparam \GPIO_2[9]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X43_Y34_N23
-cycloneive_io_obuf \GPIO_2[10]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[10]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[10]~output .bus_hold = "false";
-defparam \GPIO_2[10]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X23_Y34_N16
-cycloneive_io_obuf \GPIO_2[11]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[11]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[11]~output .bus_hold = "false";
-defparam \GPIO_2[11]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X20_Y34_N9
-cycloneive_io_obuf \GPIO_2[12]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[12]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[12]~output .bus_hold = "false";
-defparam \GPIO_2[12]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y34_N9
-cycloneive_io_obuf \GPIO_0_PI[0]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[0]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[0]~output .bus_hold = "false";
-defparam \GPIO_0_PI[0]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y4_N16
-cycloneive_io_obuf \GPIO_0_PI[1]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[1]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[1]~output .bus_hold = "false";
-defparam \GPIO_0_PI[1]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y5_N23
-cycloneive_io_obuf \GPIO_0_PI[2]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[2]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[2]~output .bus_hold = "false";
-defparam \GPIO_0_PI[2]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X18_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[3]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[3]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[3]~output .bus_hold = "false";
-defparam \GPIO_0_PI[3]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y0_N16
-cycloneive_io_obuf \GPIO_0_PI[4]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[4]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[4]~output .bus_hold = "false";
-defparam \GPIO_0_PI[4]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[5]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[5]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[5]~output .bus_hold = "false";
-defparam \GPIO_0_PI[5]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y6_N16
-cycloneive_io_obuf \GPIO_0_PI[6]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[6]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[6]~output .bus_hold = "false";
-defparam \GPIO_0_PI[6]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y0_N23
-cycloneive_io_obuf \GPIO_0_PI[7]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[7]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[7]~output .bus_hold = "false";
-defparam \GPIO_0_PI[7]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y4_N23
-cycloneive_io_obuf \GPIO_0_PI[12]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[12]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[12]~output .bus_hold = "false";
-defparam \GPIO_0_PI[12]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y8_N23
-cycloneive_io_obuf \GPIO_0_PI[13]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[13]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[13]~output .bus_hold = "false";
-defparam \GPIO_0_PI[13]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[14]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[14]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[14]~output .bus_hold = "false";
-defparam \GPIO_0_PI[14]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[15]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[15]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[15]~output .bus_hold = "false";
-defparam \GPIO_0_PI[15]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[16]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[16]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[16]~output .bus_hold = "false";
-defparam \GPIO_0_PI[16]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X16_Y34_N9
-cycloneive_io_obuf \GPIO_0_PI[17]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[17]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[17]~output .bus_hold = "false";
-defparam \GPIO_0_PI[17]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y0_N9
-cycloneive_io_obuf \GPIO_0_PI[18]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[18]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[18]~output .bus_hold = "false";
-defparam \GPIO_0_PI[18]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X36_Y0_N9
-cycloneive_io_obuf \GPIO_0_PI[19]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[19]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[19]~output .bus_hold = "false";
-defparam \GPIO_0_PI[19]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y15_N9
-cycloneive_io_obuf \GPIO_0_PI[20]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[20]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[20]~output .bus_hold = "false";
-defparam \GPIO_0_PI[20]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X47_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[21]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[21]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[21]~output .bus_hold = "false";
-defparam \GPIO_0_PI[21]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y0_N9
-cycloneive_io_obuf \GPIO_0_PI[22]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[22]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[22]~output .bus_hold = "false";
-defparam \GPIO_0_PI[22]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X20_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[23]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[23]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[23]~output .bus_hold = "false";
-defparam \GPIO_0_PI[23]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X45_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[24]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[24]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[24]~output .bus_hold = "false";
-defparam \GPIO_0_PI[24]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X40_Y0_N23
-cycloneive_io_obuf \GPIO_0_PI[25]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[25]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[25]~output .bus_hold = "false";
-defparam \GPIO_0_PI[25]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X18_Y0_N9
-cycloneive_io_obuf \GPIO_0_PI[26]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[26]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[26]~output .bus_hold = "false";
-defparam \GPIO_0_PI[26]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X45_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[27]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[27]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[27]~output .bus_hold = "false";
-defparam \GPIO_0_PI[27]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X38_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[28]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[28]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[28]~output .bus_hold = "false";
-defparam \GPIO_0_PI[28]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X40_Y34_N9
-cycloneive_io_obuf \GPIO_0_PI[29]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[29]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[29]~output .bus_hold = "false";
-defparam \GPIO_0_PI[29]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X18_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[30]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[30]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[30]~output .bus_hold = "false";
-defparam \GPIO_0_PI[30]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X23_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[32]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[32]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[32]~output .bus_hold = "false";
-defparam \GPIO_0_PI[32]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y22_N2
-cycloneive_io_obuf \GPIO_0_PI[33]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[33]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[33]~output .bus_hold = "false";
-defparam \GPIO_0_PI[33]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y0_N2
-cycloneive_io_obuf \GPIO_1[0]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[0]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[0]~output .bus_hold = "false";
-defparam \GPIO_1[0]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y0_N23
-cycloneive_io_obuf \GPIO_1[1]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[1]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[1]~output .bus_hold = "false";
-defparam \GPIO_1[1]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y20_N23
-cycloneive_io_obuf \GPIO_1[2]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[2]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[2]~output .bus_hold = "false";
-defparam \GPIO_1[2]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y23_N2
-cycloneive_io_obuf \GPIO_1[3]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[3]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[3]~output .bus_hold = "false";
-defparam \GPIO_1[3]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X7_Y34_N2
-cycloneive_io_obuf \GPIO_1[4]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[4]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[4]~output .bus_hold = "false";
-defparam \GPIO_1[4]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y11_N2
-cycloneive_io_obuf \GPIO_1[5]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[5]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[5]~output .bus_hold = "false";
-defparam \GPIO_1[5]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X51_Y34_N9
-cycloneive_io_obuf \GPIO_1[6]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[6]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[6]~output .bus_hold = "false";
-defparam \GPIO_1[6]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X5_Y0_N9
-cycloneive_io_obuf \GPIO_1[7]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[7]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[7]~output .bus_hold = "false";
-defparam \GPIO_1[7]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y22_N9
-cycloneive_io_obuf \GPIO_1[8]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[8]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[8]~output .bus_hold = "false";
-defparam \GPIO_1[8]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y0_N2
-cycloneive_io_obuf \GPIO_1[9]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[9]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[9]~output .bus_hold = "false";
-defparam \GPIO_1[9]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y11_N9
-cycloneive_io_obuf \GPIO_1[10]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[10]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[10]~output .bus_hold = "false";
-defparam \GPIO_1[10]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X45_Y34_N9
-cycloneive_io_obuf \GPIO_1[11]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[11]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[11]~output .bus_hold = "false";
-defparam \GPIO_1[11]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y26_N23
-cycloneive_io_obuf \GPIO_1[12]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[12]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[12]~output .bus_hold = "false";
-defparam \GPIO_1[12]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X40_Y0_N16
-cycloneive_io_obuf \GPIO_1[13]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[13]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[13]~output .bus_hold = "false";
-defparam \GPIO_1[13]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y12_N2
-cycloneive_io_obuf \GPIO_1[14]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[14]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[14]~output .bus_hold = "false";
-defparam \GPIO_1[14]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y30_N2
-cycloneive_io_obuf \GPIO_1[15]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[15]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[15]~output .bus_hold = "false";
-defparam \GPIO_1[15]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X25_Y0_N16
-cycloneive_io_obuf \GPIO_1[16]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[16]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[16]~output .bus_hold = "false";
-defparam \GPIO_1[16]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y0_N23
-cycloneive_io_obuf \GPIO_1[17]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[17]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[17]~output .bus_hold = "false";
-defparam \GPIO_1[17]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y7_N2
-cycloneive_io_obuf \GPIO_1[18]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[18]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[18]~output .bus_hold = "false";
-defparam \GPIO_1[18]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y30_N9
-cycloneive_io_obuf \GPIO_1[19]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[19]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[19]~output .bus_hold = "false";
-defparam \GPIO_1[19]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y27_N2
-cycloneive_io_obuf \GPIO_1[20]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[20]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[20]~output .bus_hold = "false";
-defparam \GPIO_1[20]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X47_Y0_N23
-cycloneive_io_obuf \GPIO_1[21]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[21]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[21]~output .bus_hold = "false";
-defparam \GPIO_1[21]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X11_Y34_N2
-cycloneive_io_obuf \GPIO_1[22]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[22]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[22]~output .bus_hold = "false";
-defparam \GPIO_1[22]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X20_Y0_N9
-cycloneive_io_obuf \GPIO_1[23]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[23]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[23]~output .bus_hold = "false";
-defparam \GPIO_1[23]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X5_Y0_N2
-cycloneive_io_obuf \GPIO_1[24]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[24]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[24]~output .bus_hold = "false";
-defparam \GPIO_1[24]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y21_N23
-cycloneive_io_obuf \GPIO_1[25]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[25]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[25]~output .bus_hold = "false";
-defparam \GPIO_1[25]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y0_N16
-cycloneive_io_obuf \GPIO_1[26]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[26]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[26]~output .bus_hold = "false";
-defparam \GPIO_1[26]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X7_Y0_N9
-cycloneive_io_obuf \GPIO_1[27]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[27]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[27]~output .bus_hold = "false";
-defparam \GPIO_1[27]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y23_N23
-cycloneive_io_obuf \GPIO_1[28]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[28]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[28]~output .bus_hold = "false";
-defparam \GPIO_1[28]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y14_N9
-cycloneive_io_obuf \GPIO_1[29]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[29]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[29]~output .bus_hold = "false";
-defparam \GPIO_1[29]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X45_Y0_N23
-cycloneive_io_obuf \GPIO_1[30]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[30]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[30]~output .bus_hold = "false";
-defparam \GPIO_1[30]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X49_Y0_N2
-cycloneive_io_obuf \GPIO_1[31]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[31]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[31]~output .bus_hold = "false";
-defparam \GPIO_1[31]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X31_Y34_N2
-cycloneive_io_obuf \GPIO_1[32]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[32]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[32]~output .bus_hold = "false";
-defparam \GPIO_1[32]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y6_N16
-cycloneive_io_obuf \GPIO_1[33]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[33]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[33]~output .bus_hold = "false";
-defparam \GPIO_1[33]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y23_N16
-cycloneive_io_obuf \GPIO_0_PI[8]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[8]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[8]~output .bus_hold = "false";
-defparam \GPIO_0_PI[8]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X5_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[9]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[9]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[9]~output .bus_hold = "false";
-defparam \GPIO_0_PI[9]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X16_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[10]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[10]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[10]~output .bus_hold = "false";
-defparam \GPIO_0_PI[10]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X16_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[11]~output (
-	.i(\spi_slave_instance|miso~0_combout ),
-	.oe(!\GPIO_0_PI[10]~input_o ),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[11]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[11]~output .bus_hold = "false";
-defparam \GPIO_0_PI[11]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X51_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[31]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[31]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[31]~output .bus_hold = "false";
-defparam \GPIO_0_PI[31]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X38_Y34_N16
-cycloneive_io_obuf \LED[0]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[0]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[0]~output .bus_hold = "false";
-defparam \LED[0]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X49_Y34_N2
-cycloneive_io_obuf \LED[1]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[1]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[1]~output .bus_hold = "false";
-defparam \LED[1]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X49_Y34_N9
-cycloneive_io_obuf \LED[2]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[2]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[2]~output .bus_hold = "false";
-defparam \LED[2]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X40_Y34_N2
-cycloneive_io_obuf \LED[3]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[3]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[3]~output .bus_hold = "false";
-defparam \LED[3]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y25_N9
-cycloneive_io_obuf \LED[4]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[4]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[4]~output .bus_hold = "false";
-defparam \LED[4]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y26_N16
-cycloneive_io_obuf \LED[5]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[5]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[5]~output .bus_hold = "false";
-defparam \LED[5]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y28_N9
-cycloneive_io_obuf \LED[6]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[6]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[6]~output .bus_hold = "false";
-defparam \LED[6]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y10_N23
-cycloneive_io_obuf \LED[7]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[7]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[7]~output .bus_hold = "false";
-defparam \LED[7]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y23_N15
-cycloneive_io_ibuf \GPIO_0_PI[8]~input (
-	.i(GPIO_0_PI[8]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[8]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[8]~input .bus_hold = "false";
-defparam \GPIO_0_PI[8]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: CLKCTRL_G0
-cycloneive_clkctrl \GPIO_0_PI[8]~inputclkctrl (
-	.ena(vcc),
-	.inclk({vcc,vcc,vcc,\GPIO_0_PI[8]~input_o }),
-	.clkselect(2'b00),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.outclk(\GPIO_0_PI[8]~inputclkctrl_outclk ));
-// synopsys translate_off
-defparam \GPIO_0_PI[8]~inputclkctrl .clock_type = "global clock";
-defparam \GPIO_0_PI[8]~inputclkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N12
-cycloneive_lcell_comb \spi_slave_instance|cnt[0]~12 (
-// Equation(s):
-// \spi_slave_instance|cnt[0]~12_combout  = !\spi_slave_instance|cnt [0]
-
-	.dataa(gnd),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [0]),
-	.datad(gnd),
-	.cin(gnd),
-	.combout(\spi_slave_instance|cnt[0]~12_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[0]~12 .lut_mask = 16'h0F0F;
-defparam \spi_slave_instance|cnt[0]~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N13
-dffeas \spi_slave_instance|cnt[0] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[0]~12_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [0]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[0] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N2
-cycloneive_lcell_comb \spi_slave_instance|cnt[1]~4 (
-// Equation(s):
-// \spi_slave_instance|cnt[1]~4_combout  = (\spi_slave_instance|cnt [0] & (\spi_slave_instance|cnt [1] $ (VCC))) # (!\spi_slave_instance|cnt [0] & (\spi_slave_instance|cnt [1] & VCC))
-// \spi_slave_instance|cnt[1]~5  = CARRY((\spi_slave_instance|cnt [0] & \spi_slave_instance|cnt [1]))
-
-	.dataa(\spi_slave_instance|cnt [0]),
-	.datab(\spi_slave_instance|cnt [1]),
-	.datac(gnd),
-	.datad(vcc),
-	.cin(gnd),
-	.combout(\spi_slave_instance|cnt[1]~4_combout ),
-	.cout(\spi_slave_instance|cnt[1]~5 ));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[1]~4 .lut_mask = 16'h6688;
-defparam \spi_slave_instance|cnt[1]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N3
-dffeas \spi_slave_instance|cnt[1] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[1]~4_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [1]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[1] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N4
-cycloneive_lcell_comb \spi_slave_instance|cnt[2]~6 (
-// Equation(s):
-// \spi_slave_instance|cnt[2]~6_combout  = (\spi_slave_instance|cnt [2] & (!\spi_slave_instance|cnt[1]~5 )) # (!\spi_slave_instance|cnt [2] & ((\spi_slave_instance|cnt[1]~5 ) # (GND)))
-// \spi_slave_instance|cnt[2]~7  = CARRY((!\spi_slave_instance|cnt[1]~5 ) # (!\spi_slave_instance|cnt [2]))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [2]),
-	.datac(gnd),
-	.datad(vcc),
-	.cin(\spi_slave_instance|cnt[1]~5 ),
-	.combout(\spi_slave_instance|cnt[2]~6_combout ),
-	.cout(\spi_slave_instance|cnt[2]~7 ));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[2]~6 .lut_mask = 16'h3C3F;
-defparam \spi_slave_instance|cnt[2]~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N5
-dffeas \spi_slave_instance|cnt[2] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[2]~6_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [2]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[2] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N6
-cycloneive_lcell_comb \spi_slave_instance|cnt[3]~8 (
-// Equation(s):
-// \spi_slave_instance|cnt[3]~8_combout  = (\spi_slave_instance|cnt [3] & (\spi_slave_instance|cnt[2]~7  $ (GND))) # (!\spi_slave_instance|cnt [3] & (!\spi_slave_instance|cnt[2]~7  & VCC))
-// \spi_slave_instance|cnt[3]~9  = CARRY((\spi_slave_instance|cnt [3] & !\spi_slave_instance|cnt[2]~7 ))
-
-	.dataa(\spi_slave_instance|cnt [3]),
-	.datab(gnd),
-	.datac(gnd),
-	.datad(vcc),
-	.cin(\spi_slave_instance|cnt[2]~7 ),
-	.combout(\spi_slave_instance|cnt[3]~8_combout ),
-	.cout(\spi_slave_instance|cnt[3]~9 ));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[3]~8 .lut_mask = 16'hA50A;
-defparam \spi_slave_instance|cnt[3]~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N7
-dffeas \spi_slave_instance|cnt[3] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[3]~8_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [3]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[3] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N8
-cycloneive_lcell_comb \spi_slave_instance|cnt[4]~10 (
-// Equation(s):
-// \spi_slave_instance|cnt[4]~10_combout  = \spi_slave_instance|cnt [4] $ (\spi_slave_instance|cnt[3]~9 )
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(gnd),
-	.datad(gnd),
-	.cin(\spi_slave_instance|cnt[3]~9 ),
-	.combout(\spi_slave_instance|cnt[4]~10_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[4]~10 .lut_mask = 16'h3C3C;
-defparam \spi_slave_instance|cnt[4]~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N9
-dffeas \spi_slave_instance|cnt[4] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[4]~10_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [4]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[4] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: IOIBUF_X51_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[31]~input (
-	.i(GPIO_0_PI[31]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[31]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[31]~input .bus_hold = "false";
-defparam \GPIO_0_PI[31]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[9]~input (
-	.i(GPIO_0_PI[9]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[9]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[9]~input .bus_hold = "false";
-defparam \GPIO_0_PI[9]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N5
-dffeas \spi_slave_instance|q[0] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(gnd),
-	.asdata(\GPIO_0_PI[9]~input_o ),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(vcc),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [0]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[0] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N18
-cycloneive_lcell_comb \spi_slave_instance|Equal0~0 (
-// Equation(s):
-// \spi_slave_instance|Equal0~0_combout  = (!\spi_slave_instance|cnt [0] & (!\spi_slave_instance|cnt [1] & (!\spi_slave_instance|cnt [2] & !\spi_slave_instance|cnt [3])))
-
-	.dataa(\spi_slave_instance|cnt [0]),
-	.datab(\spi_slave_instance|cnt [1]),
-	.datac(\spi_slave_instance|cnt [2]),
-	.datad(\spi_slave_instance|cnt [3]),
-	.cin(gnd),
-	.combout(\spi_slave_instance|Equal0~0_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|Equal0~0 .lut_mask = 16'h0001;
-defparam \spi_slave_instance|Equal0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N22
-cycloneive_lcell_comb \spi_slave_instance|q~31 (
-// Equation(s):
-// \spi_slave_instance|q~31_combout  = (\spi_slave_instance|cnt [4] & (((\spi_slave_instance|q [0])))) # (!\spi_slave_instance|cnt [4] & ((\spi_slave_instance|Equal0~0_combout  & (\GPIO_0_PI[31]~input_o )) # (!\spi_slave_instance|Equal0~0_combout  & 
-// ((\spi_slave_instance|q [0])))))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(\GPIO_0_PI[31]~input_o ),
-	.datac(\spi_slave_instance|q [0]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~31_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~31 .lut_mask = 16'hE4F0;
-defparam \spi_slave_instance|q~31 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N23
-dffeas \spi_slave_instance|q[1] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~31_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [1]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[1] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N24
-cycloneive_lcell_comb \spi_slave_instance|q~30 (
-// Equation(s):
-// \spi_slave_instance|q~30_combout  = (\spi_slave_instance|q [1] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|q [1]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~30_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~30 .lut_mask = 16'hA0F0;
-defparam \spi_slave_instance|q~30 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N25
-dffeas \spi_slave_instance|q[2] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~30_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [2]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[2] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N30
-cycloneive_lcell_comb \spi_slave_instance|q~29 (
-// Equation(s):
-// \spi_slave_instance|q~29_combout  = (\spi_slave_instance|q [2] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(\spi_slave_instance|q [2]),
-	.datac(gnd),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~29_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~29 .lut_mask = 16'h88CC;
-defparam \spi_slave_instance|q~29 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N31
-dffeas \spi_slave_instance|q[3] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~29_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [3]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[3] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N8
-cycloneive_lcell_comb \spi_slave_instance|q~28 (
-// Equation(s):
-// \spi_slave_instance|q~28_combout  = (\spi_slave_instance|q [3] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|q [3]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~28_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~28 .lut_mask = 16'hA0F0;
-defparam \spi_slave_instance|q~28 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N9
-dffeas \spi_slave_instance|q[4] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~28_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [4]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[4] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N18
-cycloneive_lcell_comb \spi_slave_instance|q~27 (
-// Equation(s):
-// \spi_slave_instance|q~27_combout  = (\spi_slave_instance|q [4] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|q [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~27_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~27 .lut_mask = 16'hA0F0;
-defparam \spi_slave_instance|q~27 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N19
-dffeas \spi_slave_instance|q[5] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~27_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [5]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[5] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N28
-cycloneive_lcell_comb \spi_slave_instance|q~26 (
-// Equation(s):
-// \spi_slave_instance|q~26_combout  = (\spi_slave_instance|q [5] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(\spi_slave_instance|q [5]),
-	.datac(gnd),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~26_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~26 .lut_mask = 16'h88CC;
-defparam \spi_slave_instance|q~26 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N29
-dffeas \spi_slave_instance|q[6] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~26_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [6]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[6] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N10
-cycloneive_lcell_comb \spi_slave_instance|q~25 (
-// Equation(s):
-// \spi_slave_instance|q~25_combout  = (\spi_slave_instance|q [6] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(\spi_slave_instance|q [6]),
-	.datac(gnd),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~25_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~25 .lut_mask = 16'h88CC;
-defparam \spi_slave_instance|q~25 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N11
-dffeas \spi_slave_instance|q[7] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~25_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [7]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[7] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N14
-cycloneive_lcell_comb \spi_slave_instance|q~24 (
-// Equation(s):
-// \spi_slave_instance|q~24_combout  = (\spi_slave_instance|q [7] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [7]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~24_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~24 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~24 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N15
-dffeas \spi_slave_instance|q[8] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~24_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [8]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[8] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N28
-cycloneive_lcell_comb \spi_slave_instance|q~23 (
-// Equation(s):
-// \spi_slave_instance|q~23_combout  = (\spi_slave_instance|q [8] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [8]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~23_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~23 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~23 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N29
-dffeas \spi_slave_instance|q[9] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~23_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [9]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[9] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N30
-cycloneive_lcell_comb \spi_slave_instance|q~22 (
-// Equation(s):
-// \spi_slave_instance|q~22_combout  = (\spi_slave_instance|q [9] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [9]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~22_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~22 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~22 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N31
-dffeas \spi_slave_instance|q[10] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~22_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [10]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[10] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N24
-cycloneive_lcell_comb \spi_slave_instance|q~21 (
-// Equation(s):
-// \spi_slave_instance|q~21_combout  = (\spi_slave_instance|q [10] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [10]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~21_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~21 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~21 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N25
-dffeas \spi_slave_instance|q[11] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~21_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [11]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[11] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[11] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N26
-cycloneive_lcell_comb \spi_slave_instance|q~20 (
-// Equation(s):
-// \spi_slave_instance|q~20_combout  = (\spi_slave_instance|q [11] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [11]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~20_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~20 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~20 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N27
-dffeas \spi_slave_instance|q[12] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~20_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [12]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[12] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[12] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N20
-cycloneive_lcell_comb \spi_slave_instance|q~19 (
-// Equation(s):
-// \spi_slave_instance|q~19_combout  = (\spi_slave_instance|q [12] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|q [12]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~19_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~19 .lut_mask = 16'hA0F0;
-defparam \spi_slave_instance|q~19 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N21
-dffeas \spi_slave_instance|q[13] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~19_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [13]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[13] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[13] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N16
-cycloneive_lcell_comb \spi_slave_instance|q~18 (
-// Equation(s):
-// \spi_slave_instance|q~18_combout  = (\spi_slave_instance|q [13] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [13]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~18_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~18 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~18 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N17
-dffeas \spi_slave_instance|q[14] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~18_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [14]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[14] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[14] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N10
-cycloneive_lcell_comb \spi_slave_instance|q~17 (
-// Equation(s):
-// \spi_slave_instance|q~17_combout  = (\spi_slave_instance|q [14] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [14]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~17_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~17 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~17 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N11
-dffeas \spi_slave_instance|q[15] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~17_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [15]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[15] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[15] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N20
-cycloneive_lcell_comb \spi_slave_instance|q~16 (
-// Equation(s):
-// \spi_slave_instance|q~16_combout  = (\spi_slave_instance|q [15] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [15]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~16_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~16 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N21
-dffeas \spi_slave_instance|q[16] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~16_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [16]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[16] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[16] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N22
-cycloneive_lcell_comb \spi_slave_instance|q~15 (
-// Equation(s):
-// \spi_slave_instance|q~15_combout  = (\spi_slave_instance|q [16] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [16]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~15_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~15 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N23
-dffeas \spi_slave_instance|q[17] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~15_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [17]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[17] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[17] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N0
-cycloneive_lcell_comb \spi_slave_instance|q~14 (
-// Equation(s):
-// \spi_slave_instance|q~14_combout  = (\spi_slave_instance|q [17] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [17]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~14_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~14 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N1
-dffeas \spi_slave_instance|q[18] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~14_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [18]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[18] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[18] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N28
-cycloneive_lcell_comb \spi_slave_instance|q~13 (
-// Equation(s):
-// \spi_slave_instance|q~13_combout  = (\spi_slave_instance|q [18] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [18]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~13_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~13 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N29
-dffeas \spi_slave_instance|q[19] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~13_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [19]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[19] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[19] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N8
-cycloneive_lcell_comb \spi_slave_instance|q~12 (
-// Equation(s):
-// \spi_slave_instance|q~12_combout  = (\spi_slave_instance|q [19] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [19]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~12_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~12 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N9
-dffeas \spi_slave_instance|q[20] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~12_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [20]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[20] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[20] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N10
-cycloneive_lcell_comb \spi_slave_instance|q~10 (
-// Equation(s):
-// \spi_slave_instance|q~10_combout  = (\spi_slave_instance|cnt [1]) # ((\spi_slave_instance|cnt [4]) # (\spi_slave_instance|cnt [3]))
-
-	.dataa(\spi_slave_instance|cnt [1]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|cnt [3]),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~10_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~10 .lut_mask = 16'hFFFA;
-defparam \spi_slave_instance|q~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N26
-cycloneive_lcell_comb \spi_slave_instance|q~11 (
-// Equation(s):
-// \spi_slave_instance|q~11_combout  = (\spi_slave_instance|q [20] & ((\spi_slave_instance|cnt [2]) # ((\spi_slave_instance|cnt [0]) # (\spi_slave_instance|q~10_combout ))))
-
-	.dataa(\spi_slave_instance|cnt [2]),
-	.datab(\spi_slave_instance|q [20]),
-	.datac(\spi_slave_instance|cnt [0]),
-	.datad(\spi_slave_instance|q~10_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~11_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~11 .lut_mask = 16'hCCC8;
-defparam \spi_slave_instance|q~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N27
-dffeas \spi_slave_instance|q[21] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~11_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [21]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[21] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[21] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N12
-cycloneive_lcell_comb \spi_slave_instance|q~9 (
-// Equation(s):
-// \spi_slave_instance|q~9_combout  = (\spi_slave_instance|q [21] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|q [21]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~9_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~9 .lut_mask = 16'hA0AA;
-defparam \spi_slave_instance|q~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N13
-dffeas \spi_slave_instance|q[22] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~9_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [22]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[22] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[22] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N6
-cycloneive_lcell_comb \spi_slave_instance|q~8 (
-// Equation(s):
-// \spi_slave_instance|q~8_combout  = (\spi_slave_instance|q [22] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|q [22]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~8_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~8 .lut_mask = 16'hA0AA;
-defparam \spi_slave_instance|q~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N7
-dffeas \spi_slave_instance|q[23] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~8_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [23]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[23] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[23] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N4
-cycloneive_lcell_comb \spi_slave_instance|q~7 (
-// Equation(s):
-// \spi_slave_instance|q~7_combout  = (\spi_slave_instance|q [23] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|q [23]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~7_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~7 .lut_mask = 16'hA0AA;
-defparam \spi_slave_instance|q~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N5
-dffeas \spi_slave_instance|q[24] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~7_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [24]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[24] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[24] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N30
-cycloneive_lcell_comb \spi_slave_instance|q~6 (
-// Equation(s):
-// \spi_slave_instance|q~6_combout  = (\spi_slave_instance|q [24] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [24]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~6_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~6 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N31
-dffeas \spi_slave_instance|q[25] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~6_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [25]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[25] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[25] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N20
-cycloneive_lcell_comb \spi_slave_instance|q~5 (
-// Equation(s):
-// \spi_slave_instance|q~5_combout  = (\spi_slave_instance|q [25] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|q [25]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~5_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~5 .lut_mask = 16'hA0AA;
-defparam \spi_slave_instance|q~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N21
-dffeas \spi_slave_instance|q[26] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~5_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [26]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[26] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[26] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N14
-cycloneive_lcell_comb \spi_slave_instance|q~4 (
-// Equation(s):
-// \spi_slave_instance|q~4_combout  = (\spi_slave_instance|q [26] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [26]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~4_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~4 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N15
-dffeas \spi_slave_instance|q[27] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~4_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [27]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[27] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[27] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N16
-cycloneive_lcell_comb \spi_slave_instance|q~3 (
-// Equation(s):
-// \spi_slave_instance|q~3_combout  = (\spi_slave_instance|q [27] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [27]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~3_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~3 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N17
-dffeas \spi_slave_instance|q[28] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~3_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [28]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[28] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[28] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N18
-cycloneive_lcell_comb \spi_slave_instance|q~2 (
-// Equation(s):
-// \spi_slave_instance|q~2_combout  = (\spi_slave_instance|q [28] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [28]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~2_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~2 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N19
-dffeas \spi_slave_instance|q[29] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~2_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [29]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[29] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[29] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N24
-cycloneive_lcell_comb \spi_slave_instance|q~1 (
-// Equation(s):
-// \spi_slave_instance|q~1_combout  = (\spi_slave_instance|q [29] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [29]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~1_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~1 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N25
-dffeas \spi_slave_instance|q[30] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~1_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [30]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[30] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[30] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N22
-cycloneive_lcell_comb \spi_slave_instance|q~0 (
-// Equation(s):
-// \spi_slave_instance|q~0_combout  = (\spi_slave_instance|q [30] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [30]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~0_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~0 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N23
-dffeas \spi_slave_instance|q[31] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~0_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [31]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[31] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[31] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N1
-dffeas \spi_slave_instance|qdelayed (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(gnd),
-	.asdata(\spi_slave_instance|q [31]),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(vcc),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|qdelayed~q ),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|qdelayed .is_wysiwyg = "true";
-defparam \spi_slave_instance|qdelayed .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N0
-cycloneive_lcell_comb \spi_slave_instance|miso~0 (
-// Equation(s):
-// \spi_slave_instance|miso~0_combout  = (\spi_slave_instance|qdelayed~q  & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|qdelayed~q ),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|miso~0_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|miso~0 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|miso~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOIBUF_X16_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[10]~input (
-	.i(GPIO_0_PI[10]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[10]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[10]~input .bus_hold = "false";
-defparam \GPIO_0_PI[10]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X16_Y0_N15
-cycloneive_io_ibuf \CLOCK_50~input (
-	.i(CLOCK_50),
-	.ibar(gnd),
-	.o(\CLOCK_50~input_o ));
-// synopsys translate_off
-defparam \CLOCK_50~input .bus_hold = "false";
-defparam \CLOCK_50~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y14_N1
-cycloneive_io_ibuf \KEY[0]~input (
-	.i(KEY[0]),
-	.ibar(gnd),
-	.o(\KEY[0]~input_o ));
-// synopsys translate_off
-defparam \KEY[0]~input .bus_hold = "false";
-defparam \KEY[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y16_N8
-cycloneive_io_ibuf \KEY[1]~input (
-	.i(KEY[1]),
-	.ibar(gnd),
-	.o(\KEY[1]~input_o ));
-// synopsys translate_off
-defparam \KEY[1]~input .bus_hold = "false";
-defparam \KEY[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y17_N8
-cycloneive_io_ibuf \GPIO_2_IN[0]~input (
-	.i(GPIO_2_IN[0]),
-	.ibar(gnd),
-	.o(\GPIO_2_IN[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2_IN[0]~input .bus_hold = "false";
-defparam \GPIO_2_IN[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y17_N1
-cycloneive_io_ibuf \GPIO_2_IN[1]~input (
-	.i(GPIO_2_IN[1]),
-	.ibar(gnd),
-	.o(\GPIO_2_IN[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2_IN[1]~input .bus_hold = "false";
-defparam \GPIO_2_IN[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X9_Y34_N8
-cycloneive_io_ibuf \GPIO_2_IN[2]~input (
-	.i(GPIO_2_IN[2]),
-	.ibar(gnd),
-	.o(\GPIO_2_IN[2]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2_IN[2]~input .bus_hold = "false";
-defparam \GPIO_2_IN[2]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI_IN[0]~input (
-	.i(GPIO_0_PI_IN[0]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI_IN[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI_IN[0]~input .bus_hold = "false";
-defparam \GPIO_0_PI_IN[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X51_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI_IN[1]~input (
-	.i(GPIO_0_PI_IN[1]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI_IN[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI_IN[1]~input .bus_hold = "false";
-defparam \GPIO_0_PI_IN[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X43_Y34_N15
-cycloneive_io_ibuf \GPIO_1_IN[0]~input (
-	.i(GPIO_1_IN[0]),
-	.ibar(gnd),
-	.o(\GPIO_1_IN[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1_IN[0]~input .bus_hold = "false";
-defparam \GPIO_1_IN[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y0_N15
-cycloneive_io_ibuf \GPIO_1_IN[1]~input (
-	.i(GPIO_1_IN[1]),
-	.ibar(gnd),
-	.o(\GPIO_1_IN[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1_IN[1]~input .bus_hold = "false";
-defparam \GPIO_1_IN[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y0_N22
-cycloneive_io_ibuf \GPIO_2[0]~input (
-	.i(GPIO_2[0]),
-	.ibar(gnd),
-	.o(\GPIO_2[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[0]~input .bus_hold = "false";
-defparam \GPIO_2[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X51_Y34_N22
-cycloneive_io_ibuf \GPIO_2[1]~input (
-	.i(GPIO_2[1]),
-	.ibar(gnd),
-	.o(\GPIO_2[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[1]~input .bus_hold = "false";
-defparam \GPIO_2[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y24_N22
-cycloneive_io_ibuf \GPIO_2[2]~input (
-	.i(GPIO_2[2]),
-	.ibar(gnd),
-	.o(\GPIO_2[2]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[2]~input .bus_hold = "false";
-defparam \GPIO_2[2]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y24_N15
-cycloneive_io_ibuf \GPIO_2[3]~input (
-	.i(GPIO_2[3]),
-	.ibar(gnd),
-	.o(\GPIO_2[3]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[3]~input .bus_hold = "false";
-defparam \GPIO_2[3]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y0_N15
-cycloneive_io_ibuf \GPIO_2[4]~input (
-	.i(GPIO_2[4]),
-	.ibar(gnd),
-	.o(\GPIO_2[4]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[4]~input .bus_hold = "false";
-defparam \GPIO_2[4]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y15_N1
-cycloneive_io_ibuf \GPIO_2[5]~input (
-	.i(GPIO_2[5]),
-	.ibar(gnd),
-	.o(\GPIO_2[5]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[5]~input .bus_hold = "false";
-defparam \GPIO_2[5]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X3_Y34_N1
-cycloneive_io_ibuf \GPIO_2[6]~input (
-	.i(GPIO_2[6]),
-	.ibar(gnd),
-	.o(\GPIO_2[6]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[6]~input .bus_hold = "false";
-defparam \GPIO_2[6]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y34_N8
-cycloneive_io_ibuf \GPIO_2[7]~input (
-	.i(GPIO_2[7]),
-	.ibar(gnd),
-	.o(\GPIO_2[7]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[7]~input .bus_hold = "false";
-defparam \GPIO_2[7]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X11_Y0_N15
-cycloneive_io_ibuf \GPIO_2[8]~input (
-	.i(GPIO_2[8]),
-	.ibar(gnd),
-	.o(\GPIO_2[8]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[8]~input .bus_hold = "false";
-defparam \GPIO_2[8]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X3_Y0_N1
-cycloneive_io_ibuf \GPIO_2[9]~input (
-	.i(GPIO_2[9]),
-	.ibar(gnd),
-	.o(\GPIO_2[9]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[9]~input .bus_hold = "false";
-defparam \GPIO_2[9]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X43_Y34_N22
-cycloneive_io_ibuf \GPIO_2[10]~input (
-	.i(GPIO_2[10]),
-	.ibar(gnd),
-	.o(\GPIO_2[10]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[10]~input .bus_hold = "false";
-defparam \GPIO_2[10]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X23_Y34_N15
-cycloneive_io_ibuf \GPIO_2[11]~input (
-	.i(GPIO_2[11]),
-	.ibar(gnd),
-	.o(\GPIO_2[11]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[11]~input .bus_hold = "false";
-defparam \GPIO_2[11]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X20_Y34_N8
-cycloneive_io_ibuf \GPIO_2[12]~input (
-	.i(GPIO_2[12]),
-	.ibar(gnd),
-	.o(\GPIO_2[12]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[12]~input .bus_hold = "false";
-defparam \GPIO_2[12]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y34_N8
-cycloneive_io_ibuf \GPIO_0_PI[0]~input (
-	.i(GPIO_0_PI[0]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[0]~input .bus_hold = "false";
-defparam \GPIO_0_PI[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y4_N15
-cycloneive_io_ibuf \GPIO_0_PI[1]~input (
-	.i(GPIO_0_PI[1]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[1]~input .bus_hold = "false";
-defparam \GPIO_0_PI[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y5_N22
-cycloneive_io_ibuf \GPIO_0_PI[2]~input (
-	.i(GPIO_0_PI[2]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[2]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[2]~input .bus_hold = "false";
-defparam \GPIO_0_PI[2]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X18_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[3]~input (
-	.i(GPIO_0_PI[3]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[3]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[3]~input .bus_hold = "false";
-defparam \GPIO_0_PI[3]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y0_N15
-cycloneive_io_ibuf \GPIO_0_PI[4]~input (
-	.i(GPIO_0_PI[4]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[4]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[4]~input .bus_hold = "false";
-defparam \GPIO_0_PI[4]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[5]~input (
-	.i(GPIO_0_PI[5]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[5]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[5]~input .bus_hold = "false";
-defparam \GPIO_0_PI[5]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y6_N15
-cycloneive_io_ibuf \GPIO_0_PI[6]~input (
-	.i(GPIO_0_PI[6]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[6]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[6]~input .bus_hold = "false";
-defparam \GPIO_0_PI[6]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y0_N22
-cycloneive_io_ibuf \GPIO_0_PI[7]~input (
-	.i(GPIO_0_PI[7]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[7]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[7]~input .bus_hold = "false";
-defparam \GPIO_0_PI[7]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y4_N22
-cycloneive_io_ibuf \GPIO_0_PI[12]~input (
-	.i(GPIO_0_PI[12]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[12]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[12]~input .bus_hold = "false";
-defparam \GPIO_0_PI[12]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y8_N22
-cycloneive_io_ibuf \GPIO_0_PI[13]~input (
-	.i(GPIO_0_PI[13]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[13]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[13]~input .bus_hold = "false";
-defparam \GPIO_0_PI[13]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[14]~input (
-	.i(GPIO_0_PI[14]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[14]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[14]~input .bus_hold = "false";
-defparam \GPIO_0_PI[14]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[15]~input (
-	.i(GPIO_0_PI[15]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[15]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[15]~input .bus_hold = "false";
-defparam \GPIO_0_PI[15]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[16]~input (
-	.i(GPIO_0_PI[16]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[16]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[16]~input .bus_hold = "false";
-defparam \GPIO_0_PI[16]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X16_Y34_N8
-cycloneive_io_ibuf \GPIO_0_PI[17]~input (
-	.i(GPIO_0_PI[17]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[17]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[17]~input .bus_hold = "false";
-defparam \GPIO_0_PI[17]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y0_N8
-cycloneive_io_ibuf \GPIO_0_PI[18]~input (
-	.i(GPIO_0_PI[18]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[18]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[18]~input .bus_hold = "false";
-defparam \GPIO_0_PI[18]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X36_Y0_N8
-cycloneive_io_ibuf \GPIO_0_PI[19]~input (
-	.i(GPIO_0_PI[19]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[19]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[19]~input .bus_hold = "false";
-defparam \GPIO_0_PI[19]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y15_N8
-cycloneive_io_ibuf \GPIO_0_PI[20]~input (
-	.i(GPIO_0_PI[20]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[20]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[20]~input .bus_hold = "false";
-defparam \GPIO_0_PI[20]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X47_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[21]~input (
-	.i(GPIO_0_PI[21]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[21]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[21]~input .bus_hold = "false";
-defparam \GPIO_0_PI[21]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y0_N8
-cycloneive_io_ibuf \GPIO_0_PI[22]~input (
-	.i(GPIO_0_PI[22]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[22]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[22]~input .bus_hold = "false";
-defparam \GPIO_0_PI[22]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X20_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[23]~input (
-	.i(GPIO_0_PI[23]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[23]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[23]~input .bus_hold = "false";
-defparam \GPIO_0_PI[23]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X45_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[24]~input (
-	.i(GPIO_0_PI[24]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[24]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[24]~input .bus_hold = "false";
-defparam \GPIO_0_PI[24]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X40_Y0_N22
-cycloneive_io_ibuf \GPIO_0_PI[25]~input (
-	.i(GPIO_0_PI[25]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[25]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[25]~input .bus_hold = "false";
-defparam \GPIO_0_PI[25]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X18_Y0_N8
-cycloneive_io_ibuf \GPIO_0_PI[26]~input (
-	.i(GPIO_0_PI[26]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[26]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[26]~input .bus_hold = "false";
-defparam \GPIO_0_PI[26]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X45_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[27]~input (
-	.i(GPIO_0_PI[27]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[27]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[27]~input .bus_hold = "false";
-defparam \GPIO_0_PI[27]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X38_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[28]~input (
-	.i(GPIO_0_PI[28]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[28]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[28]~input .bus_hold = "false";
-defparam \GPIO_0_PI[28]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X40_Y34_N8
-cycloneive_io_ibuf \GPIO_0_PI[29]~input (
-	.i(GPIO_0_PI[29]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[29]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[29]~input .bus_hold = "false";
-defparam \GPIO_0_PI[29]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X18_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[30]~input (
-	.i(GPIO_0_PI[30]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[30]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[30]~input .bus_hold = "false";
-defparam \GPIO_0_PI[30]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X23_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[32]~input (
-	.i(GPIO_0_PI[32]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[32]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[32]~input .bus_hold = "false";
-defparam \GPIO_0_PI[32]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y22_N1
-cycloneive_io_ibuf \GPIO_0_PI[33]~input (
-	.i(GPIO_0_PI[33]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[33]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[33]~input .bus_hold = "false";
-defparam \GPIO_0_PI[33]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y0_N1
-cycloneive_io_ibuf \GPIO_1[0]~input (
-	.i(GPIO_1[0]),
-	.ibar(gnd),
-	.o(\GPIO_1[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[0]~input .bus_hold = "false";
-defparam \GPIO_1[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y0_N22
-cycloneive_io_ibuf \GPIO_1[1]~input (
-	.i(GPIO_1[1]),
-	.ibar(gnd),
-	.o(\GPIO_1[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[1]~input .bus_hold = "false";
-defparam \GPIO_1[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y20_N22
-cycloneive_io_ibuf \GPIO_1[2]~input (
-	.i(GPIO_1[2]),
-	.ibar(gnd),
-	.o(\GPIO_1[2]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[2]~input .bus_hold = "false";
-defparam \GPIO_1[2]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y23_N1
-cycloneive_io_ibuf \GPIO_1[3]~input (
-	.i(GPIO_1[3]),
-	.ibar(gnd),
-	.o(\GPIO_1[3]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[3]~input .bus_hold = "false";
-defparam \GPIO_1[3]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X7_Y34_N1
-cycloneive_io_ibuf \GPIO_1[4]~input (
-	.i(GPIO_1[4]),
-	.ibar(gnd),
-	.o(\GPIO_1[4]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[4]~input .bus_hold = "false";
-defparam \GPIO_1[4]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y11_N1
-cycloneive_io_ibuf \GPIO_1[5]~input (
-	.i(GPIO_1[5]),
-	.ibar(gnd),
-	.o(\GPIO_1[5]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[5]~input .bus_hold = "false";
-defparam \GPIO_1[5]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X51_Y34_N8
-cycloneive_io_ibuf \GPIO_1[6]~input (
-	.i(GPIO_1[6]),
-	.ibar(gnd),
-	.o(\GPIO_1[6]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[6]~input .bus_hold = "false";
-defparam \GPIO_1[6]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y0_N8
-cycloneive_io_ibuf \GPIO_1[7]~input (
-	.i(GPIO_1[7]),
-	.ibar(gnd),
-	.o(\GPIO_1[7]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[7]~input .bus_hold = "false";
-defparam \GPIO_1[7]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y22_N8
-cycloneive_io_ibuf \GPIO_1[8]~input (
-	.i(GPIO_1[8]),
-	.ibar(gnd),
-	.o(\GPIO_1[8]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[8]~input .bus_hold = "false";
-defparam \GPIO_1[8]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y0_N1
-cycloneive_io_ibuf \GPIO_1[9]~input (
-	.i(GPIO_1[9]),
-	.ibar(gnd),
-	.o(\GPIO_1[9]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[9]~input .bus_hold = "false";
-defparam \GPIO_1[9]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y11_N8
-cycloneive_io_ibuf \GPIO_1[10]~input (
-	.i(GPIO_1[10]),
-	.ibar(gnd),
-	.o(\GPIO_1[10]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[10]~input .bus_hold = "false";
-defparam \GPIO_1[10]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X45_Y34_N8
-cycloneive_io_ibuf \GPIO_1[11]~input (
-	.i(GPIO_1[11]),
-	.ibar(gnd),
-	.o(\GPIO_1[11]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[11]~input .bus_hold = "false";
-defparam \GPIO_1[11]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y26_N22
-cycloneive_io_ibuf \GPIO_1[12]~input (
-	.i(GPIO_1[12]),
-	.ibar(gnd),
-	.o(\GPIO_1[12]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[12]~input .bus_hold = "false";
-defparam \GPIO_1[12]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X40_Y0_N15
-cycloneive_io_ibuf \GPIO_1[13]~input (
-	.i(GPIO_1[13]),
-	.ibar(gnd),
-	.o(\GPIO_1[13]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[13]~input .bus_hold = "false";
-defparam \GPIO_1[13]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y12_N1
-cycloneive_io_ibuf \GPIO_1[14]~input (
-	.i(GPIO_1[14]),
-	.ibar(gnd),
-	.o(\GPIO_1[14]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[14]~input .bus_hold = "false";
-defparam \GPIO_1[14]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y30_N1
-cycloneive_io_ibuf \GPIO_1[15]~input (
-	.i(GPIO_1[15]),
-	.ibar(gnd),
-	.o(\GPIO_1[15]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[15]~input .bus_hold = "false";
-defparam \GPIO_1[15]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X25_Y0_N15
-cycloneive_io_ibuf \GPIO_1[16]~input (
-	.i(GPIO_1[16]),
-	.ibar(gnd),
-	.o(\GPIO_1[16]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[16]~input .bus_hold = "false";
-defparam \GPIO_1[16]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y0_N22
-cycloneive_io_ibuf \GPIO_1[17]~input (
-	.i(GPIO_1[17]),
-	.ibar(gnd),
-	.o(\GPIO_1[17]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[17]~input .bus_hold = "false";
-defparam \GPIO_1[17]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y7_N1
-cycloneive_io_ibuf \GPIO_1[18]~input (
-	.i(GPIO_1[18]),
-	.ibar(gnd),
-	.o(\GPIO_1[18]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[18]~input .bus_hold = "false";
-defparam \GPIO_1[18]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y30_N8
-cycloneive_io_ibuf \GPIO_1[19]~input (
-	.i(GPIO_1[19]),
-	.ibar(gnd),
-	.o(\GPIO_1[19]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[19]~input .bus_hold = "false";
-defparam \GPIO_1[19]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y27_N1
-cycloneive_io_ibuf \GPIO_1[20]~input (
-	.i(GPIO_1[20]),
-	.ibar(gnd),
-	.o(\GPIO_1[20]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[20]~input .bus_hold = "false";
-defparam \GPIO_1[20]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X47_Y0_N22
-cycloneive_io_ibuf \GPIO_1[21]~input (
-	.i(GPIO_1[21]),
-	.ibar(gnd),
-	.o(\GPIO_1[21]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[21]~input .bus_hold = "false";
-defparam \GPIO_1[21]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X11_Y34_N1
-cycloneive_io_ibuf \GPIO_1[22]~input (
-	.i(GPIO_1[22]),
-	.ibar(gnd),
-	.o(\GPIO_1[22]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[22]~input .bus_hold = "false";
-defparam \GPIO_1[22]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X20_Y0_N8
-cycloneive_io_ibuf \GPIO_1[23]~input (
-	.i(GPIO_1[23]),
-	.ibar(gnd),
-	.o(\GPIO_1[23]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[23]~input .bus_hold = "false";
-defparam \GPIO_1[23]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y0_N1
-cycloneive_io_ibuf \GPIO_1[24]~input (
-	.i(GPIO_1[24]),
-	.ibar(gnd),
-	.o(\GPIO_1[24]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[24]~input .bus_hold = "false";
-defparam \GPIO_1[24]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y21_N22
-cycloneive_io_ibuf \GPIO_1[25]~input (
-	.i(GPIO_1[25]),
-	.ibar(gnd),
-	.o(\GPIO_1[25]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[25]~input .bus_hold = "false";
-defparam \GPIO_1[25]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y0_N15
-cycloneive_io_ibuf \GPIO_1[26]~input (
-	.i(GPIO_1[26]),
-	.ibar(gnd),
-	.o(\GPIO_1[26]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[26]~input .bus_hold = "false";
-defparam \GPIO_1[26]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X7_Y0_N8
-cycloneive_io_ibuf \GPIO_1[27]~input (
-	.i(GPIO_1[27]),
-	.ibar(gnd),
-	.o(\GPIO_1[27]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[27]~input .bus_hold = "false";
-defparam \GPIO_1[27]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y23_N22
-cycloneive_io_ibuf \GPIO_1[28]~input (
-	.i(GPIO_1[28]),
-	.ibar(gnd),
-	.o(\GPIO_1[28]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[28]~input .bus_hold = "false";
-defparam \GPIO_1[28]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y14_N8
-cycloneive_io_ibuf \GPIO_1[29]~input (
-	.i(GPIO_1[29]),
-	.ibar(gnd),
-	.o(\GPIO_1[29]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[29]~input .bus_hold = "false";
-defparam \GPIO_1[29]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X45_Y0_N22
-cycloneive_io_ibuf \GPIO_1[30]~input (
-	.i(GPIO_1[30]),
-	.ibar(gnd),
-	.o(\GPIO_1[30]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[30]~input .bus_hold = "false";
-defparam \GPIO_1[30]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X49_Y0_N1
-cycloneive_io_ibuf \GPIO_1[31]~input (
-	.i(GPIO_1[31]),
-	.ibar(gnd),
-	.o(\GPIO_1[31]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[31]~input .bus_hold = "false";
-defparam \GPIO_1[31]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X31_Y34_N1
-cycloneive_io_ibuf \GPIO_1[32]~input (
-	.i(GPIO_1[32]),
-	.ibar(gnd),
-	.o(\GPIO_1[32]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[32]~input .bus_hold = "false";
-defparam \GPIO_1[32]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y6_N15
-cycloneive_io_ibuf \GPIO_1[33]~input (
-	.i(GPIO_1[33]),
-	.ibar(gnd),
-	.o(\GPIO_1[33]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[33]~input .bus_hold = "false";
-defparam \GPIO_1[33]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X16_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[11]~input (
-	.i(GPIO_0_PI[11]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[11]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[11]~input .bus_hold = "false";
-defparam \GPIO_0_PI[11]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-assign LED[0] = \LED[0]~output_o ;
-
-assign LED[1] = \LED[1]~output_o ;
-
-assign LED[2] = \LED[2]~output_o ;
-
-assign LED[3] = \LED[3]~output_o ;
-
-assign LED[4] = \LED[4]~output_o ;
-
-assign LED[5] = \LED[5]~output_o ;
-
-assign LED[6] = \LED[6]~output_o ;
-
-assign LED[7] = \LED[7]~output_o ;
-
-assign GPIO_2[0] = \GPIO_2[0]~output_o ;
-
-assign GPIO_2[1] = \GPIO_2[1]~output_o ;
-
-assign GPIO_2[2] = \GPIO_2[2]~output_o ;
-
-assign GPIO_2[3] = \GPIO_2[3]~output_o ;
-
-assign GPIO_2[4] = \GPIO_2[4]~output_o ;
-
-assign GPIO_2[5] = \GPIO_2[5]~output_o ;
-
-assign GPIO_2[6] = \GPIO_2[6]~output_o ;
-
-assign GPIO_2[7] = \GPIO_2[7]~output_o ;
-
-assign GPIO_2[8] = \GPIO_2[8]~output_o ;
-
-assign GPIO_2[9] = \GPIO_2[9]~output_o ;
-
-assign GPIO_2[10] = \GPIO_2[10]~output_o ;
-
-assign GPIO_2[11] = \GPIO_2[11]~output_o ;
-
-assign GPIO_2[12] = \GPIO_2[12]~output_o ;
-
-assign GPIO_0_PI[0] = \GPIO_0_PI[0]~output_o ;
-
-assign GPIO_0_PI[1] = \GPIO_0_PI[1]~output_o ;
-
-assign GPIO_0_PI[2] = \GPIO_0_PI[2]~output_o ;
-
-assign GPIO_0_PI[3] = \GPIO_0_PI[3]~output_o ;
-
-assign GPIO_0_PI[4] = \GPIO_0_PI[4]~output_o ;
-
-assign GPIO_0_PI[5] = \GPIO_0_PI[5]~output_o ;
-
-assign GPIO_0_PI[6] = \GPIO_0_PI[6]~output_o ;
-
-assign GPIO_0_PI[7] = \GPIO_0_PI[7]~output_o ;
-
-assign GPIO_0_PI[12] = \GPIO_0_PI[12]~output_o ;
-
-assign GPIO_0_PI[13] = \GPIO_0_PI[13]~output_o ;
-
-assign GPIO_0_PI[14] = \GPIO_0_PI[14]~output_o ;
-
-assign GPIO_0_PI[15] = \GPIO_0_PI[15]~output_o ;
-
-assign GPIO_0_PI[16] = \GPIO_0_PI[16]~output_o ;
-
-assign GPIO_0_PI[17] = \GPIO_0_PI[17]~output_o ;
-
-assign GPIO_0_PI[18] = \GPIO_0_PI[18]~output_o ;
-
-assign GPIO_0_PI[19] = \GPIO_0_PI[19]~output_o ;
-
-assign GPIO_0_PI[20] = \GPIO_0_PI[20]~output_o ;
-
-assign GPIO_0_PI[21] = \GPIO_0_PI[21]~output_o ;
-
-assign GPIO_0_PI[22] = \GPIO_0_PI[22]~output_o ;
-
-assign GPIO_0_PI[23] = \GPIO_0_PI[23]~output_o ;
-
-assign GPIO_0_PI[24] = \GPIO_0_PI[24]~output_o ;
-
-assign GPIO_0_PI[25] = \GPIO_0_PI[25]~output_o ;
-
-assign GPIO_0_PI[26] = \GPIO_0_PI[26]~output_o ;
-
-assign GPIO_0_PI[27] = \GPIO_0_PI[27]~output_o ;
-
-assign GPIO_0_PI[28] = \GPIO_0_PI[28]~output_o ;
-
-assign GPIO_0_PI[29] = \GPIO_0_PI[29]~output_o ;
-
-assign GPIO_0_PI[30] = \GPIO_0_PI[30]~output_o ;
-
-assign GPIO_0_PI[32] = \GPIO_0_PI[32]~output_o ;
-
-assign GPIO_0_PI[33] = \GPIO_0_PI[33]~output_o ;
-
-assign GPIO_1[0] = \GPIO_1[0]~output_o ;
-
-assign GPIO_1[1] = \GPIO_1[1]~output_o ;
-
-assign GPIO_1[2] = \GPIO_1[2]~output_o ;
-
-assign GPIO_1[3] = \GPIO_1[3]~output_o ;
-
-assign GPIO_1[4] = \GPIO_1[4]~output_o ;
-
-assign GPIO_1[5] = \GPIO_1[5]~output_o ;
-
-assign GPIO_1[6] = \GPIO_1[6]~output_o ;
-
-assign GPIO_1[7] = \GPIO_1[7]~output_o ;
-
-assign GPIO_1[8] = \GPIO_1[8]~output_o ;
-
-assign GPIO_1[9] = \GPIO_1[9]~output_o ;
-
-assign GPIO_1[10] = \GPIO_1[10]~output_o ;
-
-assign GPIO_1[11] = \GPIO_1[11]~output_o ;
-
-assign GPIO_1[12] = \GPIO_1[12]~output_o ;
-
-assign GPIO_1[13] = \GPIO_1[13]~output_o ;
-
-assign GPIO_1[14] = \GPIO_1[14]~output_o ;
-
-assign GPIO_1[15] = \GPIO_1[15]~output_o ;
-
-assign GPIO_1[16] = \GPIO_1[16]~output_o ;
-
-assign GPIO_1[17] = \GPIO_1[17]~output_o ;
-
-assign GPIO_1[18] = \GPIO_1[18]~output_o ;
-
-assign GPIO_1[19] = \GPIO_1[19]~output_o ;
-
-assign GPIO_1[20] = \GPIO_1[20]~output_o ;
-
-assign GPIO_1[21] = \GPIO_1[21]~output_o ;
-
-assign GPIO_1[22] = \GPIO_1[22]~output_o ;
-
-assign GPIO_1[23] = \GPIO_1[23]~output_o ;
-
-assign GPIO_1[24] = \GPIO_1[24]~output_o ;
-
-assign GPIO_1[25] = \GPIO_1[25]~output_o ;
-
-assign GPIO_1[26] = \GPIO_1[26]~output_o ;
-
-assign GPIO_1[27] = \GPIO_1[27]~output_o ;
-
-assign GPIO_1[28] = \GPIO_1[28]~output_o ;
-
-assign GPIO_1[29] = \GPIO_1[29]~output_o ;
-
-assign GPIO_1[30] = \GPIO_1[30]~output_o ;
-
-assign GPIO_1[31] = \GPIO_1[31]~output_o ;
-
-assign GPIO_1[32] = \GPIO_1[32]~output_o ;
-
-assign GPIO_1[33] = \GPIO_1[33]~output_o ;
-
-assign GPIO_0_PI[8] = \GPIO_0_PI[8]~output_o ;
-
-assign GPIO_0_PI[9] = \GPIO_0_PI[9]~output_o ;
-
-assign GPIO_0_PI[10] = \GPIO_0_PI[10]~output_o ;
-
-assign GPIO_0_PI[11] = \GPIO_0_PI[11]~output_o ;
-
-assign GPIO_0_PI[31] = \GPIO_0_PI[31]~output_o ;
-
-endmodule
-
-module hard_block (
-
-	devpor,
-	devclrn,
-	devoe);
-
-// Design Ports Information
-// ~ALTERA_ASDO_DATA1~	=>  Location: PIN_C1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// ~ALTERA_FLASH_nCE_nCSO~	=>  Location: PIN_D2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// ~ALTERA_DCLK~	=>  Location: PIN_H1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// ~ALTERA_DATA0~	=>  Location: PIN_H2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// ~ALTERA_nCEO~	=>  Location: PIN_F16,	 I/O Standard: 2.5 V,	 Current Strength: 8mA
-
-input 	devpor;
-input 	devclrn;
-input 	devoe;
-
-wire gnd;
-wire vcc;
-wire unknown;
-
-assign gnd = 1'b0;
-assign vcc = 1'b1;
-assign unknown = 1'bx;
-
-wire \~ALTERA_ASDO_DATA1~~padout ;
-wire \~ALTERA_FLASH_nCE_nCSO~~padout ;
-wire \~ALTERA_DATA0~~padout ;
-wire \~ALTERA_ASDO_DATA1~~ibuf_o ;
-wire \~ALTERA_FLASH_nCE_nCSO~~ibuf_o ;
-wire \~ALTERA_DATA0~~ibuf_o ;
-
-
-endmodule
diff --git a/SPI/simulation/modelsim/SPI_test_6_1200mv_0c_v_slow.sdo b/SPI/simulation/modelsim/SPI_test_6_1200mv_0c_v_slow.sdo
deleted file mode 100644
index e221cbb..0000000
--- a/SPI/simulation/modelsim/SPI_test_6_1200mv_0c_v_slow.sdo
+++ /dev/null
@@ -1,1172 +0,0 @@
-// Copyright (C) 2018  Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions 
-// and other software and tools, and its AMPP partner logic 
-// functions, and any output files from any of the foregoing 
-// (including device programming or simulation files), and any 
-// associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License 
-// Subscription Agreement, the Intel Quartus Prime License Agreement,
-// the Intel FPGA IP License Agreement, or other applicable license
-// agreement, including, without limitation, that your use is for
-// the sole purpose of programming logic devices manufactured by
-// Intel and sold by Intel or its authorized distributors.  Please
-// refer to the applicable agreement for further details.
-
-
-// 
-// Device: Altera EP4CE22F17C6 Package FBGA256
-// 
-
-//
-// This file contains Slow Corner delays for the design using part EP4CE22F17C6,
-// with speed grade 6, core voltage 1.2VmV, and temperature 0 Celsius
-//
-
-// 
-// This SDF file should be used for ModelSim-Altera (SystemVerilog) only
-// 
-
-(DELAYFILE
-  (SDFVERSION "2.1")
-  (DESIGN "MyDE0_Nano")
-  (DATE "11/16/2022 16:51:48")
-  (VENDOR "Altera")
-  (PROGRAM "Quartus Prime")
-  (VERSION "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition")
-  (DIVIDER .)
-  (TIMESCALE 1 ps)
-
-  (CELL
-    (CELLTYPE "cycloneive_io_obuf")
-    (INSTANCE GPIO_0_PI\[11\]\~output)
-    (DELAY
-      (ABSOLUTE
-        (PORT i (653:653:653) (604:604:604))
-        (PORT oe (2618:2618:2618) (2440:2440:2440))
-        (IOPATH i o (2276:2276:2276) (2263:2263:2263))
-        (IOPATH oe o (2275:2275:2275) (2224:2224:2224))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_io_ibuf")
-    (INSTANCE GPIO_0_PI\[8\]\~input)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH i o (501:501:501) (643:643:643))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_clkctrl")
-    (INSTANCE GPIO_0_PI\[8\]\~inputclkctrl)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH inclk outclk (178:178:178) (172:172:172))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[0\]\~12)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH datac combout (312:312:312) (325:325:325))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[0\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1365:1365:1365) (1375:1375:1375))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[1\]\~4)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (249:249:249) (325:325:325))
-        (PORT datab (244:244:244) (317:317:317))
-        (IOPATH dataa combout (300:300:300) (323:323:323))
-        (IOPATH dataa cout (376:376:376) (275:275:275))
-        (IOPATH datab combout (306:306:306) (324:324:324))
-        (IOPATH datab cout (385:385:385) (280:280:280))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[1\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1365:1365:1365) (1375:1375:1375))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[2\]\~6)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (378:378:378) (428:428:428))
-        (IOPATH datab combout (325:325:325) (332:332:332))
-        (IOPATH datab cout (385:385:385) (280:280:280))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-        (IOPATH cin combout (408:408:408) (387:387:387))
-        (IOPATH cin cout (50:50:50) (50:50:50))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[2\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1365:1365:1365) (1375:1375:1375))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[3\]\~8)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (247:247:247) (322:322:322))
-        (IOPATH dataa combout (318:318:318) (323:323:323))
-        (IOPATH dataa cout (376:376:376) (275:275:275))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-        (IOPATH cin combout (408:408:408) (387:387:387))
-        (IOPATH cin cout (50:50:50) (50:50:50))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[3\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1365:1365:1365) (1375:1375:1375))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[4\]\~10)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (321:321:321) (422:422:422))
-        (IOPATH datab combout (325:325:325) (332:332:332))
-        (IOPATH cin combout (408:408:408) (387:387:387))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[4\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1365:1365:1365) (1375:1375:1375))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_io_ibuf")
-    (INSTANCE GPIO_0_PI\[31\]\~input)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH i o (499:499:499) (643:643:643))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_io_ibuf")
-    (INSTANCE GPIO_0_PI\[9\]\~input)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH i o (499:499:499) (643:643:643))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[0\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1361:1361:1361) (1380:1380:1380))
-        (PORT asdata (3203:3203:3203) (3434:3434:3434))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD asdata (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|Equal0\~0)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (248:248:248) (326:326:326))
-        (PORT datab (246:246:246) (319:319:319))
-        (PORT datac (214:214:214) (281:281:281))
-        (PORT datad (224:224:224) (286:286:286))
-        (IOPATH dataa combout (309:309:309) (326:326:326))
-        (IOPATH datab combout (309:309:309) (328:328:328))
-        (IOPATH datac combout (218:218:218) (215:215:215))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~31)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (454:454:454) (529:529:529))
-        (PORT datab (3164:3164:3164) (3412:3412:3412))
-        (PORT datac (198:198:198) (265:265:265))
-        (PORT datad (378:378:378) (389:389:389))
-        (IOPATH dataa combout (287:287:287) (280:280:280))
-        (IOPATH datab combout (275:275:275) (275:275:275))
-        (IOPATH datac combout (220:220:220) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[1\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1361:1361:1361) (1380:1380:1380))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~30)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (454:454:454) (530:530:530))
-        (PORT datac (196:196:196) (263:263:263))
-        (PORT datad (379:379:379) (387:387:387))
-        (IOPATH dataa combout (273:273:273) (269:269:269))
-        (IOPATH datac combout (220:220:220) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[2\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1361:1361:1361) (1380:1380:1380))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~29)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (457:457:457) (530:530:530))
-        (PORT datab (222:222:222) (291:291:291))
-        (PORT datad (381:381:381) (387:387:387))
-        (IOPATH dataa combout (265:265:265) (269:269:269))
-        (IOPATH datab combout (319:319:319) (324:324:324))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[3\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1361:1361:1361) (1380:1380:1380))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~28)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (446:446:446) (521:521:521))
-        (PORT datac (198:198:198) (265:265:265))
-        (PORT datad (381:381:381) (389:389:389))
-        (IOPATH dataa combout (273:273:273) (269:269:269))
-        (IOPATH datac combout (220:220:220) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[4\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1361:1361:1361) (1380:1380:1380))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~27)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (453:453:453) (528:528:528))
-        (PORT datac (198:198:198) (265:265:265))
-        (PORT datad (377:377:377) (387:387:387))
-        (IOPATH dataa combout (273:273:273) (269:269:269))
-        (IOPATH datac combout (220:220:220) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[5\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1361:1361:1361) (1380:1380:1380))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~26)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (456:456:456) (529:529:529))
-        (PORT datab (223:223:223) (292:292:292))
-        (PORT datad (380:380:380) (387:387:387))
-        (IOPATH dataa combout (265:265:265) (269:269:269))
-        (IOPATH datab combout (319:319:319) (324:324:324))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[6\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1361:1361:1361) (1380:1380:1380))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~25)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (447:447:447) (522:522:522))
-        (PORT datab (225:225:225) (295:295:295))
-        (PORT datad (381:381:381) (387:387:387))
-        (IOPATH dataa combout (265:265:265) (269:269:269))
-        (IOPATH datab combout (319:319:319) (324:324:324))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[7\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1361:1361:1361) (1380:1380:1380))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~24)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (322:322:322) (427:427:427))
-        (PORT datac (336:336:336) (380:380:380))
-        (PORT datad (257:257:257) (295:295:295))
-        (IOPATH datab combout (275:275:275) (275:275:275))
-        (IOPATH datac combout (220:220:220) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[8\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1361:1361:1361) (1379:1379:1379))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~23)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (224:224:224) (294:294:294))
-        (PORT datac (294:294:294) (397:397:397))
-        (PORT datad (256:256:256) (293:293:293))
-        (IOPATH datab combout (319:319:319) (324:324:324))
-        (IOPATH datac combout (218:218:218) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[9\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1361:1361:1361) (1379:1379:1379))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~22)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (222:222:222) (291:291:291))
-        (PORT datac (294:294:294) (398:398:398))
-        (PORT datad (256:256:256) (294:294:294))
-        (IOPATH datab combout (319:319:319) (324:324:324))
-        (IOPATH datac combout (218:218:218) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[10\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1361:1361:1361) (1379:1379:1379))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~21)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (324:324:324) (430:430:430))
-        (PORT datac (196:196:196) (263:263:263))
-        (PORT datad (256:256:256) (293:293:293))
-        (IOPATH datab combout (275:275:275) (275:275:275))
-        (IOPATH datac combout (220:220:220) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[11\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1361:1361:1361) (1379:1379:1379))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~20)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (222:222:222) (291:291:291))
-        (PORT datac (293:293:293) (396:396:396))
-        (PORT datad (255:255:255) (292:292:292))
-        (IOPATH datab combout (319:319:319) (324:324:324))
-        (IOPATH datac combout (218:218:218) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[12\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1361:1361:1361) (1379:1379:1379))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~19)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (454:454:454) (530:530:530))
-        (PORT datac (355:355:355) (395:395:395))
-        (PORT datad (377:377:377) (388:388:388))
-        (IOPATH dataa combout (273:273:273) (269:269:269))
-        (IOPATH datac combout (220:220:220) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[13\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1361:1361:1361) (1380:1380:1380))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~18)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (323:323:323) (430:430:430))
-        (PORT datac (335:335:335) (380:380:380))
-        (PORT datad (257:257:257) (298:298:298))
-        (IOPATH datab combout (275:275:275) (275:275:275))
-        (IOPATH datac combout (220:220:220) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[14\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1361:1361:1361) (1379:1379:1379))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~17)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (224:224:224) (294:294:294))
-        (PORT datac (286:286:286) (394:394:394))
-        (PORT datad (257:257:257) (298:298:298))
-        (IOPATH datab combout (319:319:319) (324:324:324))
-        (IOPATH datac combout (218:218:218) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[15\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1361:1361:1361) (1379:1379:1379))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~16)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (325:325:325) (430:430:430))
-        (PORT datac (336:336:336) (375:375:375))
-        (PORT datad (258:258:258) (295:295:295))
-        (IOPATH datab combout (275:275:275) (275:275:275))
-        (IOPATH datac combout (220:220:220) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[16\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1361:1361:1361) (1379:1379:1379))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~15)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (223:223:223) (292:292:292))
-        (PORT datac (290:290:290) (397:397:397))
-        (PORT datad (257:257:257) (294:294:294))
-        (IOPATH datab combout (319:319:319) (324:324:324))
-        (IOPATH datac combout (218:218:218) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[17\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1361:1361:1361) (1379:1379:1379))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~14)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (315:315:315) (420:420:420))
-        (PORT datac (198:198:198) (266:266:266))
-        (PORT datad (256:256:256) (295:295:295))
-        (IOPATH datab combout (275:275:275) (275:275:275))
-        (IOPATH datac combout (220:220:220) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[18\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1361:1361:1361) (1379:1379:1379))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~13)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (508:508:508) (584:584:584))
-        (PORT datac (370:370:370) (406:406:406))
-        (PORT datad (437:437:437) (456:456:456))
-        (IOPATH datab combout (275:275:275) (275:275:275))
-        (IOPATH datac combout (220:220:220) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[19\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1359:1359:1359) (1378:1378:1378))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~12)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (225:225:225) (294:294:294))
-        (PORT datac (463:463:463) (549:549:549))
-        (PORT datad (442:442:442) (463:463:463))
-        (IOPATH datab combout (319:319:319) (324:324:324))
-        (IOPATH datac combout (218:218:218) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[20\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1359:1359:1359) (1378:1378:1378))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~10)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (385:385:385) (432:432:432))
-        (PORT datac (464:464:464) (547:547:547))
-        (PORT datad (359:359:359) (390:390:390))
-        (IOPATH dataa combout (318:318:318) (323:323:323))
-        (IOPATH datac combout (220:220:220) (215:215:215))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~11)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (432:432:432) (466:466:466))
-        (PORT datab (224:224:224) (294:294:294))
-        (PORT datac (386:386:386) (419:419:419))
-        (PORT datad (161:161:161) (183:183:183))
-        (IOPATH dataa combout (300:300:300) (323:323:323))
-        (IOPATH datab combout (308:308:308) (324:324:324))
-        (IOPATH datac combout (220:220:220) (215:215:215))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[21\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1359:1359:1359) (1378:1378:1378))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~9)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (226:226:226) (300:300:300))
-        (PORT datac (466:466:466) (554:554:554))
-        (PORT datad (440:440:440) (467:467:467))
-        (IOPATH dataa combout (318:318:318) (323:323:323))
-        (IOPATH datac combout (218:218:218) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[22\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1359:1359:1359) (1378:1378:1378))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~8)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (224:224:224) (296:296:296))
-        (PORT datac (464:464:464) (546:546:546))
-        (PORT datad (442:442:442) (463:463:463))
-        (IOPATH dataa combout (318:318:318) (323:323:323))
-        (IOPATH datac combout (218:218:218) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[23\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1359:1359:1359) (1378:1378:1378))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~7)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (223:223:223) (296:296:296))
-        (PORT datac (465:465:465) (543:543:543))
-        (PORT datad (440:440:440) (464:464:464))
-        (IOPATH dataa combout (318:318:318) (323:323:323))
-        (IOPATH datac combout (218:218:218) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[24\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1359:1359:1359) (1378:1378:1378))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~6)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (225:225:225) (296:296:296))
-        (PORT datac (475:475:475) (554:554:554))
-        (PORT datad (437:437:437) (457:457:457))
-        (IOPATH datab combout (319:319:319) (324:324:324))
-        (IOPATH datac combout (218:218:218) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[25\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1359:1359:1359) (1378:1378:1378))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~5)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (224:224:224) (298:298:298))
-        (PORT datac (476:476:476) (555:555:555))
-        (PORT datad (433:433:433) (460:460:460))
-        (IOPATH dataa combout (318:318:318) (323:323:323))
-        (IOPATH datac combout (218:218:218) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[26\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1359:1359:1359) (1378:1378:1378))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~4)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (224:224:224) (293:293:293))
-        (PORT datac (469:469:469) (551:551:551))
-        (PORT datad (439:439:439) (464:464:464))
-        (IOPATH datab combout (319:319:319) (324:324:324))
-        (IOPATH datac combout (218:218:218) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[27\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1359:1359:1359) (1378:1378:1378))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~3)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (224:224:224) (293:293:293))
-        (PORT datac (474:474:474) (553:553:553))
-        (PORT datad (434:434:434) (463:463:463))
-        (IOPATH datab combout (319:319:319) (324:324:324))
-        (IOPATH datac combout (218:218:218) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[28\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1359:1359:1359) (1378:1378:1378))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~2)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (224:224:224) (293:293:293))
-        (PORT datac (475:475:475) (554:554:554))
-        (PORT datad (433:433:433) (459:459:459))
-        (IOPATH datab combout (319:319:319) (324:324:324))
-        (IOPATH datac combout (218:218:218) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[29\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1359:1359:1359) (1378:1378:1378))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~1)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (223:223:223) (291:291:291))
-        (PORT datac (473:473:473) (557:557:557))
-        (PORT datad (435:435:435) (456:456:456))
-        (IOPATH datab combout (319:319:319) (324:324:324))
-        (IOPATH datac combout (218:218:218) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[30\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1359:1359:1359) (1378:1378:1378))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~0)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (223:223:223) (292:292:292))
-        (PORT datac (472:472:472) (557:557:557))
-        (PORT datad (434:434:434) (459:459:459))
-        (IOPATH datab combout (319:319:319) (324:324:324))
-        (IOPATH datac combout (218:218:218) (216:216:216))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[31\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1359:1359:1359) (1378:1378:1378))
-        (PORT d (67:67:67) (78:78:78))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|qdelayed)
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1364:1364:1364) (1373:1373:1373))
-        (PORT asdata (507:507:507) (570:570:570))
-        (IOPATH (posedge clk) q (180:180:180) (180:180:180))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD asdata (posedge clk) (144:144:144))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|miso\~0)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (497:497:497) (571:571:571))
-        (PORT datad (439:439:439) (458:458:458))
-        (IOPATH datab combout (275:275:275) (275:275:275))
-        (IOPATH datac combout (312:312:312) (325:325:325))
-        (IOPATH datad combout (119:119:119) (106:106:106))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_io_ibuf")
-    (INSTANCE GPIO_0_PI\[10\]\~input)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH i o (499:499:499) (643:643:643))
-      )
-    )
-  )
-)
diff --git a/SPI/simulation/modelsim/SPI_test_6_1200mv_85c_slow.svo b/SPI/simulation/modelsim/SPI_test_6_1200mv_85c_slow.svo
deleted file mode 100644
index b296c1e..0000000
--- a/SPI/simulation/modelsim/SPI_test_6_1200mv_85c_slow.svo
+++ /dev/null
@@ -1,4083 +0,0 @@
-// Copyright (C) 2018  Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions 
-// and other software and tools, and its AMPP partner logic 
-// functions, and any output files from any of the foregoing 
-// (including device programming or simulation files), and any 
-// associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License 
-// Subscription Agreement, the Intel Quartus Prime License Agreement,
-// the Intel FPGA IP License Agreement, or other applicable license
-// agreement, including, without limitation, that your use is for
-// the sole purpose of programming logic devices manufactured by
-// Intel and sold by Intel or its authorized distributors.  Please
-// refer to the applicable agreement for further details.
-
-// VENDOR "Altera"
-// PROGRAM "Quartus Prime"
-// VERSION "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition"
-
-// DATE "11/16/2022 16:51:48"
-
-// 
-// Device: Altera EP4CE22F17C6 Package FBGA256
-// 
-
-// 
-// This Verilog file should be used for ModelSim-Altera (SystemVerilog) only
-// 
-
-`timescale 1 ps/ 1 ps
-
-module MyDE0_Nano (
-	CLOCK_50,
-	LED,
-	KEY,
-	GPIO_2,
-	GPIO_2_IN,
-	GPIO_0_PI,
-	GPIO_0_PI_IN,
-	GPIO_1,
-	GPIO_1_IN);
-input 	CLOCK_50;
-output 	[7:0] LED;
-input 	[1:0] KEY;
-output 	[12:0] GPIO_2;
-input 	[2:0] GPIO_2_IN;
-output 	[33:0] GPIO_0_PI;
-input 	[1:0] GPIO_0_PI_IN;
-output 	[33:0] GPIO_1;
-input 	[1:0] GPIO_1_IN;
-
-// Design Ports Information
-// CLOCK_50	=>  Location: PIN_R7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[0]	=>  Location: PIN_A15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[1]	=>  Location: PIN_A13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[2]	=>  Location: PIN_B13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[3]	=>  Location: PIN_A11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[4]	=>  Location: PIN_D1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[5]	=>  Location: PIN_F3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[6]	=>  Location: PIN_B1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[7]	=>  Location: PIN_L3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// KEY[0]	=>  Location: PIN_J15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// KEY[1]	=>  Location: PIN_E1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2_IN[0]	=>  Location: PIN_E16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2_IN[1]	=>  Location: PIN_E15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2_IN[2]	=>  Location: PIN_D6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI_IN[0]	=>  Location: PIN_E6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI_IN[1]	=>  Location: PIN_C14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1_IN[0]	=>  Location: PIN_A12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1_IN[1]	=>  Location: PIN_T10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[0]	=>  Location: PIN_R4,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[1]	=>  Location: PIN_D12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[2]	=>  Location: PIN_F2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[3]	=>  Location: PIN_G5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[4]	=>  Location: PIN_T4,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[5]	=>  Location: PIN_J2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[6]	=>  Location: PIN_B3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[7]	=>  Location: PIN_D3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[8]	=>  Location: PIN_M7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[9]	=>  Location: PIN_T2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[10]	=>  Location: PIN_B12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[11]	=>  Location: PIN_C8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[12]	=>  Location: PIN_E8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[0]	=>  Location: PIN_A10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[1]	=>  Location: PIN_P2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[2]	=>  Location: PIN_R1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[3]	=>  Location: PIN_C6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[4]	=>  Location: PIN_T5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[5]	=>  Location: PIN_A5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[6]	=>  Location: PIN_P15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[7]	=>  Location: PIN_R5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[12]	=>  Location: PIN_P1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[13]	=>  Location: PIN_R16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[14]	=>  Location: PIN_C3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[15]	=>  Location: PIN_B10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[16]	=>  Location: PIN_F9,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[17]	=>  Location: PIN_B6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[18]	=>  Location: PIN_R3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[19]	=>  Location: PIN_T12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[20]	=>  Location: PIN_J1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[21]	=>  Location: PIN_A14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[22]	=>  Location: PIN_R6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[23]	=>  Location: PIN_A7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[24]	=>  Location: PIN_B14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[25]	=>  Location: PIN_R13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[26]	=>  Location: PIN_L8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[27]	=>  Location: PIN_E10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[28]	=>  Location: PIN_C11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[29]	=>  Location: PIN_B11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[30]	=>  Location: PIN_B7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[32]	=>  Location: PIN_D8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[33]	=>  Location: PIN_B16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[0]	=>  Location: PIN_T6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[1]	=>  Location: PIN_N3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[2]	=>  Location: PIN_G16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[3]	=>  Location: PIN_F1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[4]	=>  Location: PIN_B4,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[5]	=>  Location: PIN_L15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[6]	=>  Location: PIN_D14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[7]	=>  Location: PIN_N5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[8]	=>  Location: PIN_F15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[9]	=>  Location: PIN_R11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[10]	=>  Location: PIN_L1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[11]	=>  Location: PIN_E11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[12]	=>  Location: PIN_D15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[13]	=>  Location: PIN_T13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[14]	=>  Location: PIN_K16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[15]	=>  Location: PIN_C15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[16]	=>  Location: PIN_P8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[17]	=>  Location: PIN_R10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[18]	=>  Location: PIN_N1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[19]	=>  Location: PIN_C16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[20]	=>  Location: PIN_C2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[21]	=>  Location: PIN_N12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[22]	=>  Location: PIN_B5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[23]	=>  Location: PIN_M8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[24]	=>  Location: PIN_N6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[25]	=>  Location: PIN_F13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[26]	=>  Location: PIN_P3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[27]	=>  Location: PIN_M6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[28]	=>  Location: PIN_G1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[29]	=>  Location: PIN_J16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[30]	=>  Location: PIN_T14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[31]	=>  Location: PIN_R14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[32]	=>  Location: PIN_C9,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[33]	=>  Location: PIN_L4,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[8]	=>  Location: PIN_G2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[9]	=>  Location: PIN_D5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[10]	=>  Location: PIN_E7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[11]	=>  Location: PIN_A6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[31]	=>  Location: PIN_D11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-
-
-wire gnd;
-wire vcc;
-wire unknown;
-
-assign gnd = 1'b0;
-assign vcc = 1'b1;
-assign unknown = 1'bx;
-
-tri1 devclrn;
-tri1 devpor;
-tri1 devoe;
-// synopsys translate_off
-initial $sdf_annotate("SPI_test_6_1200mv_85c_v_slow.sdo");
-// synopsys translate_on
-
-wire \CLOCK_50~input_o ;
-wire \KEY[0]~input_o ;
-wire \KEY[1]~input_o ;
-wire \GPIO_2_IN[0]~input_o ;
-wire \GPIO_2_IN[1]~input_o ;
-wire \GPIO_2_IN[2]~input_o ;
-wire \GPIO_0_PI_IN[0]~input_o ;
-wire \GPIO_0_PI_IN[1]~input_o ;
-wire \GPIO_1_IN[0]~input_o ;
-wire \GPIO_1_IN[1]~input_o ;
-wire \GPIO_2[0]~input_o ;
-wire \GPIO_2[1]~input_o ;
-wire \GPIO_2[2]~input_o ;
-wire \GPIO_2[3]~input_o ;
-wire \GPIO_2[4]~input_o ;
-wire \GPIO_2[5]~input_o ;
-wire \GPIO_2[6]~input_o ;
-wire \GPIO_2[7]~input_o ;
-wire \GPIO_2[8]~input_o ;
-wire \GPIO_2[9]~input_o ;
-wire \GPIO_2[10]~input_o ;
-wire \GPIO_2[11]~input_o ;
-wire \GPIO_2[12]~input_o ;
-wire \GPIO_0_PI[0]~input_o ;
-wire \GPIO_0_PI[1]~input_o ;
-wire \GPIO_0_PI[2]~input_o ;
-wire \GPIO_0_PI[3]~input_o ;
-wire \GPIO_0_PI[4]~input_o ;
-wire \GPIO_0_PI[5]~input_o ;
-wire \GPIO_0_PI[6]~input_o ;
-wire \GPIO_0_PI[7]~input_o ;
-wire \GPIO_0_PI[12]~input_o ;
-wire \GPIO_0_PI[13]~input_o ;
-wire \GPIO_0_PI[14]~input_o ;
-wire \GPIO_0_PI[15]~input_o ;
-wire \GPIO_0_PI[16]~input_o ;
-wire \GPIO_0_PI[17]~input_o ;
-wire \GPIO_0_PI[18]~input_o ;
-wire \GPIO_0_PI[19]~input_o ;
-wire \GPIO_0_PI[20]~input_o ;
-wire \GPIO_0_PI[21]~input_o ;
-wire \GPIO_0_PI[22]~input_o ;
-wire \GPIO_0_PI[23]~input_o ;
-wire \GPIO_0_PI[24]~input_o ;
-wire \GPIO_0_PI[25]~input_o ;
-wire \GPIO_0_PI[26]~input_o ;
-wire \GPIO_0_PI[27]~input_o ;
-wire \GPIO_0_PI[28]~input_o ;
-wire \GPIO_0_PI[29]~input_o ;
-wire \GPIO_0_PI[30]~input_o ;
-wire \GPIO_0_PI[32]~input_o ;
-wire \GPIO_0_PI[33]~input_o ;
-wire \GPIO_1[0]~input_o ;
-wire \GPIO_1[1]~input_o ;
-wire \GPIO_1[2]~input_o ;
-wire \GPIO_1[3]~input_o ;
-wire \GPIO_1[4]~input_o ;
-wire \GPIO_1[5]~input_o ;
-wire \GPIO_1[6]~input_o ;
-wire \GPIO_1[7]~input_o ;
-wire \GPIO_1[8]~input_o ;
-wire \GPIO_1[9]~input_o ;
-wire \GPIO_1[10]~input_o ;
-wire \GPIO_1[11]~input_o ;
-wire \GPIO_1[12]~input_o ;
-wire \GPIO_1[13]~input_o ;
-wire \GPIO_1[14]~input_o ;
-wire \GPIO_1[15]~input_o ;
-wire \GPIO_1[16]~input_o ;
-wire \GPIO_1[17]~input_o ;
-wire \GPIO_1[18]~input_o ;
-wire \GPIO_1[19]~input_o ;
-wire \GPIO_1[20]~input_o ;
-wire \GPIO_1[21]~input_o ;
-wire \GPIO_1[22]~input_o ;
-wire \GPIO_1[23]~input_o ;
-wire \GPIO_1[24]~input_o ;
-wire \GPIO_1[25]~input_o ;
-wire \GPIO_1[26]~input_o ;
-wire \GPIO_1[27]~input_o ;
-wire \GPIO_1[28]~input_o ;
-wire \GPIO_1[29]~input_o ;
-wire \GPIO_1[30]~input_o ;
-wire \GPIO_1[31]~input_o ;
-wire \GPIO_1[32]~input_o ;
-wire \GPIO_1[33]~input_o ;
-wire \GPIO_0_PI[11]~input_o ;
-wire \GPIO_2[0]~output_o ;
-wire \GPIO_2[1]~output_o ;
-wire \GPIO_2[2]~output_o ;
-wire \GPIO_2[3]~output_o ;
-wire \GPIO_2[4]~output_o ;
-wire \GPIO_2[5]~output_o ;
-wire \GPIO_2[6]~output_o ;
-wire \GPIO_2[7]~output_o ;
-wire \GPIO_2[8]~output_o ;
-wire \GPIO_2[9]~output_o ;
-wire \GPIO_2[10]~output_o ;
-wire \GPIO_2[11]~output_o ;
-wire \GPIO_2[12]~output_o ;
-wire \GPIO_0_PI[0]~output_o ;
-wire \GPIO_0_PI[1]~output_o ;
-wire \GPIO_0_PI[2]~output_o ;
-wire \GPIO_0_PI[3]~output_o ;
-wire \GPIO_0_PI[4]~output_o ;
-wire \GPIO_0_PI[5]~output_o ;
-wire \GPIO_0_PI[6]~output_o ;
-wire \GPIO_0_PI[7]~output_o ;
-wire \GPIO_0_PI[12]~output_o ;
-wire \GPIO_0_PI[13]~output_o ;
-wire \GPIO_0_PI[14]~output_o ;
-wire \GPIO_0_PI[15]~output_o ;
-wire \GPIO_0_PI[16]~output_o ;
-wire \GPIO_0_PI[17]~output_o ;
-wire \GPIO_0_PI[18]~output_o ;
-wire \GPIO_0_PI[19]~output_o ;
-wire \GPIO_0_PI[20]~output_o ;
-wire \GPIO_0_PI[21]~output_o ;
-wire \GPIO_0_PI[22]~output_o ;
-wire \GPIO_0_PI[23]~output_o ;
-wire \GPIO_0_PI[24]~output_o ;
-wire \GPIO_0_PI[25]~output_o ;
-wire \GPIO_0_PI[26]~output_o ;
-wire \GPIO_0_PI[27]~output_o ;
-wire \GPIO_0_PI[28]~output_o ;
-wire \GPIO_0_PI[29]~output_o ;
-wire \GPIO_0_PI[30]~output_o ;
-wire \GPIO_0_PI[32]~output_o ;
-wire \GPIO_0_PI[33]~output_o ;
-wire \GPIO_1[0]~output_o ;
-wire \GPIO_1[1]~output_o ;
-wire \GPIO_1[2]~output_o ;
-wire \GPIO_1[3]~output_o ;
-wire \GPIO_1[4]~output_o ;
-wire \GPIO_1[5]~output_o ;
-wire \GPIO_1[6]~output_o ;
-wire \GPIO_1[7]~output_o ;
-wire \GPIO_1[8]~output_o ;
-wire \GPIO_1[9]~output_o ;
-wire \GPIO_1[10]~output_o ;
-wire \GPIO_1[11]~output_o ;
-wire \GPIO_1[12]~output_o ;
-wire \GPIO_1[13]~output_o ;
-wire \GPIO_1[14]~output_o ;
-wire \GPIO_1[15]~output_o ;
-wire \GPIO_1[16]~output_o ;
-wire \GPIO_1[17]~output_o ;
-wire \GPIO_1[18]~output_o ;
-wire \GPIO_1[19]~output_o ;
-wire \GPIO_1[20]~output_o ;
-wire \GPIO_1[21]~output_o ;
-wire \GPIO_1[22]~output_o ;
-wire \GPIO_1[23]~output_o ;
-wire \GPIO_1[24]~output_o ;
-wire \GPIO_1[25]~output_o ;
-wire \GPIO_1[26]~output_o ;
-wire \GPIO_1[27]~output_o ;
-wire \GPIO_1[28]~output_o ;
-wire \GPIO_1[29]~output_o ;
-wire \GPIO_1[30]~output_o ;
-wire \GPIO_1[31]~output_o ;
-wire \GPIO_1[32]~output_o ;
-wire \GPIO_1[33]~output_o ;
-wire \GPIO_0_PI[8]~output_o ;
-wire \GPIO_0_PI[9]~output_o ;
-wire \GPIO_0_PI[10]~output_o ;
-wire \GPIO_0_PI[11]~output_o ;
-wire \GPIO_0_PI[31]~output_o ;
-wire \LED[0]~output_o ;
-wire \LED[1]~output_o ;
-wire \LED[2]~output_o ;
-wire \LED[3]~output_o ;
-wire \LED[4]~output_o ;
-wire \LED[5]~output_o ;
-wire \LED[6]~output_o ;
-wire \LED[7]~output_o ;
-wire \GPIO_0_PI[8]~input_o ;
-wire \GPIO_0_PI[8]~inputclkctrl_outclk ;
-wire \spi_slave_instance|cnt[0]~12_combout ;
-wire \spi_slave_instance|cnt[1]~4_combout ;
-wire \spi_slave_instance|cnt[1]~5 ;
-wire \spi_slave_instance|cnt[2]~6_combout ;
-wire \spi_slave_instance|cnt[2]~7 ;
-wire \spi_slave_instance|cnt[3]~8_combout ;
-wire \spi_slave_instance|cnt[3]~9 ;
-wire \spi_slave_instance|cnt[4]~10_combout ;
-wire \GPIO_0_PI[31]~input_o ;
-wire \GPIO_0_PI[9]~input_o ;
-wire \spi_slave_instance|Equal0~0_combout ;
-wire \spi_slave_instance|q~31_combout ;
-wire \spi_slave_instance|q~30_combout ;
-wire \spi_slave_instance|q~29_combout ;
-wire \spi_slave_instance|q~28_combout ;
-wire \spi_slave_instance|q~27_combout ;
-wire \spi_slave_instance|q~26_combout ;
-wire \spi_slave_instance|q~25_combout ;
-wire \spi_slave_instance|q~24_combout ;
-wire \spi_slave_instance|q~23_combout ;
-wire \spi_slave_instance|q~22_combout ;
-wire \spi_slave_instance|q~21_combout ;
-wire \spi_slave_instance|q~20_combout ;
-wire \spi_slave_instance|q~19_combout ;
-wire \spi_slave_instance|q~18_combout ;
-wire \spi_slave_instance|q~17_combout ;
-wire \spi_slave_instance|q~16_combout ;
-wire \spi_slave_instance|q~15_combout ;
-wire \spi_slave_instance|q~14_combout ;
-wire \spi_slave_instance|q~13_combout ;
-wire \spi_slave_instance|q~12_combout ;
-wire \spi_slave_instance|q~10_combout ;
-wire \spi_slave_instance|q~11_combout ;
-wire \spi_slave_instance|q~9_combout ;
-wire \spi_slave_instance|q~8_combout ;
-wire \spi_slave_instance|q~7_combout ;
-wire \spi_slave_instance|q~6_combout ;
-wire \spi_slave_instance|q~5_combout ;
-wire \spi_slave_instance|q~4_combout ;
-wire \spi_slave_instance|q~3_combout ;
-wire \spi_slave_instance|q~2_combout ;
-wire \spi_slave_instance|q~1_combout ;
-wire \spi_slave_instance|q~0_combout ;
-wire \spi_slave_instance|qdelayed~q ;
-wire \spi_slave_instance|miso~0_combout ;
-wire \GPIO_0_PI[10]~input_o ;
-wire [4:0] \spi_slave_instance|cnt ;
-wire [31:0] \spi_slave_instance|q ;
-
-
-hard_block auto_generated_inst(
-	.devpor(devpor),
-	.devclrn(devclrn),
-	.devoe(devoe));
-
-// Location: IOOBUF_X5_Y0_N23
-cycloneive_io_obuf \GPIO_2[0]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[0]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[0]~output .bus_hold = "false";
-defparam \GPIO_2[0]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X51_Y34_N23
-cycloneive_io_obuf \GPIO_2[1]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[1]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[1]~output .bus_hold = "false";
-defparam \GPIO_2[1]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y24_N23
-cycloneive_io_obuf \GPIO_2[2]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[2]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[2]~output .bus_hold = "false";
-defparam \GPIO_2[2]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y24_N16
-cycloneive_io_obuf \GPIO_2[3]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[3]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[3]~output .bus_hold = "false";
-defparam \GPIO_2[3]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X5_Y0_N16
-cycloneive_io_obuf \GPIO_2[4]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[4]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[4]~output .bus_hold = "false";
-defparam \GPIO_2[4]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y15_N2
-cycloneive_io_obuf \GPIO_2[5]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[5]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[5]~output .bus_hold = "false";
-defparam \GPIO_2[5]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X3_Y34_N2
-cycloneive_io_obuf \GPIO_2[6]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[6]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[6]~output .bus_hold = "false";
-defparam \GPIO_2[6]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y34_N9
-cycloneive_io_obuf \GPIO_2[7]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[7]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[7]~output .bus_hold = "false";
-defparam \GPIO_2[7]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X11_Y0_N16
-cycloneive_io_obuf \GPIO_2[8]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[8]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[8]~output .bus_hold = "false";
-defparam \GPIO_2[8]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X3_Y0_N2
-cycloneive_io_obuf \GPIO_2[9]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[9]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[9]~output .bus_hold = "false";
-defparam \GPIO_2[9]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X43_Y34_N23
-cycloneive_io_obuf \GPIO_2[10]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[10]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[10]~output .bus_hold = "false";
-defparam \GPIO_2[10]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X23_Y34_N16
-cycloneive_io_obuf \GPIO_2[11]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[11]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[11]~output .bus_hold = "false";
-defparam \GPIO_2[11]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X20_Y34_N9
-cycloneive_io_obuf \GPIO_2[12]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[12]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[12]~output .bus_hold = "false";
-defparam \GPIO_2[12]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y34_N9
-cycloneive_io_obuf \GPIO_0_PI[0]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[0]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[0]~output .bus_hold = "false";
-defparam \GPIO_0_PI[0]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y4_N16
-cycloneive_io_obuf \GPIO_0_PI[1]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[1]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[1]~output .bus_hold = "false";
-defparam \GPIO_0_PI[1]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y5_N23
-cycloneive_io_obuf \GPIO_0_PI[2]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[2]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[2]~output .bus_hold = "false";
-defparam \GPIO_0_PI[2]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X18_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[3]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[3]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[3]~output .bus_hold = "false";
-defparam \GPIO_0_PI[3]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y0_N16
-cycloneive_io_obuf \GPIO_0_PI[4]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[4]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[4]~output .bus_hold = "false";
-defparam \GPIO_0_PI[4]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[5]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[5]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[5]~output .bus_hold = "false";
-defparam \GPIO_0_PI[5]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y6_N16
-cycloneive_io_obuf \GPIO_0_PI[6]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[6]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[6]~output .bus_hold = "false";
-defparam \GPIO_0_PI[6]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y0_N23
-cycloneive_io_obuf \GPIO_0_PI[7]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[7]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[7]~output .bus_hold = "false";
-defparam \GPIO_0_PI[7]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y4_N23
-cycloneive_io_obuf \GPIO_0_PI[12]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[12]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[12]~output .bus_hold = "false";
-defparam \GPIO_0_PI[12]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y8_N23
-cycloneive_io_obuf \GPIO_0_PI[13]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[13]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[13]~output .bus_hold = "false";
-defparam \GPIO_0_PI[13]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[14]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[14]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[14]~output .bus_hold = "false";
-defparam \GPIO_0_PI[14]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[15]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[15]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[15]~output .bus_hold = "false";
-defparam \GPIO_0_PI[15]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[16]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[16]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[16]~output .bus_hold = "false";
-defparam \GPIO_0_PI[16]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X16_Y34_N9
-cycloneive_io_obuf \GPIO_0_PI[17]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[17]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[17]~output .bus_hold = "false";
-defparam \GPIO_0_PI[17]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y0_N9
-cycloneive_io_obuf \GPIO_0_PI[18]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[18]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[18]~output .bus_hold = "false";
-defparam \GPIO_0_PI[18]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X36_Y0_N9
-cycloneive_io_obuf \GPIO_0_PI[19]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[19]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[19]~output .bus_hold = "false";
-defparam \GPIO_0_PI[19]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y15_N9
-cycloneive_io_obuf \GPIO_0_PI[20]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[20]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[20]~output .bus_hold = "false";
-defparam \GPIO_0_PI[20]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X47_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[21]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[21]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[21]~output .bus_hold = "false";
-defparam \GPIO_0_PI[21]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y0_N9
-cycloneive_io_obuf \GPIO_0_PI[22]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[22]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[22]~output .bus_hold = "false";
-defparam \GPIO_0_PI[22]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X20_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[23]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[23]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[23]~output .bus_hold = "false";
-defparam \GPIO_0_PI[23]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X45_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[24]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[24]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[24]~output .bus_hold = "false";
-defparam \GPIO_0_PI[24]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X40_Y0_N23
-cycloneive_io_obuf \GPIO_0_PI[25]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[25]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[25]~output .bus_hold = "false";
-defparam \GPIO_0_PI[25]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X18_Y0_N9
-cycloneive_io_obuf \GPIO_0_PI[26]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[26]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[26]~output .bus_hold = "false";
-defparam \GPIO_0_PI[26]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X45_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[27]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[27]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[27]~output .bus_hold = "false";
-defparam \GPIO_0_PI[27]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X38_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[28]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[28]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[28]~output .bus_hold = "false";
-defparam \GPIO_0_PI[28]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X40_Y34_N9
-cycloneive_io_obuf \GPIO_0_PI[29]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[29]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[29]~output .bus_hold = "false";
-defparam \GPIO_0_PI[29]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X18_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[30]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[30]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[30]~output .bus_hold = "false";
-defparam \GPIO_0_PI[30]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X23_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[32]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[32]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[32]~output .bus_hold = "false";
-defparam \GPIO_0_PI[32]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y22_N2
-cycloneive_io_obuf \GPIO_0_PI[33]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[33]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[33]~output .bus_hold = "false";
-defparam \GPIO_0_PI[33]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y0_N2
-cycloneive_io_obuf \GPIO_1[0]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[0]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[0]~output .bus_hold = "false";
-defparam \GPIO_1[0]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y0_N23
-cycloneive_io_obuf \GPIO_1[1]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[1]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[1]~output .bus_hold = "false";
-defparam \GPIO_1[1]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y20_N23
-cycloneive_io_obuf \GPIO_1[2]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[2]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[2]~output .bus_hold = "false";
-defparam \GPIO_1[2]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y23_N2
-cycloneive_io_obuf \GPIO_1[3]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[3]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[3]~output .bus_hold = "false";
-defparam \GPIO_1[3]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X7_Y34_N2
-cycloneive_io_obuf \GPIO_1[4]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[4]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[4]~output .bus_hold = "false";
-defparam \GPIO_1[4]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y11_N2
-cycloneive_io_obuf \GPIO_1[5]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[5]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[5]~output .bus_hold = "false";
-defparam \GPIO_1[5]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X51_Y34_N9
-cycloneive_io_obuf \GPIO_1[6]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[6]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[6]~output .bus_hold = "false";
-defparam \GPIO_1[6]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X5_Y0_N9
-cycloneive_io_obuf \GPIO_1[7]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[7]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[7]~output .bus_hold = "false";
-defparam \GPIO_1[7]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y22_N9
-cycloneive_io_obuf \GPIO_1[8]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[8]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[8]~output .bus_hold = "false";
-defparam \GPIO_1[8]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y0_N2
-cycloneive_io_obuf \GPIO_1[9]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[9]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[9]~output .bus_hold = "false";
-defparam \GPIO_1[9]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y11_N9
-cycloneive_io_obuf \GPIO_1[10]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[10]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[10]~output .bus_hold = "false";
-defparam \GPIO_1[10]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X45_Y34_N9
-cycloneive_io_obuf \GPIO_1[11]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[11]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[11]~output .bus_hold = "false";
-defparam \GPIO_1[11]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y26_N23
-cycloneive_io_obuf \GPIO_1[12]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[12]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[12]~output .bus_hold = "false";
-defparam \GPIO_1[12]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X40_Y0_N16
-cycloneive_io_obuf \GPIO_1[13]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[13]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[13]~output .bus_hold = "false";
-defparam \GPIO_1[13]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y12_N2
-cycloneive_io_obuf \GPIO_1[14]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[14]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[14]~output .bus_hold = "false";
-defparam \GPIO_1[14]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y30_N2
-cycloneive_io_obuf \GPIO_1[15]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[15]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[15]~output .bus_hold = "false";
-defparam \GPIO_1[15]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X25_Y0_N16
-cycloneive_io_obuf \GPIO_1[16]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[16]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[16]~output .bus_hold = "false";
-defparam \GPIO_1[16]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y0_N23
-cycloneive_io_obuf \GPIO_1[17]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[17]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[17]~output .bus_hold = "false";
-defparam \GPIO_1[17]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y7_N2
-cycloneive_io_obuf \GPIO_1[18]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[18]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[18]~output .bus_hold = "false";
-defparam \GPIO_1[18]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y30_N9
-cycloneive_io_obuf \GPIO_1[19]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[19]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[19]~output .bus_hold = "false";
-defparam \GPIO_1[19]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y27_N2
-cycloneive_io_obuf \GPIO_1[20]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[20]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[20]~output .bus_hold = "false";
-defparam \GPIO_1[20]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X47_Y0_N23
-cycloneive_io_obuf \GPIO_1[21]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[21]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[21]~output .bus_hold = "false";
-defparam \GPIO_1[21]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X11_Y34_N2
-cycloneive_io_obuf \GPIO_1[22]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[22]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[22]~output .bus_hold = "false";
-defparam \GPIO_1[22]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X20_Y0_N9
-cycloneive_io_obuf \GPIO_1[23]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[23]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[23]~output .bus_hold = "false";
-defparam \GPIO_1[23]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X5_Y0_N2
-cycloneive_io_obuf \GPIO_1[24]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[24]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[24]~output .bus_hold = "false";
-defparam \GPIO_1[24]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y21_N23
-cycloneive_io_obuf \GPIO_1[25]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[25]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[25]~output .bus_hold = "false";
-defparam \GPIO_1[25]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y0_N16
-cycloneive_io_obuf \GPIO_1[26]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[26]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[26]~output .bus_hold = "false";
-defparam \GPIO_1[26]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X7_Y0_N9
-cycloneive_io_obuf \GPIO_1[27]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[27]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[27]~output .bus_hold = "false";
-defparam \GPIO_1[27]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y23_N23
-cycloneive_io_obuf \GPIO_1[28]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[28]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[28]~output .bus_hold = "false";
-defparam \GPIO_1[28]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y14_N9
-cycloneive_io_obuf \GPIO_1[29]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[29]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[29]~output .bus_hold = "false";
-defparam \GPIO_1[29]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X45_Y0_N23
-cycloneive_io_obuf \GPIO_1[30]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[30]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[30]~output .bus_hold = "false";
-defparam \GPIO_1[30]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X49_Y0_N2
-cycloneive_io_obuf \GPIO_1[31]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[31]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[31]~output .bus_hold = "false";
-defparam \GPIO_1[31]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X31_Y34_N2
-cycloneive_io_obuf \GPIO_1[32]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[32]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[32]~output .bus_hold = "false";
-defparam \GPIO_1[32]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y6_N16
-cycloneive_io_obuf \GPIO_1[33]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[33]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[33]~output .bus_hold = "false";
-defparam \GPIO_1[33]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y23_N16
-cycloneive_io_obuf \GPIO_0_PI[8]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[8]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[8]~output .bus_hold = "false";
-defparam \GPIO_0_PI[8]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X5_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[9]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[9]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[9]~output .bus_hold = "false";
-defparam \GPIO_0_PI[9]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X16_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[10]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[10]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[10]~output .bus_hold = "false";
-defparam \GPIO_0_PI[10]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X16_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[11]~output (
-	.i(\spi_slave_instance|miso~0_combout ),
-	.oe(!\GPIO_0_PI[10]~input_o ),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[11]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[11]~output .bus_hold = "false";
-defparam \GPIO_0_PI[11]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X51_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[31]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[31]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[31]~output .bus_hold = "false";
-defparam \GPIO_0_PI[31]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X38_Y34_N16
-cycloneive_io_obuf \LED[0]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[0]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[0]~output .bus_hold = "false";
-defparam \LED[0]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X49_Y34_N2
-cycloneive_io_obuf \LED[1]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[1]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[1]~output .bus_hold = "false";
-defparam \LED[1]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X49_Y34_N9
-cycloneive_io_obuf \LED[2]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[2]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[2]~output .bus_hold = "false";
-defparam \LED[2]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X40_Y34_N2
-cycloneive_io_obuf \LED[3]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[3]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[3]~output .bus_hold = "false";
-defparam \LED[3]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y25_N9
-cycloneive_io_obuf \LED[4]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[4]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[4]~output .bus_hold = "false";
-defparam \LED[4]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y26_N16
-cycloneive_io_obuf \LED[5]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[5]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[5]~output .bus_hold = "false";
-defparam \LED[5]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y28_N9
-cycloneive_io_obuf \LED[6]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[6]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[6]~output .bus_hold = "false";
-defparam \LED[6]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y10_N23
-cycloneive_io_obuf \LED[7]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[7]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[7]~output .bus_hold = "false";
-defparam \LED[7]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y23_N15
-cycloneive_io_ibuf \GPIO_0_PI[8]~input (
-	.i(GPIO_0_PI[8]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[8]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[8]~input .bus_hold = "false";
-defparam \GPIO_0_PI[8]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: CLKCTRL_G0
-cycloneive_clkctrl \GPIO_0_PI[8]~inputclkctrl (
-	.ena(vcc),
-	.inclk({vcc,vcc,vcc,\GPIO_0_PI[8]~input_o }),
-	.clkselect(2'b00),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.outclk(\GPIO_0_PI[8]~inputclkctrl_outclk ));
-// synopsys translate_off
-defparam \GPIO_0_PI[8]~inputclkctrl .clock_type = "global clock";
-defparam \GPIO_0_PI[8]~inputclkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N12
-cycloneive_lcell_comb \spi_slave_instance|cnt[0]~12 (
-// Equation(s):
-// \spi_slave_instance|cnt[0]~12_combout  = !\spi_slave_instance|cnt [0]
-
-	.dataa(gnd),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [0]),
-	.datad(gnd),
-	.cin(gnd),
-	.combout(\spi_slave_instance|cnt[0]~12_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[0]~12 .lut_mask = 16'h0F0F;
-defparam \spi_slave_instance|cnt[0]~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N13
-dffeas \spi_slave_instance|cnt[0] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[0]~12_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [0]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[0] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N2
-cycloneive_lcell_comb \spi_slave_instance|cnt[1]~4 (
-// Equation(s):
-// \spi_slave_instance|cnt[1]~4_combout  = (\spi_slave_instance|cnt [0] & (\spi_slave_instance|cnt [1] $ (VCC))) # (!\spi_slave_instance|cnt [0] & (\spi_slave_instance|cnt [1] & VCC))
-// \spi_slave_instance|cnt[1]~5  = CARRY((\spi_slave_instance|cnt [0] & \spi_slave_instance|cnt [1]))
-
-	.dataa(\spi_slave_instance|cnt [0]),
-	.datab(\spi_slave_instance|cnt [1]),
-	.datac(gnd),
-	.datad(vcc),
-	.cin(gnd),
-	.combout(\spi_slave_instance|cnt[1]~4_combout ),
-	.cout(\spi_slave_instance|cnt[1]~5 ));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[1]~4 .lut_mask = 16'h6688;
-defparam \spi_slave_instance|cnt[1]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N3
-dffeas \spi_slave_instance|cnt[1] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[1]~4_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [1]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[1] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N4
-cycloneive_lcell_comb \spi_slave_instance|cnt[2]~6 (
-// Equation(s):
-// \spi_slave_instance|cnt[2]~6_combout  = (\spi_slave_instance|cnt [2] & (!\spi_slave_instance|cnt[1]~5 )) # (!\spi_slave_instance|cnt [2] & ((\spi_slave_instance|cnt[1]~5 ) # (GND)))
-// \spi_slave_instance|cnt[2]~7  = CARRY((!\spi_slave_instance|cnt[1]~5 ) # (!\spi_slave_instance|cnt [2]))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [2]),
-	.datac(gnd),
-	.datad(vcc),
-	.cin(\spi_slave_instance|cnt[1]~5 ),
-	.combout(\spi_slave_instance|cnt[2]~6_combout ),
-	.cout(\spi_slave_instance|cnt[2]~7 ));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[2]~6 .lut_mask = 16'h3C3F;
-defparam \spi_slave_instance|cnt[2]~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N5
-dffeas \spi_slave_instance|cnt[2] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[2]~6_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [2]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[2] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N6
-cycloneive_lcell_comb \spi_slave_instance|cnt[3]~8 (
-// Equation(s):
-// \spi_slave_instance|cnt[3]~8_combout  = (\spi_slave_instance|cnt [3] & (\spi_slave_instance|cnt[2]~7  $ (GND))) # (!\spi_slave_instance|cnt [3] & (!\spi_slave_instance|cnt[2]~7  & VCC))
-// \spi_slave_instance|cnt[3]~9  = CARRY((\spi_slave_instance|cnt [3] & !\spi_slave_instance|cnt[2]~7 ))
-
-	.dataa(\spi_slave_instance|cnt [3]),
-	.datab(gnd),
-	.datac(gnd),
-	.datad(vcc),
-	.cin(\spi_slave_instance|cnt[2]~7 ),
-	.combout(\spi_slave_instance|cnt[3]~8_combout ),
-	.cout(\spi_slave_instance|cnt[3]~9 ));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[3]~8 .lut_mask = 16'hA50A;
-defparam \spi_slave_instance|cnt[3]~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N7
-dffeas \spi_slave_instance|cnt[3] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[3]~8_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [3]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[3] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N8
-cycloneive_lcell_comb \spi_slave_instance|cnt[4]~10 (
-// Equation(s):
-// \spi_slave_instance|cnt[4]~10_combout  = \spi_slave_instance|cnt [4] $ (\spi_slave_instance|cnt[3]~9 )
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(gnd),
-	.datad(gnd),
-	.cin(\spi_slave_instance|cnt[3]~9 ),
-	.combout(\spi_slave_instance|cnt[4]~10_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[4]~10 .lut_mask = 16'h3C3C;
-defparam \spi_slave_instance|cnt[4]~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N9
-dffeas \spi_slave_instance|cnt[4] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[4]~10_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [4]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[4] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: IOIBUF_X51_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[31]~input (
-	.i(GPIO_0_PI[31]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[31]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[31]~input .bus_hold = "false";
-defparam \GPIO_0_PI[31]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[9]~input (
-	.i(GPIO_0_PI[9]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[9]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[9]~input .bus_hold = "false";
-defparam \GPIO_0_PI[9]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N5
-dffeas \spi_slave_instance|q[0] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(gnd),
-	.asdata(\GPIO_0_PI[9]~input_o ),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(vcc),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [0]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[0] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N18
-cycloneive_lcell_comb \spi_slave_instance|Equal0~0 (
-// Equation(s):
-// \spi_slave_instance|Equal0~0_combout  = (!\spi_slave_instance|cnt [0] & (!\spi_slave_instance|cnt [1] & (!\spi_slave_instance|cnt [2] & !\spi_slave_instance|cnt [3])))
-
-	.dataa(\spi_slave_instance|cnt [0]),
-	.datab(\spi_slave_instance|cnt [1]),
-	.datac(\spi_slave_instance|cnt [2]),
-	.datad(\spi_slave_instance|cnt [3]),
-	.cin(gnd),
-	.combout(\spi_slave_instance|Equal0~0_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|Equal0~0 .lut_mask = 16'h0001;
-defparam \spi_slave_instance|Equal0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N22
-cycloneive_lcell_comb \spi_slave_instance|q~31 (
-// Equation(s):
-// \spi_slave_instance|q~31_combout  = (\spi_slave_instance|cnt [4] & (((\spi_slave_instance|q [0])))) # (!\spi_slave_instance|cnt [4] & ((\spi_slave_instance|Equal0~0_combout  & (\GPIO_0_PI[31]~input_o )) # (!\spi_slave_instance|Equal0~0_combout  & 
-// ((\spi_slave_instance|q [0])))))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(\GPIO_0_PI[31]~input_o ),
-	.datac(\spi_slave_instance|q [0]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~31_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~31 .lut_mask = 16'hE4F0;
-defparam \spi_slave_instance|q~31 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N23
-dffeas \spi_slave_instance|q[1] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~31_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [1]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[1] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N24
-cycloneive_lcell_comb \spi_slave_instance|q~30 (
-// Equation(s):
-// \spi_slave_instance|q~30_combout  = (\spi_slave_instance|q [1] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|q [1]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~30_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~30 .lut_mask = 16'hA0F0;
-defparam \spi_slave_instance|q~30 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N25
-dffeas \spi_slave_instance|q[2] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~30_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [2]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[2] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N30
-cycloneive_lcell_comb \spi_slave_instance|q~29 (
-// Equation(s):
-// \spi_slave_instance|q~29_combout  = (\spi_slave_instance|q [2] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(\spi_slave_instance|q [2]),
-	.datac(gnd),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~29_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~29 .lut_mask = 16'h88CC;
-defparam \spi_slave_instance|q~29 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N31
-dffeas \spi_slave_instance|q[3] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~29_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [3]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[3] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N8
-cycloneive_lcell_comb \spi_slave_instance|q~28 (
-// Equation(s):
-// \spi_slave_instance|q~28_combout  = (\spi_slave_instance|q [3] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|q [3]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~28_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~28 .lut_mask = 16'hA0F0;
-defparam \spi_slave_instance|q~28 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N9
-dffeas \spi_slave_instance|q[4] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~28_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [4]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[4] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N18
-cycloneive_lcell_comb \spi_slave_instance|q~27 (
-// Equation(s):
-// \spi_slave_instance|q~27_combout  = (\spi_slave_instance|q [4] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|q [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~27_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~27 .lut_mask = 16'hA0F0;
-defparam \spi_slave_instance|q~27 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N19
-dffeas \spi_slave_instance|q[5] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~27_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [5]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[5] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N28
-cycloneive_lcell_comb \spi_slave_instance|q~26 (
-// Equation(s):
-// \spi_slave_instance|q~26_combout  = (\spi_slave_instance|q [5] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(\spi_slave_instance|q [5]),
-	.datac(gnd),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~26_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~26 .lut_mask = 16'h88CC;
-defparam \spi_slave_instance|q~26 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N29
-dffeas \spi_slave_instance|q[6] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~26_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [6]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[6] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N10
-cycloneive_lcell_comb \spi_slave_instance|q~25 (
-// Equation(s):
-// \spi_slave_instance|q~25_combout  = (\spi_slave_instance|q [6] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(\spi_slave_instance|q [6]),
-	.datac(gnd),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~25_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~25 .lut_mask = 16'h88CC;
-defparam \spi_slave_instance|q~25 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N11
-dffeas \spi_slave_instance|q[7] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~25_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [7]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[7] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N14
-cycloneive_lcell_comb \spi_slave_instance|q~24 (
-// Equation(s):
-// \spi_slave_instance|q~24_combout  = (\spi_slave_instance|q [7] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [7]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~24_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~24 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~24 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N15
-dffeas \spi_slave_instance|q[8] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~24_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [8]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[8] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N28
-cycloneive_lcell_comb \spi_slave_instance|q~23 (
-// Equation(s):
-// \spi_slave_instance|q~23_combout  = (\spi_slave_instance|q [8] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [8]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~23_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~23 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~23 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N29
-dffeas \spi_slave_instance|q[9] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~23_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [9]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[9] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N30
-cycloneive_lcell_comb \spi_slave_instance|q~22 (
-// Equation(s):
-// \spi_slave_instance|q~22_combout  = (\spi_slave_instance|q [9] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [9]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~22_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~22 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~22 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N31
-dffeas \spi_slave_instance|q[10] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~22_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [10]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[10] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N24
-cycloneive_lcell_comb \spi_slave_instance|q~21 (
-// Equation(s):
-// \spi_slave_instance|q~21_combout  = (\spi_slave_instance|q [10] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [10]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~21_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~21 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~21 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N25
-dffeas \spi_slave_instance|q[11] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~21_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [11]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[11] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[11] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N26
-cycloneive_lcell_comb \spi_slave_instance|q~20 (
-// Equation(s):
-// \spi_slave_instance|q~20_combout  = (\spi_slave_instance|q [11] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [11]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~20_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~20 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~20 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N27
-dffeas \spi_slave_instance|q[12] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~20_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [12]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[12] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[12] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N20
-cycloneive_lcell_comb \spi_slave_instance|q~19 (
-// Equation(s):
-// \spi_slave_instance|q~19_combout  = (\spi_slave_instance|q [12] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|q [12]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~19_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~19 .lut_mask = 16'hA0F0;
-defparam \spi_slave_instance|q~19 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N21
-dffeas \spi_slave_instance|q[13] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~19_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [13]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[13] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[13] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N16
-cycloneive_lcell_comb \spi_slave_instance|q~18 (
-// Equation(s):
-// \spi_slave_instance|q~18_combout  = (\spi_slave_instance|q [13] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [13]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~18_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~18 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~18 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N17
-dffeas \spi_slave_instance|q[14] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~18_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [14]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[14] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[14] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N10
-cycloneive_lcell_comb \spi_slave_instance|q~17 (
-// Equation(s):
-// \spi_slave_instance|q~17_combout  = (\spi_slave_instance|q [14] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [14]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~17_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~17 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~17 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N11
-dffeas \spi_slave_instance|q[15] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~17_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [15]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[15] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[15] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N20
-cycloneive_lcell_comb \spi_slave_instance|q~16 (
-// Equation(s):
-// \spi_slave_instance|q~16_combout  = (\spi_slave_instance|q [15] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [15]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~16_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~16 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N21
-dffeas \spi_slave_instance|q[16] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~16_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [16]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[16] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[16] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N22
-cycloneive_lcell_comb \spi_slave_instance|q~15 (
-// Equation(s):
-// \spi_slave_instance|q~15_combout  = (\spi_slave_instance|q [16] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [16]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~15_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~15 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N23
-dffeas \spi_slave_instance|q[17] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~15_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [17]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[17] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[17] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N0
-cycloneive_lcell_comb \spi_slave_instance|q~14 (
-// Equation(s):
-// \spi_slave_instance|q~14_combout  = (\spi_slave_instance|q [17] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [17]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~14_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~14 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N1
-dffeas \spi_slave_instance|q[18] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~14_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [18]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[18] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[18] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N28
-cycloneive_lcell_comb \spi_slave_instance|q~13 (
-// Equation(s):
-// \spi_slave_instance|q~13_combout  = (\spi_slave_instance|q [18] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [18]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~13_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~13 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N29
-dffeas \spi_slave_instance|q[19] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~13_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [19]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[19] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[19] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N8
-cycloneive_lcell_comb \spi_slave_instance|q~12 (
-// Equation(s):
-// \spi_slave_instance|q~12_combout  = (\spi_slave_instance|q [19] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [19]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~12_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~12 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N9
-dffeas \spi_slave_instance|q[20] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~12_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [20]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[20] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[20] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N10
-cycloneive_lcell_comb \spi_slave_instance|q~10 (
-// Equation(s):
-// \spi_slave_instance|q~10_combout  = (\spi_slave_instance|cnt [1]) # ((\spi_slave_instance|cnt [4]) # (\spi_slave_instance|cnt [3]))
-
-	.dataa(\spi_slave_instance|cnt [1]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|cnt [3]),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~10_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~10 .lut_mask = 16'hFFFA;
-defparam \spi_slave_instance|q~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N26
-cycloneive_lcell_comb \spi_slave_instance|q~11 (
-// Equation(s):
-// \spi_slave_instance|q~11_combout  = (\spi_slave_instance|q [20] & ((\spi_slave_instance|cnt [2]) # ((\spi_slave_instance|cnt [0]) # (\spi_slave_instance|q~10_combout ))))
-
-	.dataa(\spi_slave_instance|cnt [2]),
-	.datab(\spi_slave_instance|q [20]),
-	.datac(\spi_slave_instance|cnt [0]),
-	.datad(\spi_slave_instance|q~10_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~11_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~11 .lut_mask = 16'hCCC8;
-defparam \spi_slave_instance|q~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N27
-dffeas \spi_slave_instance|q[21] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~11_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [21]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[21] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[21] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N12
-cycloneive_lcell_comb \spi_slave_instance|q~9 (
-// Equation(s):
-// \spi_slave_instance|q~9_combout  = (\spi_slave_instance|q [21] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|q [21]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~9_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~9 .lut_mask = 16'hA0AA;
-defparam \spi_slave_instance|q~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N13
-dffeas \spi_slave_instance|q[22] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~9_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [22]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[22] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[22] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N6
-cycloneive_lcell_comb \spi_slave_instance|q~8 (
-// Equation(s):
-// \spi_slave_instance|q~8_combout  = (\spi_slave_instance|q [22] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|q [22]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~8_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~8 .lut_mask = 16'hA0AA;
-defparam \spi_slave_instance|q~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N7
-dffeas \spi_slave_instance|q[23] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~8_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [23]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[23] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[23] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N4
-cycloneive_lcell_comb \spi_slave_instance|q~7 (
-// Equation(s):
-// \spi_slave_instance|q~7_combout  = (\spi_slave_instance|q [23] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|q [23]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~7_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~7 .lut_mask = 16'hA0AA;
-defparam \spi_slave_instance|q~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N5
-dffeas \spi_slave_instance|q[24] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~7_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [24]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[24] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[24] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N30
-cycloneive_lcell_comb \spi_slave_instance|q~6 (
-// Equation(s):
-// \spi_slave_instance|q~6_combout  = (\spi_slave_instance|q [24] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [24]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~6_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~6 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N31
-dffeas \spi_slave_instance|q[25] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~6_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [25]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[25] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[25] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N20
-cycloneive_lcell_comb \spi_slave_instance|q~5 (
-// Equation(s):
-// \spi_slave_instance|q~5_combout  = (\spi_slave_instance|q [25] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|q [25]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~5_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~5 .lut_mask = 16'hA0AA;
-defparam \spi_slave_instance|q~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N21
-dffeas \spi_slave_instance|q[26] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~5_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [26]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[26] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[26] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N14
-cycloneive_lcell_comb \spi_slave_instance|q~4 (
-// Equation(s):
-// \spi_slave_instance|q~4_combout  = (\spi_slave_instance|q [26] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [26]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~4_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~4 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N15
-dffeas \spi_slave_instance|q[27] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~4_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [27]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[27] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[27] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N16
-cycloneive_lcell_comb \spi_slave_instance|q~3 (
-// Equation(s):
-// \spi_slave_instance|q~3_combout  = (\spi_slave_instance|q [27] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [27]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~3_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~3 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N17
-dffeas \spi_slave_instance|q[28] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~3_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [28]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[28] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[28] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N18
-cycloneive_lcell_comb \spi_slave_instance|q~2 (
-// Equation(s):
-// \spi_slave_instance|q~2_combout  = (\spi_slave_instance|q [28] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [28]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~2_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~2 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N19
-dffeas \spi_slave_instance|q[29] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~2_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [29]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[29] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[29] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N24
-cycloneive_lcell_comb \spi_slave_instance|q~1 (
-// Equation(s):
-// \spi_slave_instance|q~1_combout  = (\spi_slave_instance|q [29] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [29]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~1_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~1 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N25
-dffeas \spi_slave_instance|q[30] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~1_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [30]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[30] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[30] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N22
-cycloneive_lcell_comb \spi_slave_instance|q~0 (
-// Equation(s):
-// \spi_slave_instance|q~0_combout  = (\spi_slave_instance|q [30] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [30]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~0_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~0 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N23
-dffeas \spi_slave_instance|q[31] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~0_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [31]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[31] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[31] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N1
-dffeas \spi_slave_instance|qdelayed (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(gnd),
-	.asdata(\spi_slave_instance|q [31]),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(vcc),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|qdelayed~q ),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|qdelayed .is_wysiwyg = "true";
-defparam \spi_slave_instance|qdelayed .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N0
-cycloneive_lcell_comb \spi_slave_instance|miso~0 (
-// Equation(s):
-// \spi_slave_instance|miso~0_combout  = (\spi_slave_instance|qdelayed~q  & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|qdelayed~q ),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|miso~0_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|miso~0 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|miso~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOIBUF_X16_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[10]~input (
-	.i(GPIO_0_PI[10]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[10]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[10]~input .bus_hold = "false";
-defparam \GPIO_0_PI[10]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X16_Y0_N15
-cycloneive_io_ibuf \CLOCK_50~input (
-	.i(CLOCK_50),
-	.ibar(gnd),
-	.o(\CLOCK_50~input_o ));
-// synopsys translate_off
-defparam \CLOCK_50~input .bus_hold = "false";
-defparam \CLOCK_50~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y14_N1
-cycloneive_io_ibuf \KEY[0]~input (
-	.i(KEY[0]),
-	.ibar(gnd),
-	.o(\KEY[0]~input_o ));
-// synopsys translate_off
-defparam \KEY[0]~input .bus_hold = "false";
-defparam \KEY[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y16_N8
-cycloneive_io_ibuf \KEY[1]~input (
-	.i(KEY[1]),
-	.ibar(gnd),
-	.o(\KEY[1]~input_o ));
-// synopsys translate_off
-defparam \KEY[1]~input .bus_hold = "false";
-defparam \KEY[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y17_N8
-cycloneive_io_ibuf \GPIO_2_IN[0]~input (
-	.i(GPIO_2_IN[0]),
-	.ibar(gnd),
-	.o(\GPIO_2_IN[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2_IN[0]~input .bus_hold = "false";
-defparam \GPIO_2_IN[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y17_N1
-cycloneive_io_ibuf \GPIO_2_IN[1]~input (
-	.i(GPIO_2_IN[1]),
-	.ibar(gnd),
-	.o(\GPIO_2_IN[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2_IN[1]~input .bus_hold = "false";
-defparam \GPIO_2_IN[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X9_Y34_N8
-cycloneive_io_ibuf \GPIO_2_IN[2]~input (
-	.i(GPIO_2_IN[2]),
-	.ibar(gnd),
-	.o(\GPIO_2_IN[2]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2_IN[2]~input .bus_hold = "false";
-defparam \GPIO_2_IN[2]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI_IN[0]~input (
-	.i(GPIO_0_PI_IN[0]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI_IN[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI_IN[0]~input .bus_hold = "false";
-defparam \GPIO_0_PI_IN[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X51_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI_IN[1]~input (
-	.i(GPIO_0_PI_IN[1]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI_IN[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI_IN[1]~input .bus_hold = "false";
-defparam \GPIO_0_PI_IN[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X43_Y34_N15
-cycloneive_io_ibuf \GPIO_1_IN[0]~input (
-	.i(GPIO_1_IN[0]),
-	.ibar(gnd),
-	.o(\GPIO_1_IN[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1_IN[0]~input .bus_hold = "false";
-defparam \GPIO_1_IN[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y0_N15
-cycloneive_io_ibuf \GPIO_1_IN[1]~input (
-	.i(GPIO_1_IN[1]),
-	.ibar(gnd),
-	.o(\GPIO_1_IN[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1_IN[1]~input .bus_hold = "false";
-defparam \GPIO_1_IN[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y0_N22
-cycloneive_io_ibuf \GPIO_2[0]~input (
-	.i(GPIO_2[0]),
-	.ibar(gnd),
-	.o(\GPIO_2[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[0]~input .bus_hold = "false";
-defparam \GPIO_2[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X51_Y34_N22
-cycloneive_io_ibuf \GPIO_2[1]~input (
-	.i(GPIO_2[1]),
-	.ibar(gnd),
-	.o(\GPIO_2[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[1]~input .bus_hold = "false";
-defparam \GPIO_2[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y24_N22
-cycloneive_io_ibuf \GPIO_2[2]~input (
-	.i(GPIO_2[2]),
-	.ibar(gnd),
-	.o(\GPIO_2[2]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[2]~input .bus_hold = "false";
-defparam \GPIO_2[2]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y24_N15
-cycloneive_io_ibuf \GPIO_2[3]~input (
-	.i(GPIO_2[3]),
-	.ibar(gnd),
-	.o(\GPIO_2[3]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[3]~input .bus_hold = "false";
-defparam \GPIO_2[3]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y0_N15
-cycloneive_io_ibuf \GPIO_2[4]~input (
-	.i(GPIO_2[4]),
-	.ibar(gnd),
-	.o(\GPIO_2[4]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[4]~input .bus_hold = "false";
-defparam \GPIO_2[4]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y15_N1
-cycloneive_io_ibuf \GPIO_2[5]~input (
-	.i(GPIO_2[5]),
-	.ibar(gnd),
-	.o(\GPIO_2[5]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[5]~input .bus_hold = "false";
-defparam \GPIO_2[5]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X3_Y34_N1
-cycloneive_io_ibuf \GPIO_2[6]~input (
-	.i(GPIO_2[6]),
-	.ibar(gnd),
-	.o(\GPIO_2[6]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[6]~input .bus_hold = "false";
-defparam \GPIO_2[6]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y34_N8
-cycloneive_io_ibuf \GPIO_2[7]~input (
-	.i(GPIO_2[7]),
-	.ibar(gnd),
-	.o(\GPIO_2[7]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[7]~input .bus_hold = "false";
-defparam \GPIO_2[7]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X11_Y0_N15
-cycloneive_io_ibuf \GPIO_2[8]~input (
-	.i(GPIO_2[8]),
-	.ibar(gnd),
-	.o(\GPIO_2[8]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[8]~input .bus_hold = "false";
-defparam \GPIO_2[8]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X3_Y0_N1
-cycloneive_io_ibuf \GPIO_2[9]~input (
-	.i(GPIO_2[9]),
-	.ibar(gnd),
-	.o(\GPIO_2[9]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[9]~input .bus_hold = "false";
-defparam \GPIO_2[9]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X43_Y34_N22
-cycloneive_io_ibuf \GPIO_2[10]~input (
-	.i(GPIO_2[10]),
-	.ibar(gnd),
-	.o(\GPIO_2[10]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[10]~input .bus_hold = "false";
-defparam \GPIO_2[10]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X23_Y34_N15
-cycloneive_io_ibuf \GPIO_2[11]~input (
-	.i(GPIO_2[11]),
-	.ibar(gnd),
-	.o(\GPIO_2[11]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[11]~input .bus_hold = "false";
-defparam \GPIO_2[11]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X20_Y34_N8
-cycloneive_io_ibuf \GPIO_2[12]~input (
-	.i(GPIO_2[12]),
-	.ibar(gnd),
-	.o(\GPIO_2[12]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[12]~input .bus_hold = "false";
-defparam \GPIO_2[12]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y34_N8
-cycloneive_io_ibuf \GPIO_0_PI[0]~input (
-	.i(GPIO_0_PI[0]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[0]~input .bus_hold = "false";
-defparam \GPIO_0_PI[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y4_N15
-cycloneive_io_ibuf \GPIO_0_PI[1]~input (
-	.i(GPIO_0_PI[1]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[1]~input .bus_hold = "false";
-defparam \GPIO_0_PI[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y5_N22
-cycloneive_io_ibuf \GPIO_0_PI[2]~input (
-	.i(GPIO_0_PI[2]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[2]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[2]~input .bus_hold = "false";
-defparam \GPIO_0_PI[2]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X18_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[3]~input (
-	.i(GPIO_0_PI[3]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[3]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[3]~input .bus_hold = "false";
-defparam \GPIO_0_PI[3]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y0_N15
-cycloneive_io_ibuf \GPIO_0_PI[4]~input (
-	.i(GPIO_0_PI[4]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[4]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[4]~input .bus_hold = "false";
-defparam \GPIO_0_PI[4]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[5]~input (
-	.i(GPIO_0_PI[5]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[5]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[5]~input .bus_hold = "false";
-defparam \GPIO_0_PI[5]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y6_N15
-cycloneive_io_ibuf \GPIO_0_PI[6]~input (
-	.i(GPIO_0_PI[6]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[6]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[6]~input .bus_hold = "false";
-defparam \GPIO_0_PI[6]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y0_N22
-cycloneive_io_ibuf \GPIO_0_PI[7]~input (
-	.i(GPIO_0_PI[7]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[7]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[7]~input .bus_hold = "false";
-defparam \GPIO_0_PI[7]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y4_N22
-cycloneive_io_ibuf \GPIO_0_PI[12]~input (
-	.i(GPIO_0_PI[12]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[12]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[12]~input .bus_hold = "false";
-defparam \GPIO_0_PI[12]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y8_N22
-cycloneive_io_ibuf \GPIO_0_PI[13]~input (
-	.i(GPIO_0_PI[13]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[13]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[13]~input .bus_hold = "false";
-defparam \GPIO_0_PI[13]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[14]~input (
-	.i(GPIO_0_PI[14]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[14]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[14]~input .bus_hold = "false";
-defparam \GPIO_0_PI[14]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[15]~input (
-	.i(GPIO_0_PI[15]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[15]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[15]~input .bus_hold = "false";
-defparam \GPIO_0_PI[15]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[16]~input (
-	.i(GPIO_0_PI[16]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[16]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[16]~input .bus_hold = "false";
-defparam \GPIO_0_PI[16]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X16_Y34_N8
-cycloneive_io_ibuf \GPIO_0_PI[17]~input (
-	.i(GPIO_0_PI[17]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[17]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[17]~input .bus_hold = "false";
-defparam \GPIO_0_PI[17]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y0_N8
-cycloneive_io_ibuf \GPIO_0_PI[18]~input (
-	.i(GPIO_0_PI[18]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[18]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[18]~input .bus_hold = "false";
-defparam \GPIO_0_PI[18]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X36_Y0_N8
-cycloneive_io_ibuf \GPIO_0_PI[19]~input (
-	.i(GPIO_0_PI[19]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[19]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[19]~input .bus_hold = "false";
-defparam \GPIO_0_PI[19]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y15_N8
-cycloneive_io_ibuf \GPIO_0_PI[20]~input (
-	.i(GPIO_0_PI[20]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[20]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[20]~input .bus_hold = "false";
-defparam \GPIO_0_PI[20]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X47_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[21]~input (
-	.i(GPIO_0_PI[21]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[21]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[21]~input .bus_hold = "false";
-defparam \GPIO_0_PI[21]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y0_N8
-cycloneive_io_ibuf \GPIO_0_PI[22]~input (
-	.i(GPIO_0_PI[22]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[22]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[22]~input .bus_hold = "false";
-defparam \GPIO_0_PI[22]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X20_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[23]~input (
-	.i(GPIO_0_PI[23]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[23]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[23]~input .bus_hold = "false";
-defparam \GPIO_0_PI[23]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X45_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[24]~input (
-	.i(GPIO_0_PI[24]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[24]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[24]~input .bus_hold = "false";
-defparam \GPIO_0_PI[24]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X40_Y0_N22
-cycloneive_io_ibuf \GPIO_0_PI[25]~input (
-	.i(GPIO_0_PI[25]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[25]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[25]~input .bus_hold = "false";
-defparam \GPIO_0_PI[25]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X18_Y0_N8
-cycloneive_io_ibuf \GPIO_0_PI[26]~input (
-	.i(GPIO_0_PI[26]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[26]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[26]~input .bus_hold = "false";
-defparam \GPIO_0_PI[26]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X45_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[27]~input (
-	.i(GPIO_0_PI[27]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[27]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[27]~input .bus_hold = "false";
-defparam \GPIO_0_PI[27]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X38_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[28]~input (
-	.i(GPIO_0_PI[28]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[28]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[28]~input .bus_hold = "false";
-defparam \GPIO_0_PI[28]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X40_Y34_N8
-cycloneive_io_ibuf \GPIO_0_PI[29]~input (
-	.i(GPIO_0_PI[29]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[29]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[29]~input .bus_hold = "false";
-defparam \GPIO_0_PI[29]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X18_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[30]~input (
-	.i(GPIO_0_PI[30]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[30]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[30]~input .bus_hold = "false";
-defparam \GPIO_0_PI[30]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X23_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[32]~input (
-	.i(GPIO_0_PI[32]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[32]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[32]~input .bus_hold = "false";
-defparam \GPIO_0_PI[32]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y22_N1
-cycloneive_io_ibuf \GPIO_0_PI[33]~input (
-	.i(GPIO_0_PI[33]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[33]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[33]~input .bus_hold = "false";
-defparam \GPIO_0_PI[33]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y0_N1
-cycloneive_io_ibuf \GPIO_1[0]~input (
-	.i(GPIO_1[0]),
-	.ibar(gnd),
-	.o(\GPIO_1[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[0]~input .bus_hold = "false";
-defparam \GPIO_1[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y0_N22
-cycloneive_io_ibuf \GPIO_1[1]~input (
-	.i(GPIO_1[1]),
-	.ibar(gnd),
-	.o(\GPIO_1[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[1]~input .bus_hold = "false";
-defparam \GPIO_1[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y20_N22
-cycloneive_io_ibuf \GPIO_1[2]~input (
-	.i(GPIO_1[2]),
-	.ibar(gnd),
-	.o(\GPIO_1[2]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[2]~input .bus_hold = "false";
-defparam \GPIO_1[2]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y23_N1
-cycloneive_io_ibuf \GPIO_1[3]~input (
-	.i(GPIO_1[3]),
-	.ibar(gnd),
-	.o(\GPIO_1[3]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[3]~input .bus_hold = "false";
-defparam \GPIO_1[3]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X7_Y34_N1
-cycloneive_io_ibuf \GPIO_1[4]~input (
-	.i(GPIO_1[4]),
-	.ibar(gnd),
-	.o(\GPIO_1[4]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[4]~input .bus_hold = "false";
-defparam \GPIO_1[4]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y11_N1
-cycloneive_io_ibuf \GPIO_1[5]~input (
-	.i(GPIO_1[5]),
-	.ibar(gnd),
-	.o(\GPIO_1[5]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[5]~input .bus_hold = "false";
-defparam \GPIO_1[5]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X51_Y34_N8
-cycloneive_io_ibuf \GPIO_1[6]~input (
-	.i(GPIO_1[6]),
-	.ibar(gnd),
-	.o(\GPIO_1[6]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[6]~input .bus_hold = "false";
-defparam \GPIO_1[6]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y0_N8
-cycloneive_io_ibuf \GPIO_1[7]~input (
-	.i(GPIO_1[7]),
-	.ibar(gnd),
-	.o(\GPIO_1[7]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[7]~input .bus_hold = "false";
-defparam \GPIO_1[7]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y22_N8
-cycloneive_io_ibuf \GPIO_1[8]~input (
-	.i(GPIO_1[8]),
-	.ibar(gnd),
-	.o(\GPIO_1[8]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[8]~input .bus_hold = "false";
-defparam \GPIO_1[8]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y0_N1
-cycloneive_io_ibuf \GPIO_1[9]~input (
-	.i(GPIO_1[9]),
-	.ibar(gnd),
-	.o(\GPIO_1[9]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[9]~input .bus_hold = "false";
-defparam \GPIO_1[9]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y11_N8
-cycloneive_io_ibuf \GPIO_1[10]~input (
-	.i(GPIO_1[10]),
-	.ibar(gnd),
-	.o(\GPIO_1[10]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[10]~input .bus_hold = "false";
-defparam \GPIO_1[10]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X45_Y34_N8
-cycloneive_io_ibuf \GPIO_1[11]~input (
-	.i(GPIO_1[11]),
-	.ibar(gnd),
-	.o(\GPIO_1[11]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[11]~input .bus_hold = "false";
-defparam \GPIO_1[11]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y26_N22
-cycloneive_io_ibuf \GPIO_1[12]~input (
-	.i(GPIO_1[12]),
-	.ibar(gnd),
-	.o(\GPIO_1[12]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[12]~input .bus_hold = "false";
-defparam \GPIO_1[12]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X40_Y0_N15
-cycloneive_io_ibuf \GPIO_1[13]~input (
-	.i(GPIO_1[13]),
-	.ibar(gnd),
-	.o(\GPIO_1[13]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[13]~input .bus_hold = "false";
-defparam \GPIO_1[13]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y12_N1
-cycloneive_io_ibuf \GPIO_1[14]~input (
-	.i(GPIO_1[14]),
-	.ibar(gnd),
-	.o(\GPIO_1[14]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[14]~input .bus_hold = "false";
-defparam \GPIO_1[14]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y30_N1
-cycloneive_io_ibuf \GPIO_1[15]~input (
-	.i(GPIO_1[15]),
-	.ibar(gnd),
-	.o(\GPIO_1[15]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[15]~input .bus_hold = "false";
-defparam \GPIO_1[15]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X25_Y0_N15
-cycloneive_io_ibuf \GPIO_1[16]~input (
-	.i(GPIO_1[16]),
-	.ibar(gnd),
-	.o(\GPIO_1[16]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[16]~input .bus_hold = "false";
-defparam \GPIO_1[16]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y0_N22
-cycloneive_io_ibuf \GPIO_1[17]~input (
-	.i(GPIO_1[17]),
-	.ibar(gnd),
-	.o(\GPIO_1[17]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[17]~input .bus_hold = "false";
-defparam \GPIO_1[17]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y7_N1
-cycloneive_io_ibuf \GPIO_1[18]~input (
-	.i(GPIO_1[18]),
-	.ibar(gnd),
-	.o(\GPIO_1[18]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[18]~input .bus_hold = "false";
-defparam \GPIO_1[18]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y30_N8
-cycloneive_io_ibuf \GPIO_1[19]~input (
-	.i(GPIO_1[19]),
-	.ibar(gnd),
-	.o(\GPIO_1[19]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[19]~input .bus_hold = "false";
-defparam \GPIO_1[19]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y27_N1
-cycloneive_io_ibuf \GPIO_1[20]~input (
-	.i(GPIO_1[20]),
-	.ibar(gnd),
-	.o(\GPIO_1[20]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[20]~input .bus_hold = "false";
-defparam \GPIO_1[20]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X47_Y0_N22
-cycloneive_io_ibuf \GPIO_1[21]~input (
-	.i(GPIO_1[21]),
-	.ibar(gnd),
-	.o(\GPIO_1[21]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[21]~input .bus_hold = "false";
-defparam \GPIO_1[21]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X11_Y34_N1
-cycloneive_io_ibuf \GPIO_1[22]~input (
-	.i(GPIO_1[22]),
-	.ibar(gnd),
-	.o(\GPIO_1[22]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[22]~input .bus_hold = "false";
-defparam \GPIO_1[22]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X20_Y0_N8
-cycloneive_io_ibuf \GPIO_1[23]~input (
-	.i(GPIO_1[23]),
-	.ibar(gnd),
-	.o(\GPIO_1[23]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[23]~input .bus_hold = "false";
-defparam \GPIO_1[23]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y0_N1
-cycloneive_io_ibuf \GPIO_1[24]~input (
-	.i(GPIO_1[24]),
-	.ibar(gnd),
-	.o(\GPIO_1[24]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[24]~input .bus_hold = "false";
-defparam \GPIO_1[24]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y21_N22
-cycloneive_io_ibuf \GPIO_1[25]~input (
-	.i(GPIO_1[25]),
-	.ibar(gnd),
-	.o(\GPIO_1[25]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[25]~input .bus_hold = "false";
-defparam \GPIO_1[25]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y0_N15
-cycloneive_io_ibuf \GPIO_1[26]~input (
-	.i(GPIO_1[26]),
-	.ibar(gnd),
-	.o(\GPIO_1[26]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[26]~input .bus_hold = "false";
-defparam \GPIO_1[26]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X7_Y0_N8
-cycloneive_io_ibuf \GPIO_1[27]~input (
-	.i(GPIO_1[27]),
-	.ibar(gnd),
-	.o(\GPIO_1[27]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[27]~input .bus_hold = "false";
-defparam \GPIO_1[27]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y23_N22
-cycloneive_io_ibuf \GPIO_1[28]~input (
-	.i(GPIO_1[28]),
-	.ibar(gnd),
-	.o(\GPIO_1[28]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[28]~input .bus_hold = "false";
-defparam \GPIO_1[28]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y14_N8
-cycloneive_io_ibuf \GPIO_1[29]~input (
-	.i(GPIO_1[29]),
-	.ibar(gnd),
-	.o(\GPIO_1[29]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[29]~input .bus_hold = "false";
-defparam \GPIO_1[29]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X45_Y0_N22
-cycloneive_io_ibuf \GPIO_1[30]~input (
-	.i(GPIO_1[30]),
-	.ibar(gnd),
-	.o(\GPIO_1[30]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[30]~input .bus_hold = "false";
-defparam \GPIO_1[30]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X49_Y0_N1
-cycloneive_io_ibuf \GPIO_1[31]~input (
-	.i(GPIO_1[31]),
-	.ibar(gnd),
-	.o(\GPIO_1[31]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[31]~input .bus_hold = "false";
-defparam \GPIO_1[31]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X31_Y34_N1
-cycloneive_io_ibuf \GPIO_1[32]~input (
-	.i(GPIO_1[32]),
-	.ibar(gnd),
-	.o(\GPIO_1[32]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[32]~input .bus_hold = "false";
-defparam \GPIO_1[32]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y6_N15
-cycloneive_io_ibuf \GPIO_1[33]~input (
-	.i(GPIO_1[33]),
-	.ibar(gnd),
-	.o(\GPIO_1[33]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[33]~input .bus_hold = "false";
-defparam \GPIO_1[33]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X16_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[11]~input (
-	.i(GPIO_0_PI[11]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[11]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[11]~input .bus_hold = "false";
-defparam \GPIO_0_PI[11]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-assign LED[0] = \LED[0]~output_o ;
-
-assign LED[1] = \LED[1]~output_o ;
-
-assign LED[2] = \LED[2]~output_o ;
-
-assign LED[3] = \LED[3]~output_o ;
-
-assign LED[4] = \LED[4]~output_o ;
-
-assign LED[5] = \LED[5]~output_o ;
-
-assign LED[6] = \LED[6]~output_o ;
-
-assign LED[7] = \LED[7]~output_o ;
-
-assign GPIO_2[0] = \GPIO_2[0]~output_o ;
-
-assign GPIO_2[1] = \GPIO_2[1]~output_o ;
-
-assign GPIO_2[2] = \GPIO_2[2]~output_o ;
-
-assign GPIO_2[3] = \GPIO_2[3]~output_o ;
-
-assign GPIO_2[4] = \GPIO_2[4]~output_o ;
-
-assign GPIO_2[5] = \GPIO_2[5]~output_o ;
-
-assign GPIO_2[6] = \GPIO_2[6]~output_o ;
-
-assign GPIO_2[7] = \GPIO_2[7]~output_o ;
-
-assign GPIO_2[8] = \GPIO_2[8]~output_o ;
-
-assign GPIO_2[9] = \GPIO_2[9]~output_o ;
-
-assign GPIO_2[10] = \GPIO_2[10]~output_o ;
-
-assign GPIO_2[11] = \GPIO_2[11]~output_o ;
-
-assign GPIO_2[12] = \GPIO_2[12]~output_o ;
-
-assign GPIO_0_PI[0] = \GPIO_0_PI[0]~output_o ;
-
-assign GPIO_0_PI[1] = \GPIO_0_PI[1]~output_o ;
-
-assign GPIO_0_PI[2] = \GPIO_0_PI[2]~output_o ;
-
-assign GPIO_0_PI[3] = \GPIO_0_PI[3]~output_o ;
-
-assign GPIO_0_PI[4] = \GPIO_0_PI[4]~output_o ;
-
-assign GPIO_0_PI[5] = \GPIO_0_PI[5]~output_o ;
-
-assign GPIO_0_PI[6] = \GPIO_0_PI[6]~output_o ;
-
-assign GPIO_0_PI[7] = \GPIO_0_PI[7]~output_o ;
-
-assign GPIO_0_PI[12] = \GPIO_0_PI[12]~output_o ;
-
-assign GPIO_0_PI[13] = \GPIO_0_PI[13]~output_o ;
-
-assign GPIO_0_PI[14] = \GPIO_0_PI[14]~output_o ;
-
-assign GPIO_0_PI[15] = \GPIO_0_PI[15]~output_o ;
-
-assign GPIO_0_PI[16] = \GPIO_0_PI[16]~output_o ;
-
-assign GPIO_0_PI[17] = \GPIO_0_PI[17]~output_o ;
-
-assign GPIO_0_PI[18] = \GPIO_0_PI[18]~output_o ;
-
-assign GPIO_0_PI[19] = \GPIO_0_PI[19]~output_o ;
-
-assign GPIO_0_PI[20] = \GPIO_0_PI[20]~output_o ;
-
-assign GPIO_0_PI[21] = \GPIO_0_PI[21]~output_o ;
-
-assign GPIO_0_PI[22] = \GPIO_0_PI[22]~output_o ;
-
-assign GPIO_0_PI[23] = \GPIO_0_PI[23]~output_o ;
-
-assign GPIO_0_PI[24] = \GPIO_0_PI[24]~output_o ;
-
-assign GPIO_0_PI[25] = \GPIO_0_PI[25]~output_o ;
-
-assign GPIO_0_PI[26] = \GPIO_0_PI[26]~output_o ;
-
-assign GPIO_0_PI[27] = \GPIO_0_PI[27]~output_o ;
-
-assign GPIO_0_PI[28] = \GPIO_0_PI[28]~output_o ;
-
-assign GPIO_0_PI[29] = \GPIO_0_PI[29]~output_o ;
-
-assign GPIO_0_PI[30] = \GPIO_0_PI[30]~output_o ;
-
-assign GPIO_0_PI[32] = \GPIO_0_PI[32]~output_o ;
-
-assign GPIO_0_PI[33] = \GPIO_0_PI[33]~output_o ;
-
-assign GPIO_1[0] = \GPIO_1[0]~output_o ;
-
-assign GPIO_1[1] = \GPIO_1[1]~output_o ;
-
-assign GPIO_1[2] = \GPIO_1[2]~output_o ;
-
-assign GPIO_1[3] = \GPIO_1[3]~output_o ;
-
-assign GPIO_1[4] = \GPIO_1[4]~output_o ;
-
-assign GPIO_1[5] = \GPIO_1[5]~output_o ;
-
-assign GPIO_1[6] = \GPIO_1[6]~output_o ;
-
-assign GPIO_1[7] = \GPIO_1[7]~output_o ;
-
-assign GPIO_1[8] = \GPIO_1[8]~output_o ;
-
-assign GPIO_1[9] = \GPIO_1[9]~output_o ;
-
-assign GPIO_1[10] = \GPIO_1[10]~output_o ;
-
-assign GPIO_1[11] = \GPIO_1[11]~output_o ;
-
-assign GPIO_1[12] = \GPIO_1[12]~output_o ;
-
-assign GPIO_1[13] = \GPIO_1[13]~output_o ;
-
-assign GPIO_1[14] = \GPIO_1[14]~output_o ;
-
-assign GPIO_1[15] = \GPIO_1[15]~output_o ;
-
-assign GPIO_1[16] = \GPIO_1[16]~output_o ;
-
-assign GPIO_1[17] = \GPIO_1[17]~output_o ;
-
-assign GPIO_1[18] = \GPIO_1[18]~output_o ;
-
-assign GPIO_1[19] = \GPIO_1[19]~output_o ;
-
-assign GPIO_1[20] = \GPIO_1[20]~output_o ;
-
-assign GPIO_1[21] = \GPIO_1[21]~output_o ;
-
-assign GPIO_1[22] = \GPIO_1[22]~output_o ;
-
-assign GPIO_1[23] = \GPIO_1[23]~output_o ;
-
-assign GPIO_1[24] = \GPIO_1[24]~output_o ;
-
-assign GPIO_1[25] = \GPIO_1[25]~output_o ;
-
-assign GPIO_1[26] = \GPIO_1[26]~output_o ;
-
-assign GPIO_1[27] = \GPIO_1[27]~output_o ;
-
-assign GPIO_1[28] = \GPIO_1[28]~output_o ;
-
-assign GPIO_1[29] = \GPIO_1[29]~output_o ;
-
-assign GPIO_1[30] = \GPIO_1[30]~output_o ;
-
-assign GPIO_1[31] = \GPIO_1[31]~output_o ;
-
-assign GPIO_1[32] = \GPIO_1[32]~output_o ;
-
-assign GPIO_1[33] = \GPIO_1[33]~output_o ;
-
-assign GPIO_0_PI[8] = \GPIO_0_PI[8]~output_o ;
-
-assign GPIO_0_PI[9] = \GPIO_0_PI[9]~output_o ;
-
-assign GPIO_0_PI[10] = \GPIO_0_PI[10]~output_o ;
-
-assign GPIO_0_PI[11] = \GPIO_0_PI[11]~output_o ;
-
-assign GPIO_0_PI[31] = \GPIO_0_PI[31]~output_o ;
-
-endmodule
-
-module hard_block (
-
-	devpor,
-	devclrn,
-	devoe);
-
-// Design Ports Information
-// ~ALTERA_ASDO_DATA1~	=>  Location: PIN_C1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// ~ALTERA_FLASH_nCE_nCSO~	=>  Location: PIN_D2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// ~ALTERA_DCLK~	=>  Location: PIN_H1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// ~ALTERA_DATA0~	=>  Location: PIN_H2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// ~ALTERA_nCEO~	=>  Location: PIN_F16,	 I/O Standard: 2.5 V,	 Current Strength: 8mA
-
-input 	devpor;
-input 	devclrn;
-input 	devoe;
-
-wire gnd;
-wire vcc;
-wire unknown;
-
-assign gnd = 1'b0;
-assign vcc = 1'b1;
-assign unknown = 1'bx;
-
-wire \~ALTERA_ASDO_DATA1~~padout ;
-wire \~ALTERA_FLASH_nCE_nCSO~~padout ;
-wire \~ALTERA_DATA0~~padout ;
-wire \~ALTERA_ASDO_DATA1~~ibuf_o ;
-wire \~ALTERA_FLASH_nCE_nCSO~~ibuf_o ;
-wire \~ALTERA_DATA0~~ibuf_o ;
-
-
-endmodule
diff --git a/SPI/simulation/modelsim/SPI_test_6_1200mv_85c_v_slow.sdo b/SPI/simulation/modelsim/SPI_test_6_1200mv_85c_v_slow.sdo
deleted file mode 100644
index 1d05890..0000000
--- a/SPI/simulation/modelsim/SPI_test_6_1200mv_85c_v_slow.sdo
+++ /dev/null
@@ -1,1172 +0,0 @@
-// Copyright (C) 2018  Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions 
-// and other software and tools, and its AMPP partner logic 
-// functions, and any output files from any of the foregoing 
-// (including device programming or simulation files), and any 
-// associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License 
-// Subscription Agreement, the Intel Quartus Prime License Agreement,
-// the Intel FPGA IP License Agreement, or other applicable license
-// agreement, including, without limitation, that your use is for
-// the sole purpose of programming logic devices manufactured by
-// Intel and sold by Intel or its authorized distributors.  Please
-// refer to the applicable agreement for further details.
-
-
-// 
-// Device: Altera EP4CE22F17C6 Package FBGA256
-// 
-
-//
-// This file contains Slow Corner delays for the design using part EP4CE22F17C6,
-// with speed grade 6, core voltage 1.2VmV, and temperature 85 Celsius
-//
-
-// 
-// This SDF file should be used for ModelSim-Altera (SystemVerilog) only
-// 
-
-(DELAYFILE
-  (SDFVERSION "2.1")
-  (DESIGN "MyDE0_Nano")
-  (DATE "11/16/2022 16:51:48")
-  (VENDOR "Altera")
-  (PROGRAM "Quartus Prime")
-  (VERSION "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition")
-  (DIVIDER .)
-  (TIMESCALE 1 ps)
-
-  (CELL
-    (CELLTYPE "cycloneive_io_obuf")
-    (INSTANCE GPIO_0_PI\[11\]\~output)
-    (DELAY
-      (ABSOLUTE
-        (PORT i (699:699:699) (676:676:676))
-        (PORT oe (3075:3075:3075) (2835:2835:2835))
-        (IOPATH i o (2593:2593:2593) (2562:2562:2562))
-        (IOPATH oe o (2615:2615:2615) (2536:2536:2536))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_io_ibuf")
-    (INSTANCE GPIO_0_PI\[8\]\~input)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH i o (516:516:516) (681:681:681))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_clkctrl")
-    (INSTANCE GPIO_0_PI\[8\]\~inputclkctrl)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH inclk outclk (206:206:206) (195:195:195))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[0\]\~12)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH datac combout (353:353:353) (369:369:369))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[0\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1549:1549:1549) (1551:1551:1551))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[1\]\~4)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (274:274:274) (364:364:364))
-        (PORT datab (270:270:270) (355:355:355))
-        (IOPATH dataa combout (339:339:339) (367:367:367))
-        (IOPATH dataa cout (436:436:436) (315:315:315))
-        (IOPATH datab combout (344:344:344) (369:369:369))
-        (IOPATH datab cout (446:446:446) (318:318:318))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[1\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1549:1549:1549) (1551:1551:1551))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[2\]\~6)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (409:409:409) (483:483:483))
-        (IOPATH datab combout (365:365:365) (373:373:373))
-        (IOPATH datab cout (446:446:446) (318:318:318))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-        (IOPATH cin combout (455:455:455) (437:437:437))
-        (IOPATH cin cout (58:58:58) (58:58:58))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[2\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1549:1549:1549) (1551:1551:1551))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[3\]\~8)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (272:272:272) (361:361:361))
-        (IOPATH dataa combout (354:354:354) (367:367:367))
-        (IOPATH dataa cout (436:436:436) (315:315:315))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-        (IOPATH cin combout (455:455:455) (437:437:437))
-        (IOPATH cin cout (58:58:58) (58:58:58))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[3\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1549:1549:1549) (1551:1551:1551))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[4\]\~10)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (367:367:367) (478:478:478))
-        (IOPATH datab combout (365:365:365) (373:373:373))
-        (IOPATH cin combout (455:455:455) (437:437:437))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[4\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1549:1549:1549) (1551:1551:1551))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_io_ibuf")
-    (INSTANCE GPIO_0_PI\[31\]\~input)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH i o (514:514:514) (679:679:679))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_io_ibuf")
-    (INSTANCE GPIO_0_PI\[9\]\~input)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH i o (514:514:514) (679:679:679))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[0\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1543:1543:1543) (1559:1559:1559))
-        (PORT asdata (3670:3670:3670) (3988:3988:3988))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD asdata (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|Equal0\~0)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (273:273:273) (364:364:364))
-        (PORT datab (272:272:272) (357:357:357))
-        (PORT datac (237:237:237) (313:313:313))
-        (PORT datad (246:246:246) (319:319:319))
-        (IOPATH dataa combout (350:350:350) (366:366:366))
-        (IOPATH datab combout (350:350:350) (368:368:368))
-        (IOPATH datac combout (241:241:241) (241:241:241))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~31)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (491:491:491) (582:582:582))
-        (PORT datab (3612:3612:3612) (3959:3959:3959))
-        (PORT datac (218:218:218) (295:295:295))
-        (PORT datad (406:406:406) (430:430:430))
-        (IOPATH dataa combout (325:325:325) (320:320:320))
-        (IOPATH datab combout (306:306:306) (308:308:308))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[1\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1543:1543:1543) (1559:1559:1559))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~30)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (492:492:492) (583:583:583))
-        (PORT datac (217:217:217) (293:293:293))
-        (PORT datad (407:407:407) (429:429:429))
-        (IOPATH dataa combout (304:304:304) (307:307:307))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[2\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1543:1543:1543) (1559:1559:1559))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~29)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (494:494:494) (582:582:582))
-        (PORT datab (244:244:244) (327:327:327))
-        (PORT datad (410:410:410) (429:429:429))
-        (IOPATH dataa combout (300:300:300) (308:308:308))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[3\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1543:1543:1543) (1559:1559:1559))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~28)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (482:482:482) (574:574:574))
-        (PORT datac (219:219:219) (296:296:296))
-        (PORT datad (410:410:410) (430:430:430))
-        (IOPATH dataa combout (304:304:304) (307:307:307))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[4\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1543:1543:1543) (1559:1559:1559))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~27)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (492:492:492) (581:581:581))
-        (PORT datac (218:218:218) (296:296:296))
-        (PORT datad (405:405:405) (428:428:428))
-        (IOPATH dataa combout (304:304:304) (307:307:307))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[5\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1543:1543:1543) (1559:1559:1559))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~26)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (493:493:493) (582:582:582))
-        (PORT datab (245:245:245) (327:327:327))
-        (PORT datad (409:409:409) (428:428:428))
-        (IOPATH dataa combout (300:300:300) (308:308:308))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[6\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1543:1543:1543) (1559:1559:1559))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~25)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (483:483:483) (576:576:576))
-        (PORT datab (246:246:246) (330:330:330))
-        (PORT datad (410:410:410) (433:433:433))
-        (IOPATH dataa combout (300:300:300) (308:308:308))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[7\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1543:1543:1543) (1559:1559:1559))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~24)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (369:369:369) (483:483:483))
-        (PORT datac (361:361:361) (431:431:431))
-        (PORT datad (281:281:281) (328:328:328))
-        (IOPATH datab combout (306:306:306) (308:308:308))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[8\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~23)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (246:246:246) (329:329:329))
-        (PORT datac (338:338:338) (447:447:447))
-        (PORT datad (279:279:279) (326:326:326))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[9\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~22)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (244:244:244) (326:326:326))
-        (PORT datac (338:338:338) (448:448:448))
-        (PORT datad (280:280:280) (326:326:326))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[10\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~21)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (368:368:368) (485:485:485))
-        (PORT datac (216:216:216) (293:293:293))
-        (PORT datad (280:280:280) (325:325:325))
-        (IOPATH datab combout (306:306:306) (308:308:308))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[11\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~20)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (244:244:244) (326:326:326))
-        (PORT datac (337:337:337) (446:446:446))
-        (PORT datad (279:279:279) (325:325:325))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[12\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~19)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (493:493:493) (582:582:582))
-        (PORT datac (382:382:382) (442:442:442))
-        (PORT datad (405:405:405) (429:429:429))
-        (IOPATH dataa combout (304:304:304) (307:307:307))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[13\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1543:1543:1543) (1559:1559:1559))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~18)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (366:366:366) (485:485:485))
-        (PORT datac (360:360:360) (431:431:431))
-        (PORT datad (281:281:281) (331:331:331))
-        (IOPATH datab combout (306:306:306) (308:308:308))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[14\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~17)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (246:246:246) (329:329:329))
-        (PORT datac (332:332:332) (442:442:442))
-        (PORT datad (281:281:281) (331:331:331))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[15\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~16)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (372:372:372) (486:486:486))
-        (PORT datac (364:364:364) (424:424:424))
-        (PORT datad (281:281:281) (328:328:328))
-        (IOPATH datab combout (306:306:306) (308:308:308))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[16\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~15)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (245:245:245) (327:327:327))
-        (PORT datac (335:335:335) (444:444:444))
-        (PORT datad (281:281:281) (327:327:327))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[17\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~14)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (360:360:360) (475:475:475))
-        (PORT datac (219:219:219) (296:296:296))
-        (PORT datad (280:280:280) (328:328:328))
-        (IOPATH datab combout (306:306:306) (308:308:308))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[18\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~13)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (570:570:570) (668:668:668))
-        (PORT datac (396:396:396) (461:461:461))
-        (PORT datad (464:464:464) (508:508:508))
-        (IOPATH datab combout (306:306:306) (308:308:308))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[19\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~12)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (246:246:246) (329:329:329))
-        (PORT datac (517:517:517) (627:627:627))
-        (PORT datad (465:465:465) (514:514:514))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[20\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~10)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (412:412:412) (491:491:491))
-        (PORT datac (519:519:519) (627:627:627))
-        (PORT datad (383:383:383) (444:444:444))
-        (IOPATH dataa combout (354:354:354) (367:367:367))
-        (IOPATH datac combout (243:243:243) (241:241:241))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~11)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (460:460:460) (530:530:530))
-        (PORT datab (246:246:246) (330:330:330))
-        (PORT datac (412:412:412) (477:477:477))
-        (PORT datad (176:176:176) (202:202:202))
-        (IOPATH dataa combout (339:339:339) (367:367:367))
-        (IOPATH datab combout (344:344:344) (369:369:369))
-        (IOPATH datac combout (243:243:243) (241:241:241))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[21\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~9)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (248:248:248) (336:336:336))
-        (PORT datac (522:522:522) (627:627:627))
-        (PORT datad (464:464:464) (513:513:513))
-        (IOPATH dataa combout (354:354:354) (367:367:367))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[22\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~8)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (246:246:246) (333:333:333))
-        (PORT datac (519:519:519) (624:624:624))
-        (PORT datad (465:465:465) (515:515:515))
-        (IOPATH dataa combout (354:354:354) (367:367:367))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[23\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~7)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (246:246:246) (333:333:333))
-        (PORT datac (520:520:520) (621:621:621))
-        (PORT datad (467:467:467) (515:515:515))
-        (IOPATH dataa combout (354:354:354) (367:367:367))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[24\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~6)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (247:247:247) (331:331:331))
-        (PORT datac (532:532:532) (632:632:632))
-        (PORT datad (465:465:465) (508:508:508))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[25\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~5)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (246:246:246) (335:335:335))
-        (PORT datac (533:533:533) (633:633:633))
-        (PORT datad (460:460:460) (510:510:510))
-        (IOPATH dataa combout (354:354:354) (367:367:367))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[26\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~4)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (245:245:245) (329:329:329))
-        (PORT datac (524:524:524) (631:631:631))
-        (PORT datad (464:464:464) (513:513:513))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[27\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~3)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (245:245:245) (329:329:329))
-        (PORT datac (531:531:531) (632:632:632))
-        (PORT datad (462:462:462) (514:514:514))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[28\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~2)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (245:245:245) (329:329:329))
-        (PORT datac (532:532:532) (632:632:632))
-        (PORT datad (459:459:459) (509:509:509))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[29\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~1)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (245:245:245) (326:326:326))
-        (PORT datac (529:529:529) (635:635:635))
-        (PORT datad (462:462:462) (500:500:500))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[30\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~0)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (245:245:245) (327:327:327))
-        (PORT datac (528:528:528) (635:635:635))
-        (PORT datad (461:461:461) (503:503:503))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[31\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|qdelayed)
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1548:1548:1548) (1550:1550:1550))
-        (PORT asdata (561:561:561) (635:635:635))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD asdata (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|miso\~0)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (558:558:558) (655:655:655))
-        (PORT datad (465:465:465) (501:501:501))
-        (IOPATH datab combout (306:306:306) (308:308:308))
-        (IOPATH datac combout (353:353:353) (369:369:369))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_io_ibuf")
-    (INSTANCE GPIO_0_PI\[10\]\~input)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH i o (514:514:514) (679:679:679))
-      )
-    )
-  )
-)
diff --git a/SPI/simulation/modelsim/SPI_test_min_1200mv_0c_fast.svo b/SPI/simulation/modelsim/SPI_test_min_1200mv_0c_fast.svo
deleted file mode 100644
index b3851c9..0000000
--- a/SPI/simulation/modelsim/SPI_test_min_1200mv_0c_fast.svo
+++ /dev/null
@@ -1,4083 +0,0 @@
-// Copyright (C) 2018  Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions 
-// and other software and tools, and its AMPP partner logic 
-// functions, and any output files from any of the foregoing 
-// (including device programming or simulation files), and any 
-// associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License 
-// Subscription Agreement, the Intel Quartus Prime License Agreement,
-// the Intel FPGA IP License Agreement, or other applicable license
-// agreement, including, without limitation, that your use is for
-// the sole purpose of programming logic devices manufactured by
-// Intel and sold by Intel or its authorized distributors.  Please
-// refer to the applicable agreement for further details.
-
-// VENDOR "Altera"
-// PROGRAM "Quartus Prime"
-// VERSION "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition"
-
-// DATE "11/16/2022 16:51:48"
-
-// 
-// Device: Altera EP4CE22F17C6 Package FBGA256
-// 
-
-// 
-// This Verilog file should be used for ModelSim-Altera (SystemVerilog) only
-// 
-
-`timescale 1 ps/ 1 ps
-
-module MyDE0_Nano (
-	CLOCK_50,
-	LED,
-	KEY,
-	GPIO_2,
-	GPIO_2_IN,
-	GPIO_0_PI,
-	GPIO_0_PI_IN,
-	GPIO_1,
-	GPIO_1_IN);
-input 	CLOCK_50;
-output 	[7:0] LED;
-input 	[1:0] KEY;
-output 	[12:0] GPIO_2;
-input 	[2:0] GPIO_2_IN;
-output 	[33:0] GPIO_0_PI;
-input 	[1:0] GPIO_0_PI_IN;
-output 	[33:0] GPIO_1;
-input 	[1:0] GPIO_1_IN;
-
-// Design Ports Information
-// CLOCK_50	=>  Location: PIN_R7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[0]	=>  Location: PIN_A15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[1]	=>  Location: PIN_A13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[2]	=>  Location: PIN_B13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[3]	=>  Location: PIN_A11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[4]	=>  Location: PIN_D1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[5]	=>  Location: PIN_F3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[6]	=>  Location: PIN_B1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// LED[7]	=>  Location: PIN_L3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// KEY[0]	=>  Location: PIN_J15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// KEY[1]	=>  Location: PIN_E1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2_IN[0]	=>  Location: PIN_E16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2_IN[1]	=>  Location: PIN_E15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2_IN[2]	=>  Location: PIN_D6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI_IN[0]	=>  Location: PIN_E6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI_IN[1]	=>  Location: PIN_C14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1_IN[0]	=>  Location: PIN_A12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1_IN[1]	=>  Location: PIN_T10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[0]	=>  Location: PIN_R4,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[1]	=>  Location: PIN_D12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[2]	=>  Location: PIN_F2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[3]	=>  Location: PIN_G5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[4]	=>  Location: PIN_T4,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[5]	=>  Location: PIN_J2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[6]	=>  Location: PIN_B3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[7]	=>  Location: PIN_D3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[8]	=>  Location: PIN_M7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[9]	=>  Location: PIN_T2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[10]	=>  Location: PIN_B12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[11]	=>  Location: PIN_C8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_2[12]	=>  Location: PIN_E8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[0]	=>  Location: PIN_A10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[1]	=>  Location: PIN_P2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[2]	=>  Location: PIN_R1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[3]	=>  Location: PIN_C6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[4]	=>  Location: PIN_T5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[5]	=>  Location: PIN_A5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[6]	=>  Location: PIN_P15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[7]	=>  Location: PIN_R5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[12]	=>  Location: PIN_P1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[13]	=>  Location: PIN_R16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[14]	=>  Location: PIN_C3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[15]	=>  Location: PIN_B10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[16]	=>  Location: PIN_F9,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[17]	=>  Location: PIN_B6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[18]	=>  Location: PIN_R3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[19]	=>  Location: PIN_T12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[20]	=>  Location: PIN_J1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[21]	=>  Location: PIN_A14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[22]	=>  Location: PIN_R6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[23]	=>  Location: PIN_A7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[24]	=>  Location: PIN_B14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[25]	=>  Location: PIN_R13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[26]	=>  Location: PIN_L8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[27]	=>  Location: PIN_E10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[28]	=>  Location: PIN_C11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[29]	=>  Location: PIN_B11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[30]	=>  Location: PIN_B7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[32]	=>  Location: PIN_D8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[33]	=>  Location: PIN_B16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[0]	=>  Location: PIN_T6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[1]	=>  Location: PIN_N3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[2]	=>  Location: PIN_G16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[3]	=>  Location: PIN_F1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[4]	=>  Location: PIN_B4,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[5]	=>  Location: PIN_L15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[6]	=>  Location: PIN_D14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[7]	=>  Location: PIN_N5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[8]	=>  Location: PIN_F15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[9]	=>  Location: PIN_R11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[10]	=>  Location: PIN_L1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[11]	=>  Location: PIN_E11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[12]	=>  Location: PIN_D15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[13]	=>  Location: PIN_T13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[14]	=>  Location: PIN_K16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[15]	=>  Location: PIN_C15,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[16]	=>  Location: PIN_P8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[17]	=>  Location: PIN_R10,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[18]	=>  Location: PIN_N1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[19]	=>  Location: PIN_C16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[20]	=>  Location: PIN_C2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[21]	=>  Location: PIN_N12,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[22]	=>  Location: PIN_B5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[23]	=>  Location: PIN_M8,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[24]	=>  Location: PIN_N6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[25]	=>  Location: PIN_F13,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[26]	=>  Location: PIN_P3,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[27]	=>  Location: PIN_M6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[28]	=>  Location: PIN_G1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[29]	=>  Location: PIN_J16,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[30]	=>  Location: PIN_T14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[31]	=>  Location: PIN_R14,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[32]	=>  Location: PIN_C9,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_1[33]	=>  Location: PIN_L4,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[8]	=>  Location: PIN_G2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[9]	=>  Location: PIN_D5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[10]	=>  Location: PIN_E7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[11]	=>  Location: PIN_A6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// GPIO_0_PI[31]	=>  Location: PIN_D11,	 I/O Standard: 2.5 V,	 Current Strength: Default
-
-
-wire gnd;
-wire vcc;
-wire unknown;
-
-assign gnd = 1'b0;
-assign vcc = 1'b1;
-assign unknown = 1'bx;
-
-tri1 devclrn;
-tri1 devpor;
-tri1 devoe;
-// synopsys translate_off
-initial $sdf_annotate("SPI_test_min_1200mv_0c_v_fast.sdo");
-// synopsys translate_on
-
-wire \CLOCK_50~input_o ;
-wire \KEY[0]~input_o ;
-wire \KEY[1]~input_o ;
-wire \GPIO_2_IN[0]~input_o ;
-wire \GPIO_2_IN[1]~input_o ;
-wire \GPIO_2_IN[2]~input_o ;
-wire \GPIO_0_PI_IN[0]~input_o ;
-wire \GPIO_0_PI_IN[1]~input_o ;
-wire \GPIO_1_IN[0]~input_o ;
-wire \GPIO_1_IN[1]~input_o ;
-wire \GPIO_2[0]~input_o ;
-wire \GPIO_2[1]~input_o ;
-wire \GPIO_2[2]~input_o ;
-wire \GPIO_2[3]~input_o ;
-wire \GPIO_2[4]~input_o ;
-wire \GPIO_2[5]~input_o ;
-wire \GPIO_2[6]~input_o ;
-wire \GPIO_2[7]~input_o ;
-wire \GPIO_2[8]~input_o ;
-wire \GPIO_2[9]~input_o ;
-wire \GPIO_2[10]~input_o ;
-wire \GPIO_2[11]~input_o ;
-wire \GPIO_2[12]~input_o ;
-wire \GPIO_0_PI[0]~input_o ;
-wire \GPIO_0_PI[1]~input_o ;
-wire \GPIO_0_PI[2]~input_o ;
-wire \GPIO_0_PI[3]~input_o ;
-wire \GPIO_0_PI[4]~input_o ;
-wire \GPIO_0_PI[5]~input_o ;
-wire \GPIO_0_PI[6]~input_o ;
-wire \GPIO_0_PI[7]~input_o ;
-wire \GPIO_0_PI[12]~input_o ;
-wire \GPIO_0_PI[13]~input_o ;
-wire \GPIO_0_PI[14]~input_o ;
-wire \GPIO_0_PI[15]~input_o ;
-wire \GPIO_0_PI[16]~input_o ;
-wire \GPIO_0_PI[17]~input_o ;
-wire \GPIO_0_PI[18]~input_o ;
-wire \GPIO_0_PI[19]~input_o ;
-wire \GPIO_0_PI[20]~input_o ;
-wire \GPIO_0_PI[21]~input_o ;
-wire \GPIO_0_PI[22]~input_o ;
-wire \GPIO_0_PI[23]~input_o ;
-wire \GPIO_0_PI[24]~input_o ;
-wire \GPIO_0_PI[25]~input_o ;
-wire \GPIO_0_PI[26]~input_o ;
-wire \GPIO_0_PI[27]~input_o ;
-wire \GPIO_0_PI[28]~input_o ;
-wire \GPIO_0_PI[29]~input_o ;
-wire \GPIO_0_PI[30]~input_o ;
-wire \GPIO_0_PI[32]~input_o ;
-wire \GPIO_0_PI[33]~input_o ;
-wire \GPIO_1[0]~input_o ;
-wire \GPIO_1[1]~input_o ;
-wire \GPIO_1[2]~input_o ;
-wire \GPIO_1[3]~input_o ;
-wire \GPIO_1[4]~input_o ;
-wire \GPIO_1[5]~input_o ;
-wire \GPIO_1[6]~input_o ;
-wire \GPIO_1[7]~input_o ;
-wire \GPIO_1[8]~input_o ;
-wire \GPIO_1[9]~input_o ;
-wire \GPIO_1[10]~input_o ;
-wire \GPIO_1[11]~input_o ;
-wire \GPIO_1[12]~input_o ;
-wire \GPIO_1[13]~input_o ;
-wire \GPIO_1[14]~input_o ;
-wire \GPIO_1[15]~input_o ;
-wire \GPIO_1[16]~input_o ;
-wire \GPIO_1[17]~input_o ;
-wire \GPIO_1[18]~input_o ;
-wire \GPIO_1[19]~input_o ;
-wire \GPIO_1[20]~input_o ;
-wire \GPIO_1[21]~input_o ;
-wire \GPIO_1[22]~input_o ;
-wire \GPIO_1[23]~input_o ;
-wire \GPIO_1[24]~input_o ;
-wire \GPIO_1[25]~input_o ;
-wire \GPIO_1[26]~input_o ;
-wire \GPIO_1[27]~input_o ;
-wire \GPIO_1[28]~input_o ;
-wire \GPIO_1[29]~input_o ;
-wire \GPIO_1[30]~input_o ;
-wire \GPIO_1[31]~input_o ;
-wire \GPIO_1[32]~input_o ;
-wire \GPIO_1[33]~input_o ;
-wire \GPIO_0_PI[11]~input_o ;
-wire \GPIO_2[0]~output_o ;
-wire \GPIO_2[1]~output_o ;
-wire \GPIO_2[2]~output_o ;
-wire \GPIO_2[3]~output_o ;
-wire \GPIO_2[4]~output_o ;
-wire \GPIO_2[5]~output_o ;
-wire \GPIO_2[6]~output_o ;
-wire \GPIO_2[7]~output_o ;
-wire \GPIO_2[8]~output_o ;
-wire \GPIO_2[9]~output_o ;
-wire \GPIO_2[10]~output_o ;
-wire \GPIO_2[11]~output_o ;
-wire \GPIO_2[12]~output_o ;
-wire \GPIO_0_PI[0]~output_o ;
-wire \GPIO_0_PI[1]~output_o ;
-wire \GPIO_0_PI[2]~output_o ;
-wire \GPIO_0_PI[3]~output_o ;
-wire \GPIO_0_PI[4]~output_o ;
-wire \GPIO_0_PI[5]~output_o ;
-wire \GPIO_0_PI[6]~output_o ;
-wire \GPIO_0_PI[7]~output_o ;
-wire \GPIO_0_PI[12]~output_o ;
-wire \GPIO_0_PI[13]~output_o ;
-wire \GPIO_0_PI[14]~output_o ;
-wire \GPIO_0_PI[15]~output_o ;
-wire \GPIO_0_PI[16]~output_o ;
-wire \GPIO_0_PI[17]~output_o ;
-wire \GPIO_0_PI[18]~output_o ;
-wire \GPIO_0_PI[19]~output_o ;
-wire \GPIO_0_PI[20]~output_o ;
-wire \GPIO_0_PI[21]~output_o ;
-wire \GPIO_0_PI[22]~output_o ;
-wire \GPIO_0_PI[23]~output_o ;
-wire \GPIO_0_PI[24]~output_o ;
-wire \GPIO_0_PI[25]~output_o ;
-wire \GPIO_0_PI[26]~output_o ;
-wire \GPIO_0_PI[27]~output_o ;
-wire \GPIO_0_PI[28]~output_o ;
-wire \GPIO_0_PI[29]~output_o ;
-wire \GPIO_0_PI[30]~output_o ;
-wire \GPIO_0_PI[32]~output_o ;
-wire \GPIO_0_PI[33]~output_o ;
-wire \GPIO_1[0]~output_o ;
-wire \GPIO_1[1]~output_o ;
-wire \GPIO_1[2]~output_o ;
-wire \GPIO_1[3]~output_o ;
-wire \GPIO_1[4]~output_o ;
-wire \GPIO_1[5]~output_o ;
-wire \GPIO_1[6]~output_o ;
-wire \GPIO_1[7]~output_o ;
-wire \GPIO_1[8]~output_o ;
-wire \GPIO_1[9]~output_o ;
-wire \GPIO_1[10]~output_o ;
-wire \GPIO_1[11]~output_o ;
-wire \GPIO_1[12]~output_o ;
-wire \GPIO_1[13]~output_o ;
-wire \GPIO_1[14]~output_o ;
-wire \GPIO_1[15]~output_o ;
-wire \GPIO_1[16]~output_o ;
-wire \GPIO_1[17]~output_o ;
-wire \GPIO_1[18]~output_o ;
-wire \GPIO_1[19]~output_o ;
-wire \GPIO_1[20]~output_o ;
-wire \GPIO_1[21]~output_o ;
-wire \GPIO_1[22]~output_o ;
-wire \GPIO_1[23]~output_o ;
-wire \GPIO_1[24]~output_o ;
-wire \GPIO_1[25]~output_o ;
-wire \GPIO_1[26]~output_o ;
-wire \GPIO_1[27]~output_o ;
-wire \GPIO_1[28]~output_o ;
-wire \GPIO_1[29]~output_o ;
-wire \GPIO_1[30]~output_o ;
-wire \GPIO_1[31]~output_o ;
-wire \GPIO_1[32]~output_o ;
-wire \GPIO_1[33]~output_o ;
-wire \GPIO_0_PI[8]~output_o ;
-wire \GPIO_0_PI[9]~output_o ;
-wire \GPIO_0_PI[10]~output_o ;
-wire \GPIO_0_PI[11]~output_o ;
-wire \GPIO_0_PI[31]~output_o ;
-wire \LED[0]~output_o ;
-wire \LED[1]~output_o ;
-wire \LED[2]~output_o ;
-wire \LED[3]~output_o ;
-wire \LED[4]~output_o ;
-wire \LED[5]~output_o ;
-wire \LED[6]~output_o ;
-wire \LED[7]~output_o ;
-wire \GPIO_0_PI[8]~input_o ;
-wire \GPIO_0_PI[8]~inputclkctrl_outclk ;
-wire \spi_slave_instance|cnt[0]~12_combout ;
-wire \spi_slave_instance|cnt[1]~4_combout ;
-wire \spi_slave_instance|cnt[1]~5 ;
-wire \spi_slave_instance|cnt[2]~6_combout ;
-wire \spi_slave_instance|cnt[2]~7 ;
-wire \spi_slave_instance|cnt[3]~8_combout ;
-wire \spi_slave_instance|cnt[3]~9 ;
-wire \spi_slave_instance|cnt[4]~10_combout ;
-wire \GPIO_0_PI[31]~input_o ;
-wire \GPIO_0_PI[9]~input_o ;
-wire \spi_slave_instance|Equal0~0_combout ;
-wire \spi_slave_instance|q~31_combout ;
-wire \spi_slave_instance|q~30_combout ;
-wire \spi_slave_instance|q~29_combout ;
-wire \spi_slave_instance|q~28_combout ;
-wire \spi_slave_instance|q~27_combout ;
-wire \spi_slave_instance|q~26_combout ;
-wire \spi_slave_instance|q~25_combout ;
-wire \spi_slave_instance|q~24_combout ;
-wire \spi_slave_instance|q~23_combout ;
-wire \spi_slave_instance|q~22_combout ;
-wire \spi_slave_instance|q~21_combout ;
-wire \spi_slave_instance|q~20_combout ;
-wire \spi_slave_instance|q~19_combout ;
-wire \spi_slave_instance|q~18_combout ;
-wire \spi_slave_instance|q~17_combout ;
-wire \spi_slave_instance|q~16_combout ;
-wire \spi_slave_instance|q~15_combout ;
-wire \spi_slave_instance|q~14_combout ;
-wire \spi_slave_instance|q~13_combout ;
-wire \spi_slave_instance|q~12_combout ;
-wire \spi_slave_instance|q~10_combout ;
-wire \spi_slave_instance|q~11_combout ;
-wire \spi_slave_instance|q~9_combout ;
-wire \spi_slave_instance|q~8_combout ;
-wire \spi_slave_instance|q~7_combout ;
-wire \spi_slave_instance|q~6_combout ;
-wire \spi_slave_instance|q~5_combout ;
-wire \spi_slave_instance|q~4_combout ;
-wire \spi_slave_instance|q~3_combout ;
-wire \spi_slave_instance|q~2_combout ;
-wire \spi_slave_instance|q~1_combout ;
-wire \spi_slave_instance|q~0_combout ;
-wire \spi_slave_instance|qdelayed~q ;
-wire \spi_slave_instance|miso~0_combout ;
-wire \GPIO_0_PI[10]~input_o ;
-wire [4:0] \spi_slave_instance|cnt ;
-wire [31:0] \spi_slave_instance|q ;
-
-
-hard_block auto_generated_inst(
-	.devpor(devpor),
-	.devclrn(devclrn),
-	.devoe(devoe));
-
-// Location: IOOBUF_X5_Y0_N23
-cycloneive_io_obuf \GPIO_2[0]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[0]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[0]~output .bus_hold = "false";
-defparam \GPIO_2[0]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X51_Y34_N23
-cycloneive_io_obuf \GPIO_2[1]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[1]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[1]~output .bus_hold = "false";
-defparam \GPIO_2[1]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y24_N23
-cycloneive_io_obuf \GPIO_2[2]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[2]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[2]~output .bus_hold = "false";
-defparam \GPIO_2[2]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y24_N16
-cycloneive_io_obuf \GPIO_2[3]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[3]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[3]~output .bus_hold = "false";
-defparam \GPIO_2[3]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X5_Y0_N16
-cycloneive_io_obuf \GPIO_2[4]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[4]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[4]~output .bus_hold = "false";
-defparam \GPIO_2[4]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y15_N2
-cycloneive_io_obuf \GPIO_2[5]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[5]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[5]~output .bus_hold = "false";
-defparam \GPIO_2[5]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X3_Y34_N2
-cycloneive_io_obuf \GPIO_2[6]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[6]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[6]~output .bus_hold = "false";
-defparam \GPIO_2[6]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y34_N9
-cycloneive_io_obuf \GPIO_2[7]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[7]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[7]~output .bus_hold = "false";
-defparam \GPIO_2[7]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X11_Y0_N16
-cycloneive_io_obuf \GPIO_2[8]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[8]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[8]~output .bus_hold = "false";
-defparam \GPIO_2[8]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X3_Y0_N2
-cycloneive_io_obuf \GPIO_2[9]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[9]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[9]~output .bus_hold = "false";
-defparam \GPIO_2[9]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X43_Y34_N23
-cycloneive_io_obuf \GPIO_2[10]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[10]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[10]~output .bus_hold = "false";
-defparam \GPIO_2[10]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X23_Y34_N16
-cycloneive_io_obuf \GPIO_2[11]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[11]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[11]~output .bus_hold = "false";
-defparam \GPIO_2[11]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X20_Y34_N9
-cycloneive_io_obuf \GPIO_2[12]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_2[12]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_2[12]~output .bus_hold = "false";
-defparam \GPIO_2[12]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y34_N9
-cycloneive_io_obuf \GPIO_0_PI[0]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[0]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[0]~output .bus_hold = "false";
-defparam \GPIO_0_PI[0]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y4_N16
-cycloneive_io_obuf \GPIO_0_PI[1]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[1]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[1]~output .bus_hold = "false";
-defparam \GPIO_0_PI[1]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y5_N23
-cycloneive_io_obuf \GPIO_0_PI[2]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[2]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[2]~output .bus_hold = "false";
-defparam \GPIO_0_PI[2]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X18_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[3]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[3]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[3]~output .bus_hold = "false";
-defparam \GPIO_0_PI[3]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y0_N16
-cycloneive_io_obuf \GPIO_0_PI[4]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[4]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[4]~output .bus_hold = "false";
-defparam \GPIO_0_PI[4]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[5]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[5]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[5]~output .bus_hold = "false";
-defparam \GPIO_0_PI[5]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y6_N16
-cycloneive_io_obuf \GPIO_0_PI[6]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[6]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[6]~output .bus_hold = "false";
-defparam \GPIO_0_PI[6]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y0_N23
-cycloneive_io_obuf \GPIO_0_PI[7]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[7]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[7]~output .bus_hold = "false";
-defparam \GPIO_0_PI[7]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y4_N23
-cycloneive_io_obuf \GPIO_0_PI[12]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[12]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[12]~output .bus_hold = "false";
-defparam \GPIO_0_PI[12]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y8_N23
-cycloneive_io_obuf \GPIO_0_PI[13]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[13]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[13]~output .bus_hold = "false";
-defparam \GPIO_0_PI[13]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[14]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[14]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[14]~output .bus_hold = "false";
-defparam \GPIO_0_PI[14]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[15]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[15]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[15]~output .bus_hold = "false";
-defparam \GPIO_0_PI[15]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[16]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[16]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[16]~output .bus_hold = "false";
-defparam \GPIO_0_PI[16]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X16_Y34_N9
-cycloneive_io_obuf \GPIO_0_PI[17]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[17]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[17]~output .bus_hold = "false";
-defparam \GPIO_0_PI[17]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y0_N9
-cycloneive_io_obuf \GPIO_0_PI[18]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[18]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[18]~output .bus_hold = "false";
-defparam \GPIO_0_PI[18]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X36_Y0_N9
-cycloneive_io_obuf \GPIO_0_PI[19]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[19]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[19]~output .bus_hold = "false";
-defparam \GPIO_0_PI[19]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y15_N9
-cycloneive_io_obuf \GPIO_0_PI[20]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[20]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[20]~output .bus_hold = "false";
-defparam \GPIO_0_PI[20]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X47_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[21]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[21]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[21]~output .bus_hold = "false";
-defparam \GPIO_0_PI[21]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y0_N9
-cycloneive_io_obuf \GPIO_0_PI[22]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[22]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[22]~output .bus_hold = "false";
-defparam \GPIO_0_PI[22]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X20_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[23]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[23]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[23]~output .bus_hold = "false";
-defparam \GPIO_0_PI[23]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X45_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[24]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[24]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[24]~output .bus_hold = "false";
-defparam \GPIO_0_PI[24]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X40_Y0_N23
-cycloneive_io_obuf \GPIO_0_PI[25]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[25]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[25]~output .bus_hold = "false";
-defparam \GPIO_0_PI[25]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X18_Y0_N9
-cycloneive_io_obuf \GPIO_0_PI[26]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[26]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[26]~output .bus_hold = "false";
-defparam \GPIO_0_PI[26]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X45_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[27]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[27]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[27]~output .bus_hold = "false";
-defparam \GPIO_0_PI[27]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X38_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[28]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[28]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[28]~output .bus_hold = "false";
-defparam \GPIO_0_PI[28]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X40_Y34_N9
-cycloneive_io_obuf \GPIO_0_PI[29]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[29]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[29]~output .bus_hold = "false";
-defparam \GPIO_0_PI[29]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X18_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[30]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[30]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[30]~output .bus_hold = "false";
-defparam \GPIO_0_PI[30]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X23_Y34_N23
-cycloneive_io_obuf \GPIO_0_PI[32]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[32]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[32]~output .bus_hold = "false";
-defparam \GPIO_0_PI[32]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y22_N2
-cycloneive_io_obuf \GPIO_0_PI[33]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[33]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[33]~output .bus_hold = "false";
-defparam \GPIO_0_PI[33]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X14_Y0_N2
-cycloneive_io_obuf \GPIO_1[0]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[0]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[0]~output .bus_hold = "false";
-defparam \GPIO_1[0]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y0_N23
-cycloneive_io_obuf \GPIO_1[1]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[1]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[1]~output .bus_hold = "false";
-defparam \GPIO_1[1]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y20_N23
-cycloneive_io_obuf \GPIO_1[2]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[2]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[2]~output .bus_hold = "false";
-defparam \GPIO_1[2]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y23_N2
-cycloneive_io_obuf \GPIO_1[3]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[3]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[3]~output .bus_hold = "false";
-defparam \GPIO_1[3]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X7_Y34_N2
-cycloneive_io_obuf \GPIO_1[4]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[4]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[4]~output .bus_hold = "false";
-defparam \GPIO_1[4]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y11_N2
-cycloneive_io_obuf \GPIO_1[5]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[5]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[5]~output .bus_hold = "false";
-defparam \GPIO_1[5]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X51_Y34_N9
-cycloneive_io_obuf \GPIO_1[6]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[6]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[6]~output .bus_hold = "false";
-defparam \GPIO_1[6]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X5_Y0_N9
-cycloneive_io_obuf \GPIO_1[7]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[7]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[7]~output .bus_hold = "false";
-defparam \GPIO_1[7]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y22_N9
-cycloneive_io_obuf \GPIO_1[8]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[8]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[8]~output .bus_hold = "false";
-defparam \GPIO_1[8]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y0_N2
-cycloneive_io_obuf \GPIO_1[9]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[9]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[9]~output .bus_hold = "false";
-defparam \GPIO_1[9]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y11_N9
-cycloneive_io_obuf \GPIO_1[10]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[10]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[10]~output .bus_hold = "false";
-defparam \GPIO_1[10]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X45_Y34_N9
-cycloneive_io_obuf \GPIO_1[11]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[11]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[11]~output .bus_hold = "false";
-defparam \GPIO_1[11]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y26_N23
-cycloneive_io_obuf \GPIO_1[12]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[12]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[12]~output .bus_hold = "false";
-defparam \GPIO_1[12]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X40_Y0_N16
-cycloneive_io_obuf \GPIO_1[13]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[13]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[13]~output .bus_hold = "false";
-defparam \GPIO_1[13]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y12_N2
-cycloneive_io_obuf \GPIO_1[14]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[14]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[14]~output .bus_hold = "false";
-defparam \GPIO_1[14]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y30_N2
-cycloneive_io_obuf \GPIO_1[15]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[15]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[15]~output .bus_hold = "false";
-defparam \GPIO_1[15]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X25_Y0_N16
-cycloneive_io_obuf \GPIO_1[16]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[16]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[16]~output .bus_hold = "false";
-defparam \GPIO_1[16]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X34_Y0_N23
-cycloneive_io_obuf \GPIO_1[17]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[17]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[17]~output .bus_hold = "false";
-defparam \GPIO_1[17]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y7_N2
-cycloneive_io_obuf \GPIO_1[18]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[18]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[18]~output .bus_hold = "false";
-defparam \GPIO_1[18]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y30_N9
-cycloneive_io_obuf \GPIO_1[19]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[19]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[19]~output .bus_hold = "false";
-defparam \GPIO_1[19]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y27_N2
-cycloneive_io_obuf \GPIO_1[20]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[20]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[20]~output .bus_hold = "false";
-defparam \GPIO_1[20]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X47_Y0_N23
-cycloneive_io_obuf \GPIO_1[21]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[21]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[21]~output .bus_hold = "false";
-defparam \GPIO_1[21]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X11_Y34_N2
-cycloneive_io_obuf \GPIO_1[22]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[22]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[22]~output .bus_hold = "false";
-defparam \GPIO_1[22]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X20_Y0_N9
-cycloneive_io_obuf \GPIO_1[23]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[23]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[23]~output .bus_hold = "false";
-defparam \GPIO_1[23]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X5_Y0_N2
-cycloneive_io_obuf \GPIO_1[24]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[24]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[24]~output .bus_hold = "false";
-defparam \GPIO_1[24]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y21_N23
-cycloneive_io_obuf \GPIO_1[25]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[25]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[25]~output .bus_hold = "false";
-defparam \GPIO_1[25]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X1_Y0_N16
-cycloneive_io_obuf \GPIO_1[26]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[26]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[26]~output .bus_hold = "false";
-defparam \GPIO_1[26]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X7_Y0_N9
-cycloneive_io_obuf \GPIO_1[27]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[27]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[27]~output .bus_hold = "false";
-defparam \GPIO_1[27]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y23_N23
-cycloneive_io_obuf \GPIO_1[28]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[28]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[28]~output .bus_hold = "false";
-defparam \GPIO_1[28]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X53_Y14_N9
-cycloneive_io_obuf \GPIO_1[29]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[29]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[29]~output .bus_hold = "false";
-defparam \GPIO_1[29]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X45_Y0_N23
-cycloneive_io_obuf \GPIO_1[30]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[30]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[30]~output .bus_hold = "false";
-defparam \GPIO_1[30]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X49_Y0_N2
-cycloneive_io_obuf \GPIO_1[31]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[31]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[31]~output .bus_hold = "false";
-defparam \GPIO_1[31]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X31_Y34_N2
-cycloneive_io_obuf \GPIO_1[32]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[32]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[32]~output .bus_hold = "false";
-defparam \GPIO_1[32]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y6_N16
-cycloneive_io_obuf \GPIO_1[33]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_1[33]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_1[33]~output .bus_hold = "false";
-defparam \GPIO_1[33]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y23_N16
-cycloneive_io_obuf \GPIO_0_PI[8]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[8]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[8]~output .bus_hold = "false";
-defparam \GPIO_0_PI[8]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X5_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[9]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[9]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[9]~output .bus_hold = "false";
-defparam \GPIO_0_PI[9]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X16_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[10]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[10]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[10]~output .bus_hold = "false";
-defparam \GPIO_0_PI[10]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X16_Y34_N2
-cycloneive_io_obuf \GPIO_0_PI[11]~output (
-	.i(\spi_slave_instance|miso~0_combout ),
-	.oe(!\GPIO_0_PI[10]~input_o ),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[11]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[11]~output .bus_hold = "false";
-defparam \GPIO_0_PI[11]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X51_Y34_N16
-cycloneive_io_obuf \GPIO_0_PI[31]~output (
-	.i(vcc),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\GPIO_0_PI[31]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \GPIO_0_PI[31]~output .bus_hold = "false";
-defparam \GPIO_0_PI[31]~output .open_drain_output = "true";
-// synopsys translate_on
-
-// Location: IOOBUF_X38_Y34_N16
-cycloneive_io_obuf \LED[0]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[0]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[0]~output .bus_hold = "false";
-defparam \LED[0]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X49_Y34_N2
-cycloneive_io_obuf \LED[1]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[1]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[1]~output .bus_hold = "false";
-defparam \LED[1]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X49_Y34_N9
-cycloneive_io_obuf \LED[2]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[2]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[2]~output .bus_hold = "false";
-defparam \LED[2]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X40_Y34_N2
-cycloneive_io_obuf \LED[3]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[3]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[3]~output .bus_hold = "false";
-defparam \LED[3]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y25_N9
-cycloneive_io_obuf \LED[4]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[4]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[4]~output .bus_hold = "false";
-defparam \LED[4]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y26_N16
-cycloneive_io_obuf \LED[5]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[5]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[5]~output .bus_hold = "false";
-defparam \LED[5]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y28_N9
-cycloneive_io_obuf \LED[6]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[6]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[6]~output .bus_hold = "false";
-defparam \LED[6]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOOBUF_X0_Y10_N23
-cycloneive_io_obuf \LED[7]~output (
-	.i(gnd),
-	.oe(vcc),
-	.seriesterminationcontrol(16'b0000000000000000),
-	.devoe(devoe),
-	.o(\LED[7]~output_o ),
-	.obar());
-// synopsys translate_off
-defparam \LED[7]~output .bus_hold = "false";
-defparam \LED[7]~output .open_drain_output = "false";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y23_N15
-cycloneive_io_ibuf \GPIO_0_PI[8]~input (
-	.i(GPIO_0_PI[8]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[8]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[8]~input .bus_hold = "false";
-defparam \GPIO_0_PI[8]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: CLKCTRL_G0
-cycloneive_clkctrl \GPIO_0_PI[8]~inputclkctrl (
-	.ena(vcc),
-	.inclk({vcc,vcc,vcc,\GPIO_0_PI[8]~input_o }),
-	.clkselect(2'b00),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.outclk(\GPIO_0_PI[8]~inputclkctrl_outclk ));
-// synopsys translate_off
-defparam \GPIO_0_PI[8]~inputclkctrl .clock_type = "global clock";
-defparam \GPIO_0_PI[8]~inputclkctrl .ena_register_mode = "none";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N12
-cycloneive_lcell_comb \spi_slave_instance|cnt[0]~12 (
-// Equation(s):
-// \spi_slave_instance|cnt[0]~12_combout  = !\spi_slave_instance|cnt [0]
-
-	.dataa(gnd),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [0]),
-	.datad(gnd),
-	.cin(gnd),
-	.combout(\spi_slave_instance|cnt[0]~12_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[0]~12 .lut_mask = 16'h0F0F;
-defparam \spi_slave_instance|cnt[0]~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N13
-dffeas \spi_slave_instance|cnt[0] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[0]~12_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [0]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[0] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N2
-cycloneive_lcell_comb \spi_slave_instance|cnt[1]~4 (
-// Equation(s):
-// \spi_slave_instance|cnt[1]~4_combout  = (\spi_slave_instance|cnt [0] & (\spi_slave_instance|cnt [1] $ (VCC))) # (!\spi_slave_instance|cnt [0] & (\spi_slave_instance|cnt [1] & VCC))
-// \spi_slave_instance|cnt[1]~5  = CARRY((\spi_slave_instance|cnt [0] & \spi_slave_instance|cnt [1]))
-
-	.dataa(\spi_slave_instance|cnt [0]),
-	.datab(\spi_slave_instance|cnt [1]),
-	.datac(gnd),
-	.datad(vcc),
-	.cin(gnd),
-	.combout(\spi_slave_instance|cnt[1]~4_combout ),
-	.cout(\spi_slave_instance|cnt[1]~5 ));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[1]~4 .lut_mask = 16'h6688;
-defparam \spi_slave_instance|cnt[1]~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N3
-dffeas \spi_slave_instance|cnt[1] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[1]~4_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [1]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[1] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N4
-cycloneive_lcell_comb \spi_slave_instance|cnt[2]~6 (
-// Equation(s):
-// \spi_slave_instance|cnt[2]~6_combout  = (\spi_slave_instance|cnt [2] & (!\spi_slave_instance|cnt[1]~5 )) # (!\spi_slave_instance|cnt [2] & ((\spi_slave_instance|cnt[1]~5 ) # (GND)))
-// \spi_slave_instance|cnt[2]~7  = CARRY((!\spi_slave_instance|cnt[1]~5 ) # (!\spi_slave_instance|cnt [2]))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [2]),
-	.datac(gnd),
-	.datad(vcc),
-	.cin(\spi_slave_instance|cnt[1]~5 ),
-	.combout(\spi_slave_instance|cnt[2]~6_combout ),
-	.cout(\spi_slave_instance|cnt[2]~7 ));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[2]~6 .lut_mask = 16'h3C3F;
-defparam \spi_slave_instance|cnt[2]~6 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N5
-dffeas \spi_slave_instance|cnt[2] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[2]~6_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [2]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[2] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N6
-cycloneive_lcell_comb \spi_slave_instance|cnt[3]~8 (
-// Equation(s):
-// \spi_slave_instance|cnt[3]~8_combout  = (\spi_slave_instance|cnt [3] & (\spi_slave_instance|cnt[2]~7  $ (GND))) # (!\spi_slave_instance|cnt [3] & (!\spi_slave_instance|cnt[2]~7  & VCC))
-// \spi_slave_instance|cnt[3]~9  = CARRY((\spi_slave_instance|cnt [3] & !\spi_slave_instance|cnt[2]~7 ))
-
-	.dataa(\spi_slave_instance|cnt [3]),
-	.datab(gnd),
-	.datac(gnd),
-	.datad(vcc),
-	.cin(\spi_slave_instance|cnt[2]~7 ),
-	.combout(\spi_slave_instance|cnt[3]~8_combout ),
-	.cout(\spi_slave_instance|cnt[3]~9 ));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[3]~8 .lut_mask = 16'hA50A;
-defparam \spi_slave_instance|cnt[3]~8 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N7
-dffeas \spi_slave_instance|cnt[3] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[3]~8_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [3]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[3] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N8
-cycloneive_lcell_comb \spi_slave_instance|cnt[4]~10 (
-// Equation(s):
-// \spi_slave_instance|cnt[4]~10_combout  = \spi_slave_instance|cnt [4] $ (\spi_slave_instance|cnt[3]~9 )
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(gnd),
-	.datad(gnd),
-	.cin(\spi_slave_instance|cnt[3]~9 ),
-	.combout(\spi_slave_instance|cnt[4]~10_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[4]~10 .lut_mask = 16'h3C3C;
-defparam \spi_slave_instance|cnt[4]~10 .sum_lutc_input = "cin";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N9
-dffeas \spi_slave_instance|cnt[4] (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|cnt[4]~10_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|cnt [4]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|cnt[4] .is_wysiwyg = "true";
-defparam \spi_slave_instance|cnt[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: IOIBUF_X51_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[31]~input (
-	.i(GPIO_0_PI[31]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[31]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[31]~input .bus_hold = "false";
-defparam \GPIO_0_PI[31]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[9]~input (
-	.i(GPIO_0_PI[9]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[9]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[9]~input .bus_hold = "false";
-defparam \GPIO_0_PI[9]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N5
-dffeas \spi_slave_instance|q[0] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(gnd),
-	.asdata(\GPIO_0_PI[9]~input_o ),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(vcc),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [0]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[0] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[0] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N18
-cycloneive_lcell_comb \spi_slave_instance|Equal0~0 (
-// Equation(s):
-// \spi_slave_instance|Equal0~0_combout  = (!\spi_slave_instance|cnt [0] & (!\spi_slave_instance|cnt [1] & (!\spi_slave_instance|cnt [2] & !\spi_slave_instance|cnt [3])))
-
-	.dataa(\spi_slave_instance|cnt [0]),
-	.datab(\spi_slave_instance|cnt [1]),
-	.datac(\spi_slave_instance|cnt [2]),
-	.datad(\spi_slave_instance|cnt [3]),
-	.cin(gnd),
-	.combout(\spi_slave_instance|Equal0~0_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|Equal0~0 .lut_mask = 16'h0001;
-defparam \spi_slave_instance|Equal0~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N22
-cycloneive_lcell_comb \spi_slave_instance|q~31 (
-// Equation(s):
-// \spi_slave_instance|q~31_combout  = (\spi_slave_instance|cnt [4] & (((\spi_slave_instance|q [0])))) # (!\spi_slave_instance|cnt [4] & ((\spi_slave_instance|Equal0~0_combout  & (\GPIO_0_PI[31]~input_o )) # (!\spi_slave_instance|Equal0~0_combout  & 
-// ((\spi_slave_instance|q [0])))))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(\GPIO_0_PI[31]~input_o ),
-	.datac(\spi_slave_instance|q [0]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~31_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~31 .lut_mask = 16'hE4F0;
-defparam \spi_slave_instance|q~31 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N23
-dffeas \spi_slave_instance|q[1] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~31_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [1]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[1] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[1] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N24
-cycloneive_lcell_comb \spi_slave_instance|q~30 (
-// Equation(s):
-// \spi_slave_instance|q~30_combout  = (\spi_slave_instance|q [1] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|q [1]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~30_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~30 .lut_mask = 16'hA0F0;
-defparam \spi_slave_instance|q~30 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N25
-dffeas \spi_slave_instance|q[2] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~30_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [2]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[2] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[2] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N30
-cycloneive_lcell_comb \spi_slave_instance|q~29 (
-// Equation(s):
-// \spi_slave_instance|q~29_combout  = (\spi_slave_instance|q [2] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(\spi_slave_instance|q [2]),
-	.datac(gnd),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~29_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~29 .lut_mask = 16'h88CC;
-defparam \spi_slave_instance|q~29 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N31
-dffeas \spi_slave_instance|q[3] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~29_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [3]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[3] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[3] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N8
-cycloneive_lcell_comb \spi_slave_instance|q~28 (
-// Equation(s):
-// \spi_slave_instance|q~28_combout  = (\spi_slave_instance|q [3] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|q [3]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~28_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~28 .lut_mask = 16'hA0F0;
-defparam \spi_slave_instance|q~28 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N9
-dffeas \spi_slave_instance|q[4] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~28_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [4]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[4] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[4] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N18
-cycloneive_lcell_comb \spi_slave_instance|q~27 (
-// Equation(s):
-// \spi_slave_instance|q~27_combout  = (\spi_slave_instance|q [4] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|q [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~27_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~27 .lut_mask = 16'hA0F0;
-defparam \spi_slave_instance|q~27 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N19
-dffeas \spi_slave_instance|q[5] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~27_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [5]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[5] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[5] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N28
-cycloneive_lcell_comb \spi_slave_instance|q~26 (
-// Equation(s):
-// \spi_slave_instance|q~26_combout  = (\spi_slave_instance|q [5] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(\spi_slave_instance|q [5]),
-	.datac(gnd),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~26_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~26 .lut_mask = 16'h88CC;
-defparam \spi_slave_instance|q~26 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N29
-dffeas \spi_slave_instance|q[6] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~26_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [6]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[6] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[6] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N10
-cycloneive_lcell_comb \spi_slave_instance|q~25 (
-// Equation(s):
-// \spi_slave_instance|q~25_combout  = (\spi_slave_instance|q [6] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(\spi_slave_instance|q [6]),
-	.datac(gnd),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~25_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~25 .lut_mask = 16'h88CC;
-defparam \spi_slave_instance|q~25 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N11
-dffeas \spi_slave_instance|q[7] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~25_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [7]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[7] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[7] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N14
-cycloneive_lcell_comb \spi_slave_instance|q~24 (
-// Equation(s):
-// \spi_slave_instance|q~24_combout  = (\spi_slave_instance|q [7] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [7]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~24_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~24 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~24 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N15
-dffeas \spi_slave_instance|q[8] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~24_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [8]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[8] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[8] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N28
-cycloneive_lcell_comb \spi_slave_instance|q~23 (
-// Equation(s):
-// \spi_slave_instance|q~23_combout  = (\spi_slave_instance|q [8] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [8]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~23_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~23 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~23 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N29
-dffeas \spi_slave_instance|q[9] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~23_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [9]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[9] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[9] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N30
-cycloneive_lcell_comb \spi_slave_instance|q~22 (
-// Equation(s):
-// \spi_slave_instance|q~22_combout  = (\spi_slave_instance|q [9] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [9]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~22_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~22 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~22 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N31
-dffeas \spi_slave_instance|q[10] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~22_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [10]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[10] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[10] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N24
-cycloneive_lcell_comb \spi_slave_instance|q~21 (
-// Equation(s):
-// \spi_slave_instance|q~21_combout  = (\spi_slave_instance|q [10] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [10]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~21_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~21 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~21 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N25
-dffeas \spi_slave_instance|q[11] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~21_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [11]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[11] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[11] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N26
-cycloneive_lcell_comb \spi_slave_instance|q~20 (
-// Equation(s):
-// \spi_slave_instance|q~20_combout  = (\spi_slave_instance|q [11] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [11]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~20_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~20 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~20 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N27
-dffeas \spi_slave_instance|q[12] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~20_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [12]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[12] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[12] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X21_Y31_N20
-cycloneive_lcell_comb \spi_slave_instance|q~19 (
-// Equation(s):
-// \spi_slave_instance|q~19_combout  = (\spi_slave_instance|q [12] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|cnt [4]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|q [12]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~19_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~19 .lut_mask = 16'hA0F0;
-defparam \spi_slave_instance|q~19 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X21_Y31_N21
-dffeas \spi_slave_instance|q[13] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~19_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [13]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[13] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[13] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N16
-cycloneive_lcell_comb \spi_slave_instance|q~18 (
-// Equation(s):
-// \spi_slave_instance|q~18_combout  = (\spi_slave_instance|q [13] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [13]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~18_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~18 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~18 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N17
-dffeas \spi_slave_instance|q[14] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~18_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [14]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[14] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[14] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N10
-cycloneive_lcell_comb \spi_slave_instance|q~17 (
-// Equation(s):
-// \spi_slave_instance|q~17_combout  = (\spi_slave_instance|q [14] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [14]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~17_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~17 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~17 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N11
-dffeas \spi_slave_instance|q[15] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~17_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [15]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[15] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[15] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N20
-cycloneive_lcell_comb \spi_slave_instance|q~16 (
-// Equation(s):
-// \spi_slave_instance|q~16_combout  = (\spi_slave_instance|q [15] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [15]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~16_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~16 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~16 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N21
-dffeas \spi_slave_instance|q[16] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~16_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [16]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[16] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[16] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N22
-cycloneive_lcell_comb \spi_slave_instance|q~15 (
-// Equation(s):
-// \spi_slave_instance|q~15_combout  = (\spi_slave_instance|q [16] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [16]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~15_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~15 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~15 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N23
-dffeas \spi_slave_instance|q[17] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~15_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [17]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[17] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[17] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X20_Y31_N0
-cycloneive_lcell_comb \spi_slave_instance|q~14 (
-// Equation(s):
-// \spi_slave_instance|q~14_combout  = (\spi_slave_instance|q [17] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [17]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~14_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~14 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~14 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X20_Y31_N1
-dffeas \spi_slave_instance|q[18] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~14_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [18]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[18] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[18] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N28
-cycloneive_lcell_comb \spi_slave_instance|q~13 (
-// Equation(s):
-// \spi_slave_instance|q~13_combout  = (\spi_slave_instance|q [18] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|q [18]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~13_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~13 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|q~13 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N29
-dffeas \spi_slave_instance|q[19] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~13_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [19]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[19] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[19] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N8
-cycloneive_lcell_comb \spi_slave_instance|q~12 (
-// Equation(s):
-// \spi_slave_instance|q~12_combout  = (\spi_slave_instance|q [19] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [19]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~12_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~12 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~12 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N9
-dffeas \spi_slave_instance|q[20] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~12_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [20]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[20] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[20] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N10
-cycloneive_lcell_comb \spi_slave_instance|q~10 (
-// Equation(s):
-// \spi_slave_instance|q~10_combout  = (\spi_slave_instance|cnt [1]) # ((\spi_slave_instance|cnt [4]) # (\spi_slave_instance|cnt [3]))
-
-	.dataa(\spi_slave_instance|cnt [1]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|cnt [3]),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~10_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~10 .lut_mask = 16'hFFFA;
-defparam \spi_slave_instance|q~10 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N26
-cycloneive_lcell_comb \spi_slave_instance|q~11 (
-// Equation(s):
-// \spi_slave_instance|q~11_combout  = (\spi_slave_instance|q [20] & ((\spi_slave_instance|cnt [2]) # ((\spi_slave_instance|cnt [0]) # (\spi_slave_instance|q~10_combout ))))
-
-	.dataa(\spi_slave_instance|cnt [2]),
-	.datab(\spi_slave_instance|q [20]),
-	.datac(\spi_slave_instance|cnt [0]),
-	.datad(\spi_slave_instance|q~10_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~11_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~11 .lut_mask = 16'hCCC8;
-defparam \spi_slave_instance|q~11 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N27
-dffeas \spi_slave_instance|q[21] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~11_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [21]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[21] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[21] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N12
-cycloneive_lcell_comb \spi_slave_instance|q~9 (
-// Equation(s):
-// \spi_slave_instance|q~9_combout  = (\spi_slave_instance|q [21] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|q [21]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~9_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~9 .lut_mask = 16'hA0AA;
-defparam \spi_slave_instance|q~9 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N13
-dffeas \spi_slave_instance|q[22] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~9_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [22]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[22] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[22] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N6
-cycloneive_lcell_comb \spi_slave_instance|q~8 (
-// Equation(s):
-// \spi_slave_instance|q~8_combout  = (\spi_slave_instance|q [22] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|q [22]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~8_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~8 .lut_mask = 16'hA0AA;
-defparam \spi_slave_instance|q~8 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N7
-dffeas \spi_slave_instance|q[23] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~8_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [23]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[23] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[23] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N4
-cycloneive_lcell_comb \spi_slave_instance|q~7 (
-// Equation(s):
-// \spi_slave_instance|q~7_combout  = (\spi_slave_instance|q [23] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|q [23]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~7_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~7 .lut_mask = 16'hA0AA;
-defparam \spi_slave_instance|q~7 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N5
-dffeas \spi_slave_instance|q[24] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~7_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [24]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[24] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[24] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N30
-cycloneive_lcell_comb \spi_slave_instance|q~6 (
-// Equation(s):
-// \spi_slave_instance|q~6_combout  = (\spi_slave_instance|q [24] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [24]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~6_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~6 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~6 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N31
-dffeas \spi_slave_instance|q[25] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~6_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [25]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[25] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[25] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N20
-cycloneive_lcell_comb \spi_slave_instance|q~5 (
-// Equation(s):
-// \spi_slave_instance|q~5_combout  = (\spi_slave_instance|q [25] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(\spi_slave_instance|q [25]),
-	.datab(gnd),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~5_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~5 .lut_mask = 16'hA0AA;
-defparam \spi_slave_instance|q~5 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N21
-dffeas \spi_slave_instance|q[26] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~5_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [26]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[26] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[26] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N14
-cycloneive_lcell_comb \spi_slave_instance|q~4 (
-// Equation(s):
-// \spi_slave_instance|q~4_combout  = (\spi_slave_instance|q [26] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [26]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~4_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~4 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~4 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N15
-dffeas \spi_slave_instance|q[27] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~4_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [27]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[27] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[27] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N16
-cycloneive_lcell_comb \spi_slave_instance|q~3 (
-// Equation(s):
-// \spi_slave_instance|q~3_combout  = (\spi_slave_instance|q [27] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [27]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~3_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~3 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~3 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N17
-dffeas \spi_slave_instance|q[28] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~3_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [28]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[28] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[28] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N18
-cycloneive_lcell_comb \spi_slave_instance|q~2 (
-// Equation(s):
-// \spi_slave_instance|q~2_combout  = (\spi_slave_instance|q [28] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [28]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~2_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~2 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~2 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N19
-dffeas \spi_slave_instance|q[29] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~2_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [29]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[29] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[29] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N24
-cycloneive_lcell_comb \spi_slave_instance|q~1 (
-// Equation(s):
-// \spi_slave_instance|q~1_combout  = (\spi_slave_instance|q [29] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [29]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~1_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~1 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~1 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N25
-dffeas \spi_slave_instance|q[30] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~1_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [30]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[30] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[30] .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N22
-cycloneive_lcell_comb \spi_slave_instance|q~0 (
-// Equation(s):
-// \spi_slave_instance|q~0_combout  = (\spi_slave_instance|q [30] & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|q [30]),
-	.datac(\spi_slave_instance|cnt [4]),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|q~0_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|q~0 .lut_mask = 16'hC0CC;
-defparam \spi_slave_instance|q~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N23
-dffeas \spi_slave_instance|q[31] (
-	.clk(\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(\spi_slave_instance|q~0_combout ),
-	.asdata(vcc),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(gnd),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|q [31]),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|q[31] .is_wysiwyg = "true";
-defparam \spi_slave_instance|q[31] .power_up = "low";
-// synopsys translate_on
-
-// Location: FF_X19_Y31_N1
-dffeas \spi_slave_instance|qdelayed (
-	.clk(!\GPIO_0_PI[8]~inputclkctrl_outclk ),
-	.d(gnd),
-	.asdata(\spi_slave_instance|q [31]),
-	.clrn(vcc),
-	.aload(gnd),
-	.sclr(gnd),
-	.sload(vcc),
-	.ena(vcc),
-	.devclrn(devclrn),
-	.devpor(devpor),
-	.q(\spi_slave_instance|qdelayed~q ),
-	.prn(vcc));
-// synopsys translate_off
-defparam \spi_slave_instance|qdelayed .is_wysiwyg = "true";
-defparam \spi_slave_instance|qdelayed .power_up = "low";
-// synopsys translate_on
-
-// Location: LCCOMB_X19_Y31_N0
-cycloneive_lcell_comb \spi_slave_instance|miso~0 (
-// Equation(s):
-// \spi_slave_instance|miso~0_combout  = (\spi_slave_instance|qdelayed~q  & ((\spi_slave_instance|cnt [4]) # (!\spi_slave_instance|Equal0~0_combout )))
-
-	.dataa(gnd),
-	.datab(\spi_slave_instance|cnt [4]),
-	.datac(\spi_slave_instance|qdelayed~q ),
-	.datad(\spi_slave_instance|Equal0~0_combout ),
-	.cin(gnd),
-	.combout(\spi_slave_instance|miso~0_combout ),
-	.cout());
-// synopsys translate_off
-defparam \spi_slave_instance|miso~0 .lut_mask = 16'hC0F0;
-defparam \spi_slave_instance|miso~0 .sum_lutc_input = "datac";
-// synopsys translate_on
-
-// Location: IOIBUF_X16_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[10]~input (
-	.i(GPIO_0_PI[10]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[10]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[10]~input .bus_hold = "false";
-defparam \GPIO_0_PI[10]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X16_Y0_N15
-cycloneive_io_ibuf \CLOCK_50~input (
-	.i(CLOCK_50),
-	.ibar(gnd),
-	.o(\CLOCK_50~input_o ));
-// synopsys translate_off
-defparam \CLOCK_50~input .bus_hold = "false";
-defparam \CLOCK_50~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y14_N1
-cycloneive_io_ibuf \KEY[0]~input (
-	.i(KEY[0]),
-	.ibar(gnd),
-	.o(\KEY[0]~input_o ));
-// synopsys translate_off
-defparam \KEY[0]~input .bus_hold = "false";
-defparam \KEY[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y16_N8
-cycloneive_io_ibuf \KEY[1]~input (
-	.i(KEY[1]),
-	.ibar(gnd),
-	.o(\KEY[1]~input_o ));
-// synopsys translate_off
-defparam \KEY[1]~input .bus_hold = "false";
-defparam \KEY[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y17_N8
-cycloneive_io_ibuf \GPIO_2_IN[0]~input (
-	.i(GPIO_2_IN[0]),
-	.ibar(gnd),
-	.o(\GPIO_2_IN[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2_IN[0]~input .bus_hold = "false";
-defparam \GPIO_2_IN[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y17_N1
-cycloneive_io_ibuf \GPIO_2_IN[1]~input (
-	.i(GPIO_2_IN[1]),
-	.ibar(gnd),
-	.o(\GPIO_2_IN[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2_IN[1]~input .bus_hold = "false";
-defparam \GPIO_2_IN[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X9_Y34_N8
-cycloneive_io_ibuf \GPIO_2_IN[2]~input (
-	.i(GPIO_2_IN[2]),
-	.ibar(gnd),
-	.o(\GPIO_2_IN[2]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2_IN[2]~input .bus_hold = "false";
-defparam \GPIO_2_IN[2]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI_IN[0]~input (
-	.i(GPIO_0_PI_IN[0]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI_IN[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI_IN[0]~input .bus_hold = "false";
-defparam \GPIO_0_PI_IN[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X51_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI_IN[1]~input (
-	.i(GPIO_0_PI_IN[1]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI_IN[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI_IN[1]~input .bus_hold = "false";
-defparam \GPIO_0_PI_IN[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X43_Y34_N15
-cycloneive_io_ibuf \GPIO_1_IN[0]~input (
-	.i(GPIO_1_IN[0]),
-	.ibar(gnd),
-	.o(\GPIO_1_IN[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1_IN[0]~input .bus_hold = "false";
-defparam \GPIO_1_IN[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y0_N15
-cycloneive_io_ibuf \GPIO_1_IN[1]~input (
-	.i(GPIO_1_IN[1]),
-	.ibar(gnd),
-	.o(\GPIO_1_IN[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1_IN[1]~input .bus_hold = "false";
-defparam \GPIO_1_IN[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y0_N22
-cycloneive_io_ibuf \GPIO_2[0]~input (
-	.i(GPIO_2[0]),
-	.ibar(gnd),
-	.o(\GPIO_2[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[0]~input .bus_hold = "false";
-defparam \GPIO_2[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X51_Y34_N22
-cycloneive_io_ibuf \GPIO_2[1]~input (
-	.i(GPIO_2[1]),
-	.ibar(gnd),
-	.o(\GPIO_2[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[1]~input .bus_hold = "false";
-defparam \GPIO_2[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y24_N22
-cycloneive_io_ibuf \GPIO_2[2]~input (
-	.i(GPIO_2[2]),
-	.ibar(gnd),
-	.o(\GPIO_2[2]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[2]~input .bus_hold = "false";
-defparam \GPIO_2[2]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y24_N15
-cycloneive_io_ibuf \GPIO_2[3]~input (
-	.i(GPIO_2[3]),
-	.ibar(gnd),
-	.o(\GPIO_2[3]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[3]~input .bus_hold = "false";
-defparam \GPIO_2[3]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y0_N15
-cycloneive_io_ibuf \GPIO_2[4]~input (
-	.i(GPIO_2[4]),
-	.ibar(gnd),
-	.o(\GPIO_2[4]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[4]~input .bus_hold = "false";
-defparam \GPIO_2[4]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y15_N1
-cycloneive_io_ibuf \GPIO_2[5]~input (
-	.i(GPIO_2[5]),
-	.ibar(gnd),
-	.o(\GPIO_2[5]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[5]~input .bus_hold = "false";
-defparam \GPIO_2[5]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X3_Y34_N1
-cycloneive_io_ibuf \GPIO_2[6]~input (
-	.i(GPIO_2[6]),
-	.ibar(gnd),
-	.o(\GPIO_2[6]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[6]~input .bus_hold = "false";
-defparam \GPIO_2[6]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y34_N8
-cycloneive_io_ibuf \GPIO_2[7]~input (
-	.i(GPIO_2[7]),
-	.ibar(gnd),
-	.o(\GPIO_2[7]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[7]~input .bus_hold = "false";
-defparam \GPIO_2[7]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X11_Y0_N15
-cycloneive_io_ibuf \GPIO_2[8]~input (
-	.i(GPIO_2[8]),
-	.ibar(gnd),
-	.o(\GPIO_2[8]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[8]~input .bus_hold = "false";
-defparam \GPIO_2[8]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X3_Y0_N1
-cycloneive_io_ibuf \GPIO_2[9]~input (
-	.i(GPIO_2[9]),
-	.ibar(gnd),
-	.o(\GPIO_2[9]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[9]~input .bus_hold = "false";
-defparam \GPIO_2[9]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X43_Y34_N22
-cycloneive_io_ibuf \GPIO_2[10]~input (
-	.i(GPIO_2[10]),
-	.ibar(gnd),
-	.o(\GPIO_2[10]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[10]~input .bus_hold = "false";
-defparam \GPIO_2[10]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X23_Y34_N15
-cycloneive_io_ibuf \GPIO_2[11]~input (
-	.i(GPIO_2[11]),
-	.ibar(gnd),
-	.o(\GPIO_2[11]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[11]~input .bus_hold = "false";
-defparam \GPIO_2[11]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X20_Y34_N8
-cycloneive_io_ibuf \GPIO_2[12]~input (
-	.i(GPIO_2[12]),
-	.ibar(gnd),
-	.o(\GPIO_2[12]~input_o ));
-// synopsys translate_off
-defparam \GPIO_2[12]~input .bus_hold = "false";
-defparam \GPIO_2[12]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y34_N8
-cycloneive_io_ibuf \GPIO_0_PI[0]~input (
-	.i(GPIO_0_PI[0]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[0]~input .bus_hold = "false";
-defparam \GPIO_0_PI[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y4_N15
-cycloneive_io_ibuf \GPIO_0_PI[1]~input (
-	.i(GPIO_0_PI[1]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[1]~input .bus_hold = "false";
-defparam \GPIO_0_PI[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y5_N22
-cycloneive_io_ibuf \GPIO_0_PI[2]~input (
-	.i(GPIO_0_PI[2]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[2]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[2]~input .bus_hold = "false";
-defparam \GPIO_0_PI[2]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X18_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[3]~input (
-	.i(GPIO_0_PI[3]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[3]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[3]~input .bus_hold = "false";
-defparam \GPIO_0_PI[3]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y0_N15
-cycloneive_io_ibuf \GPIO_0_PI[4]~input (
-	.i(GPIO_0_PI[4]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[4]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[4]~input .bus_hold = "false";
-defparam \GPIO_0_PI[4]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[5]~input (
-	.i(GPIO_0_PI[5]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[5]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[5]~input .bus_hold = "false";
-defparam \GPIO_0_PI[5]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y6_N15
-cycloneive_io_ibuf \GPIO_0_PI[6]~input (
-	.i(GPIO_0_PI[6]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[6]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[6]~input .bus_hold = "false";
-defparam \GPIO_0_PI[6]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y0_N22
-cycloneive_io_ibuf \GPIO_0_PI[7]~input (
-	.i(GPIO_0_PI[7]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[7]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[7]~input .bus_hold = "false";
-defparam \GPIO_0_PI[7]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y4_N22
-cycloneive_io_ibuf \GPIO_0_PI[12]~input (
-	.i(GPIO_0_PI[12]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[12]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[12]~input .bus_hold = "false";
-defparam \GPIO_0_PI[12]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y8_N22
-cycloneive_io_ibuf \GPIO_0_PI[13]~input (
-	.i(GPIO_0_PI[13]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[13]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[13]~input .bus_hold = "false";
-defparam \GPIO_0_PI[13]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[14]~input (
-	.i(GPIO_0_PI[14]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[14]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[14]~input .bus_hold = "false";
-defparam \GPIO_0_PI[14]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[15]~input (
-	.i(GPIO_0_PI[15]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[15]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[15]~input .bus_hold = "false";
-defparam \GPIO_0_PI[15]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[16]~input (
-	.i(GPIO_0_PI[16]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[16]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[16]~input .bus_hold = "false";
-defparam \GPIO_0_PI[16]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X16_Y34_N8
-cycloneive_io_ibuf \GPIO_0_PI[17]~input (
-	.i(GPIO_0_PI[17]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[17]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[17]~input .bus_hold = "false";
-defparam \GPIO_0_PI[17]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y0_N8
-cycloneive_io_ibuf \GPIO_0_PI[18]~input (
-	.i(GPIO_0_PI[18]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[18]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[18]~input .bus_hold = "false";
-defparam \GPIO_0_PI[18]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X36_Y0_N8
-cycloneive_io_ibuf \GPIO_0_PI[19]~input (
-	.i(GPIO_0_PI[19]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[19]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[19]~input .bus_hold = "false";
-defparam \GPIO_0_PI[19]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y15_N8
-cycloneive_io_ibuf \GPIO_0_PI[20]~input (
-	.i(GPIO_0_PI[20]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[20]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[20]~input .bus_hold = "false";
-defparam \GPIO_0_PI[20]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X47_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[21]~input (
-	.i(GPIO_0_PI[21]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[21]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[21]~input .bus_hold = "false";
-defparam \GPIO_0_PI[21]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y0_N8
-cycloneive_io_ibuf \GPIO_0_PI[22]~input (
-	.i(GPIO_0_PI[22]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[22]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[22]~input .bus_hold = "false";
-defparam \GPIO_0_PI[22]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X20_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[23]~input (
-	.i(GPIO_0_PI[23]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[23]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[23]~input .bus_hold = "false";
-defparam \GPIO_0_PI[23]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X45_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[24]~input (
-	.i(GPIO_0_PI[24]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[24]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[24]~input .bus_hold = "false";
-defparam \GPIO_0_PI[24]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X40_Y0_N22
-cycloneive_io_ibuf \GPIO_0_PI[25]~input (
-	.i(GPIO_0_PI[25]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[25]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[25]~input .bus_hold = "false";
-defparam \GPIO_0_PI[25]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X18_Y0_N8
-cycloneive_io_ibuf \GPIO_0_PI[26]~input (
-	.i(GPIO_0_PI[26]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[26]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[26]~input .bus_hold = "false";
-defparam \GPIO_0_PI[26]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X45_Y34_N15
-cycloneive_io_ibuf \GPIO_0_PI[27]~input (
-	.i(GPIO_0_PI[27]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[27]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[27]~input .bus_hold = "false";
-defparam \GPIO_0_PI[27]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X38_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[28]~input (
-	.i(GPIO_0_PI[28]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[28]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[28]~input .bus_hold = "false";
-defparam \GPIO_0_PI[28]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X40_Y34_N8
-cycloneive_io_ibuf \GPIO_0_PI[29]~input (
-	.i(GPIO_0_PI[29]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[29]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[29]~input .bus_hold = "false";
-defparam \GPIO_0_PI[29]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X18_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[30]~input (
-	.i(GPIO_0_PI[30]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[30]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[30]~input .bus_hold = "false";
-defparam \GPIO_0_PI[30]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X23_Y34_N22
-cycloneive_io_ibuf \GPIO_0_PI[32]~input (
-	.i(GPIO_0_PI[32]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[32]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[32]~input .bus_hold = "false";
-defparam \GPIO_0_PI[32]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y22_N1
-cycloneive_io_ibuf \GPIO_0_PI[33]~input (
-	.i(GPIO_0_PI[33]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[33]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[33]~input .bus_hold = "false";
-defparam \GPIO_0_PI[33]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X14_Y0_N1
-cycloneive_io_ibuf \GPIO_1[0]~input (
-	.i(GPIO_1[0]),
-	.ibar(gnd),
-	.o(\GPIO_1[0]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[0]~input .bus_hold = "false";
-defparam \GPIO_1[0]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y0_N22
-cycloneive_io_ibuf \GPIO_1[1]~input (
-	.i(GPIO_1[1]),
-	.ibar(gnd),
-	.o(\GPIO_1[1]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[1]~input .bus_hold = "false";
-defparam \GPIO_1[1]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y20_N22
-cycloneive_io_ibuf \GPIO_1[2]~input (
-	.i(GPIO_1[2]),
-	.ibar(gnd),
-	.o(\GPIO_1[2]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[2]~input .bus_hold = "false";
-defparam \GPIO_1[2]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y23_N1
-cycloneive_io_ibuf \GPIO_1[3]~input (
-	.i(GPIO_1[3]),
-	.ibar(gnd),
-	.o(\GPIO_1[3]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[3]~input .bus_hold = "false";
-defparam \GPIO_1[3]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X7_Y34_N1
-cycloneive_io_ibuf \GPIO_1[4]~input (
-	.i(GPIO_1[4]),
-	.ibar(gnd),
-	.o(\GPIO_1[4]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[4]~input .bus_hold = "false";
-defparam \GPIO_1[4]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y11_N1
-cycloneive_io_ibuf \GPIO_1[5]~input (
-	.i(GPIO_1[5]),
-	.ibar(gnd),
-	.o(\GPIO_1[5]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[5]~input .bus_hold = "false";
-defparam \GPIO_1[5]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X51_Y34_N8
-cycloneive_io_ibuf \GPIO_1[6]~input (
-	.i(GPIO_1[6]),
-	.ibar(gnd),
-	.o(\GPIO_1[6]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[6]~input .bus_hold = "false";
-defparam \GPIO_1[6]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y0_N8
-cycloneive_io_ibuf \GPIO_1[7]~input (
-	.i(GPIO_1[7]),
-	.ibar(gnd),
-	.o(\GPIO_1[7]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[7]~input .bus_hold = "false";
-defparam \GPIO_1[7]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y22_N8
-cycloneive_io_ibuf \GPIO_1[8]~input (
-	.i(GPIO_1[8]),
-	.ibar(gnd),
-	.o(\GPIO_1[8]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[8]~input .bus_hold = "false";
-defparam \GPIO_1[8]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y0_N1
-cycloneive_io_ibuf \GPIO_1[9]~input (
-	.i(GPIO_1[9]),
-	.ibar(gnd),
-	.o(\GPIO_1[9]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[9]~input .bus_hold = "false";
-defparam \GPIO_1[9]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y11_N8
-cycloneive_io_ibuf \GPIO_1[10]~input (
-	.i(GPIO_1[10]),
-	.ibar(gnd),
-	.o(\GPIO_1[10]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[10]~input .bus_hold = "false";
-defparam \GPIO_1[10]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X45_Y34_N8
-cycloneive_io_ibuf \GPIO_1[11]~input (
-	.i(GPIO_1[11]),
-	.ibar(gnd),
-	.o(\GPIO_1[11]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[11]~input .bus_hold = "false";
-defparam \GPIO_1[11]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y26_N22
-cycloneive_io_ibuf \GPIO_1[12]~input (
-	.i(GPIO_1[12]),
-	.ibar(gnd),
-	.o(\GPIO_1[12]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[12]~input .bus_hold = "false";
-defparam \GPIO_1[12]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X40_Y0_N15
-cycloneive_io_ibuf \GPIO_1[13]~input (
-	.i(GPIO_1[13]),
-	.ibar(gnd),
-	.o(\GPIO_1[13]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[13]~input .bus_hold = "false";
-defparam \GPIO_1[13]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y12_N1
-cycloneive_io_ibuf \GPIO_1[14]~input (
-	.i(GPIO_1[14]),
-	.ibar(gnd),
-	.o(\GPIO_1[14]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[14]~input .bus_hold = "false";
-defparam \GPIO_1[14]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y30_N1
-cycloneive_io_ibuf \GPIO_1[15]~input (
-	.i(GPIO_1[15]),
-	.ibar(gnd),
-	.o(\GPIO_1[15]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[15]~input .bus_hold = "false";
-defparam \GPIO_1[15]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X25_Y0_N15
-cycloneive_io_ibuf \GPIO_1[16]~input (
-	.i(GPIO_1[16]),
-	.ibar(gnd),
-	.o(\GPIO_1[16]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[16]~input .bus_hold = "false";
-defparam \GPIO_1[16]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X34_Y0_N22
-cycloneive_io_ibuf \GPIO_1[17]~input (
-	.i(GPIO_1[17]),
-	.ibar(gnd),
-	.o(\GPIO_1[17]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[17]~input .bus_hold = "false";
-defparam \GPIO_1[17]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y7_N1
-cycloneive_io_ibuf \GPIO_1[18]~input (
-	.i(GPIO_1[18]),
-	.ibar(gnd),
-	.o(\GPIO_1[18]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[18]~input .bus_hold = "false";
-defparam \GPIO_1[18]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y30_N8
-cycloneive_io_ibuf \GPIO_1[19]~input (
-	.i(GPIO_1[19]),
-	.ibar(gnd),
-	.o(\GPIO_1[19]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[19]~input .bus_hold = "false";
-defparam \GPIO_1[19]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y27_N1
-cycloneive_io_ibuf \GPIO_1[20]~input (
-	.i(GPIO_1[20]),
-	.ibar(gnd),
-	.o(\GPIO_1[20]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[20]~input .bus_hold = "false";
-defparam \GPIO_1[20]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X47_Y0_N22
-cycloneive_io_ibuf \GPIO_1[21]~input (
-	.i(GPIO_1[21]),
-	.ibar(gnd),
-	.o(\GPIO_1[21]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[21]~input .bus_hold = "false";
-defparam \GPIO_1[21]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X11_Y34_N1
-cycloneive_io_ibuf \GPIO_1[22]~input (
-	.i(GPIO_1[22]),
-	.ibar(gnd),
-	.o(\GPIO_1[22]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[22]~input .bus_hold = "false";
-defparam \GPIO_1[22]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X20_Y0_N8
-cycloneive_io_ibuf \GPIO_1[23]~input (
-	.i(GPIO_1[23]),
-	.ibar(gnd),
-	.o(\GPIO_1[23]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[23]~input .bus_hold = "false";
-defparam \GPIO_1[23]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X5_Y0_N1
-cycloneive_io_ibuf \GPIO_1[24]~input (
-	.i(GPIO_1[24]),
-	.ibar(gnd),
-	.o(\GPIO_1[24]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[24]~input .bus_hold = "false";
-defparam \GPIO_1[24]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y21_N22
-cycloneive_io_ibuf \GPIO_1[25]~input (
-	.i(GPIO_1[25]),
-	.ibar(gnd),
-	.o(\GPIO_1[25]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[25]~input .bus_hold = "false";
-defparam \GPIO_1[25]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X1_Y0_N15
-cycloneive_io_ibuf \GPIO_1[26]~input (
-	.i(GPIO_1[26]),
-	.ibar(gnd),
-	.o(\GPIO_1[26]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[26]~input .bus_hold = "false";
-defparam \GPIO_1[26]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X7_Y0_N8
-cycloneive_io_ibuf \GPIO_1[27]~input (
-	.i(GPIO_1[27]),
-	.ibar(gnd),
-	.o(\GPIO_1[27]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[27]~input .bus_hold = "false";
-defparam \GPIO_1[27]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y23_N22
-cycloneive_io_ibuf \GPIO_1[28]~input (
-	.i(GPIO_1[28]),
-	.ibar(gnd),
-	.o(\GPIO_1[28]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[28]~input .bus_hold = "false";
-defparam \GPIO_1[28]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X53_Y14_N8
-cycloneive_io_ibuf \GPIO_1[29]~input (
-	.i(GPIO_1[29]),
-	.ibar(gnd),
-	.o(\GPIO_1[29]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[29]~input .bus_hold = "false";
-defparam \GPIO_1[29]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X45_Y0_N22
-cycloneive_io_ibuf \GPIO_1[30]~input (
-	.i(GPIO_1[30]),
-	.ibar(gnd),
-	.o(\GPIO_1[30]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[30]~input .bus_hold = "false";
-defparam \GPIO_1[30]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X49_Y0_N1
-cycloneive_io_ibuf \GPIO_1[31]~input (
-	.i(GPIO_1[31]),
-	.ibar(gnd),
-	.o(\GPIO_1[31]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[31]~input .bus_hold = "false";
-defparam \GPIO_1[31]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X31_Y34_N1
-cycloneive_io_ibuf \GPIO_1[32]~input (
-	.i(GPIO_1[32]),
-	.ibar(gnd),
-	.o(\GPIO_1[32]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[32]~input .bus_hold = "false";
-defparam \GPIO_1[32]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X0_Y6_N15
-cycloneive_io_ibuf \GPIO_1[33]~input (
-	.i(GPIO_1[33]),
-	.ibar(gnd),
-	.o(\GPIO_1[33]~input_o ));
-// synopsys translate_off
-defparam \GPIO_1[33]~input .bus_hold = "false";
-defparam \GPIO_1[33]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-// Location: IOIBUF_X16_Y34_N1
-cycloneive_io_ibuf \GPIO_0_PI[11]~input (
-	.i(GPIO_0_PI[11]),
-	.ibar(gnd),
-	.o(\GPIO_0_PI[11]~input_o ));
-// synopsys translate_off
-defparam \GPIO_0_PI[11]~input .bus_hold = "false";
-defparam \GPIO_0_PI[11]~input .simulate_z_as = "z";
-// synopsys translate_on
-
-assign LED[0] = \LED[0]~output_o ;
-
-assign LED[1] = \LED[1]~output_o ;
-
-assign LED[2] = \LED[2]~output_o ;
-
-assign LED[3] = \LED[3]~output_o ;
-
-assign LED[4] = \LED[4]~output_o ;
-
-assign LED[5] = \LED[5]~output_o ;
-
-assign LED[6] = \LED[6]~output_o ;
-
-assign LED[7] = \LED[7]~output_o ;
-
-assign GPIO_2[0] = \GPIO_2[0]~output_o ;
-
-assign GPIO_2[1] = \GPIO_2[1]~output_o ;
-
-assign GPIO_2[2] = \GPIO_2[2]~output_o ;
-
-assign GPIO_2[3] = \GPIO_2[3]~output_o ;
-
-assign GPIO_2[4] = \GPIO_2[4]~output_o ;
-
-assign GPIO_2[5] = \GPIO_2[5]~output_o ;
-
-assign GPIO_2[6] = \GPIO_2[6]~output_o ;
-
-assign GPIO_2[7] = \GPIO_2[7]~output_o ;
-
-assign GPIO_2[8] = \GPIO_2[8]~output_o ;
-
-assign GPIO_2[9] = \GPIO_2[9]~output_o ;
-
-assign GPIO_2[10] = \GPIO_2[10]~output_o ;
-
-assign GPIO_2[11] = \GPIO_2[11]~output_o ;
-
-assign GPIO_2[12] = \GPIO_2[12]~output_o ;
-
-assign GPIO_0_PI[0] = \GPIO_0_PI[0]~output_o ;
-
-assign GPIO_0_PI[1] = \GPIO_0_PI[1]~output_o ;
-
-assign GPIO_0_PI[2] = \GPIO_0_PI[2]~output_o ;
-
-assign GPIO_0_PI[3] = \GPIO_0_PI[3]~output_o ;
-
-assign GPIO_0_PI[4] = \GPIO_0_PI[4]~output_o ;
-
-assign GPIO_0_PI[5] = \GPIO_0_PI[5]~output_o ;
-
-assign GPIO_0_PI[6] = \GPIO_0_PI[6]~output_o ;
-
-assign GPIO_0_PI[7] = \GPIO_0_PI[7]~output_o ;
-
-assign GPIO_0_PI[12] = \GPIO_0_PI[12]~output_o ;
-
-assign GPIO_0_PI[13] = \GPIO_0_PI[13]~output_o ;
-
-assign GPIO_0_PI[14] = \GPIO_0_PI[14]~output_o ;
-
-assign GPIO_0_PI[15] = \GPIO_0_PI[15]~output_o ;
-
-assign GPIO_0_PI[16] = \GPIO_0_PI[16]~output_o ;
-
-assign GPIO_0_PI[17] = \GPIO_0_PI[17]~output_o ;
-
-assign GPIO_0_PI[18] = \GPIO_0_PI[18]~output_o ;
-
-assign GPIO_0_PI[19] = \GPIO_0_PI[19]~output_o ;
-
-assign GPIO_0_PI[20] = \GPIO_0_PI[20]~output_o ;
-
-assign GPIO_0_PI[21] = \GPIO_0_PI[21]~output_o ;
-
-assign GPIO_0_PI[22] = \GPIO_0_PI[22]~output_o ;
-
-assign GPIO_0_PI[23] = \GPIO_0_PI[23]~output_o ;
-
-assign GPIO_0_PI[24] = \GPIO_0_PI[24]~output_o ;
-
-assign GPIO_0_PI[25] = \GPIO_0_PI[25]~output_o ;
-
-assign GPIO_0_PI[26] = \GPIO_0_PI[26]~output_o ;
-
-assign GPIO_0_PI[27] = \GPIO_0_PI[27]~output_o ;
-
-assign GPIO_0_PI[28] = \GPIO_0_PI[28]~output_o ;
-
-assign GPIO_0_PI[29] = \GPIO_0_PI[29]~output_o ;
-
-assign GPIO_0_PI[30] = \GPIO_0_PI[30]~output_o ;
-
-assign GPIO_0_PI[32] = \GPIO_0_PI[32]~output_o ;
-
-assign GPIO_0_PI[33] = \GPIO_0_PI[33]~output_o ;
-
-assign GPIO_1[0] = \GPIO_1[0]~output_o ;
-
-assign GPIO_1[1] = \GPIO_1[1]~output_o ;
-
-assign GPIO_1[2] = \GPIO_1[2]~output_o ;
-
-assign GPIO_1[3] = \GPIO_1[3]~output_o ;
-
-assign GPIO_1[4] = \GPIO_1[4]~output_o ;
-
-assign GPIO_1[5] = \GPIO_1[5]~output_o ;
-
-assign GPIO_1[6] = \GPIO_1[6]~output_o ;
-
-assign GPIO_1[7] = \GPIO_1[7]~output_o ;
-
-assign GPIO_1[8] = \GPIO_1[8]~output_o ;
-
-assign GPIO_1[9] = \GPIO_1[9]~output_o ;
-
-assign GPIO_1[10] = \GPIO_1[10]~output_o ;
-
-assign GPIO_1[11] = \GPIO_1[11]~output_o ;
-
-assign GPIO_1[12] = \GPIO_1[12]~output_o ;
-
-assign GPIO_1[13] = \GPIO_1[13]~output_o ;
-
-assign GPIO_1[14] = \GPIO_1[14]~output_o ;
-
-assign GPIO_1[15] = \GPIO_1[15]~output_o ;
-
-assign GPIO_1[16] = \GPIO_1[16]~output_o ;
-
-assign GPIO_1[17] = \GPIO_1[17]~output_o ;
-
-assign GPIO_1[18] = \GPIO_1[18]~output_o ;
-
-assign GPIO_1[19] = \GPIO_1[19]~output_o ;
-
-assign GPIO_1[20] = \GPIO_1[20]~output_o ;
-
-assign GPIO_1[21] = \GPIO_1[21]~output_o ;
-
-assign GPIO_1[22] = \GPIO_1[22]~output_o ;
-
-assign GPIO_1[23] = \GPIO_1[23]~output_o ;
-
-assign GPIO_1[24] = \GPIO_1[24]~output_o ;
-
-assign GPIO_1[25] = \GPIO_1[25]~output_o ;
-
-assign GPIO_1[26] = \GPIO_1[26]~output_o ;
-
-assign GPIO_1[27] = \GPIO_1[27]~output_o ;
-
-assign GPIO_1[28] = \GPIO_1[28]~output_o ;
-
-assign GPIO_1[29] = \GPIO_1[29]~output_o ;
-
-assign GPIO_1[30] = \GPIO_1[30]~output_o ;
-
-assign GPIO_1[31] = \GPIO_1[31]~output_o ;
-
-assign GPIO_1[32] = \GPIO_1[32]~output_o ;
-
-assign GPIO_1[33] = \GPIO_1[33]~output_o ;
-
-assign GPIO_0_PI[8] = \GPIO_0_PI[8]~output_o ;
-
-assign GPIO_0_PI[9] = \GPIO_0_PI[9]~output_o ;
-
-assign GPIO_0_PI[10] = \GPIO_0_PI[10]~output_o ;
-
-assign GPIO_0_PI[11] = \GPIO_0_PI[11]~output_o ;
-
-assign GPIO_0_PI[31] = \GPIO_0_PI[31]~output_o ;
-
-endmodule
-
-module hard_block (
-
-	devpor,
-	devclrn,
-	devoe);
-
-// Design Ports Information
-// ~ALTERA_ASDO_DATA1~	=>  Location: PIN_C1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// ~ALTERA_FLASH_nCE_nCSO~	=>  Location: PIN_D2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// ~ALTERA_DCLK~	=>  Location: PIN_H1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// ~ALTERA_DATA0~	=>  Location: PIN_H2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-// ~ALTERA_nCEO~	=>  Location: PIN_F16,	 I/O Standard: 2.5 V,	 Current Strength: 8mA
-
-input 	devpor;
-input 	devclrn;
-input 	devoe;
-
-wire gnd;
-wire vcc;
-wire unknown;
-
-assign gnd = 1'b0;
-assign vcc = 1'b1;
-assign unknown = 1'bx;
-
-wire \~ALTERA_ASDO_DATA1~~padout ;
-wire \~ALTERA_FLASH_nCE_nCSO~~padout ;
-wire \~ALTERA_DATA0~~padout ;
-wire \~ALTERA_ASDO_DATA1~~ibuf_o ;
-wire \~ALTERA_FLASH_nCE_nCSO~~ibuf_o ;
-wire \~ALTERA_DATA0~~ibuf_o ;
-
-
-endmodule
diff --git a/SPI/simulation/modelsim/SPI_test_min_1200mv_0c_v_fast.sdo b/SPI/simulation/modelsim/SPI_test_min_1200mv_0c_v_fast.sdo
deleted file mode 100644
index eb3f1c0..0000000
--- a/SPI/simulation/modelsim/SPI_test_min_1200mv_0c_v_fast.sdo
+++ /dev/null
@@ -1,1172 +0,0 @@
-// Copyright (C) 2018  Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions 
-// and other software and tools, and its AMPP partner logic 
-// functions, and any output files from any of the foregoing 
-// (including device programming or simulation files), and any 
-// associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License 
-// Subscription Agreement, the Intel Quartus Prime License Agreement,
-// the Intel FPGA IP License Agreement, or other applicable license
-// agreement, including, without limitation, that your use is for
-// the sole purpose of programming logic devices manufactured by
-// Intel and sold by Intel or its authorized distributors.  Please
-// refer to the applicable agreement for further details.
-
-
-// 
-// Device: Altera EP4CE22F17C6 Package FBGA256
-// 
-
-//
-// This file contains Fast Corner delays for the design using part EP4CE22F17C6,
-// with speed grade M, core voltage 1.2VmV, and temperature 0 Celsius
-//
-
-// 
-// This SDF file should be used for ModelSim-Altera (SystemVerilog) only
-// 
-
-(DELAYFILE
-  (SDFVERSION "2.1")
-  (DESIGN "MyDE0_Nano")
-  (DATE "11/16/2022 16:51:48")
-  (VENDOR "Altera")
-  (PROGRAM "Quartus Prime")
-  (VERSION "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition")
-  (DIVIDER .)
-  (TIMESCALE 1 ps)
-
-  (CELL
-    (CELLTYPE "cycloneive_io_obuf")
-    (INSTANCE GPIO_0_PI\[11\]\~output)
-    (DELAY
-      (ABSOLUTE
-        (PORT i (363:363:363) (400:400:400))
-        (PORT oe (1826:1826:1826) (1642:1642:1642))
-        (IOPATH i o (1593:1593:1593) (1596:1596:1596))
-        (IOPATH oe o (1586:1586:1586) (1572:1572:1572))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_io_ibuf")
-    (INSTANCE GPIO_0_PI\[8\]\~input)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH i o (238:238:238) (618:618:618))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_clkctrl")
-    (INSTANCE GPIO_0_PI\[8\]\~inputclkctrl)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH inclk outclk (129:129:129) (119:119:119))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[0\]\~12)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH datac combout (190:190:190) (195:195:195))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[0\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (932:932:932) (918:918:918))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[1\]\~4)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (148:148:148) (201:201:201))
-        (PORT datab (146:146:146) (196:196:196))
-        (IOPATH dataa combout (186:186:186) (180:180:180))
-        (IOPATH dataa cout (226:226:226) (171:171:171))
-        (IOPATH datab combout (190:190:190) (181:181:181))
-        (IOPATH datab cout (227:227:227) (175:175:175))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[1\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (932:932:932) (918:918:918))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[2\]\~6)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (216:216:216) (273:273:273))
-        (IOPATH datab combout (166:166:166) (176:176:176))
-        (IOPATH datab cout (227:227:227) (175:175:175))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-        (IOPATH cin combout (187:187:187) (204:204:204))
-        (IOPATH cin cout (34:34:34) (34:34:34))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[2\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (932:932:932) (918:918:918))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[3\]\~8)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (147:147:147) (199:199:199))
-        (IOPATH dataa combout (186:186:186) (175:175:175))
-        (IOPATH dataa cout (226:226:226) (171:171:171))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-        (IOPATH cin combout (187:187:187) (204:204:204))
-        (IOPATH cin cout (34:34:34) (34:34:34))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[3\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (932:932:932) (918:918:918))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[4\]\~10)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (202:202:202) (269:269:269))
-        (IOPATH datab combout (196:196:196) (205:205:205))
-        (IOPATH cin combout (187:187:187) (204:204:204))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[4\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (932:932:932) (918:918:918))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_io_ibuf")
-    (INSTANCE GPIO_0_PI\[31\]\~input)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH i o (238:238:238) (617:617:617))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_io_ibuf")
-    (INSTANCE GPIO_0_PI\[9\]\~input)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH i o (238:238:238) (617:617:617))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[0\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (923:923:923) (928:928:928))
-        (PORT asdata (2123:2123:2123) (2380:2380:2380))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD asdata (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|Equal0\~0)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (147:147:147) (201:201:201))
-        (PORT datab (148:148:148) (198:198:198))
-        (PORT datac (129:129:129) (170:170:170))
-        (PORT datad (135:135:135) (174:174:174))
-        (IOPATH dataa combout (158:158:158) (157:157:157))
-        (IOPATH datab combout (160:160:160) (156:156:156))
-        (IOPATH datac combout (120:120:120) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~31)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (268:268:268) (336:336:336))
-        (PORT datab (2094:2094:2094) (2392:2392:2392))
-        (PORT datac (119:119:119) (160:160:160))
-        (PORT datad (216:216:216) (248:248:248))
-        (IOPATH dataa combout (166:166:166) (157:157:157))
-        (IOPATH datab combout (167:167:167) (158:158:158))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[1\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (923:923:923) (928:928:928))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~30)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (269:269:269) (337:337:337))
-        (PORT datac (117:117:117) (157:157:157))
-        (PORT datad (216:216:216) (248:248:248))
-        (IOPATH dataa combout (166:166:166) (163:163:163))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[2\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (923:923:923) (928:928:928))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~29)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (271:271:271) (339:339:339))
-        (PORT datab (130:130:130) (178:178:178))
-        (PORT datad (215:215:215) (248:248:248))
-        (IOPATH dataa combout (159:159:159) (163:163:163))
-        (IOPATH datab combout (168:168:168) (167:167:167))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[3\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (923:923:923) (928:928:928))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~28)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (263:263:263) (330:330:330))
-        (PORT datac (119:119:119) (161:161:161))
-        (PORT datad (216:216:216) (248:248:248))
-        (IOPATH dataa combout (166:166:166) (163:163:163))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[4\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (923:923:923) (928:928:928))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~27)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (267:267:267) (335:335:335))
-        (PORT datac (118:118:118) (159:159:159))
-        (PORT datad (216:216:216) (248:248:248))
-        (IOPATH dataa combout (166:166:166) (163:163:163))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[5\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (923:923:923) (928:928:928))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~26)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (270:270:270) (338:338:338))
-        (PORT datab (131:131:131) (179:179:179))
-        (PORT datad (215:215:215) (248:248:248))
-        (IOPATH dataa combout (159:159:159) (163:163:163))
-        (IOPATH datab combout (168:168:168) (167:167:167))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[6\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (923:923:923) (928:928:928))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~25)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (264:264:264) (331:331:331))
-        (PORT datab (132:132:132) (182:182:182))
-        (PORT datad (216:216:216) (248:248:248))
-        (IOPATH dataa combout (159:159:159) (163:163:163))
-        (IOPATH datab combout (168:168:168) (167:167:167))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[7\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (923:923:923) (928:928:928))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~24)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (204:204:204) (273:273:273))
-        (PORT datac (188:188:188) (240:240:240))
-        (PORT datad (152:152:152) (185:185:185))
-        (IOPATH datab combout (167:167:167) (167:167:167))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[8\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (928:928:928))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~23)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (132:132:132) (180:180:180))
-        (PORT datac (191:191:191) (254:254:254))
-        (PORT datad (153:153:153) (185:185:185))
-        (IOPATH datab combout (168:168:168) (167:167:167))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[9\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (928:928:928))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~22)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (129:129:129) (178:178:178))
-        (PORT datac (192:192:192) (254:254:254))
-        (PORT datad (154:154:154) (185:185:185))
-        (IOPATH datab combout (168:168:168) (167:167:167))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[10\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (928:928:928))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~21)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (208:208:208) (277:277:277))
-        (PORT datac (116:116:116) (158:158:158))
-        (PORT datad (153:153:153) (184:184:184))
-        (IOPATH datab combout (167:167:167) (167:167:167))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[11\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (928:928:928))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~20)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (130:130:130) (178:178:178))
-        (PORT datac (191:191:191) (253:253:253))
-        (PORT datad (153:153:153) (184:184:184))
-        (IOPATH datab combout (168:168:168) (167:167:167))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[12\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (928:928:928))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~19)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (268:268:268) (335:335:335))
-        (PORT datac (196:196:196) (248:248:248))
-        (PORT datad (216:216:216) (248:248:248))
-        (IOPATH dataa combout (166:166:166) (163:163:163))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[13\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (923:923:923) (928:928:928))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~18)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (205:205:205) (275:275:275))
-        (PORT datac (188:188:188) (240:240:240))
-        (PORT datad (152:152:152) (184:184:184))
-        (IOPATH datab combout (167:167:167) (167:167:167))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[14\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (928:928:928))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~17)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (131:131:131) (179:179:179))
-        (PORT datac (184:184:184) (246:246:246))
-        (PORT datad (153:153:153) (185:185:185))
-        (IOPATH datab combout (168:168:168) (167:167:167))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[15\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (928:928:928))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~16)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (207:207:207) (276:276:276))
-        (PORT datac (189:189:189) (236:236:236))
-        (PORT datad (153:153:153) (184:184:184))
-        (IOPATH datab combout (167:167:167) (167:167:167))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[16\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (928:928:928))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~15)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (131:131:131) (178:178:178))
-        (PORT datac (189:189:189) (251:251:251))
-        (PORT datad (153:153:153) (183:183:183))
-        (IOPATH datab combout (168:168:168) (167:167:167))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[17\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (928:928:928))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~14)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (200:200:200) (267:267:267))
-        (PORT datac (119:119:119) (161:161:161))
-        (PORT datad (155:155:155) (186:186:186))
-        (IOPATH datab combout (167:167:167) (167:167:167))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[18\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (928:928:928))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~13)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (320:320:320) (400:400:400))
-        (PORT datac (205:205:205) (261:261:261))
-        (PORT datad (251:251:251) (301:301:301))
-        (IOPATH datab combout (167:167:167) (167:167:167))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[19\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (927:927:927))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~12)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (133:133:133) (182:182:182))
-        (PORT datac (290:290:290) (369:369:369))
-        (PORT datad (254:254:254) (304:304:304))
-        (IOPATH datab combout (168:168:168) (167:167:167))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[20\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (927:927:927))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~10)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (216:216:216) (275:275:275))
-        (PORT datac (291:291:291) (370:370:370))
-        (PORT datad (201:201:201) (247:247:247))
-        (IOPATH dataa combout (170:170:170) (163:163:163))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~11)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (239:239:239) (299:299:299))
-        (PORT datab (133:133:133) (181:181:181))
-        (PORT datac (214:214:214) (267:267:267))
-        (PORT datad (92:92:92) (110:110:110))
-        (IOPATH dataa combout (170:170:170) (163:163:163))
-        (IOPATH datab combout (168:168:168) (167:167:167))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[21\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (927:927:927))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~9)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (133:133:133) (185:185:185))
-        (PORT datac (292:292:292) (371:371:371))
-        (PORT datad (253:253:253) (303:303:303))
-        (IOPATH dataa combout (170:170:170) (163:163:163))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[22\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (927:927:927))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~8)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (131:131:131) (182:182:182))
-        (PORT datac (290:290:290) (369:369:369))
-        (PORT datad (254:254:254) (304:304:304))
-        (IOPATH dataa combout (170:170:170) (163:163:163))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[23\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (927:927:927))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~7)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (131:131:131) (182:182:182))
-        (PORT datac (289:289:289) (368:368:368))
-        (PORT datad (254:254:254) (305:305:305))
-        (IOPATH dataa combout (170:170:170) (163:163:163))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[24\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (927:927:927))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~6)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (134:134:134) (183:183:183))
-        (PORT datac (298:298:298) (378:378:378))
-        (PORT datad (251:251:251) (301:301:301))
-        (IOPATH datab combout (168:168:168) (167:167:167))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[25\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (927:927:927))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~5)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (132:132:132) (183:183:183))
-        (PORT datac (295:295:295) (375:375:375))
-        (PORT datad (252:252:252) (302:302:302))
-        (IOPATH dataa combout (170:170:170) (163:163:163))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[26\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (927:927:927))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~4)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (131:131:131) (179:179:179))
-        (PORT datac (292:292:292) (371:371:371))
-        (PORT datad (253:253:253) (303:303:303))
-        (IOPATH datab combout (168:168:168) (167:167:167))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[27\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (927:927:927))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~3)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (130:130:130) (179:179:179))
-        (PORT datac (294:294:294) (373:373:373))
-        (PORT datad (253:253:253) (302:302:302))
-        (IOPATH datab combout (168:168:168) (167:167:167))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[28\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (927:927:927))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~2)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (130:130:130) (179:179:179))
-        (PORT datac (295:295:295) (374:374:374))
-        (PORT datad (252:252:252) (302:302:302))
-        (IOPATH datab combout (168:168:168) (167:167:167))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[29\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (927:927:927))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~1)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (131:131:131) (179:179:179))
-        (PORT datac (296:296:296) (376:376:376))
-        (PORT datad (252:252:252) (301:301:301))
-        (IOPATH datab combout (168:168:168) (167:167:167))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[30\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (927:927:927))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~0)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (131:131:131) (178:178:178))
-        (PORT datac (296:296:296) (375:375:375))
-        (PORT datad (252:252:252) (302:302:302))
-        (IOPATH datab combout (168:168:168) (167:167:167))
-        (IOPATH datac combout (119:119:119) (124:124:124))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[31\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (922:922:922) (927:927:927))
-        (PORT d (37:37:37) (50:50:50))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|qdelayed)
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (931:931:931) (918:918:918))
-        (PORT asdata (296:296:296) (336:336:336))
-        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD asdata (posedge clk) (84:84:84))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|miso\~0)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (308:308:308) (387:387:387))
-        (PORT datad (254:254:254) (304:304:304))
-        (IOPATH datab combout (167:167:167) (167:167:167))
-        (IOPATH datac combout (190:190:190) (195:195:195))
-        (IOPATH datad combout (68:68:68) (63:63:63))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_io_ibuf")
-    (INSTANCE GPIO_0_PI\[10\]\~input)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH i o (238:238:238) (617:617:617))
-      )
-    )
-  )
-)
diff --git a/SPI/simulation/modelsim/SPI_test_modelsim.xrf b/SPI/simulation/modelsim/SPI_test_modelsim.xrf
deleted file mode 100644
index 6610a4c..0000000
--- a/SPI/simulation/modelsim/SPI_test_modelsim.xrf
+++ /dev/null
@@ -1,268 +0,0 @@
-vendor_name = ModelSim
-source_file = 1, C:/Users/damie/Documents/Minibot/SPI/MyTestbench.sv
-source_file = 1, C:/Users/damie/Documents/Minibot/SPI/MySPI.sv
-source_file = 1, C:/Users/damie/Documents/Minibot/SPI/MyDE0_Nano.sv
-source_file = 1, C:/Users/damie/Documents/Minibot/SPI/db/SPI_test.cbx.xml
-design_name = MyDE0_Nano
-instance = comp, \GPIO_2[0]~output , GPIO_2[0]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_2[1]~output , GPIO_2[1]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_2[2]~output , GPIO_2[2]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_2[3]~output , GPIO_2[3]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_2[4]~output , GPIO_2[4]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_2[5]~output , GPIO_2[5]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_2[6]~output , GPIO_2[6]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_2[7]~output , GPIO_2[7]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_2[8]~output , GPIO_2[8]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_2[9]~output , GPIO_2[9]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_2[10]~output , GPIO_2[10]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_2[11]~output , GPIO_2[11]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_2[12]~output , GPIO_2[12]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[0]~output , GPIO_0_PI[0]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[1]~output , GPIO_0_PI[1]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[2]~output , GPIO_0_PI[2]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[3]~output , GPIO_0_PI[3]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[4]~output , GPIO_0_PI[4]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[5]~output , GPIO_0_PI[5]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[6]~output , GPIO_0_PI[6]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[7]~output , GPIO_0_PI[7]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[12]~output , GPIO_0_PI[12]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[13]~output , GPIO_0_PI[13]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[14]~output , GPIO_0_PI[14]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[15]~output , GPIO_0_PI[15]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[16]~output , GPIO_0_PI[16]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[17]~output , GPIO_0_PI[17]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[18]~output , GPIO_0_PI[18]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[19]~output , GPIO_0_PI[19]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[20]~output , GPIO_0_PI[20]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[21]~output , GPIO_0_PI[21]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[22]~output , GPIO_0_PI[22]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[23]~output , GPIO_0_PI[23]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[24]~output , GPIO_0_PI[24]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[25]~output , GPIO_0_PI[25]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[26]~output , GPIO_0_PI[26]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[27]~output , GPIO_0_PI[27]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[28]~output , GPIO_0_PI[28]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[29]~output , GPIO_0_PI[29]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[30]~output , GPIO_0_PI[30]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[32]~output , GPIO_0_PI[32]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[33]~output , GPIO_0_PI[33]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[0]~output , GPIO_1[0]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[1]~output , GPIO_1[1]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[2]~output , GPIO_1[2]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[3]~output , GPIO_1[3]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[4]~output , GPIO_1[4]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[5]~output , GPIO_1[5]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[6]~output , GPIO_1[6]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[7]~output , GPIO_1[7]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[8]~output , GPIO_1[8]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[9]~output , GPIO_1[9]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[10]~output , GPIO_1[10]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[11]~output , GPIO_1[11]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[12]~output , GPIO_1[12]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[13]~output , GPIO_1[13]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[14]~output , GPIO_1[14]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[15]~output , GPIO_1[15]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[16]~output , GPIO_1[16]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[17]~output , GPIO_1[17]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[18]~output , GPIO_1[18]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[19]~output , GPIO_1[19]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[20]~output , GPIO_1[20]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[21]~output , GPIO_1[21]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[22]~output , GPIO_1[22]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[23]~output , GPIO_1[23]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[24]~output , GPIO_1[24]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[25]~output , GPIO_1[25]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[26]~output , GPIO_1[26]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[27]~output , GPIO_1[27]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[28]~output , GPIO_1[28]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[29]~output , GPIO_1[29]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[30]~output , GPIO_1[30]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[31]~output , GPIO_1[31]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[32]~output , GPIO_1[32]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_1[33]~output , GPIO_1[33]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[8]~output , GPIO_0_PI[8]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[9]~output , GPIO_0_PI[9]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[10]~output , GPIO_0_PI[10]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[11]~output , GPIO_0_PI[11]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[31]~output , GPIO_0_PI[31]~output, MyDE0_Nano, 1
-instance = comp, \LED[0]~output , LED[0]~output, MyDE0_Nano, 1
-instance = comp, \LED[1]~output , LED[1]~output, MyDE0_Nano, 1
-instance = comp, \LED[2]~output , LED[2]~output, MyDE0_Nano, 1
-instance = comp, \LED[3]~output , LED[3]~output, MyDE0_Nano, 1
-instance = comp, \LED[4]~output , LED[4]~output, MyDE0_Nano, 1
-instance = comp, \LED[5]~output , LED[5]~output, MyDE0_Nano, 1
-instance = comp, \LED[6]~output , LED[6]~output, MyDE0_Nano, 1
-instance = comp, \LED[7]~output , LED[7]~output, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[8]~input , GPIO_0_PI[8]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[8]~inputclkctrl , GPIO_0_PI[8]~inputclkctrl, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|cnt[0]~12 , spi_slave_instance|cnt[0]~12, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|cnt[0] , spi_slave_instance|cnt[0], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|cnt[1]~4 , spi_slave_instance|cnt[1]~4, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|cnt[1] , spi_slave_instance|cnt[1], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|cnt[2]~6 , spi_slave_instance|cnt[2]~6, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|cnt[2] , spi_slave_instance|cnt[2], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|cnt[3]~8 , spi_slave_instance|cnt[3]~8, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|cnt[3] , spi_slave_instance|cnt[3], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|cnt[4]~10 , spi_slave_instance|cnt[4]~10, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|cnt[4] , spi_slave_instance|cnt[4], MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[31]~input , GPIO_0_PI[31]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[9]~input , GPIO_0_PI[9]~input, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[0] , spi_slave_instance|q[0], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|Equal0~0 , spi_slave_instance|Equal0~0, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~31 , spi_slave_instance|q~31, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[1] , spi_slave_instance|q[1], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~30 , spi_slave_instance|q~30, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[2] , spi_slave_instance|q[2], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~29 , spi_slave_instance|q~29, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[3] , spi_slave_instance|q[3], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~28 , spi_slave_instance|q~28, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[4] , spi_slave_instance|q[4], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~27 , spi_slave_instance|q~27, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[5] , spi_slave_instance|q[5], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~26 , spi_slave_instance|q~26, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[6] , spi_slave_instance|q[6], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~25 , spi_slave_instance|q~25, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[7] , spi_slave_instance|q[7], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~24 , spi_slave_instance|q~24, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[8] , spi_slave_instance|q[8], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~23 , spi_slave_instance|q~23, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[9] , spi_slave_instance|q[9], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~22 , spi_slave_instance|q~22, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[10] , spi_slave_instance|q[10], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~21 , spi_slave_instance|q~21, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[11] , spi_slave_instance|q[11], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~20 , spi_slave_instance|q~20, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[12] , spi_slave_instance|q[12], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~19 , spi_slave_instance|q~19, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[13] , spi_slave_instance|q[13], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~18 , spi_slave_instance|q~18, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[14] , spi_slave_instance|q[14], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~17 , spi_slave_instance|q~17, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[15] , spi_slave_instance|q[15], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~16 , spi_slave_instance|q~16, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[16] , spi_slave_instance|q[16], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~15 , spi_slave_instance|q~15, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[17] , spi_slave_instance|q[17], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~14 , spi_slave_instance|q~14, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[18] , spi_slave_instance|q[18], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~13 , spi_slave_instance|q~13, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[19] , spi_slave_instance|q[19], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~12 , spi_slave_instance|q~12, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[20] , spi_slave_instance|q[20], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~10 , spi_slave_instance|q~10, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~11 , spi_slave_instance|q~11, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[21] , spi_slave_instance|q[21], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~9 , spi_slave_instance|q~9, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[22] , spi_slave_instance|q[22], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~8 , spi_slave_instance|q~8, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[23] , spi_slave_instance|q[23], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~7 , spi_slave_instance|q~7, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[24] , spi_slave_instance|q[24], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~6 , spi_slave_instance|q~6, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[25] , spi_slave_instance|q[25], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~5 , spi_slave_instance|q~5, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[26] , spi_slave_instance|q[26], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~4 , spi_slave_instance|q~4, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[27] , spi_slave_instance|q[27], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~3 , spi_slave_instance|q~3, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[28] , spi_slave_instance|q[28], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~2 , spi_slave_instance|q~2, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[29] , spi_slave_instance|q[29], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~1 , spi_slave_instance|q~1, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[30] , spi_slave_instance|q[30], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q~0 , spi_slave_instance|q~0, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|q[31] , spi_slave_instance|q[31], MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|qdelayed , spi_slave_instance|qdelayed, MyDE0_Nano, 1
-instance = comp, \spi_slave_instance|miso~0 , spi_slave_instance|miso~0, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[10]~input , GPIO_0_PI[10]~input, MyDE0_Nano, 1
-instance = comp, \CLOCK_50~input , CLOCK_50~input, MyDE0_Nano, 1
-instance = comp, \KEY[0]~input , KEY[0]~input, MyDE0_Nano, 1
-instance = comp, \KEY[1]~input , KEY[1]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_2_IN[0]~input , GPIO_2_IN[0]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_2_IN[1]~input , GPIO_2_IN[1]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_2_IN[2]~input , GPIO_2_IN[2]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI_IN[0]~input , GPIO_0_PI_IN[0]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI_IN[1]~input , GPIO_0_PI_IN[1]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1_IN[0]~input , GPIO_1_IN[0]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1_IN[1]~input , GPIO_1_IN[1]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_2[0]~input , GPIO_2[0]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_2[1]~input , GPIO_2[1]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_2[2]~input , GPIO_2[2]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_2[3]~input , GPIO_2[3]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_2[4]~input , GPIO_2[4]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_2[5]~input , GPIO_2[5]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_2[6]~input , GPIO_2[6]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_2[7]~input , GPIO_2[7]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_2[8]~input , GPIO_2[8]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_2[9]~input , GPIO_2[9]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_2[10]~input , GPIO_2[10]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_2[11]~input , GPIO_2[11]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_2[12]~input , GPIO_2[12]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[0]~input , GPIO_0_PI[0]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[1]~input , GPIO_0_PI[1]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[2]~input , GPIO_0_PI[2]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[3]~input , GPIO_0_PI[3]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[4]~input , GPIO_0_PI[4]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[5]~input , GPIO_0_PI[5]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[6]~input , GPIO_0_PI[6]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[7]~input , GPIO_0_PI[7]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[12]~input , GPIO_0_PI[12]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[13]~input , GPIO_0_PI[13]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[14]~input , GPIO_0_PI[14]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[15]~input , GPIO_0_PI[15]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[16]~input , GPIO_0_PI[16]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[17]~input , GPIO_0_PI[17]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[18]~input , GPIO_0_PI[18]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[19]~input , GPIO_0_PI[19]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[20]~input , GPIO_0_PI[20]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[21]~input , GPIO_0_PI[21]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[22]~input , GPIO_0_PI[22]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[23]~input , GPIO_0_PI[23]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[24]~input , GPIO_0_PI[24]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[25]~input , GPIO_0_PI[25]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[26]~input , GPIO_0_PI[26]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[27]~input , GPIO_0_PI[27]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[28]~input , GPIO_0_PI[28]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[29]~input , GPIO_0_PI[29]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[30]~input , GPIO_0_PI[30]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[32]~input , GPIO_0_PI[32]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[33]~input , GPIO_0_PI[33]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[0]~input , GPIO_1[0]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[1]~input , GPIO_1[1]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[2]~input , GPIO_1[2]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[3]~input , GPIO_1[3]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[4]~input , GPIO_1[4]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[5]~input , GPIO_1[5]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[6]~input , GPIO_1[6]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[7]~input , GPIO_1[7]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[8]~input , GPIO_1[8]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[9]~input , GPIO_1[9]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[10]~input , GPIO_1[10]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[11]~input , GPIO_1[11]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[12]~input , GPIO_1[12]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[13]~input , GPIO_1[13]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[14]~input , GPIO_1[14]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[15]~input , GPIO_1[15]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[16]~input , GPIO_1[16]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[17]~input , GPIO_1[17]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[18]~input , GPIO_1[18]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[19]~input , GPIO_1[19]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[20]~input , GPIO_1[20]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[21]~input , GPIO_1[21]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[22]~input , GPIO_1[22]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[23]~input , GPIO_1[23]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[24]~input , GPIO_1[24]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[25]~input , GPIO_1[25]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[26]~input , GPIO_1[26]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[27]~input , GPIO_1[27]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[28]~input , GPIO_1[28]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[29]~input , GPIO_1[29]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[30]~input , GPIO_1[30]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[31]~input , GPIO_1[31]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[32]~input , GPIO_1[32]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_1[33]~input , GPIO_1[33]~input, MyDE0_Nano, 1
-instance = comp, \GPIO_0_PI[11]~input , GPIO_0_PI[11]~input, MyDE0_Nano, 1
-design_name = hard_block
-instance = comp, \~ALTERA_ASDO_DATA1~~ibuf , ~ALTERA_ASDO_DATA1~~ibuf, hard_block, 1
-instance = comp, \~ALTERA_FLASH_nCE_nCSO~~ibuf , ~ALTERA_FLASH_nCE_nCSO~~ibuf, hard_block, 1
-instance = comp, \~ALTERA_DATA0~~ibuf , ~ALTERA_DATA0~~ibuf, hard_block, 1
diff --git a/SPI/simulation/modelsim/SPI_test_v.sdo b/SPI/simulation/modelsim/SPI_test_v.sdo
deleted file mode 100644
index 1d05890..0000000
--- a/SPI/simulation/modelsim/SPI_test_v.sdo
+++ /dev/null
@@ -1,1172 +0,0 @@
-// Copyright (C) 2018  Intel Corporation. All rights reserved.
-// Your use of Intel Corporation's design tools, logic functions 
-// and other software and tools, and its AMPP partner logic 
-// functions, and any output files from any of the foregoing 
-// (including device programming or simulation files), and any 
-// associated documentation or information are expressly subject 
-// to the terms and conditions of the Intel Program License 
-// Subscription Agreement, the Intel Quartus Prime License Agreement,
-// the Intel FPGA IP License Agreement, or other applicable license
-// agreement, including, without limitation, that your use is for
-// the sole purpose of programming logic devices manufactured by
-// Intel and sold by Intel or its authorized distributors.  Please
-// refer to the applicable agreement for further details.
-
-
-// 
-// Device: Altera EP4CE22F17C6 Package FBGA256
-// 
-
-//
-// This file contains Slow Corner delays for the design using part EP4CE22F17C6,
-// with speed grade 6, core voltage 1.2VmV, and temperature 85 Celsius
-//
-
-// 
-// This SDF file should be used for ModelSim-Altera (SystemVerilog) only
-// 
-
-(DELAYFILE
-  (SDFVERSION "2.1")
-  (DESIGN "MyDE0_Nano")
-  (DATE "11/16/2022 16:51:48")
-  (VENDOR "Altera")
-  (PROGRAM "Quartus Prime")
-  (VERSION "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition")
-  (DIVIDER .)
-  (TIMESCALE 1 ps)
-
-  (CELL
-    (CELLTYPE "cycloneive_io_obuf")
-    (INSTANCE GPIO_0_PI\[11\]\~output)
-    (DELAY
-      (ABSOLUTE
-        (PORT i (699:699:699) (676:676:676))
-        (PORT oe (3075:3075:3075) (2835:2835:2835))
-        (IOPATH i o (2593:2593:2593) (2562:2562:2562))
-        (IOPATH oe o (2615:2615:2615) (2536:2536:2536))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_io_ibuf")
-    (INSTANCE GPIO_0_PI\[8\]\~input)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH i o (516:516:516) (681:681:681))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_clkctrl")
-    (INSTANCE GPIO_0_PI\[8\]\~inputclkctrl)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH inclk outclk (206:206:206) (195:195:195))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[0\]\~12)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH datac combout (353:353:353) (369:369:369))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[0\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1549:1549:1549) (1551:1551:1551))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[1\]\~4)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (274:274:274) (364:364:364))
-        (PORT datab (270:270:270) (355:355:355))
-        (IOPATH dataa combout (339:339:339) (367:367:367))
-        (IOPATH dataa cout (436:436:436) (315:315:315))
-        (IOPATH datab combout (344:344:344) (369:369:369))
-        (IOPATH datab cout (446:446:446) (318:318:318))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[1\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1549:1549:1549) (1551:1551:1551))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[2\]\~6)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (409:409:409) (483:483:483))
-        (IOPATH datab combout (365:365:365) (373:373:373))
-        (IOPATH datab cout (446:446:446) (318:318:318))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-        (IOPATH cin combout (455:455:455) (437:437:437))
-        (IOPATH cin cout (58:58:58) (58:58:58))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[2\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1549:1549:1549) (1551:1551:1551))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[3\]\~8)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (272:272:272) (361:361:361))
-        (IOPATH dataa combout (354:354:354) (367:367:367))
-        (IOPATH dataa cout (436:436:436) (315:315:315))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-        (IOPATH cin combout (455:455:455) (437:437:437))
-        (IOPATH cin cout (58:58:58) (58:58:58))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[3\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1549:1549:1549) (1551:1551:1551))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|cnt\[4\]\~10)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (367:367:367) (478:478:478))
-        (IOPATH datab combout (365:365:365) (373:373:373))
-        (IOPATH cin combout (455:455:455) (437:437:437))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|cnt\[4\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1549:1549:1549) (1551:1551:1551))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_io_ibuf")
-    (INSTANCE GPIO_0_PI\[31\]\~input)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH i o (514:514:514) (679:679:679))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_io_ibuf")
-    (INSTANCE GPIO_0_PI\[9\]\~input)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH i o (514:514:514) (679:679:679))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[0\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1543:1543:1543) (1559:1559:1559))
-        (PORT asdata (3670:3670:3670) (3988:3988:3988))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD asdata (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|Equal0\~0)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (273:273:273) (364:364:364))
-        (PORT datab (272:272:272) (357:357:357))
-        (PORT datac (237:237:237) (313:313:313))
-        (PORT datad (246:246:246) (319:319:319))
-        (IOPATH dataa combout (350:350:350) (366:366:366))
-        (IOPATH datab combout (350:350:350) (368:368:368))
-        (IOPATH datac combout (241:241:241) (241:241:241))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~31)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (491:491:491) (582:582:582))
-        (PORT datab (3612:3612:3612) (3959:3959:3959))
-        (PORT datac (218:218:218) (295:295:295))
-        (PORT datad (406:406:406) (430:430:430))
-        (IOPATH dataa combout (325:325:325) (320:320:320))
-        (IOPATH datab combout (306:306:306) (308:308:308))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[1\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1543:1543:1543) (1559:1559:1559))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~30)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (492:492:492) (583:583:583))
-        (PORT datac (217:217:217) (293:293:293))
-        (PORT datad (407:407:407) (429:429:429))
-        (IOPATH dataa combout (304:304:304) (307:307:307))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[2\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1543:1543:1543) (1559:1559:1559))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~29)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (494:494:494) (582:582:582))
-        (PORT datab (244:244:244) (327:327:327))
-        (PORT datad (410:410:410) (429:429:429))
-        (IOPATH dataa combout (300:300:300) (308:308:308))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[3\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1543:1543:1543) (1559:1559:1559))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~28)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (482:482:482) (574:574:574))
-        (PORT datac (219:219:219) (296:296:296))
-        (PORT datad (410:410:410) (430:430:430))
-        (IOPATH dataa combout (304:304:304) (307:307:307))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[4\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1543:1543:1543) (1559:1559:1559))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~27)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (492:492:492) (581:581:581))
-        (PORT datac (218:218:218) (296:296:296))
-        (PORT datad (405:405:405) (428:428:428))
-        (IOPATH dataa combout (304:304:304) (307:307:307))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[5\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1543:1543:1543) (1559:1559:1559))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~26)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (493:493:493) (582:582:582))
-        (PORT datab (245:245:245) (327:327:327))
-        (PORT datad (409:409:409) (428:428:428))
-        (IOPATH dataa combout (300:300:300) (308:308:308))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[6\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1543:1543:1543) (1559:1559:1559))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~25)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (483:483:483) (576:576:576))
-        (PORT datab (246:246:246) (330:330:330))
-        (PORT datad (410:410:410) (433:433:433))
-        (IOPATH dataa combout (300:300:300) (308:308:308))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[7\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1543:1543:1543) (1559:1559:1559))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~24)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (369:369:369) (483:483:483))
-        (PORT datac (361:361:361) (431:431:431))
-        (PORT datad (281:281:281) (328:328:328))
-        (IOPATH datab combout (306:306:306) (308:308:308))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[8\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~23)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (246:246:246) (329:329:329))
-        (PORT datac (338:338:338) (447:447:447))
-        (PORT datad (279:279:279) (326:326:326))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[9\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~22)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (244:244:244) (326:326:326))
-        (PORT datac (338:338:338) (448:448:448))
-        (PORT datad (280:280:280) (326:326:326))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[10\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~21)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (368:368:368) (485:485:485))
-        (PORT datac (216:216:216) (293:293:293))
-        (PORT datad (280:280:280) (325:325:325))
-        (IOPATH datab combout (306:306:306) (308:308:308))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[11\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~20)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (244:244:244) (326:326:326))
-        (PORT datac (337:337:337) (446:446:446))
-        (PORT datad (279:279:279) (325:325:325))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[12\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~19)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (493:493:493) (582:582:582))
-        (PORT datac (382:382:382) (442:442:442))
-        (PORT datad (405:405:405) (429:429:429))
-        (IOPATH dataa combout (304:304:304) (307:307:307))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[13\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1543:1543:1543) (1559:1559:1559))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~18)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (366:366:366) (485:485:485))
-        (PORT datac (360:360:360) (431:431:431))
-        (PORT datad (281:281:281) (331:331:331))
-        (IOPATH datab combout (306:306:306) (308:308:308))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[14\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~17)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (246:246:246) (329:329:329))
-        (PORT datac (332:332:332) (442:442:442))
-        (PORT datad (281:281:281) (331:331:331))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[15\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~16)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (372:372:372) (486:486:486))
-        (PORT datac (364:364:364) (424:424:424))
-        (PORT datad (281:281:281) (328:328:328))
-        (IOPATH datab combout (306:306:306) (308:308:308))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[16\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~15)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (245:245:245) (327:327:327))
-        (PORT datac (335:335:335) (444:444:444))
-        (PORT datad (281:281:281) (327:327:327))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[17\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~14)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (360:360:360) (475:475:475))
-        (PORT datac (219:219:219) (296:296:296))
-        (PORT datad (280:280:280) (328:328:328))
-        (IOPATH datab combout (306:306:306) (308:308:308))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[18\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1542:1542:1542) (1558:1558:1558))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~13)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (570:570:570) (668:668:668))
-        (PORT datac (396:396:396) (461:461:461))
-        (PORT datad (464:464:464) (508:508:508))
-        (IOPATH datab combout (306:306:306) (308:308:308))
-        (IOPATH datac combout (243:243:243) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[19\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~12)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (246:246:246) (329:329:329))
-        (PORT datac (517:517:517) (627:627:627))
-        (PORT datad (465:465:465) (514:514:514))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[20\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~10)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (412:412:412) (491:491:491))
-        (PORT datac (519:519:519) (627:627:627))
-        (PORT datad (383:383:383) (444:444:444))
-        (IOPATH dataa combout (354:354:354) (367:367:367))
-        (IOPATH datac combout (243:243:243) (241:241:241))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~11)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (460:460:460) (530:530:530))
-        (PORT datab (246:246:246) (330:330:330))
-        (PORT datac (412:412:412) (477:477:477))
-        (PORT datad (176:176:176) (202:202:202))
-        (IOPATH dataa combout (339:339:339) (367:367:367))
-        (IOPATH datab combout (344:344:344) (369:369:369))
-        (IOPATH datac combout (243:243:243) (241:241:241))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[21\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~9)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (248:248:248) (336:336:336))
-        (PORT datac (522:522:522) (627:627:627))
-        (PORT datad (464:464:464) (513:513:513))
-        (IOPATH dataa combout (354:354:354) (367:367:367))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[22\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~8)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (246:246:246) (333:333:333))
-        (PORT datac (519:519:519) (624:624:624))
-        (PORT datad (465:465:465) (515:515:515))
-        (IOPATH dataa combout (354:354:354) (367:367:367))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[23\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~7)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (246:246:246) (333:333:333))
-        (PORT datac (520:520:520) (621:621:621))
-        (PORT datad (467:467:467) (515:515:515))
-        (IOPATH dataa combout (354:354:354) (367:367:367))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[24\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~6)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (247:247:247) (331:331:331))
-        (PORT datac (532:532:532) (632:632:632))
-        (PORT datad (465:465:465) (508:508:508))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[25\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~5)
-    (DELAY
-      (ABSOLUTE
-        (PORT dataa (246:246:246) (335:335:335))
-        (PORT datac (533:533:533) (633:633:633))
-        (PORT datad (460:460:460) (510:510:510))
-        (IOPATH dataa combout (354:354:354) (367:367:367))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[26\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~4)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (245:245:245) (329:329:329))
-        (PORT datac (524:524:524) (631:631:631))
-        (PORT datad (464:464:464) (513:513:513))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[27\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~3)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (245:245:245) (329:329:329))
-        (PORT datac (531:531:531) (632:632:632))
-        (PORT datad (462:462:462) (514:514:514))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[28\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~2)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (245:245:245) (329:329:329))
-        (PORT datac (532:532:532) (632:632:632))
-        (PORT datad (459:459:459) (509:509:509))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[29\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~1)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (245:245:245) (326:326:326))
-        (PORT datac (529:529:529) (635:635:635))
-        (PORT datad (462:462:462) (500:500:500))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[30\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|q\~0)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (245:245:245) (327:327:327))
-        (PORT datac (528:528:528) (635:635:635))
-        (PORT datad (461:461:461) (503:503:503))
-        (IOPATH datab combout (355:355:355) (369:369:369))
-        (IOPATH datac combout (241:241:241) (242:242:242))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|q\[31\])
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1541:1541:1541) (1557:1557:1557))
-        (PORT d (74:74:74) (91:91:91))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD d (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "dffeas")
-    (INSTANCE spi_slave_instance\|qdelayed)
-    (DELAY
-      (ABSOLUTE
-        (PORT clk (1548:1548:1548) (1550:1550:1550))
-        (PORT asdata (561:561:561) (635:635:635))
-        (IOPATH (posedge clk) q (199:199:199) (199:199:199))
-      )
-    )
-    (TIMINGCHECK
-      (HOLD asdata (posedge clk) (157:157:157))
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_lcell_comb")
-    (INSTANCE spi_slave_instance\|miso\~0)
-    (DELAY
-      (ABSOLUTE
-        (PORT datab (558:558:558) (655:655:655))
-        (PORT datad (465:465:465) (501:501:501))
-        (IOPATH datab combout (306:306:306) (308:308:308))
-        (IOPATH datac combout (353:353:353) (369:369:369))
-        (IOPATH datad combout (130:130:130) (120:120:120))
-      )
-    )
-  )
-  (CELL
-    (CELLTYPE "cycloneive_io_ibuf")
-    (INSTANCE GPIO_0_PI\[10\]\~input)
-    (DELAY
-      (ABSOLUTE
-        (IOPATH i o (514:514:514) (679:679:679))
-      )
-    )
-  )
-)
diff --git a/controller.c b/controller.c
new file mode 100644
index 0000000..0747e94
--- /dev/null
+++ b/controller.c
@@ -0,0 +1,110 @@
+# include "main.h"
+
+
+//modélise les deux moteur séparément ? -> deux K et tau distinc et donc 2 controller différent aussi
+#define K 1
+#define Tau 1
+#define Kp 1
+#define Ki 1
+#define Kp_dist 1
+#define Kp_angl 1
+
+#define pwm_start 565
+#define pwm_end 665
+
+
+double e[2];
+double e_pos[2];
+
+void low_level(regulation *myregulation){
+    //printf("LOW level unit\n");
+
+    double timestep = 0.01; //a définir plus tard soit avec une clock soit un import time
+    e[0] = myregulation->myspeed->w_ref[0]-myregulation->myspeed->speed[0];
+    e[1] = myregulation->myspeed->w_ref[1]-myregulation->myspeed->speed[1];
+    
+    //integral part
+    myregulation->myspeed->e_int[0] += e[0]*timestep;
+    myregulation->myspeed->e_int[1] += e[1]*timestep;
+
+    //REGULATEUR PI
+    myregulation->myspeed->wheel_command[0] = e[0]*Kp + myregulation->myspeed->e_int[0]*Ki;
+    myregulation->myspeed->wheel_command[1] = e[1]*Kp + myregulation->myspeed->e_int[1]*Ki; 
+    limiter(myregulation);
+    convert_pwm(myregulation);
+
+}
+
+void middle_level(regulation *myregulation){
+    //printf("MIDDLE level unit\n");
+
+    /*
+    if (myregulation->myspeed->w_robot[1]==0.0){ //d abord annuler  l erreur sur l angle
+        myregulation->myspeed->w_ref[0]=myregulation->myspeed->w_robot[0]; //vitesse linéaire => vitesse de chaque roue égale
+        myregulation->myspeed->w_ref[1]=-myregulation->myspeed->w_robot[0];
+    }else{
+        myregulation->myspeed->w_ref[0]=dist_roue_axe*myregulation->myspeed->w_robot[1];
+        myregulation->myspeed->w_ref[1]=dist_roue_axe*myregulation->myspeed->w_robot[1]; //positif ou négatif ?
+    }
+   */
+   
+   //%%%%tourner et rouler en meme temps//
+   
+    myregulation->myspeed->w_ref[0]=(myregulation->myspeed->w_robot[0]-myregulation->myspeed->w_robot[1]*dist_roue_axe)/rayon_roue; 
+    myregulation->myspeed->w_ref[1]=-(myregulation->myspeed->w_robot[0]+myregulation->myspeed->w_robot[1]*dist_roue_axe)/rayon_roue;
+
+    low_level(myregulation);
+}
+
+void high_level(regulation *myregulation){
+    //printf("HIGH level unit\n");
+
+    e_pos[0]=myregulation->mybeacon->beacon_data[0]; //ici dis_ref et angle_ref = 0 car on veut venir proche sur beacon
+    e_pos[1]=myregulation->mybeacon->beacon_data[1];
+
+    //REGULATEUR P
+    myregulation->myspeed->w_robot[0]=Kp_dist*e_pos[0];
+    myregulation->myspeed->w_robot[1]=Kp_angl*e_pos[1];
+
+    middle_level(myregulation);
+}
+
+void limiter(regulation *myregulation){
+    //printf("LIMITER unit\n");
+
+    int i;
+    for(i=0; i<2; i++){ //pour chaque roue on regarde si on est pas hors de la zone liénaire 
+        if (myregulation->myspeed->wheel_command[i] > 100){ 
+            myregulation->myspeed->wheel_command[i] = 100;
+            }
+        else if (myregulation->myspeed->wheel_command[i] < -100){ 
+            myregulation->myspeed->wheel_command[i] = -100;
+            }
+        }
+}
+
+void convert_pwm(regulation *myregulation){
+    //printf("PWM CONVERTOR unit\n");
+
+    //pour chaque roue venir regarder dans quel sens on veut qu'elle aille et de la venir assigner 
+    //la valeur 0 ou 1 selon la roue considéré !! à vérifier 
+    if (myregulation->myspeed->wheel_command[0]>0){
+        myregulation->myspeed->wheel_direction[0]=0;
+    }
+    else if (myregulation->myspeed->wheel_command[0]<0){
+        myregulation->myspeed->wheel_direction[0]=1;
+    }
+
+    if (myregulation->myspeed->wheel_command[1]<0){
+        myregulation->myspeed->wheel_direction[1]=1;
+
+    }
+    else if (myregulation->myspeed->wheel_command[1]>0){
+        myregulation->myspeed->wheel_direction[1]=0;
+
+    }
+    //0 -> 565 ? 
+    //100 -> 655?
+    myregulation->myspeed->wheel_command[0]=pwm_start + ((pwm_end-pwm_start)/100)*fabs(myregulation->myspeed->wheel_command[0]);
+    myregulation->myspeed->wheel_command[1]=pwm_start + ((pwm_end-pwm_start)/100)*fabs(myregulation->myspeed->wheel_command[1]);
+}
\ No newline at end of file
diff --git a/lidar_detect.c b/lidar_detect.c
new file mode 100644
index 0000000..b165daa
--- /dev/null
+++ b/lidar_detect.c
@@ -0,0 +1,49 @@
+#include "main.h"
+
+double treshold_dist=4000;
+double treshold_dist_compare=3;
+double data_factor;
+double old_angle;
+double old_dist;
+double angle;
+double dist;
+
+double angle_beacon[2]; //premier et dernière valeur du beacon
+double dist_beacon[2];
+int first_data=0; //0 -> chercher première valeur ; 1 -> chercher dernière valeur ; 2 -> vérif si beacon
+
+void lidar_detect(regulation *myregulation){
+    //printf("Lidar process\n");
+
+    for(int i; i<res_lidar; i++){
+        dist=myregulation->mylidardata->dist[i];
+        angle=myregulation->mylidardata->angle[i];
+        if ((old_dist-dist<treshold_dist_compare) & (first_data==0)){
+            angle_beacon[0]=old_angle;
+            dist_beacon[0]=old_dist;
+            first_data=1;
+        }
+        else if ((old_dist-dist>treshold_dist_compare) & (first_data==1)){
+            angle_beacon[1]=old_angle;
+            dist_beacon[1]=old_dist;
+            first_data=2;
+        }
+
+        else if (first_data==2){
+            data_factor=((dist_beacon[0]+dist_beacon[1])/2)*tan((angle_beacon[1]-angle_beacon[0])/2);
+            if ((data_factor>form_factor+form_factor*0.2) | (data_factor<form_factor-form_factor*0.2)){
+                first_data=0;
+                }
+             else {
+                myregulation->mybeacon->beacon_data[0]=(dist_beacon[0]+dist_beacon[1])/2;
+                myregulation->mybeacon->beacon_data[1]=(angle_beacon[0]+angle_beacon[1])/2;
+                break;
+             }
+            }
+
+        old_angle=angle;
+        old_dist=dist;
+        }
+    }
+    
+
diff --git a/main.c b/main.c
new file mode 100644
index 0000000..6fe19aa
--- /dev/null
+++ b/main.c
@@ -0,0 +1,57 @@
+#include "main.h"
+
+int main(void) {
+
+    //%%%%%%%%%MALLOC%%%%%%%%
+    regulation *myregulation = malloc(sizeof(regulation));
+    myregulation->mylidardata  = malloc(sizeof(lidardata));
+    myregulation->mybeacon = malloc(sizeof(beacon));
+    myregulation->myspeed = malloc(sizeof(speed));
+
+    myregulation->mylidardata->angle=malloc(sizeof(double)*res_lidar);
+    myregulation->mylidardata->dist=malloc(sizeof(double)*res_lidar);
+
+    //%%%%%%%%%FCT%%%%%%%%
+    for (int i=0; i<2; i++){
+       myregulation->myspeed->speed[i]=0;
+       myregulation->myspeed->w_ref[i]=0;
+       myregulation->myspeed->w_robot[i]=0;
+       myregulation->myspeed->wheel_command[i]=0;
+       myregulation->myspeed->wheel_direction[i]=0;
+       myregulation->myspeed->e_int[i]=0; 
+    }
+    
+
+    
+    //%%%%%%%%%FCT%%%%%%%%
+    //printf("Main process\n");
+    /*
+    while (myregulation->mybeacon->beacon_data[0]>10)
+    {
+        update_lidar(myregulation);
+        encoder(myregulation);
+        lidar_detect(myregulation);
+        high_level(myregulation);
+        motor(myregulation);
+    }
+    */
+
+    myregulation->mybeacon->beacon_data[0]=10;
+    myregulation->mybeacon->beacon_data[1]=1;
+    printf("dist beacon: %lf - angle beacon: %lf\n", myregulation->mybeacon->beacon_data[0], myregulation->mybeacon->beacon_data[1]);
+
+    lidar_detect(myregulation);
+    high_level(myregulation);
+
+    printf("wheel command: %lf - %lf\n", myregulation->myspeed->wheel_command[0], myregulation->myspeed->wheel_command[1]);
+    printf("wheel direction: %d - %d\n", myregulation->myspeed->wheel_direction[0], myregulation->myspeed->wheel_direction[1]);
+
+    //%%%%%%%%%FREE%%%%%%%%
+    free(myregulation->mylidardata->angle);
+    free(myregulation->mylidardata->dist);
+    free(myregulation->mylidardata);
+    free(myregulation->mybeacon);
+    free(myregulation);
+
+    exit(0);
+}
diff --git a/main.h b/main.h
new file mode 100644
index 0000000..6b129e5
--- /dev/null
+++ b/main.h
@@ -0,0 +1,45 @@
+# include <stdio.h>
+# include <stdlib.h>
+# include <math.h>
+# include <string.h>
+# include <assert.h>
+
+# define res_lidar 1068  
+# define form_factor 5 //diamètre beacon
+#define dist_roue_axe 10 //cm
+#define rayon_roue 2 //cm
+
+typedef struct {
+    double *dist;
+    double *angle;
+} lidardata; 
+
+typedef struct {
+    double beacon_data[2];
+} beacon; 
+
+typedef struct {
+    double speed[2];
+    double w_ref[2];
+    double e_int[2];
+    double wheel_command[2];
+    int wheel_direction[2]; 
+    double w_robot[2]; //vitesse linéaire et rotation
+} speed; 
+
+typedef struct {
+    lidardata *mylidardata;
+    beacon *mybeacon;
+    speed *myspeed;
+} regulation;
+
+int main(void);
+void lidar_detect(regulation *myregulation);
+void low_level(regulation *myregulation);
+void middle_level(regulation *myregulation);
+void high_level(regulation *myregulation);
+void limiter(regulation *myregulation);
+void convert_pwm(regulation *myregulation);
+
+//gcc -o test main.c lidar_detect.c
+//sudo ./test
\ No newline at end of file
diff --git a/mapLiDAR.py b/mapLiDAR.py
index 001fd6b..e66d161 100644
--- a/mapLiDAR.py
+++ b/mapLiDAR.py
@@ -5,17 +5,22 @@ import pandas as pd
 
 data = pd.read_csv("lidar.csv", sep='\t')
 
-theta = data["theta"]
+theta = np.deg2rad(data["theta"])
 dist = data["dist"]
 
+plt.axes(polar=True)
+plt.grid(True)
+
+ax = plt.subplot(111, polar=True)
+
 
-posx = dist * np.cos(theta)
-posy = dist * np.sin(theta)
 
 for i in range(len(dist)) :
     if dist[i] != 0 :
-        plt.plot(posx[i], posy[i], 'ob')
+        plt.polar(theta[i],dist[i], '.b')
 
-plt.axis("equal")
+ax.set_theta_zero_location("N")
+ax.set_rmax(4)
+ax.set_rlabel_position(270)
 
 plt.show()
\ No newline at end of file
diff --git a/test b/test
new file mode 100755
index 0000000000000000000000000000000000000000..1276f20ae22a96dab250282664d2d37f0e3159f7
GIT binary patch
literal 50416
zcmeI5e{56N700igm>Np@oT^<Z8^hfQ%GNf7t%!)ic!9VW720gT2rcyC*e}GLV@LK2
zzqYhSp_DXBl}c@?w*A36ZJM-oOaG}#TMb8aOFC&=w4tpkl}0649W9+w7HMc>&A#W}
z`|M{E{@7oWrgPQnd(OG%o_p@+-FxXD-1c|>{OFULgz(h}A^fNz)T;p@o)Te5LfnZO
zMYXK<)-91oB42x$td+%Asm`lWoJLGwSvw;gJ1bq}c(c+bw2o8+)djlSvK;%cqZKsO
z@ys<?4D8z$Dj(8o%kHG)y{cv9oW9On$y6Qh+h0-fKC3-k-5n6r@yhv8JgeJI_1alD
zRmc0Oj<-n%Af33E%60k0b51syKA;_{<NZy?dqnq>?kyFu(P3HfOgdKvSRJo@qgwBN
z9fb4>e%|0RUSIl9GM%uJ>8^~_E5Glkjz@cl3hC83sUG!9`{X55%W7-g*=lWm_@NzY
zx0os~fmW8Pmi8}I`u4PkE$cw0yviHL3&K|xQ;pY}+;6n++(LP^Ah^oQwW7^e<po_9
zQ#Ed0)KammRLsg9>FLa*tX?O3!+4F#UB-9Ek_l(EbQVartVGO-k)%p>evNsR-xA$V
zx;LISmm1n1Jw2JUYp9NAVmvYKbsf-p_XqWPOZooTw)LS0BW+vN*A${q#SJ=@86Aw`
zcw>DIB$~;gAOwB0AX;}#P(>KJiFQBQUJRr?M*SDZg!nYt!Z{(DVZRx2J1P~5PxUs`
z+ff&w-l&XVJdLd`x4;laU5vV_FPB}FN_MVF97!dhQ=z#jk0;l?|5)>M^N~01ZW@WN
zI(5&NU<;$t{Bm^7VkygSv*61$UxbzRv0Jbo@)U>eGjE}JTTrQw)?AZVj&18J=Yo88
z9LYI$Pt&F*<dy7%6Us_dia$A~+AnCGcyVC@On?b60Vco%m;e)C0!)AjFaajO1egF5
zU;<2l2`~XBzyz286JP>NfC(@GCcp%k025#WOn?b60Vco%m;e)C0!)AjFaaj;|3+X}
zbntR?U^+Y=xkgJnOMef)xDk(!qJxo{v-CIYi>swJ79EPrL<fV)w#;jb2G4eoW3a*)
zI=lZa82^sf4$(n1dI%DnrTs#cJvbhjMi4R3KkYY$pMfMN95O@E`1WaIcj1arUpsNV
zt!*MSpD{Y0vDs@JZ#!wygkGDPrfniT6qz0<_y!}>Q>nSRIqedRo}mFktS|wh+Bmb3
z7Ux>87$JcQ!|;X&u+&li$;gMrX*_?44)xCzUqBQotcT^9$cNFP?K9D#tsx9)ZN|^m
z7L2<O<BDhG5TFN%p<Z*Sy-oM5E(}JB#qX555$|xY_=Hz)DP~G)OEF%O;rDf^Ad~G7
zhWd-ejc7+kM%?`n9qhk)tbg_%JZCY6U&YG|EbM9&9}xe<x6dL)e2n41<*2|i>&v}n
z(A)hZw|h8nL5d;p2#iW`ZxBHO4&*MQY0NlPSm85XD-3K%!Xk|4$MPRQf9I}=fvdte
zen0UV4m_)ZkhnvNn0YvmFI)F%>v&)}Bzl}O^#*?7^k~_sS&DmU-oWe@OjervBWS+r
zxi_e}Z!HJ-6Wp+BtaUh0Q#N08&EtXZL!{>{Q)@B%z=jt{HJ)lvsk|=zLX_1jQtU8^
zMcoJ<@LVw-8$;+w5uLrtPfnAN@?P3gb=}&p_yatZF&ZaeixjUId&V$koAi@dTGenl
z<X#N%VkY$UutkUKmck8PKI4=|zEB}Ikp6h!-3QR1OwofN#J>g|gGu~YiaPi6NQH7&
z+l1C5b9klf{<7Eohu6EC!Ktk@k({mcgLz`*7|%*kM*c?Gn%CB$$gDiHvs0V?jYB)|
zc6i@8y`Mw0pJ~zS<;3-Lo@hUtbmDNul$5=+vbF9sC3DDJ;_;DR<W}V*<pnw!d<1Xg
z4l_*KB#e-kB9a?{sd8x~7yN_*FX6Dk^^A1gqtBGgu&y6n7#T&p4wo@fuQ%zk2v}-h
zUJXP><-F@Q>yenDa%2ncpR2{s&}St9@52O;h4!86YBH7|rw<^E<wEiUyYa{@SqA!N
zaXYKqOYzgky={Ursk@B#Uvi(FSPpMD5A72eM=z3w?-ZU<Ue`UU2BX_Jv2>pPk@9f#
zs-05)<}qB<`g<c^cWU~)&BpF`R8sU(&g~gDO&Nt=s<}4Y|8T^B6SVtXD?H^*Lhi0j
zd$t%SDr2@u&nAy2WzbT}pfYY7g_JwqjjQr)ta}@`N0)H{cR{Ew4;deS0&?JaDOY~U
zX7At@qp|L3&*06T!Mo=f+~WP<UGDua&x<!K{dwx1m%2Mt%xL=+W3SBck65+m^vj*;
zIaDFDV)(n#dk+oo80(4}PZZogSVca%dIFYoL9TnAs5pk$tShg{^Nr2wJ>NRTAjZ-;
zI<`sfHusZ}SsvD;vdcR+umW?ycbBwz&s@D3CEm5Fe~s$*9<6$_UFP!ZPuyJQ8q%#o
z%r*4N->m#~<nKZJ;!XGPvOWl{JLVc9KKyChEx-O>uy4ER8RXRaB+<WsP5qvvl_X7&
zbRS8-fRsq)oN%Wd!yD*z;f7RK_}*|VeIR9fZHvUAZre_U=^bn=opAl&?e-*+Sv&3|
zWsC$uG$a;b0&fYKN?W2^q1%~_-@NT-TRu2?oQ^Nb9#b}APeHanKBn~*x&;kYo^)HR
zThN_D#oPL!)7wy5D%D~A4xD5hd%REe;k_q~mZc=P25y-E6JP>NfC(@GCcp%k025#W
zOn?b60Vco%m;e)C0!)AjFaajO1egF5U;<2l2`~XBzyz286JP>NfC(@GCcp%k025#W
zOn?b60Vco%m;e)C0!)AjFaajO1egF5U;<2l2{3{G9f7y>|3Cg$x}DVRd%C@(+lma$
zpPNb#>b9bn6(+z0m;e)C0!)AjFaajO1egF5U;<2l2`~XBzyz286JP>NfC(@GCcp%k
z025#WOn?b60Vco%m;e)C0!)AjFaajO1egF5U;<2l2`~XBzyz286JP>NfC(@GCcp%k
z025#WOyIvHQ2%+ci-y#ji6g0mm2>(!t<GdRVQ0lxvtmT#&|}$$lMcy2$X!|6COHJT
zCzeWO;-oEs)|*YHoi5VC(41Hrq7XhyEcDY1H(Nd3h-An69NX%)V>Cq|mOhZOMPEFV
zK4@nhtM^ck81f~=i#}V7`MQ$XoMR<oPE4HlbteyWTPgdXof7Z)QX=o~5#RM^kg|Bo
z?_}*<H_3@)&Jlm`*NTa}-)D8&F$5M*`D(>v-Y;sz$YD_{E<zN31hu+iaVLXC`e@Wo
z;8paDdK3B#Tx-S1F~sW4<iu}bI(>{x1sN{?EySEmBU&PswGy^t#~tx!Ur*A((*EVE
z5f=}lFDWkhGKX~P&-rV_X$Qj!k5dxKw0O~<Oe9ja?tc~ilSeT_9`nFK;(5(4*Yz8$
z>!h51l=6&cdU|77TU>(qby|}wg~vx~Cj$llsE?`;%TRAwC`4l(p8_7_XZS+Wm-J7O
zzDS5@UiB~2db`%|CLNBU^{T%`_wU#J5v_M?{XwnwYQ012BU*n{>!-EesdZE9peg4Q
z`oBAIRO{_))%+*5zW;uuKd1HZI;DS4>*gAzzwD0Rp!8AFvEF=M>2GTNq}G2)I(Ri}
z{k+y&wEkD<z(MF_`53=m{U25OT$O&4axRTuT&3R*fBGhOpe{kZ6Ll%-e0G>cSXlF%
zD@$!0)wt&XJEnZf<gs#3fI2twx8!UK%a*&|@*G3Ua|*3g(zETMseNyyN}C<`12(wT
x<K5sE9aEiM>BL%<2e?u#ZFF@FsSC)xdfZD#UN_g>V3s9KxR?&c9Gh^7{{U2b6=nbc

literal 0
HcmV?d00001

-- 
GitLab